From 3fff6023602822531efdae30bc8ebf862967f1ef Mon Sep 17 00:00:00 2001 From: Friedrich Beckmann Date: Mon, 25 Jul 2022 17:55:39 +0200 Subject: Initial Commit --- .gitignore | 6 + README.md | 66 + VexRiscv/.github/workflows/scala.yml | 69 + VexRiscv/.gitignore | 54 + VexRiscv/.gitmodules | 3 + VexRiscv/.travis.yml | 89 + VexRiscv/LICENSE | 21 + VexRiscv/README.md | 1213 ++ VexRiscv/assets/brieySoc.png | Bin 0 -> 154451 bytes VexRiscv/assets/fpuDesign.png | Bin 0 -> 133091 bytes VexRiscv/build.sbt | 20 + VexRiscv/doc/gcdPeripheral/README.md | 592 + .../img/murax-gcd-diagrams-gcd-controlpath.png | Bin 0 -> 68613 bytes .../img/murax-gcd-diagrams-gcd-datapath.png | Bin 0 -> 56769 bytes .../img/murax-gcd-diagrams-gcd-dp+cp.png | Bin 0 -> 27013 bytes .../gcdPeripheral/img/murax-gcd-diagrams-gcd.png | Bin 0 -> 15632 bytes .../gcdPeripheral/img/murax-gcd-diagrams.drawio | 1 + VexRiscv/doc/gcdPeripheral/img/simulationWave.PNG | Bin 0 -> 48129 bytes .../src/main/c/murax/gcd_world/makefile | 134 + .../c/murax/gcd_world/project/build.properties | 1 + .../src/main/c/murax/gcd_world/src/crt.S | 98 + .../src/main/c/murax/gcd_world/src/gcd.h | 13 + .../src/main/c/murax/gcd_world/src/gpio.h | 15 + .../src/main/c/murax/gcd_world/src/interrupt.h | 17 + .../src/main/c/murax/gcd_world/src/linker.ld | 110 + .../src/main/c/murax/gcd_world/src/main.c | 62 + .../src/main/c/murax/gcd_world/src/main.h | 78 + .../src/main/c/murax/gcd_world/src/murax.h | 20 + .../src/main/c/murax/gcd_world/src/prescaler.h | 16 + .../src/main/c/murax/gcd_world/src/timer.h | 20 + .../src/main/c/murax/gcd_world/src/uart.h | 42 + .../src/main/scala/vexriscv/demo/Murax.scala | 559 + .../scala/vexriscv/periph/gcd/Apb3GCDCtrl.scala | 39 + .../main/scala/vexriscv/periph/gcd/GCDCtrl.scala | 68 + .../main/scala/vexriscv/periph/gcd/GCDData.scala | 54 + .../main/scala/vexriscv/periph/gcd/GCDTop.scala | 46 + .../main/scala/vexriscv/periph/gcd/GCDTopSim.scala | 52 + VexRiscv/doc/nativeJtag/README.md | 172 + VexRiscv/doc/nativeJtag/soc_init.cfg | 26 + VexRiscv/doc/nativeJtag/usb_connect.cfg | 14 + VexRiscv/doc/smp/smp.md | 216 + VexRiscv/project/build.properties | 1 + VexRiscv/project/plugins.sbt | 1 + VexRiscv/scripts/Murax/arty_a7/README.md | 129 + VexRiscv/scripts/Murax/arty_a7/arty_a7.xdc | 366 + VexRiscv/scripts/Murax/arty_a7/arty_a7_org.xdc | 350 + VexRiscv/scripts/Murax/arty_a7/make_mcs_file | 6 + VexRiscv/scripts/Murax/arty_a7/make_mmi_files | 4 + VexRiscv/scripts/Murax/arty_a7/make_vivado_project | 9 + VexRiscv/scripts/Murax/arty_a7/makefile | 62 + VexRiscv/scripts/Murax/arty_a7/open_vivado_project | 4 + VexRiscv/scripts/Murax/arty_a7/picocom_arty | 1 + VexRiscv/scripts/Murax/arty_a7/toplevel.v | 66 + VexRiscv/scripts/Murax/arty_a7/write_flash | 3 + VexRiscv/scripts/Murax/arty_a7/write_fpga | 3 + .../Murax/iCE40-hx8k_breakout_board/Makefile | 38 + .../Murax/iCE40-hx8k_breakout_board/README.md | 86 + .../img/cram-programming-config.png | Bin 0 -> 814776 bytes .../img/iCE40HX8K-breakout-revA.png | Bin 0 -> 40253 bytes .../Murax/iCE40-hx8k_breakout_board/toplevel.pcf | 19 + .../Murax/iCE40-hx8k_breakout_board/toplevel.v | 45 + .../Murax/iCE40-hx8k_breakout_board_xip/Makefile | 44 + .../Murax_iCE40_hx8k_breakout_board_xip.pcf | 23 + .../Murax/iCE40-hx8k_breakout_board_xip/README.md | 207 + .../img/cram-programming-config.png | Bin 0 -> 814776 bytes .../img/iCE40HX8K-breakout-revA.png | Bin 0 -> 40253 bytes VexRiscv/scripts/Murax/iCE40HX8K-EVB/Makefile | 38 + VexRiscv/scripts/Murax/iCE40HX8K-EVB/toplevel.pcf | 5 + VexRiscv/scripts/Murax/iCE40HX8K-EVB/toplevel.v | 39 + .../scripts/Murax/iCE40HX8K-EVB/toplevel_pll.v | 38 + VexRiscv/scripts/regression/.gitignore | 3 + VexRiscv/scripts/regression/makefile | 7 + VexRiscv/scripts/regression/regression.mk | 48 + VexRiscv/scripts/regression/verilator.mk | 20 + VexRiscv/src/main/c/common/ram.ld | 151 + VexRiscv/src/main/c/common/riscv64-unknown-elf.mk | 16 + VexRiscv/src/main/c/common/standalone.mk | 74 + VexRiscv/src/main/c/emulator/.gitignore | 6 + VexRiscv/src/main/c/emulator/build/emulator.asm | 620 + VexRiscv/src/main/c/emulator/build/emulator.bin | Bin 0 -> 2440 bytes VexRiscv/src/main/c/emulator/makefile | 29 + VexRiscv/src/main/c/emulator/src/config.h | 12 + VexRiscv/src/main/c/emulator/src/hal.c | 203 + VexRiscv/src/main/c/emulator/src/hal.h | 25 + VexRiscv/src/main/c/emulator/src/main.c | 288 + VexRiscv/src/main/c/emulator/src/riscv.h | 133 + VexRiscv/src/main/c/emulator/src/start.S | 51 + VexRiscv/src/main/c/emulator/src/trap.S | 71 + VexRiscv/src/main/c/emulator/src/utils.S | 47 + VexRiscv/src/main/c/murax/hello_world/makefile | 134 + VexRiscv/src/main/c/murax/hello_world/src/crt.S | 98 + VexRiscv/src/main/c/murax/hello_world/src/gpio.h | 15 + .../src/main/c/murax/hello_world/src/interrupt.h | 17 + .../src/main/c/murax/hello_world/src/linker.ld | 110 + VexRiscv/src/main/c/murax/hello_world/src/main.c | 42 + VexRiscv/src/main/c/murax/hello_world/src/murax.h | 17 + .../src/main/c/murax/hello_world/src/prescaler.h | 16 + VexRiscv/src/main/c/murax/hello_world/src/timer.h | 20 + VexRiscv/src/main/c/murax/hello_world/src/uart.h | 42 + VexRiscv/src/main/c/murax/xipBootloader/.gitignore | 5 + VexRiscv/src/main/c/murax/xipBootloader/crt.S | 74 + VexRiscv/src/main/c/murax/xipBootloader/demo.S | 27 + VexRiscv/src/main/c/murax/xipBootloader/makefile | 37 + VexRiscv/src/main/c/murax/xipBootloader/mapping.ld | 96 + .../src/main/c/murax/xipBootloader/mapping_rom.ld | 96 + .../src/main/c/murax/xipBootloader/mapping_xip.ld | 96 + VexRiscv/src/main/ressource/hex/muraxDemo.elf | Bin 0 -> 26952 bytes VexRiscv/src/main/ressource/hex/muraxDemo.hex | 90 + .../spinal/lib/eda/icestorm/IcestormFlow.scala | 213 + VexRiscv/src/main/scala/vexriscv/Pipeline.scala | 162 + VexRiscv/src/main/scala/vexriscv/Riscv.scala | 242 + VexRiscv/src/main/scala/vexriscv/Services.scala | 132 + VexRiscv/src/main/scala/vexriscv/Stage.scala | 79 + .../src/main/scala/vexriscv/TestsWorkspace.scala | 211 + VexRiscv/src/main/scala/vexriscv/VexRiscv.scala | 152 + .../main/scala/vexriscv/VexRiscvBmbGenerator.scala | 179 + VexRiscv/src/main/scala/vexriscv/demo/Briey.scala | 490 + .../scala/vexriscv/demo/CustomCsrDemoPlugin.scala | 63 + .../scala/vexriscv/demo/CustomInstruction.scala | 75 + .../main/scala/vexriscv/demo/FormalSimple.scala | 65 + .../main/scala/vexriscv/demo/GenCustomCsr.scala | 62 + .../scala/vexriscv/demo/GenCustomInterrupt.scala | 72 + .../scala/vexriscv/demo/GenCustomSimdAdd.scala | 58 + .../scala/vexriscv/demo/GenDeterministicVex.scala | 66 + .../src/main/scala/vexriscv/demo/GenFull.scala | 92 + .../main/scala/vexriscv/demo/GenFullNoMmu.scala | 87 + .../scala/vexriscv/demo/GenFullNoMmuMaxPerf.scala | 88 + .../scala/vexriscv/demo/GenFullNoMmuNoCache.scala | 63 + .../demo/GenFullNoMmuNoCacheSimpleMul.scala | 63 + .../main/scala/vexriscv/demo/GenMicroNoCsr.scala | 61 + .../vexriscv/demo/GenNoCacheNoMmuMaxPerf.scala | 68 + .../src/main/scala/vexriscv/demo/GenSecure.scala | 87 + .../vexriscv/demo/GenSmallAndProductive.scala | 59 + .../vexriscv/demo/GenSmallAndProductiveCfu.scala | 87 + .../demo/GenSmallAndProductiveICache.scala | 71 + .../vexriscv/demo/GenSmallAndProductiveVfu.scala | 64 + .../src/main/scala/vexriscv/demo/GenSmallest.scala | 59 + .../scala/vexriscv/demo/GenSmallestNoCsr.scala | 64 + .../scala/vexriscv/demo/GenTwoThreeStage.scala | 72 + VexRiscv/src/main/scala/vexriscv/demo/Linux.scala | 514 + VexRiscv/src/main/scala/vexriscv/demo/Murax.scala | 589 + .../src/main/scala/vexriscv/demo/MuraxUtiles.scala | 174 + .../src/main/scala/vexriscv/demo/OpenRoad.scala | 103 + .../main/scala/vexriscv/demo/SynthesisBench.scala | 500 + .../scala/vexriscv/demo/VexRiscvAhbLite3.scala | 180 + .../scala/vexriscv/demo/VexRiscvAvalonForSim.scala | 196 + .../demo/VexRiscvAvalonWithIntegratedJtag.scala | 191 + .../demo/VexRiscvAxi4WithIntegratedJtag.scala | 189 + .../demo/VexRiscvCachedWishboneForSim.scala | 144 + .../src/main/scala/vexriscv/demo/smp/Misc.scala | 289 + .../vexriscv/demo/smp/VexRiscvSmpCluster.scala | 748 ++ .../demo/smp/VexRiscvSmpLitexCluster.scala | 322 + .../demo/smp/VexRiscvSmpLitexMpCluster.scala | 409 + .../src/main/scala/vexriscv/ip/DataCache.scala | 1184 ++ .../main/scala/vexriscv/ip/InstructionCache.scala | 487 + .../src/main/scala/vexriscv/ip/fpu/FpuCore.scala | 1944 +++ .../src/main/scala/vexriscv/ip/fpu/FpuDiv.scala | 140 + .../src/main/scala/vexriscv/ip/fpu/FpuSqrt.scala | 116 + .../src/main/scala/vexriscv/ip/fpu/Interface.scala | 186 + .../src/main/scala/vexriscv/plugin/AesPlugin.scala | 329 + .../main/scala/vexriscv/plugin/BranchPlugin.scala | 386 + .../src/main/scala/vexriscv/plugin/CfuPlugin.scala | 357 + .../src/main/scala/vexriscv/plugin/CsrPlugin.scala | 1335 ++ .../scala/vexriscv/plugin/DBusCachedPlugin.scala | 554 + .../scala/vexriscv/plugin/DBusSimplePlugin.scala | 614 + .../main/scala/vexriscv/plugin/DebugPlugin.scala | 364 + .../vexriscv/plugin/DecoderSimplePlugin.scala | 402 + .../src/main/scala/vexriscv/plugin/DivPlugin.scala | 75 + .../scala/vexriscv/plugin/DummyFencePlugin.scala | 22 + .../plugin/ExternalInterruptArrayPlugin.scala | 30 + .../src/main/scala/vexriscv/plugin/Fetcher.scala | 637 + .../main/scala/vexriscv/plugin/FormalPlugin.scala | 135 + .../src/main/scala/vexriscv/plugin/FpuPlugin.scala | 314 + .../vexriscv/plugin/HaltOnExceptionPlugin.scala | 44 + .../vexriscv/plugin/HazardPessimisticPlugin.scala | 24 + .../scala/vexriscv/plugin/HazardSimplePlugin.scala | 125 + .../scala/vexriscv/plugin/IBusCachedPlugin.scala | 290 + .../scala/vexriscv/plugin/IBusSimplePlugin.scala | 418 + .../main/scala/vexriscv/plugin/IntAluPlugin.scala | 100 + .../vexriscv/plugin/MemoryTranslatorPlugin.scala | 159 + VexRiscv/src/main/scala/vexriscv/plugin/Misc.scala | 214 + .../src/main/scala/vexriscv/plugin/MmuPlugin.scala | 313 + .../main/scala/vexriscv/plugin/Mul16Plugin.scala | 119 + .../vexriscv/plugin/MulDivIterativePlugin.scala | 188 + .../src/main/scala/vexriscv/plugin/MulPlugin.scala | 159 + .../scala/vexriscv/plugin/MulSimplePlugin.scala | 92 + .../scala/vexriscv/plugin/NoPipeliningPlugin.scala | 23 + .../vexriscv/plugin/PcManagerSimplePlugin.scala | 145 + .../src/main/scala/vexriscv/plugin/Plugin.scala | 25 + .../src/main/scala/vexriscv/plugin/PmpPlugin.scala | 307 + .../main/scala/vexriscv/plugin/PmpPluginOld.scala | 244 + .../main/scala/vexriscv/plugin/RegFilePlugin.scala | 122 + .../main/scala/vexriscv/plugin/ShiftPlugins.scala | 193 + .../plugin/SingleInstructionLimiterPlugin.scala | 17 + .../src/main/scala/vexriscv/plugin/SrcPlugin.scala | 83 + .../plugin/StaticMemoryTranslatorPlugin.scala | 41 + .../src/main/scala/vexriscv/plugin/VfuPlugin.scala | 136 + .../main/scala/vexriscv/plugin/YamlPlugin.scala | 32 + VexRiscv/src/main/scala/vexriscv/test/Swing.scala | 55 + VexRiscv/src/test/cpp/briey/installs.txt | 22 + VexRiscv/src/test/cpp/briey/jtag.gtkw | 40 + VexRiscv/src/test/cpp/briey/main.cpp | 472 + VexRiscv/src/test/cpp/briey/makefile | 59 + VexRiscv/src/test/cpp/briey/sdram.gtkw | 115 + VexRiscv/src/test/cpp/briey/wip.gtkw | 30 + VexRiscv/src/test/cpp/common/framework.h | 287 + VexRiscv/src/test/cpp/common/jtag.h | 177 + VexRiscv/src/test/cpp/common/uart.h | 126 + .../src/test/cpp/custom/atomic/build/atomic.asm | 246 + .../src/test/cpp/custom/atomic/build/atomic.elf | Bin 0 -> 5460 bytes .../src/test/cpp/custom/atomic/build/atomic.hex | 59 + .../src/test/cpp/custom/atomic/build/atomic.map | 31 + VexRiscv/src/test/cpp/custom/atomic/build/atomic.v | 58 + VexRiscv/src/test/cpp/custom/atomic/makefile | 73 + VexRiscv/src/test/cpp/custom/atomic/src/crt.S | 265 + VexRiscv/src/test/cpp/custom/atomic/src/ld | 17 + .../cpp/custom/custom_csr/build/custom_csr.asm | 55 + .../cpp/custom/custom_csr/build/custom_csr.elf | Bin 0 -> 4644 bytes .../cpp/custom/custom_csr/build/custom_csr.hex | 12 + .../cpp/custom/custom_csr/build/custom_csr.map | 30 + .../test/cpp/custom/custom_csr/build/custom_csr.v | 12 + VexRiscv/src/test/cpp/custom/custom_csr/makefile | 73 + VexRiscv/src/test/cpp/custom/custom_csr/src/crt.S | 64 + VexRiscv/src/test/cpp/custom/custom_csr/src/ld | 17 + .../cpp/custom/simd_add/build/custom_simd_add.asm | 63 + .../cpp/custom/simd_add/build/custom_simd_add.elf | Bin 0 -> 4676 bytes .../cpp/custom/simd_add/build/custom_simd_add.hex | 14 + .../cpp/custom/simd_add/build/custom_simd_add.map | 30 + .../cpp/custom/simd_add/build/custom_simd_add.v | 14 + VexRiscv/src/test/cpp/custom/simd_add/makefile | 73 + VexRiscv/src/test/cpp/custom/simd_add/src/crt.S | 72 + VexRiscv/src/test/cpp/custom/simd_add/src/ld | 15 + VexRiscv/src/test/cpp/fpu/math/.gitignore | 2 + VexRiscv/src/test/cpp/fpu/math/fpu_math.c | 79 + VexRiscv/src/test/cpp/fpu/math/libcode.version | 4 + VexRiscv/src/test/cpp/murax/main.cpp | 64 + VexRiscv/src/test/cpp/murax/makefile | 42 + VexRiscv/src/test/cpp/murax/murax.gtkw | 51 + VexRiscv/src/test/cpp/raw/amo/.gitignore | 4 + VexRiscv/src/test/cpp/raw/amo/build/amo.asm | 247 + VexRiscv/src/test/cpp/raw/amo/build/amo.hex | 45 + VexRiscv/src/test/cpp/raw/amo/makefile | 5 + VexRiscv/src/test/cpp/raw/amo/src/crt.S | 174 + VexRiscv/src/test/cpp/raw/amo/src/ld | 16 + VexRiscv/src/test/cpp/raw/common/asm.mk | 89 + VexRiscv/src/test/cpp/raw/dcache/.gitignore | 4 + VexRiscv/src/test/cpp/raw/dcache/build/dcache.asm | 78 + VexRiscv/src/test/cpp/raw/dcache/build/dcache.hex | 17 + VexRiscv/src/test/cpp/raw/dcache/makefile | 3 + VexRiscv/src/test/cpp/raw/dcache/src/crt.S | 75 + VexRiscv/src/test/cpp/raw/dcache/src/ld | 16 + VexRiscv/src/test/cpp/raw/deleg/.gitignore | 4 + VexRiscv/src/test/cpp/raw/deleg/build/deleg.asm | 749 ++ VexRiscv/src/test/cpp/raw/deleg/build/deleg.hex | 174 + VexRiscv/src/test/cpp/raw/deleg/makefile | 3 + VexRiscv/src/test/cpp/raw/deleg/src/crt.S | 399 + VexRiscv/src/test/cpp/raw/deleg/src/encoding.h | 1471 +++ VexRiscv/src/test/cpp/raw/deleg/src/ld | 16 + VexRiscv/src/test/cpp/raw/fpu/.gitignore | 4 + VexRiscv/src/test/cpp/raw/fpu/build/amo.asm | 247 + VexRiscv/src/test/cpp/raw/fpu/build/amo.hex | 45 + VexRiscv/src/test/cpp/raw/fpu/build/fpu.asm | 276 + VexRiscv/src/test/cpp/raw/fpu/build/fpu.hex | 62 + VexRiscv/src/test/cpp/raw/fpu/makefile | 5 + VexRiscv/src/test/cpp/raw/fpu/src/crt.S | 193 + VexRiscv/src/test/cpp/raw/fpu/src/ld | 16 + VexRiscv/src/test/cpp/raw/icache/.gitignore | 4 + VexRiscv/src/test/cpp/raw/icache/build/icache.asm | 51 + VexRiscv/src/test/cpp/raw/icache/build/icache.hex | 11 + VexRiscv/src/test/cpp/raw/icache/makefile | 3 + VexRiscv/src/test/cpp/raw/icache/src/crt.S | 49 + VexRiscv/src/test/cpp/raw/icache/src/ld | 16 + VexRiscv/src/test/cpp/raw/lrsc/.gitignore | 4 + VexRiscv/src/test/cpp/raw/lrsc/build/lrsc.asm | 180 + VexRiscv/src/test/cpp/raw/lrsc/build/lrsc.hex | 40 + VexRiscv/src/test/cpp/raw/lrsc/makefile | 5 + VexRiscv/src/test/cpp/raw/lrsc/src/crt.S | 213 + VexRiscv/src/test/cpp/raw/lrsc/src/ld | 16 + VexRiscv/src/test/cpp/raw/machineCsr/.gitignore | 4 + .../test/cpp/raw/machineCsr/build/machineCsr.asm | 155 + .../test/cpp/raw/machineCsr/build/machineCsr.hex | 37 + .../raw/machineCsr/build/machineCsrCompressed.asm | 155 + .../raw/machineCsr/build/machineCsrCompressed.hex | 37 + VexRiscv/src/test/cpp/raw/machineCsr/makefile | 11 + VexRiscv/src/test/cpp/raw/machineCsr/src/crt.S | 157 + VexRiscv/src/test/cpp/raw/machineCsr/src/ld | 16 + VexRiscv/src/test/cpp/raw/mmu/.gitignore | 4 + VexRiscv/src/test/cpp/raw/mmu/build/mmu.asm | 12430 ++++++++++++++++++ VexRiscv/src/test/cpp/raw/mmu/build/mmu.hex | 3233 +++++ VexRiscv/src/test/cpp/raw/mmu/makefile | 3 + VexRiscv/src/test/cpp/raw/mmu/src/crt.S | 526 + VexRiscv/src/test/cpp/raw/mmu/src/ld | 16 + VexRiscv/src/test/cpp/raw/pmp/build/pmp.asm | 258 + VexRiscv/src/test/cpp/raw/pmp/build/pmp.elf | Bin 0 -> 5776 bytes VexRiscv/src/test/cpp/raw/pmp/build/pmp.hex | 58 + VexRiscv/src/test/cpp/raw/pmp/build/pmp.map | 35 + VexRiscv/src/test/cpp/raw/pmp/makefile | 3 + VexRiscv/src/test/cpp/raw/pmp/src/crt.S | 269 + VexRiscv/src/test/cpp/raw/pmp/src/ld | 16 + VexRiscv/src/test/cpp/raw/smp/.gitignore | 6 + VexRiscv/src/test/cpp/raw/smp/build/smp.asm | 441 + VexRiscv/src/test/cpp/raw/smp/build/smp.bin | Bin 0 -> 1388 bytes VexRiscv/src/test/cpp/raw/smp/makefile | 5 + VexRiscv/src/test/cpp/raw/smp/src/crt.S | 287 + VexRiscv/src/test/cpp/raw/smp/src/ld | 16 + VexRiscv/src/test/cpp/regression/.gitignore | 3 + VexRiscv/src/test/cpp/regression/atomic.gtkw | 52 + VexRiscv/src/test/cpp/regression/branch.gtkw | 31 + VexRiscv/src/test/cpp/regression/dcache.gtkw | 85 + VexRiscv/src/test/cpp/regression/debug.gtkw | 96 + VexRiscv/src/test/cpp/regression/default.gtkw | 100 + .../src/test/cpp/regression/dhrystoneO3.logRef | 57 + .../src/test/cpp/regression/dhrystoneO3C.logRef | 57 + .../src/test/cpp/regression/dhrystoneO3M.logRef | 57 + .../src/test/cpp/regression/dhrystoneO3MC.logRef | 57 + VexRiscv/src/test/cpp/regression/encoding.h | 1471 +++ VexRiscv/src/test/cpp/regression/fail.gtkw | 29 + VexRiscv/src/test/cpp/regression/icache.gtkw | 67 + VexRiscv/src/test/cpp/regression/main.cpp | 4514 +++++++ VexRiscv/src/test/cpp/regression/makefile | 345 + VexRiscv/src/test/cpp/regression/prediction.gtkw | 43 + VexRiscv/src/test/cpp/regression/refDiff.gtkw | 40 + VexRiscv/src/test/cpp/regression/wrongDiff.gtkw | 62 + VexRiscv/src/test/cpp/regression/yolo.gtkw | 84 + .../src/test/java/vexriscv/ip/fpu/FpuMath.java | 15 + VexRiscv/src/test/python/gcloud/.gitignore | 2 + VexRiscv/src/test/python/gcloud/gcloud.py | 54 + VexRiscv/src/test/python/gcloud/makefile | 0 VexRiscv/src/test/python/gcloud/remotePull.py | 10 + VexRiscv/src/test/python/gcloud/remoteTest.py | 22 + VexRiscv/src/test/python/gcloud/run.sh | 24 + VexRiscv/src/test/python/gcloud/stopScript.sh | 4 + VexRiscv/src/test/python/gcloud/try.py | 27 + VexRiscv/src/test/python/tool/.gitignore | 1 + VexRiscv/src/test/python/tool/hexToAsm.py | 11 + VexRiscv/src/test/resources/.gitignore | 1 + VexRiscv/src/test/resources/asm/C.ADD.elf.objdump | 334 + VexRiscv/src/test/resources/asm/C.ADDI.elf.objdump | 304 + .../src/test/resources/asm/C.ADDI16SP.elf.objdump | 194 + .../src/test/resources/asm/C.ADDI4SPN.elf.objdump | 194 + VexRiscv/src/test/resources/asm/C.AND.elf.objdump | 334 + VexRiscv/src/test/resources/asm/C.ANDI.elf.objdump | 304 + VexRiscv/src/test/resources/asm/C.BEQZ.elf.objdump | 215 + VexRiscv/src/test/resources/asm/C.BNEZ.elf.objdump | 205 + VexRiscv/src/test/resources/asm/C.J.elf.objdump | 211 + VexRiscv/src/test/resources/asm/C.JAL.elf.objdump | 211 + VexRiscv/src/test/resources/asm/C.JALR.elf.objdump | 220 + VexRiscv/src/test/resources/asm/C.JR.elf.objdump | 220 + VexRiscv/src/test/resources/asm/C.LI.elf.objdump | 304 + VexRiscv/src/test/resources/asm/C.LUI.elf.objdump | 304 + VexRiscv/src/test/resources/asm/C.LW.elf.objdump | 263 + VexRiscv/src/test/resources/asm/C.LWSP.elf.objdump | 262 + VexRiscv/src/test/resources/asm/C.MV.elf.objdump | 334 + VexRiscv/src/test/resources/asm/C.OR.elf.objdump | 334 + VexRiscv/src/test/resources/asm/C.SLLI.elf.objdump | 304 + VexRiscv/src/test/resources/asm/C.SRAI.elf.objdump | 304 + VexRiscv/src/test/resources/asm/C.SRLI.elf.objdump | 304 + VexRiscv/src/test/resources/asm/C.SUB.elf.objdump | 334 + VexRiscv/src/test/resources/asm/C.SW.elf.objdump | 281 + VexRiscv/src/test/resources/asm/C.SWSP.elf.objdump | 280 + VexRiscv/src/test/resources/asm/C.XOR.elf.objdump | 334 + VexRiscv/src/test/resources/asm/DIV.elf.objdump | 276 + VexRiscv/src/test/resources/asm/DIVU.elf.objdump | 276 + VexRiscv/src/test/resources/asm/DIVW.elf.objdump | 460 + .../src/test/resources/asm/I-ADD-01.elf.objdump | 344 + .../src/test/resources/asm/I-ADDI-01.elf.objdump | 310 + .../src/test/resources/asm/I-AND-01.elf.objdump | 349 + .../src/test/resources/asm/I-ANDI-01.elf.objdump | 310 + .../src/test/resources/asm/I-AUIPC-01.elf.objdump | 205 + .../src/test/resources/asm/I-BEQ-01.elf.objdump | 356 + .../src/test/resources/asm/I-BGE-01.elf.objdump | 357 + .../src/test/resources/asm/I-BGEU-01.elf.objdump | 357 + .../src/test/resources/asm/I-BLT-01.elf.objdump | 358 + .../src/test/resources/asm/I-BLTU-01.elf.objdump | 357 + .../src/test/resources/asm/I-BNE-01.elf.objdump | 358 + .../src/test/resources/asm/I-CSRRC-01.elf.objdump | 188 + .../src/test/resources/asm/I-CSRRCI-01.elf.objdump | 119 + .../src/test/resources/asm/I-CSRRS-01.elf.objdump | 187 + .../src/test/resources/asm/I-CSRRSI-01.elf.objdump | 116 + .../src/test/resources/asm/I-CSRRW-01.elf.objdump | 145 + .../src/test/resources/asm/I-CSRRWI-01.elf.objdump | 74 + .../resources/asm/I-DELAY_SLOTS-01.elf.objdump | 136 + .../src/test/resources/asm/I-EBREAK-01.elf.objdump | 69 + .../src/test/resources/asm/I-ECALL-01.elf.objdump | 69 + .../test/resources/asm/I-ENDIANESS-01.elf.objdump | 80 + .../test/resources/asm/I-FENCE.I-01.elf.objdump | 76 + VexRiscv/src/test/resources/asm/I-IO.elf.objdump | 344 + .../src/test/resources/asm/I-JAL-01.elf.objdump | 227 + .../src/test/resources/asm/I-JALR-01.elf.objdump | 289 + .../src/test/resources/asm/I-LB-01.elf.objdump | 289 + .../src/test/resources/asm/I-LBU-01.elf.objdump | 289 + .../src/test/resources/asm/I-LH-01.elf.objdump | 225 + .../src/test/resources/asm/I-LHU-01.elf.objdump | 225 + .../src/test/resources/asm/I-LUI-01.elf.objdump | 128 + .../src/test/resources/asm/I-LW-01.elf.objdump | 193 + .../resources/asm/I-MISALIGN_JMP-01.elf.objdump | 268 + .../resources/asm/I-MISALIGN_LDST-01.elf.objdump | 242 + .../src/test/resources/asm/I-NOP-01.elf.objdump | 183 + .../src/test/resources/asm/I-OR-01.elf.objdump | 350 + .../src/test/resources/asm/I-ORI-01.elf.objdump | 310 + .../test/resources/asm/I-RF_size-01.elf.objdump | 218 + .../test/resources/asm/I-RF_width-01.elf.objdump | 262 + .../src/test/resources/asm/I-RF_x0-01.elf.objdump | 135 + .../src/test/resources/asm/I-SB-01.elf.objdump | 225 + .../src/test/resources/asm/I-SH-01.elf.objdump | 208 + .../src/test/resources/asm/I-SLL-01.elf.objdump | 340 + .../src/test/resources/asm/I-SLLI-01.elf.objdump | 276 + .../src/test/resources/asm/I-SLT-01.elf.objdump | 332 + .../src/test/resources/asm/I-SLTI-01.elf.objdump | 277 + .../src/test/resources/asm/I-SLTIU-01.elf.objdump | 276 + .../src/test/resources/asm/I-SLTU-01.elf.objdump | 331 + .../src/test/resources/asm/I-SRA-01.elf.objdump | 340 + .../src/test/resources/asm/I-SRAI-01.elf.objdump | 276 + .../src/test/resources/asm/I-SRL-01.elf.objdump | 340 + .../src/test/resources/asm/I-SRLI-01.elf.objdump | 276 + .../src/test/resources/asm/I-SUB-01.elf.objdump | 344 + .../src/test/resources/asm/I-SW-01.elf.objdump | 182 + .../src/test/resources/asm/I-XOR-01.elf.objdump | 350 + .../src/test/resources/asm/I-XORI-01.elf.objdump | 310 + VexRiscv/src/test/resources/asm/MUL.elf.objdump | 276 + VexRiscv/src/test/resources/asm/MULH.elf.objdump | 276 + VexRiscv/src/test/resources/asm/MULHSU.elf.objdump | 276 + VexRiscv/src/test/resources/asm/MULHU.elf.objdump | 276 + VexRiscv/src/test/resources/asm/MULW.elf.objdump | 460 + VexRiscv/src/test/resources/asm/REM.elf.objdump | 276 + VexRiscv/src/test/resources/asm/REMU.elf.objdump | 276 + VexRiscv/src/test/resources/asm/REMUW.elf.objdump | 460 + VexRiscv/src/test/resources/asm/REMW.elf.objdump | 460 + VexRiscv/src/test/resources/asm/dhrystoneO3.asm | 3836 ++++++ VexRiscv/src/test/resources/asm/dhrystoneO3C.asm | 3836 ++++++ VexRiscv/src/test/resources/asm/dhrystoneO3MC.asm | 3554 ++++++ VexRiscv/src/test/resources/asm/machineCsr.asm | 12550 +++++++++++++++++++ .../test/resources/asm/machineCsrCompressed.asm | 323 + VexRiscv/src/test/resources/asm/rv32uc-p-rvc.dump | 4904 ++++++++ VexRiscv/src/test/resources/asm/rv32ud-p-fadd.dump | 506 + .../src/test/resources/asm/rv32ud-p-fclass.dump | 305 + VexRiscv/src/test/resources/asm/rv32ud-p-fcmp.dump | 640 + VexRiscv/src/test/resources/asm/rv32ud-p-fcvt.dump | 346 + .../src/test/resources/asm/rv32ud-p-fcvt_w.dump | 632 + VexRiscv/src/test/resources/asm/rv32ud-p-fdiv.dump | 435 + .../src/test/resources/asm/rv32ud-p-fmadd.dump | 583 + VexRiscv/src/test/resources/asm/rv32ud-p-fmin.dump | 820 ++ VexRiscv/src/test/resources/asm/rv32ud-p-ldst.dump | 267 + .../src/test/resources/asm/rv32ud-p-recoding.dump | 190 + VexRiscv/src/test/resources/asm/rv32uf-p-fadd.dump | 402 + .../src/test/resources/asm/rv32uf-p-fclass.dump | 218 + VexRiscv/src/test/resources/asm/rv32uf-p-fcmp.dump | 510 + VexRiscv/src/test/resources/asm/rv32uf-p-fcvt.dump | 219 + .../src/test/resources/asm/rv32uf-p-fcvt_w.dump | 632 + VexRiscv/src/test/resources/asm/rv32uf-p-fdiv.dump | 322 + .../src/test/resources/asm/rv32uf-p-fmadd.dump | 439 + VexRiscv/src/test/resources/asm/rv32uf-p-fmin.dump | 581 + VexRiscv/src/test/resources/asm/rv32uf-p-ldst.dump | 172 + VexRiscv/src/test/resources/asm/rv32uf-p-move.dump | 360 + .../src/test/resources/asm/rv32uf-p-recoding.dump | 190 + VexRiscv/src/test/resources/asm/rv32ui-p-add.dump | 463 + VexRiscv/src/test/resources/asm/rv32ui-p-addi.dump | 299 + VexRiscv/src/test/resources/asm/rv32ui-p-and.dump | 451 + VexRiscv/src/test/resources/asm/rv32ui-p-andi.dump | 231 + .../src/test/resources/asm/rv32ui-p-auipc.dump | 109 + VexRiscv/src/test/resources/asm/rv32ui-p-beq.dump | 309 + VexRiscv/src/test/resources/asm/rv32ui-p-bge.dump | 323 + VexRiscv/src/test/resources/asm/rv32ui-p-bgeu.dump | 342 + VexRiscv/src/test/resources/asm/rv32ui-p-blt.dump | 309 + VexRiscv/src/test/resources/asm/rv32ui-p-bltu.dump | 328 + VexRiscv/src/test/resources/asm/rv32ui-p-bne.dump | 308 + .../src/test/resources/asm/rv32ui-p-fence_i.dump | 173 + VexRiscv/src/test/resources/asm/rv32ui-p-jal.dump | 112 + VexRiscv/src/test/resources/asm/rv32ui-p-jalr.dump | 150 + VexRiscv/src/test/resources/asm/rv32ui-p-lb.dump | 290 + VexRiscv/src/test/resources/asm/rv32ui-p-lbu.dump | 290 + VexRiscv/src/test/resources/asm/rv32ui-p-lh.dump | 311 + VexRiscv/src/test/resources/asm/rv32ui-p-lhu.dump | 306 + VexRiscv/src/test/resources/asm/rv32ui-p-lui.dump | 111 + VexRiscv/src/test/resources/asm/rv32ui-p-lw.dump | 303 + VexRiscv/src/test/resources/asm/rv32ui-p-or.dump | 448 + VexRiscv/src/test/resources/asm/rv32ui-p-ori.dump | 224 + VexRiscv/src/test/resources/asm/rv32ui-p-sb.dump | 431 + VexRiscv/src/test/resources/asm/rv32ui-p-sh.dump | 464 + .../src/test/resources/asm/rv32ui-p-simple.dump | 94 + VexRiscv/src/test/resources/asm/rv32ui-p-sll.dump | 507 + VexRiscv/src/test/resources/asm/rv32ui-p-slli.dump | 300 + VexRiscv/src/test/resources/asm/rv32ui-p-slt.dump | 469 + VexRiscv/src/test/resources/asm/rv32ui-p-slti.dump | 304 + .../src/test/resources/asm/rv32ui-p-sltiu.dump | 304 + VexRiscv/src/test/resources/asm/rv32ui-p-sltu.dump | 469 + VexRiscv/src/test/resources/asm/rv32ui-p-sra.dump | 522 + VexRiscv/src/test/resources/asm/rv32ui-p-srai.dump | 319 + VexRiscv/src/test/resources/asm/rv32ui-p-srl.dump | 528 + VexRiscv/src/test/resources/asm/rv32ui-p-srli.dump | 293 + VexRiscv/src/test/resources/asm/rv32ui-p-sub.dump | 469 + VexRiscv/src/test/resources/asm/rv32ui-p-sw.dump | 460 + VexRiscv/src/test/resources/asm/rv32ui-p-xor.dump | 449 + VexRiscv/src/test/resources/asm/rv32ui-p-xori.dump | 222 + VexRiscv/src/test/resources/asm/rv32um-p-div.dump | 152 + VexRiscv/src/test/resources/asm/rv32um-p-divu.dump | 151 + VexRiscv/src/test/resources/asm/rv32um-p-mul.dump | 467 + VexRiscv/src/test/resources/asm/rv32um-p-mulh.dump | 463 + .../src/test/resources/asm/rv32um-p-mulhsu.dump | 463 + .../src/test/resources/asm/rv32um-p-mulhu.dump | 463 + VexRiscv/src/test/resources/asm/rv32um-p-rem.dump | 152 + VexRiscv/src/test/resources/asm/rv32um-p-remu.dump | 152 + VexRiscv/src/test/resources/asm/testA.asm | 118 + VexRiscv/src/test/resources/bin/.gitignore | 1 + VexRiscv/src/test/resources/bin/coremark_rv32i.bin | Bin 0 -> 24352 bytes .../src/test/resources/bin/coremark_rv32ic.bin | Bin 0 -> 17808 bytes .../src/test/resources/bin/coremark_rv32im.bin | Bin 0 -> 22760 bytes .../src/test/resources/bin/coremark_rv32imc.bin | Bin 0 -> 17064 bytes VexRiscv/src/test/resources/elf/uart.elf | Bin 0 -> 53156 bytes .../test/resources/freertos/AltBlckQ_rv32i_O0.hex | 2288 ++++ .../test/resources/freertos/AltBlckQ_rv32i_O3.hex | 2328 ++++ .../test/resources/freertos/AltBlckQ_rv32ic_O0.hex | 1528 +++ .../test/resources/freertos/AltBlckQ_rv32ic_O3.hex | 1740 +++ .../test/resources/freertos/AltBlckQ_rv32im_O3.hex | 2243 ++++ .../resources/freertos/AltBlckQ_rv32imac_O3.hex | 1616 +++ .../test/resources/freertos/AltBlock_rv32i_O0.hex | 2341 ++++ .../test/resources/freertos/AltBlock_rv32i_O3.hex | 2351 ++++ .../test/resources/freertos/AltBlock_rv32ic_O0.hex | 1572 +++ .../test/resources/freertos/AltBlock_rv32ic_O3.hex | 1762 +++ .../test/resources/freertos/AltBlock_rv32im_O3.hex | 2265 ++++ .../resources/freertos/AltBlock_rv32imac_O3.hex | 1638 +++ .../test/resources/freertos/AltPollQ_rv32i_O0.hex | 2243 ++++ .../test/resources/freertos/AltPollQ_rv32i_O3.hex | 2291 ++++ .../test/resources/freertos/AltPollQ_rv32ic_O0.hex | 1499 +++ .../test/resources/freertos/AltPollQ_rv32ic_O3.hex | 1711 +++ .../test/resources/freertos/AltPollQ_rv32im_O3.hex | 2206 ++++ .../resources/freertos/AltPollQ_rv32imac_O3.hex | 1587 +++ .../test/resources/freertos/AltQTest_rv32i_O0.hex | 2361 ++++ .../test/resources/freertos/AltQTest_rv32i_O3.hex | 2389 ++++ .../test/resources/freertos/AltQTest_rv32ic_O0.hex | 1581 +++ .../test/resources/freertos/AltQTest_rv32ic_O3.hex | 1784 +++ .../test/resources/freertos/AltQTest_rv32im_O3.hex | 2304 ++++ .../resources/freertos/AltQTest_rv32imac_O3.hex | 1660 +++ .../test/resources/freertos/BlockQ_rv32i_O0.hex | 2233 ++++ .../test/resources/freertos/BlockQ_rv32i_O3.hex | 2270 ++++ .../test/resources/freertos/BlockQ_rv32ic_O0.hex | 1494 +++ .../test/resources/freertos/BlockQ_rv32ic_O3.hex | 1701 +++ .../test/resources/freertos/BlockQ_rv32im_O3.hex | 2185 ++++ .../test/resources/freertos/BlockQ_rv32imac_O3.hex | 1577 +++ .../freertos/EventGroupsDemo_rv32i_O0.hex | 2472 ++++ .../freertos/EventGroupsDemo_rv32i_O3.hex | 2394 ++++ .../freertos/EventGroupsDemo_rv32ic_O0.hex | 1659 +++ .../freertos/EventGroupsDemo_rv32ic_O3.hex | 1792 +++ .../freertos/EventGroupsDemo_rv32im_O3.hex | 2308 ++++ .../freertos/EventGroupsDemo_rv32imac_O3.hex | 1668 +++ .../test/resources/freertos/GenQTest_rv32i_O0.hex | 2361 ++++ .../test/resources/freertos/GenQTest_rv32i_O3.hex | 2358 ++++ .../test/resources/freertos/GenQTest_rv32ic_O0.hex | 1585 +++ .../test/resources/freertos/GenQTest_rv32ic_O3.hex | 1767 +++ .../test/resources/freertos/GenQTest_rv32im_O3.hex | 2273 ++++ .../resources/freertos/GenQTest_rv32imac_O3.hex | 1644 +++ .../src/test/resources/freertos/PollQ_rv32i_O0.hex | 2188 ++++ .../src/test/resources/freertos/PollQ_rv32i_O3.hex | 2232 ++++ .../test/resources/freertos/PollQ_rv32ic_O0.hex | 1466 +++ .../test/resources/freertos/PollQ_rv32ic_O3.hex | 1673 +++ .../test/resources/freertos/PollQ_rv32im_O3.hex | 2147 ++++ .../test/resources/freertos/PollQ_rv32imac_O3.hex | 1549 +++ .../src/test/resources/freertos/QPeek_rv32i_O0.hex | 2254 ++++ .../src/test/resources/freertos/QPeek_rv32i_O3.hex | 2283 ++++ .../test/resources/freertos/QPeek_rv32ic_O0.hex | 1513 +++ .../test/resources/freertos/QPeek_rv32ic_O3.hex | 1713 +++ .../test/resources/freertos/QPeek_rv32im_O3.hex | 2198 ++++ .../test/resources/freertos/QPeek_rv32imac_O3.hex | 1589 +++ .../resources/freertos/QueueOverwrite_rv32i_O0.hex | 2212 ++++ .../resources/freertos/QueueOverwrite_rv32i_O3.hex | 2250 ++++ .../freertos/QueueOverwrite_rv32ic_O0.hex | 1479 +++ .../freertos/QueueOverwrite_rv32ic_O3.hex | 1682 +++ .../freertos/QueueOverwrite_rv32im_O3.hex | 2165 ++++ .../freertos/QueueOverwrite_rv32imac_O3.hex | 1558 +++ .../freertos/QueueSetPolling_rv32i_O0.hex | 2245 ++++ .../freertos/QueueSetPolling_rv32i_O3.hex | 2308 ++++ .../freertos/QueueSetPolling_rv32ic_O0.hex | 1499 +++ .../freertos/QueueSetPolling_rv32ic_O3.hex | 1718 +++ .../freertos/QueueSetPolling_rv32im_O3.hex | 2222 ++++ .../freertos/QueueSetPolling_rv32imac_O3.hex | 1593 +++ .../test/resources/freertos/QueueSet_rv32i_O0.hex | 2396 ++++ .../test/resources/freertos/QueueSet_rv32i_O3.hex | 2419 ++++ .../test/resources/freertos/QueueSet_rv32ic_O0.hex | 1605 +++ .../test/resources/freertos/QueueSet_rv32ic_O3.hex | 1804 +++ .../test/resources/freertos/QueueSet_rv32im_O3.hex | 2333 ++++ .../resources/freertos/QueueSet_rv32imac_O3.hex | 1679 +++ .../resources/freertos/TaskNotify_rv32i_O0.hex | 2362 ++++ .../resources/freertos/TaskNotify_rv32i_O3.hex | 2354 ++++ .../resources/freertos/TaskNotify_rv32ic_O0.hex | 1584 +++ .../resources/freertos/TaskNotify_rv32ic_O3.hex | 1761 +++ .../resources/freertos/TaskNotify_rv32im_O3.hex | 2267 ++++ .../resources/freertos/TaskNotify_rv32imac_O3.hex | 1635 +++ .../test/resources/freertos/blocktim_rv32i_O0.hex | 2284 ++++ .../test/resources/freertos/blocktim_rv32i_O3.hex | 2291 ++++ .../test/resources/freertos/blocktim_rv32ic_O0.hex | 1536 +++ .../test/resources/freertos/blocktim_rv32ic_O3.hex | 1722 +++ .../test/resources/freertos/blocktim_rv32im_O3.hex | 2206 ++++ .../resources/freertos/blocktim_rv32imac_O3.hex | 1598 +++ .../test/resources/freertos/countsem_rv32i_O0.hex | 2218 ++++ .../test/resources/freertos/countsem_rv32i_O3.hex | 2259 ++++ .../test/resources/freertos/countsem_rv32ic_O0.hex | 1486 +++ .../test/resources/freertos/countsem_rv32ic_O3.hex | 1692 +++ .../test/resources/freertos/countsem_rv32im_O3.hex | 2174 ++++ .../resources/freertos/countsem_rv32imac_O3.hex | 1568 +++ .../test/resources/freertos/crhook_rv32i_O0.hex | 2396 ++++ .../test/resources/freertos/crhook_rv32i_O3.hex | 2393 ++++ .../test/resources/freertos/crhook_rv32ic_O0.hex | 1596 +++ .../test/resources/freertos/crhook_rv32ic_O3.hex | 1781 +++ .../test/resources/freertos/crhook_rv32im_O3.hex | 2308 ++++ .../test/resources/freertos/crhook_rv32imac_O3.hex | 1657 +++ .../src/test/resources/freertos/dead_rv32i_O0.hex | 2194 ++++ .../src/test/resources/freertos/dead_rv32i_O3.hex | 2236 ++++ .../src/test/resources/freertos/dead_rv32ic_O0.hex | 1470 +++ .../src/test/resources/freertos/dead_rv32ic_O3.hex | 1674 +++ .../src/test/resources/freertos/dead_rv32im_O3.hex | 2150 ++++ .../test/resources/freertos/dead_rv32imac_O3.hex | 1550 +++ .../test/resources/freertos/dynamic_rv32i_O0.hex | 2247 ++++ .../test/resources/freertos/dynamic_rv32i_O3.hex | 2278 ++++ .../test/resources/freertos/dynamic_rv32ic_O0.hex | 1512 +++ .../test/resources/freertos/dynamic_rv32ic_O3.hex | 1711 +++ .../test/resources/freertos/dynamic_rv32im_O3.hex | 2193 ++++ .../resources/freertos/dynamic_rv32imac_O3.hex | 1587 +++ .../src/test/resources/freertos/flop_rv32i_O0.hex | 2875 +++++ .../src/test/resources/freertos/flop_rv32i_O3.hex | 2958 +++++ .../src/test/resources/freertos/flop_rv32ic_O0.hex | 2103 ++++ .../src/test/resources/freertos/flop_rv32ic_O3.hex | 2348 ++++ .../src/test/resources/freertos/flop_rv32im_O3.hex | 2836 +++++ .../test/resources/freertos/flop_rv32imac_O3.hex | 2068 +++ .../test/resources/freertos/integer_rv32i_O0.hex | 2172 ++++ .../test/resources/freertos/integer_rv32i_O3.hex | 2220 ++++ .../test/resources/freertos/integer_rv32ic_O0.hex | 1453 +++ .../test/resources/freertos/integer_rv32ic_O3.hex | 1662 +++ .../test/resources/freertos/integer_rv32im_O3.hex | 2135 ++++ .../resources/freertos/integer_rv32imac_O3.hex | 1539 +++ .../test/resources/freertos/recmutex_rv32i_O0.hex | 2249 ++++ .../test/resources/freertos/recmutex_rv32i_O3.hex | 2276 ++++ .../test/resources/freertos/recmutex_rv32ic_O0.hex | 1513 +++ .../test/resources/freertos/recmutex_rv32ic_O3.hex | 1712 +++ .../test/resources/freertos/recmutex_rv32im_O3.hex | 2191 ++++ .../resources/freertos/recmutex_rv32imac_O3.hex | 1588 +++ .../test/resources/freertos/semtest_rv32i_O0.hex | 2225 ++++ .../test/resources/freertos/semtest_rv32i_O3.hex | 2262 ++++ .../test/resources/freertos/semtest_rv32ic_O0.hex | 1488 +++ .../test/resources/freertos/semtest_rv32ic_O3.hex | 1694 +++ .../test/resources/freertos/semtest_rv32im_O3.hex | 2177 ++++ .../resources/freertos/semtest_rv32imac_O3.hex | 1570 +++ .../test/resources/freertos/sp_flop_rv32i_O0.hex | 2601 ++++ .../test/resources/freertos/sp_flop_rv32i_O3.hex | 2699 ++++ .../test/resources/freertos/sp_flop_rv32ic_O0.hex | 1840 +++ .../test/resources/freertos/sp_flop_rv32ic_O3.hex | 2092 ++++ .../test/resources/freertos/sp_flop_rv32im_O3.hex | 2603 ++++ .../resources/freertos/sp_flop_rv32imac_O3.hex | 1883 +++ .../src/test/resources/freertos/test1_rv32i_O0.hex | 2473 ++++ .../src/test/resources/freertos/test1_rv32i_O3.hex | 2461 ++++ .../test/resources/freertos/test1_rv32ic_O0.hex | 1677 +++ .../test/resources/freertos/test1_rv32ic_O3.hex | 1856 +++ .../test/resources/freertos/test1_rv32im_O3.hex | 2376 ++++ .../test/resources/freertos/test1_rv32imac_O3.hex | 1733 +++ VexRiscv/src/test/resources/hex/C.ADD.elf.hex | 50 + VexRiscv/src/test/resources/hex/C.ADDI.elf.hex | 45 + VexRiscv/src/test/resources/hex/C.ADDI16SP.elf.hex | 29 + VexRiscv/src/test/resources/hex/C.ADDI4SPN.elf.hex | 29 + VexRiscv/src/test/resources/hex/C.AND.elf.hex | 50 + VexRiscv/src/test/resources/hex/C.ANDI.elf.hex | 45 + VexRiscv/src/test/resources/hex/C.BEQZ.elf.hex | 33 + VexRiscv/src/test/resources/hex/C.BNEZ.elf.hex | 30 + VexRiscv/src/test/resources/hex/C.J.elf.hex | 32 + VexRiscv/src/test/resources/hex/C.JAL.elf.hex | 32 + VexRiscv/src/test/resources/hex/C.JALR.elf.hex | 35 + VexRiscv/src/test/resources/hex/C.JR.elf.hex | 35 + VexRiscv/src/test/resources/hex/C.LI.elf.hex | 45 + VexRiscv/src/test/resources/hex/C.LUI.elf.hex | 45 + VexRiscv/src/test/resources/hex/C.LW.elf.hex | 39 + VexRiscv/src/test/resources/hex/C.LWSP.elf.hex | 40 + VexRiscv/src/test/resources/hex/C.MV.elf.hex | 50 + VexRiscv/src/test/resources/hex/C.OR.elf.hex | 50 + VexRiscv/src/test/resources/hex/C.SLLI.elf.hex | 45 + VexRiscv/src/test/resources/hex/C.SRAI.elf.hex | 45 + VexRiscv/src/test/resources/hex/C.SRLI.elf.hex | 45 + VexRiscv/src/test/resources/hex/C.SUB.elf.hex | 50 + VexRiscv/src/test/resources/hex/C.SW.elf.hex | 41 + VexRiscv/src/test/resources/hex/C.SWSP.elf.hex | 41 + VexRiscv/src/test/resources/hex/C.XOR.elf.hex | 50 + VexRiscv/src/test/resources/hex/DIV.elf.hex | 52 + VexRiscv/src/test/resources/hex/DIVU.elf.hex | 52 + VexRiscv/src/test/resources/hex/I-ADD-01.elf.hex | 65 + VexRiscv/src/test/resources/hex/I-ADDI-01.elf.hex | 56 + VexRiscv/src/test/resources/hex/I-AND-01.elf.hex | 66 + VexRiscv/src/test/resources/hex/I-ANDI-01.elf.hex | 56 + VexRiscv/src/test/resources/hex/I-AUIPC-01.elf.hex | 42 + VexRiscv/src/test/resources/hex/I-BEQ-01.elf.hex | 72 + VexRiscv/src/test/resources/hex/I-BGE-01.elf.hex | 72 + VexRiscv/src/test/resources/hex/I-BGEU-01.elf.hex | 72 + VexRiscv/src/test/resources/hex/I-BLT-01.elf.hex | 72 + VexRiscv/src/test/resources/hex/I-BLTU-01.elf.hex | 72 + VexRiscv/src/test/resources/hex/I-BNE-01.elf.hex | 72 + VexRiscv/src/test/resources/hex/I-CSRRC-01.elf.hex | 39 + .../src/test/resources/hex/I-CSRRCI-01.elf.hex | 25 + VexRiscv/src/test/resources/hex/I-CSRRS-01.elf.hex | 38 + .../src/test/resources/hex/I-CSRRSI-01.elf.hex | 24 + VexRiscv/src/test/resources/hex/I-CSRRW-01.elf.hex | 30 + .../src/test/resources/hex/I-CSRRWI-01.elf.hex | 16 + .../test/resources/hex/I-DELAY_SLOTS-01.elf.hex | 29 + .../src/test/resources/hex/I-EBREAK-01.elf.hex | 15 + VexRiscv/src/test/resources/hex/I-ECALL-01.elf.hex | 15 + .../src/test/resources/hex/I-ENDIANESS-01.elf.hex | 17 + .../src/test/resources/hex/I-FENCE.I-01.elf.hex | 16 + VexRiscv/src/test/resources/hex/I-IO.elf.hex | 65 + VexRiscv/src/test/resources/hex/I-JAL-01.elf.hex | 46 + VexRiscv/src/test/resources/hex/I-JALR-01.elf.hex | 60 + VexRiscv/src/test/resources/hex/I-LB-01.elf.hex | 51 + VexRiscv/src/test/resources/hex/I-LBU-01.elf.hex | 51 + VexRiscv/src/test/resources/hex/I-LH-01.elf.hex | 39 + VexRiscv/src/test/resources/hex/I-LHU-01.elf.hex | 39 + VexRiscv/src/test/resources/hex/I-LUI-01.elf.hex | 27 + VexRiscv/src/test/resources/hex/I-LW-01.elf.hex | 33 + .../test/resources/hex/I-MISALIGN_JMP-01.elf.hex | 53 + .../test/resources/hex/I-MISALIGN_LDST-01.elf.hex | 44 + VexRiscv/src/test/resources/hex/I-NOP-01.elf.hex | 37 + VexRiscv/src/test/resources/hex/I-OR-01.elf.hex | 66 + VexRiscv/src/test/resources/hex/I-ORI-01.elf.hex | 56 + .../src/test/resources/hex/I-RF_size-01.elf.hex | 45 + .../src/test/resources/hex/I-RF_width-01.elf.hex | 57 + VexRiscv/src/test/resources/hex/I-RF_x0-01.elf.hex | 29 + VexRiscv/src/test/resources/hex/I-SB-01.elf.hex | 45 + VexRiscv/src/test/resources/hex/I-SH-01.elf.hex | 41 + VexRiscv/src/test/resources/hex/I-SLL-01.elf.hex | 64 + VexRiscv/src/test/resources/hex/I-SLLI-01.elf.hex | 50 + VexRiscv/src/test/resources/hex/I-SLT-01.elf.hex | 63 + VexRiscv/src/test/resources/hex/I-SLTI-01.elf.hex | 51 + VexRiscv/src/test/resources/hex/I-SLTIU-01.elf.hex | 51 + VexRiscv/src/test/resources/hex/I-SLTU-01.elf.hex | 63 + VexRiscv/src/test/resources/hex/I-SRA-01.elf.hex | 64 + VexRiscv/src/test/resources/hex/I-SRAI-01.elf.hex | 50 + VexRiscv/src/test/resources/hex/I-SRL-01.elf.hex | 64 + VexRiscv/src/test/resources/hex/I-SRLI-01.elf.hex | 50 + VexRiscv/src/test/resources/hex/I-SUB-01.elf.hex | 65 + VexRiscv/src/test/resources/hex/I-SW-01.elf.hex | 34 + VexRiscv/src/test/resources/hex/I-XOR-01.elf.hex | 66 + VexRiscv/src/test/resources/hex/I-XORI-01.elf.hex | 56 + VexRiscv/src/test/resources/hex/MUL.elf.hex | 52 + VexRiscv/src/test/resources/hex/MULH.elf.hex | 52 + VexRiscv/src/test/resources/hex/MULHSU.elf.hex | 52 + VexRiscv/src/test/resources/hex/MULHU.elf.hex | 52 + VexRiscv/src/test/resources/hex/REM.elf.hex | 52 + VexRiscv/src/test/resources/hex/REMU.elf.hex | 52 + VexRiscv/src/test/resources/hex/debugPlugin.hex | 6 + .../src/test/resources/hex/debugPluginExternal.hex | 39 + VexRiscv/src/test/resources/hex/dhrystoneO3.hex | 917 ++ VexRiscv/src/test/resources/hex/dhrystoneO3C.hex | 848 ++ VexRiscv/src/test/resources/hex/dhrystoneO3M.hex | 851 ++ VexRiscv/src/test/resources/hex/dhrystoneO3MC.hex | 785 ++ VexRiscv/src/test/resources/hex/freeRTOS_demo.hex | 2479 ++++ VexRiscv/src/test/resources/hex/machineCsr.hex | 4597 +++++++ .../test/resources/hex/machineCsrCompressed.hex | 37 + VexRiscv/src/test/resources/hex/mmu.hex | 4791 +++++++ VexRiscv/src/test/resources/hex/rv32uc-p-rvc.hex | 754 ++ VexRiscv/src/test/resources/hex/rv32ud-p-fadd.hex | 101 + .../src/test/resources/hex/rv32ud-p-fclass.hex | 62 + VexRiscv/src/test/resources/hex/rv32ud-p-fcmp.hex | 123 + VexRiscv/src/test/resources/hex/rv32ud-p-fcvt.hex | 72 + .../src/test/resources/hex/rv32ud-p-fcvt_w.hex | 120 + VexRiscv/src/test/resources/hex/rv32ud-p-fdiv.hex | 89 + VexRiscv/src/test/resources/hex/rv32ud-p-fmadd.hex | 113 + VexRiscv/src/test/resources/hex/rv32ud-p-fmin.hex | 153 + VexRiscv/src/test/resources/hex/rv32ud-p-ldst.hex | 58 + .../src/test/resources/hex/rv32ud-p-recoding.hex | 46 + VexRiscv/src/test/resources/hex/rv32uf-p-fadd.hex | 83 + .../src/test/resources/hex/rv32uf-p-fclass.hex | 53 + VexRiscv/src/test/resources/hex/rv32uf-p-fcmp.hex | 100 + VexRiscv/src/test/resources/hex/rv32uf-p-fcvt.hex | 50 + .../src/test/resources/hex/rv32uf-p-fcvt_w.hex | 120 + VexRiscv/src/test/resources/hex/rv32uf-p-fdiv.hex | 69 + VexRiscv/src/test/resources/hex/rv32uf-p-fmadd.hex | 89 + VexRiscv/src/test/resources/hex/rv32uf-p-fmin.hex | 115 + VexRiscv/src/test/resources/hex/rv32uf-p-ldst.hex | 43 + VexRiscv/src/test/resources/hex/rv32uf-p-move.hex | 81 + .../src/test/resources/hex/rv32uf-p-recoding.hex | 46 + VexRiscv/src/test/resources/hex/rv32ui-p-add.hex | 101 + VexRiscv/src/test/resources/hex/rv32ui-p-addi.hex | 65 + VexRiscv/src/test/resources/hex/rv32ui-p-and.hex | 101 + VexRiscv/src/test/resources/hex/rv32ui-p-andi.hex | 53 + VexRiscv/src/test/resources/hex/rv32ui-p-auipc.hex | 28 + VexRiscv/src/test/resources/hex/rv32ui-p-beq.hex | 69 + VexRiscv/src/test/resources/hex/rv32ui-p-bge.hex | 73 + VexRiscv/src/test/resources/hex/rv32ui-p-bgeu.hex | 77 + VexRiscv/src/test/resources/hex/rv32ui-p-blt.hex | 69 + VexRiscv/src/test/resources/hex/rv32ui-p-bltu.hex | 73 + VexRiscv/src/test/resources/hex/rv32ui-p-bne.hex | 69 + .../src/test/resources/hex/rv32ui-p-fence_i.hex | 41 + VexRiscv/src/test/resources/hex/rv32ui-p-jal.hex | 29 + VexRiscv/src/test/resources/hex/rv32ui-p-jalr.hex | 37 + VexRiscv/src/test/resources/hex/rv32ui-p-lb.hex | 62 + VexRiscv/src/test/resources/hex/rv32ui-p-lbu.hex | 62 + VexRiscv/src/test/resources/hex/rv32ui-p-lh.hex | 66 + VexRiscv/src/test/resources/hex/rv32ui-p-lhu.hex | 66 + VexRiscv/src/test/resources/hex/rv32ui-p-lui.hex | 29 + .../src/test/resources/hex/rv32ui-p-lui.hex.hex | 29 + VexRiscv/src/test/resources/hex/rv32ui-p-lw.hex | 66 + VexRiscv/src/test/resources/hex/rv32ui-p-or.hex | 101 + VexRiscv/src/test/resources/hex/rv32ui-p-ori.hex | 53 + VexRiscv/src/test/resources/hex/rv32ui-p-sb.hex | 90 + VexRiscv/src/test/resources/hex/rv32ui-p-sh.hex | 99 + .../src/test/resources/hex/rv32ui-p-simple.hex | 25 + VexRiscv/src/test/resources/hex/rv32ui-p-sll.hex | 109 + VexRiscv/src/test/resources/hex/rv32ui-p-slli.hex | 65 + VexRiscv/src/test/resources/hex/rv32ui-p-slt.hex | 101 + VexRiscv/src/test/resources/hex/rv32ui-p-slti.hex | 65 + VexRiscv/src/test/resources/hex/rv32ui-p-sltiu.hex | 65 + VexRiscv/src/test/resources/hex/rv32ui-p-sltu.hex | 101 + VexRiscv/src/test/resources/hex/rv32ui-p-sra.hex | 113 + VexRiscv/src/test/resources/hex/rv32ui-p-srai.hex | 69 + VexRiscv/src/test/resources/hex/rv32ui-p-srl.hex | 113 + VexRiscv/src/test/resources/hex/rv32ui-p-srli.hex | 65 + VexRiscv/src/test/resources/hex/rv32ui-p-sub.hex | 101 + VexRiscv/src/test/resources/hex/rv32ui-p-sw.hex | 100 + VexRiscv/src/test/resources/hex/rv32ui-p-xor.hex | 101 + VexRiscv/src/test/resources/hex/rv32ui-p-xori.hex | 53 + VexRiscv/src/test/resources/hex/rv32um-p-div.hex | 37 + VexRiscv/src/test/resources/hex/rv32um-p-divu.hex | 37 + VexRiscv/src/test/resources/hex/rv32um-p-mul.hex | 101 + VexRiscv/src/test/resources/hex/rv32um-p-mulh.hex | 101 + .../src/test/resources/hex/rv32um-p-mulhsu.hex | 101 + VexRiscv/src/test/resources/hex/rv32um-p-mulhu.hex | 101 + VexRiscv/src/test/resources/hex/rv32um-p-rem.hex | 37 + VexRiscv/src/test/resources/hex/rv32um-p-remu.hex | 37 + VexRiscv/src/test/resources/hex/testA.hex | 29 + .../src/test/resources/ref/C.ADD.reference_output | 7 + .../src/test/resources/ref/C.ADDI.reference_output | 7 + .../test/resources/ref/C.ADDI16SP.reference_output | 2 + .../test/resources/ref/C.ADDI4SPN.reference_output | 2 + .../src/test/resources/ref/C.AND.reference_output | 7 + .../src/test/resources/ref/C.ANDI.reference_output | 7 + .../src/test/resources/ref/C.BEQZ.reference_output | 2 + .../src/test/resources/ref/C.BNEZ.reference_output | 2 + .../src/test/resources/ref/C.J.reference_output | 2 + .../src/test/resources/ref/C.JAL.reference_output | 2 + .../src/test/resources/ref/C.JALR.reference_output | 2 + .../src/test/resources/ref/C.JR.reference_output | 2 + .../src/test/resources/ref/C.LI.reference_output | 7 + .../src/test/resources/ref/C.LUI.reference_output | 7 + .../src/test/resources/ref/C.LW.reference_output | 10 + .../src/test/resources/ref/C.LWSP.reference_output | 10 + .../src/test/resources/ref/C.MV.reference_output | 7 + .../src/test/resources/ref/C.OR.reference_output | 7 + .../src/test/resources/ref/C.SLLI.reference_output | 7 + .../src/test/resources/ref/C.SRAI.reference_output | 7 + .../src/test/resources/ref/C.SRLI.reference_output | 7 + .../src/test/resources/ref/C.SUB.reference_output | 7 + .../src/test/resources/ref/C.SW.reference_output | 10 + .../src/test/resources/ref/C.SWSP.reference_output | 10 + .../src/test/resources/ref/C.XOR.reference_output | 7 + .../src/test/resources/ref/DIV.reference_output | 13 + .../src/test/resources/ref/DIVU.reference_output | 13 + .../test/resources/ref/I-ADD-01.reference_output | 11 + .../test/resources/ref/I-ADDI-01.reference_output | 11 + .../test/resources/ref/I-AND-01.reference_output | 11 + .../test/resources/ref/I-ANDI-01.reference_output | 11 + .../test/resources/ref/I-AUIPC-01.reference_output | 4 + .../test/resources/ref/I-BEQ-01.reference_output | 10 + .../test/resources/ref/I-BGE-01.reference_output | 10 + .../test/resources/ref/I-BGEU-01.reference_output | 10 + .../test/resources/ref/I-BLT-01.reference_output | 10 + .../test/resources/ref/I-BLTU-01.reference_output | 10 + .../test/resources/ref/I-BNE-01.reference_output | 10 + .../test/resources/ref/I-CSRRC-01.reference_output | 6 + .../resources/ref/I-CSRRCI-01.reference_output | 4 + .../test/resources/ref/I-CSRRS-01.reference_output | 6 + .../resources/ref/I-CSRRSI-01.reference_output | 4 + .../test/resources/ref/I-CSRRW-01.reference_output | 4 + .../resources/ref/I-CSRRWI-01.reference_output | 2 + .../ref/I-DELAY_SLOTS-01.reference_output | 2 + .../resources/ref/I-EBREAK-01.reference_output | 1 + .../test/resources/ref/I-ECALL-01.reference_output | 1 + .../resources/ref/I-ENDIANESS-01.reference_output | 2 + .../resources/ref/I-FENCE.I-01.reference_output | 1 + .../src/test/resources/ref/I-IO.reference_output | 11 + .../test/resources/ref/I-JAL-01.reference_output | 5 + .../test/resources/ref/I-JALR-01.reference_output | 6 + .../test/resources/ref/I-LB-01.reference_output | 9 + .../test/resources/ref/I-LBU-01.reference_output | 9 + .../test/resources/ref/I-LH-01.reference_output | 5 + .../test/resources/ref/I-LHU-01.reference_output | 5 + .../test/resources/ref/I-LUI-01.reference_output | 4 + .../test/resources/ref/I-LW-01.reference_output | 3 + .../ref/I-MISALIGN_JMP-01.reference_output | 9 + .../ref/I-MISALIGN_LDST-01.reference_output | 11 + .../test/resources/ref/I-NOP-01.reference_output | 8 + .../test/resources/ref/I-OR-01.reference_output | 11 + .../test/resources/ref/I-ORI-01.reference_output | 11 + .../resources/ref/I-RF_size-01.reference_output | 9 + .../resources/ref/I-RF_width-01.reference_output | 8 + .../test/resources/ref/I-RF_x0-01.reference_output | 3 + .../test/resources/ref/I-SB-01.reference_output | 4 + .../test/resources/ref/I-SH-01.reference_output | 4 + .../test/resources/ref/I-SLL-01.reference_output | 11 + .../test/resources/ref/I-SLLI-01.reference_output | 10 + .../test/resources/ref/I-SLT-01.reference_output | 12 + .../test/resources/ref/I-SLTI-01.reference_output | 11 + .../test/resources/ref/I-SLTIU-01.reference_output | 11 + .../test/resources/ref/I-SLTU-01.reference_output | 12 + .../test/resources/ref/I-SRA-01.reference_output | 11 + .../test/resources/ref/I-SRAI-01.reference_output | 10 + .../test/resources/ref/I-SRL-01.reference_output | 11 + .../test/resources/ref/I-SRLI-01.reference_output | 10 + .../test/resources/ref/I-SUB-01.reference_output | 11 + .../test/resources/ref/I-SW-01.reference_output | 4 + .../test/resources/ref/I-XOR-01.reference_output | 11 + .../test/resources/ref/I-XORI-01.reference_output | 11 + .../src/test/resources/ref/MUL.reference_output | 13 + .../src/test/resources/ref/MULH.reference_output | 13 + .../src/test/resources/ref/MULHSU.reference_output | 13 + .../src/test/resources/ref/MULHU.reference_output | 13 + .../src/test/resources/ref/REM.reference_output | 13 + .../src/test/resources/ref/REMU.reference_output | 13 + .../src/test/scala/vexriscv/DhrystoneBench.scala | 164 + VexRiscv/src/test/scala/vexriscv/MuraxSim.scala | 110 + .../scala/vexriscv/TestIndividualFeatures.scala | 875 ++ .../scala/vexriscv/experimental/Experiments.scala | 34 + .../scala/vexriscv/experimental/GenMicro.scala | 162 + .../scala/vexriscv/experimental/PlicCost.scala | 76 + .../test/scala/vexriscv/experimental/config.scala | 36 + .../src/test/scala/vexriscv/ip/fpu/FpuTest.scala | 1663 +++ .../test/scala/vexriscv/ip/fpu/Playground.scala | 47 + VexRiscv/tools.sh | 62 + VexRiscvSocSoftware/.gitignore | 13 + VexRiscvSocSoftware/README.md | 11 + VexRiscvSocSoftware/libs/gpio.h | 15 + VexRiscvSocSoftware/libs/interrupt.h | 17 + VexRiscvSocSoftware/libs/prescaler.h | 16 + VexRiscvSocSoftware/libs/timer.h | 20 + VexRiscvSocSoftware/libs/uart.h | 42 + VexRiscvSocSoftware/libs/vga.h | 78 + VexRiscvSocSoftware/makefile | 3 + .../projects/briey/dhrystone/makefile | 26 + .../projects/briey/dhrystone/src/crt.S | 1 + .../projects/briey/dhrystone/src/dhry.h | 432 + .../projects/briey/dhrystone/src/dhry_1.c | 402 + .../projects/briey/dhrystone/src/dhry_2.c | 192 + .../projects/briey/dhrystone/src/main.c | 39 + .../projects/briey/dhrystone/src/stdlib.c | 104 + VexRiscvSocSoftware/projects/briey/libs/briey.h | 36 + VexRiscvSocSoftware/projects/briey/libs/linker.ld | 122 + VexRiscvSocSoftware/projects/briey/libs/makefile | 1 + VexRiscvSocSoftware/projects/briey/makefile | 1 + VexRiscvSocSoftware/projects/briey/timer/makefile | 21 + VexRiscvSocSoftware/projects/briey/timer/src/crt.S | 1 + .../projects/briey/timer/src/main.c | 27 + VexRiscvSocSoftware/projects/briey/uart/makefile | 20 + VexRiscvSocSoftware/projects/briey/uart/src/crt.S | 1 + VexRiscvSocSoftware/projects/briey/uart/src/main.c | 39 + VexRiscvSocSoftware/projects/briey/vga/makefile | 22 + VexRiscvSocSoftware/projects/briey/vga/src/crt.S | 52 + VexRiscvSocSoftware/projects/briey/vga/src/main.c | 57 + VexRiscvSocSoftware/projects/makefile | 1 + VexRiscvSocSoftware/projects/murax/demo/makefile | 20 + VexRiscvSocSoftware/projects/murax/demo/src/crt.S | 97 + VexRiscvSocSoftware/projects/murax/demo/src/main.c | 54 + .../projects/murax/dhrystone/linker.ld | 109 + .../projects/murax/dhrystone/makefile | 26 + .../projects/murax/dhrystone/src/crt.S | 1 + .../projects/murax/dhrystone/src/dhry.h | 432 + .../projects/murax/dhrystone/src/dhry_1.c | 405 + .../projects/murax/dhrystone/src/dhry_2.c | 192 + .../projects/murax/dhrystone/src/main.c | 42 + .../projects/murax/dhrystone/src/stdlib.c | 104 + VexRiscvSocSoftware/projects/murax/libs/linker.ld | 109 + VexRiscvSocSoftware/projects/murax/libs/makefile | 1 + VexRiscvSocSoftware/projects/murax/libs/murax.h | 28 + VexRiscvSocSoftware/projects/murax/makefile | 1 + .../projects/murax/wip/.vscode/launch.json | 33 + VexRiscvSocSoftware/projects/murax/wip/makefile | 14 + VexRiscvSocSoftware/projects/murax/wip/readme.md | 10 + VexRiscvSocSoftware/projects/murax/wip/src/crt.c | 64 + VexRiscvSocSoftware/projects/murax/wip/src/main.c | 58 + .../projects/raw/dhrystone/makefile | 24 + .../projects/raw/dhrystone/src/crt.S | 97 + .../projects/raw/dhrystone/src/dhry.h | 432 + .../projects/raw/dhrystone/src/dhry_1.c | 402 + .../projects/raw/dhrystone/src/dhry_2.c | 191 + .../projects/raw/dhrystone/src/main.c | 24 + .../projects/raw/dhrystone/src/stdlib.c | 104 + VexRiscvSocSoftware/projects/raw/libs/simple.ld | 109 + VexRiscvSocSoftware/projects/raw/makefile | 1 + VexRiscvSocSoftware/projects/raw/simple/makefile | 16 + VexRiscvSocSoftware/projects/raw/simple/src/crt.S | 98 + VexRiscvSocSoftware/projects/raw/simple/src/main.c | 21 + VexRiscvSocSoftware/resources/crt.S | 97 + VexRiscvSocSoftware/resources/gcc.mk | 26 + VexRiscvSocSoftware/resources/recursive.mk | 13 + VexRiscvSocSoftware/resources/subproject.mk | 87 + pnr/de1_murax_franz/de1_murax_franz_pins.tcl | 20 + pnr/de1_murax_franz/makefile | 8 + pnr/makefile | 92 + scripts/create_quartus_project_settings.tcl | 77 + scripts/de1_pin_assignments_minimumio.csv | 282 + scripts/de1_pin_assignments_minimumio.tcl | 279 + scripts/design_project_directory_structure.txt | 35 + scripts/modelsim.ini | 351 + scripts/quartus_project_flow.tcl | 71 + sim/de1_murax_franz/makefile | 12 + sim/de1_murax_franz/makefile.sources | 3 + sim/makefile | 89 + src/de1_murax_franz.vhd | 7440 +++++++++++ src/t_de1_murax_franz.vhd | 75 + 1000 files changed, 471074 insertions(+) create mode 100644 .gitignore create mode 100644 README.md create mode 100644 VexRiscv/.github/workflows/scala.yml create mode 100644 VexRiscv/.gitignore create mode 100644 VexRiscv/.gitmodules create mode 100644 VexRiscv/.travis.yml create mode 100644 VexRiscv/LICENSE create mode 100644 VexRiscv/README.md create mode 100644 VexRiscv/assets/brieySoc.png create mode 100644 VexRiscv/assets/fpuDesign.png create mode 100644 VexRiscv/build.sbt create mode 100644 VexRiscv/doc/gcdPeripheral/README.md create mode 100644 VexRiscv/doc/gcdPeripheral/img/murax-gcd-diagrams-gcd-controlpath.png create mode 100644 VexRiscv/doc/gcdPeripheral/img/murax-gcd-diagrams-gcd-datapath.png create mode 100644 VexRiscv/doc/gcdPeripheral/img/murax-gcd-diagrams-gcd-dp+cp.png create mode 100644 VexRiscv/doc/gcdPeripheral/img/murax-gcd-diagrams-gcd.png create mode 100644 VexRiscv/doc/gcdPeripheral/img/murax-gcd-diagrams.drawio create mode 100644 VexRiscv/doc/gcdPeripheral/img/simulationWave.PNG create mode 100644 VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/makefile create mode 100644 VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/project/build.properties create mode 100644 VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/crt.S create mode 100644 VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/gcd.h create mode 100644 VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/gpio.h create mode 100644 VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/interrupt.h create mode 100644 VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/linker.ld create mode 100644 VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/main.c create mode 100644 VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/main.h create mode 100644 VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/murax.h create mode 100644 VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/prescaler.h create mode 100644 VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/timer.h create mode 100644 VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/uart.h create mode 100644 VexRiscv/doc/gcdPeripheral/src/main/scala/vexriscv/demo/Murax.scala create mode 100644 VexRiscv/doc/gcdPeripheral/src/main/scala/vexriscv/periph/gcd/Apb3GCDCtrl.scala create mode 100644 VexRiscv/doc/gcdPeripheral/src/main/scala/vexriscv/periph/gcd/GCDCtrl.scala create mode 100644 VexRiscv/doc/gcdPeripheral/src/main/scala/vexriscv/periph/gcd/GCDData.scala create mode 100644 VexRiscv/doc/gcdPeripheral/src/main/scala/vexriscv/periph/gcd/GCDTop.scala create mode 100644 VexRiscv/doc/gcdPeripheral/src/main/scala/vexriscv/periph/gcd/GCDTopSim.scala create mode 100644 VexRiscv/doc/nativeJtag/README.md create mode 100644 VexRiscv/doc/nativeJtag/soc_init.cfg create mode 100644 VexRiscv/doc/nativeJtag/usb_connect.cfg create mode 100644 VexRiscv/doc/smp/smp.md create mode 100644 VexRiscv/project/build.properties create mode 100644 VexRiscv/project/plugins.sbt create mode 100644 VexRiscv/scripts/Murax/arty_a7/README.md create mode 100644 VexRiscv/scripts/Murax/arty_a7/arty_a7.xdc create mode 100644 VexRiscv/scripts/Murax/arty_a7/arty_a7_org.xdc create mode 100755 VexRiscv/scripts/Murax/arty_a7/make_mcs_file create mode 100755 VexRiscv/scripts/Murax/arty_a7/make_mmi_files create mode 100755 VexRiscv/scripts/Murax/arty_a7/make_vivado_project create mode 100644 VexRiscv/scripts/Murax/arty_a7/makefile create mode 100755 VexRiscv/scripts/Murax/arty_a7/open_vivado_project create mode 100644 VexRiscv/scripts/Murax/arty_a7/picocom_arty create mode 100644 VexRiscv/scripts/Murax/arty_a7/toplevel.v create mode 100755 VexRiscv/scripts/Murax/arty_a7/write_flash create mode 100755 VexRiscv/scripts/Murax/arty_a7/write_fpga create mode 100644 VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board/Makefile create mode 100644 VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board/README.md create mode 100644 VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board/img/cram-programming-config.png create mode 100644 VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board/img/iCE40HX8K-breakout-revA.png create mode 100644 VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board/toplevel.pcf create mode 100644 VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board/toplevel.v create mode 100644 VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board_xip/Makefile create mode 100644 VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board_xip/Murax_iCE40_hx8k_breakout_board_xip.pcf create mode 100644 VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board_xip/README.md create mode 100644 VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board_xip/img/cram-programming-config.png create mode 100644 VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board_xip/img/iCE40HX8K-breakout-revA.png create mode 100644 VexRiscv/scripts/Murax/iCE40HX8K-EVB/Makefile create mode 100644 VexRiscv/scripts/Murax/iCE40HX8K-EVB/toplevel.pcf create mode 100644 VexRiscv/scripts/Murax/iCE40HX8K-EVB/toplevel.v create mode 100644 VexRiscv/scripts/Murax/iCE40HX8K-EVB/toplevel_pll.v create mode 100644 VexRiscv/scripts/regression/.gitignore create mode 100644 VexRiscv/scripts/regression/makefile create mode 100644 VexRiscv/scripts/regression/regression.mk create mode 100644 VexRiscv/scripts/regression/verilator.mk create mode 100755 VexRiscv/src/main/c/common/ram.ld create mode 100644 VexRiscv/src/main/c/common/riscv64-unknown-elf.mk create mode 100644 VexRiscv/src/main/c/common/standalone.mk create mode 100644 VexRiscv/src/main/c/emulator/.gitignore create mode 100644 VexRiscv/src/main/c/emulator/build/emulator.asm create mode 100755 VexRiscv/src/main/c/emulator/build/emulator.bin create mode 100755 VexRiscv/src/main/c/emulator/makefile create mode 100644 VexRiscv/src/main/c/emulator/src/config.h create mode 100644 VexRiscv/src/main/c/emulator/src/hal.c create mode 100644 VexRiscv/src/main/c/emulator/src/hal.h create mode 100755 VexRiscv/src/main/c/emulator/src/main.c create mode 100644 VexRiscv/src/main/c/emulator/src/riscv.h create mode 100755 VexRiscv/src/main/c/emulator/src/start.S create mode 100644 VexRiscv/src/main/c/emulator/src/trap.S create mode 100644 VexRiscv/src/main/c/emulator/src/utils.S create mode 100644 VexRiscv/src/main/c/murax/hello_world/makefile create mode 100644 VexRiscv/src/main/c/murax/hello_world/src/crt.S create mode 100644 VexRiscv/src/main/c/murax/hello_world/src/gpio.h create mode 100644 VexRiscv/src/main/c/murax/hello_world/src/interrupt.h create mode 100644 VexRiscv/src/main/c/murax/hello_world/src/linker.ld create mode 100644 VexRiscv/src/main/c/murax/hello_world/src/main.c create mode 100644 VexRiscv/src/main/c/murax/hello_world/src/murax.h create mode 100644 VexRiscv/src/main/c/murax/hello_world/src/prescaler.h create mode 100644 VexRiscv/src/main/c/murax/hello_world/src/timer.h create mode 100644 VexRiscv/src/main/c/murax/hello_world/src/uart.h create mode 100644 VexRiscv/src/main/c/murax/xipBootloader/.gitignore create mode 100644 VexRiscv/src/main/c/murax/xipBootloader/crt.S create mode 100644 VexRiscv/src/main/c/murax/xipBootloader/demo.S create mode 100644 VexRiscv/src/main/c/murax/xipBootloader/makefile create mode 100644 VexRiscv/src/main/c/murax/xipBootloader/mapping.ld create mode 100644 VexRiscv/src/main/c/murax/xipBootloader/mapping_rom.ld create mode 100644 VexRiscv/src/main/c/murax/xipBootloader/mapping_xip.ld create mode 100755 VexRiscv/src/main/ressource/hex/muraxDemo.elf create mode 100644 VexRiscv/src/main/ressource/hex/muraxDemo.hex create mode 100644 VexRiscv/src/main/scala/spinal/lib/eda/icestorm/IcestormFlow.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/Pipeline.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/Riscv.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/Services.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/Stage.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/TestsWorkspace.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/VexRiscv.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/VexRiscvBmbGenerator.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/Briey.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/CustomCsrDemoPlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/CustomInstruction.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/FormalSimple.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/GenCustomCsr.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/GenCustomInterrupt.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/GenCustomSimdAdd.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/GenDeterministicVex.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/GenFull.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/GenFullNoMmu.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/GenFullNoMmuMaxPerf.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/GenFullNoMmuNoCache.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/GenFullNoMmuNoCacheSimpleMul.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/GenMicroNoCsr.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/GenNoCacheNoMmuMaxPerf.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/GenSecure.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/GenSmallAndProductive.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/GenSmallAndProductiveCfu.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/GenSmallAndProductiveICache.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/GenSmallAndProductiveVfu.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/GenSmallest.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/GenSmallestNoCsr.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/GenTwoThreeStage.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/Linux.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/Murax.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/MuraxUtiles.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/OpenRoad.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/SynthesisBench.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/VexRiscvAhbLite3.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/VexRiscvAvalonForSim.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/VexRiscvAvalonWithIntegratedJtag.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/VexRiscvAxi4WithIntegratedJtag.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/VexRiscvCachedWishboneForSim.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/smp/Misc.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/smp/VexRiscvSmpCluster.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/smp/VexRiscvSmpLitexCluster.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/demo/smp/VexRiscvSmpLitexMpCluster.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/ip/DataCache.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/ip/InstructionCache.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/ip/fpu/FpuCore.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/ip/fpu/FpuDiv.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/ip/fpu/FpuSqrt.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/ip/fpu/Interface.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/AesPlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/BranchPlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/CfuPlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/CsrPlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/DBusCachedPlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/DBusSimplePlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/DebugPlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/DecoderSimplePlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/DivPlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/DummyFencePlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/ExternalInterruptArrayPlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/Fetcher.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/FormalPlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/FpuPlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/HaltOnExceptionPlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/HazardPessimisticPlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/HazardSimplePlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/IBusCachedPlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/IBusSimplePlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/IntAluPlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/MemoryTranslatorPlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/Misc.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/MmuPlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/Mul16Plugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/MulDivIterativePlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/MulPlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/MulSimplePlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/NoPipeliningPlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/PcManagerSimplePlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/Plugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/PmpPlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/PmpPluginOld.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/RegFilePlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/ShiftPlugins.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/SingleInstructionLimiterPlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/SrcPlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/StaticMemoryTranslatorPlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/VfuPlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/plugin/YamlPlugin.scala create mode 100644 VexRiscv/src/main/scala/vexriscv/test/Swing.scala create mode 100644 VexRiscv/src/test/cpp/briey/installs.txt create mode 100644 VexRiscv/src/test/cpp/briey/jtag.gtkw create mode 100644 VexRiscv/src/test/cpp/briey/main.cpp create mode 100644 VexRiscv/src/test/cpp/briey/makefile create mode 100644 VexRiscv/src/test/cpp/briey/sdram.gtkw create mode 100644 VexRiscv/src/test/cpp/briey/wip.gtkw create mode 100644 VexRiscv/src/test/cpp/common/framework.h create mode 100644 VexRiscv/src/test/cpp/common/jtag.h create mode 100644 VexRiscv/src/test/cpp/common/uart.h create mode 100644 VexRiscv/src/test/cpp/custom/atomic/build/atomic.asm create mode 100755 VexRiscv/src/test/cpp/custom/atomic/build/atomic.elf create mode 100644 VexRiscv/src/test/cpp/custom/atomic/build/atomic.hex create mode 100644 VexRiscv/src/test/cpp/custom/atomic/build/atomic.map create mode 100755 VexRiscv/src/test/cpp/custom/atomic/build/atomic.v create mode 100644 VexRiscv/src/test/cpp/custom/atomic/makefile create mode 100644 VexRiscv/src/test/cpp/custom/atomic/src/crt.S create mode 100644 VexRiscv/src/test/cpp/custom/atomic/src/ld create mode 100644 VexRiscv/src/test/cpp/custom/custom_csr/build/custom_csr.asm create mode 100755 VexRiscv/src/test/cpp/custom/custom_csr/build/custom_csr.elf create mode 100644 VexRiscv/src/test/cpp/custom/custom_csr/build/custom_csr.hex create mode 100644 VexRiscv/src/test/cpp/custom/custom_csr/build/custom_csr.map create mode 100755 VexRiscv/src/test/cpp/custom/custom_csr/build/custom_csr.v create mode 100644 VexRiscv/src/test/cpp/custom/custom_csr/makefile create mode 100644 VexRiscv/src/test/cpp/custom/custom_csr/src/crt.S create mode 100644 VexRiscv/src/test/cpp/custom/custom_csr/src/ld create mode 100644 VexRiscv/src/test/cpp/custom/simd_add/build/custom_simd_add.asm create mode 100755 VexRiscv/src/test/cpp/custom/simd_add/build/custom_simd_add.elf create mode 100644 VexRiscv/src/test/cpp/custom/simd_add/build/custom_simd_add.hex create mode 100644 VexRiscv/src/test/cpp/custom/simd_add/build/custom_simd_add.map create mode 100755 VexRiscv/src/test/cpp/custom/simd_add/build/custom_simd_add.v create mode 100644 VexRiscv/src/test/cpp/custom/simd_add/makefile create mode 100644 VexRiscv/src/test/cpp/custom/simd_add/src/crt.S create mode 100644 VexRiscv/src/test/cpp/custom/simd_add/src/ld create mode 100644 VexRiscv/src/test/cpp/fpu/math/.gitignore create mode 100644 VexRiscv/src/test/cpp/fpu/math/fpu_math.c create mode 100644 VexRiscv/src/test/cpp/fpu/math/libcode.version create mode 100644 VexRiscv/src/test/cpp/murax/main.cpp create mode 100644 VexRiscv/src/test/cpp/murax/makefile create mode 100644 VexRiscv/src/test/cpp/murax/murax.gtkw create mode 100644 VexRiscv/src/test/cpp/raw/amo/.gitignore create mode 100644 VexRiscv/src/test/cpp/raw/amo/build/amo.asm create mode 100644 VexRiscv/src/test/cpp/raw/amo/build/amo.hex create mode 100644 VexRiscv/src/test/cpp/raw/amo/makefile create mode 100644 VexRiscv/src/test/cpp/raw/amo/src/crt.S create mode 100644 VexRiscv/src/test/cpp/raw/amo/src/ld create mode 100644 VexRiscv/src/test/cpp/raw/common/asm.mk create mode 100644 VexRiscv/src/test/cpp/raw/dcache/.gitignore create mode 100644 VexRiscv/src/test/cpp/raw/dcache/build/dcache.asm create mode 100644 VexRiscv/src/test/cpp/raw/dcache/build/dcache.hex create mode 100644 VexRiscv/src/test/cpp/raw/dcache/makefile create mode 100644 VexRiscv/src/test/cpp/raw/dcache/src/crt.S create mode 100644 VexRiscv/src/test/cpp/raw/dcache/src/ld create mode 100644 VexRiscv/src/test/cpp/raw/deleg/.gitignore create mode 100644 VexRiscv/src/test/cpp/raw/deleg/build/deleg.asm create mode 100644 VexRiscv/src/test/cpp/raw/deleg/build/deleg.hex create mode 100644 VexRiscv/src/test/cpp/raw/deleg/makefile create mode 100644 VexRiscv/src/test/cpp/raw/deleg/src/crt.S create mode 100644 VexRiscv/src/test/cpp/raw/deleg/src/encoding.h create mode 100644 VexRiscv/src/test/cpp/raw/deleg/src/ld create mode 100644 VexRiscv/src/test/cpp/raw/fpu/.gitignore create mode 100644 VexRiscv/src/test/cpp/raw/fpu/build/amo.asm create mode 100644 VexRiscv/src/test/cpp/raw/fpu/build/amo.hex create mode 100644 VexRiscv/src/test/cpp/raw/fpu/build/fpu.asm create mode 100644 VexRiscv/src/test/cpp/raw/fpu/build/fpu.hex create mode 100644 VexRiscv/src/test/cpp/raw/fpu/makefile create mode 100644 VexRiscv/src/test/cpp/raw/fpu/src/crt.S create mode 100644 VexRiscv/src/test/cpp/raw/fpu/src/ld create mode 100644 VexRiscv/src/test/cpp/raw/icache/.gitignore create mode 100644 VexRiscv/src/test/cpp/raw/icache/build/icache.asm create mode 100644 VexRiscv/src/test/cpp/raw/icache/build/icache.hex create mode 100644 VexRiscv/src/test/cpp/raw/icache/makefile create mode 100644 VexRiscv/src/test/cpp/raw/icache/src/crt.S create mode 100644 VexRiscv/src/test/cpp/raw/icache/src/ld create mode 100644 VexRiscv/src/test/cpp/raw/lrsc/.gitignore create mode 100644 VexRiscv/src/test/cpp/raw/lrsc/build/lrsc.asm create mode 100644 VexRiscv/src/test/cpp/raw/lrsc/build/lrsc.hex create mode 100644 VexRiscv/src/test/cpp/raw/lrsc/makefile create mode 100644 VexRiscv/src/test/cpp/raw/lrsc/src/crt.S create mode 100644 VexRiscv/src/test/cpp/raw/lrsc/src/ld create mode 100644 VexRiscv/src/test/cpp/raw/machineCsr/.gitignore create mode 100644 VexRiscv/src/test/cpp/raw/machineCsr/build/machineCsr.asm create mode 100644 VexRiscv/src/test/cpp/raw/machineCsr/build/machineCsr.hex create mode 100644 VexRiscv/src/test/cpp/raw/machineCsr/build/machineCsrCompressed.asm create mode 100644 VexRiscv/src/test/cpp/raw/machineCsr/build/machineCsrCompressed.hex create mode 100644 VexRiscv/src/test/cpp/raw/machineCsr/makefile create mode 100644 VexRiscv/src/test/cpp/raw/machineCsr/src/crt.S create mode 100644 VexRiscv/src/test/cpp/raw/machineCsr/src/ld create mode 100644 VexRiscv/src/test/cpp/raw/mmu/.gitignore create mode 100644 VexRiscv/src/test/cpp/raw/mmu/build/mmu.asm create mode 100644 VexRiscv/src/test/cpp/raw/mmu/build/mmu.hex create mode 100644 VexRiscv/src/test/cpp/raw/mmu/makefile create mode 100644 VexRiscv/src/test/cpp/raw/mmu/src/crt.S create mode 100644 VexRiscv/src/test/cpp/raw/mmu/src/ld create mode 100644 VexRiscv/src/test/cpp/raw/pmp/build/pmp.asm create mode 100755 VexRiscv/src/test/cpp/raw/pmp/build/pmp.elf create mode 100644 VexRiscv/src/test/cpp/raw/pmp/build/pmp.hex create mode 100644 VexRiscv/src/test/cpp/raw/pmp/build/pmp.map create mode 100644 VexRiscv/src/test/cpp/raw/pmp/makefile create mode 100644 VexRiscv/src/test/cpp/raw/pmp/src/crt.S create mode 100644 VexRiscv/src/test/cpp/raw/pmp/src/ld create mode 100644 VexRiscv/src/test/cpp/raw/smp/.gitignore create mode 100644 VexRiscv/src/test/cpp/raw/smp/build/smp.asm create mode 100755 VexRiscv/src/test/cpp/raw/smp/build/smp.bin create mode 100644 VexRiscv/src/test/cpp/raw/smp/makefile create mode 100644 VexRiscv/src/test/cpp/raw/smp/src/crt.S create mode 100644 VexRiscv/src/test/cpp/raw/smp/src/ld create mode 100644 VexRiscv/src/test/cpp/regression/.gitignore create mode 100644 VexRiscv/src/test/cpp/regression/atomic.gtkw create mode 100644 VexRiscv/src/test/cpp/regression/branch.gtkw create mode 100644 VexRiscv/src/test/cpp/regression/dcache.gtkw create mode 100644 VexRiscv/src/test/cpp/regression/debug.gtkw create mode 100644 VexRiscv/src/test/cpp/regression/default.gtkw create mode 100644 VexRiscv/src/test/cpp/regression/dhrystoneO3.logRef create mode 100644 VexRiscv/src/test/cpp/regression/dhrystoneO3C.logRef create mode 100644 VexRiscv/src/test/cpp/regression/dhrystoneO3M.logRef create mode 100644 VexRiscv/src/test/cpp/regression/dhrystoneO3MC.logRef create mode 100644 VexRiscv/src/test/cpp/regression/encoding.h create mode 100644 VexRiscv/src/test/cpp/regression/fail.gtkw create mode 100644 VexRiscv/src/test/cpp/regression/icache.gtkw create mode 100644 VexRiscv/src/test/cpp/regression/main.cpp create mode 100644 VexRiscv/src/test/cpp/regression/makefile create mode 100644 VexRiscv/src/test/cpp/regression/prediction.gtkw create mode 100644 VexRiscv/src/test/cpp/regression/refDiff.gtkw create mode 100644 VexRiscv/src/test/cpp/regression/wrongDiff.gtkw create mode 100644 VexRiscv/src/test/cpp/regression/yolo.gtkw create mode 100644 VexRiscv/src/test/java/vexriscv/ip/fpu/FpuMath.java create mode 100644 VexRiscv/src/test/python/gcloud/.gitignore create mode 100644 VexRiscv/src/test/python/gcloud/gcloud.py create mode 100644 VexRiscv/src/test/python/gcloud/makefile create mode 100755 VexRiscv/src/test/python/gcloud/remotePull.py create mode 100755 VexRiscv/src/test/python/gcloud/remoteTest.py create mode 100644 VexRiscv/src/test/python/gcloud/run.sh create mode 100755 VexRiscv/src/test/python/gcloud/stopScript.sh create mode 100755 VexRiscv/src/test/python/gcloud/try.py create mode 100644 VexRiscv/src/test/python/tool/.gitignore create mode 100755 VexRiscv/src/test/python/tool/hexToAsm.py create mode 100644 VexRiscv/src/test/resources/.gitignore create mode 100644 VexRiscv/src/test/resources/asm/C.ADD.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/C.ADDI.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/C.ADDI16SP.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/C.ADDI4SPN.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/C.AND.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/C.ANDI.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/C.BEQZ.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/C.BNEZ.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/C.J.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/C.JAL.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/C.JALR.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/C.JR.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/C.LI.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/C.LUI.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/C.LW.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/C.LWSP.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/C.MV.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/C.OR.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/C.SLLI.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/C.SRAI.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/C.SRLI.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/C.SUB.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/C.SW.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/C.SWSP.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/C.XOR.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/DIV.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/DIVU.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/DIVW.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-ADD-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-ADDI-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-AND-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-ANDI-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-AUIPC-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-BEQ-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-BGE-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-BGEU-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-BLT-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-BLTU-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-BNE-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-CSRRC-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-CSRRCI-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-CSRRS-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-CSRRSI-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-CSRRW-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-CSRRWI-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-DELAY_SLOTS-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-EBREAK-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-ECALL-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-ENDIANESS-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-FENCE.I-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-IO.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-JAL-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-JALR-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-LB-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-LBU-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-LH-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-LHU-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-LUI-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-LW-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-MISALIGN_JMP-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-MISALIGN_LDST-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-NOP-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-OR-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-ORI-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-RF_size-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-RF_width-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-RF_x0-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-SB-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-SH-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-SLL-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-SLLI-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-SLT-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-SLTI-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-SLTIU-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-SLTU-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-SRA-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-SRAI-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-SRL-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-SRLI-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-SUB-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-SW-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-XOR-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/I-XORI-01.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/MUL.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/MULH.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/MULHSU.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/MULHU.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/MULW.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/REM.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/REMU.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/REMUW.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/REMW.elf.objdump create mode 100644 VexRiscv/src/test/resources/asm/dhrystoneO3.asm create mode 100644 VexRiscv/src/test/resources/asm/dhrystoneO3C.asm create mode 100644 VexRiscv/src/test/resources/asm/dhrystoneO3MC.asm create mode 100644 VexRiscv/src/test/resources/asm/machineCsr.asm create mode 100644 VexRiscv/src/test/resources/asm/machineCsrCompressed.asm create mode 100644 VexRiscv/src/test/resources/asm/rv32uc-p-rvc.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ud-p-fadd.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ud-p-fclass.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ud-p-fcmp.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ud-p-fcvt.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ud-p-fcvt_w.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ud-p-fdiv.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ud-p-fmadd.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ud-p-fmin.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ud-p-ldst.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ud-p-recoding.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32uf-p-fadd.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32uf-p-fclass.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32uf-p-fcmp.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32uf-p-fcvt.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32uf-p-fcvt_w.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32uf-p-fdiv.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32uf-p-fmadd.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32uf-p-fmin.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32uf-p-ldst.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32uf-p-move.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32uf-p-recoding.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-add.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-addi.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-and.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-andi.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-auipc.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-beq.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-bge.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-bgeu.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-blt.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-bltu.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-bne.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-fence_i.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-jal.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-jalr.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-lb.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-lbu.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-lh.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-lhu.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-lui.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-lw.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-or.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-ori.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-sb.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-sh.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-simple.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-sll.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-slli.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-slt.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-slti.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-sltiu.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-sltu.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-sra.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-srai.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-srl.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-srli.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-sub.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-sw.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-xor.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32ui-p-xori.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32um-p-div.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32um-p-divu.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32um-p-mul.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32um-p-mulh.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32um-p-mulhsu.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32um-p-mulhu.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32um-p-rem.dump create mode 100644 VexRiscv/src/test/resources/asm/rv32um-p-remu.dump create mode 100644 VexRiscv/src/test/resources/asm/testA.asm create mode 100644 VexRiscv/src/test/resources/bin/.gitignore create mode 100755 VexRiscv/src/test/resources/bin/coremark_rv32i.bin create mode 100755 VexRiscv/src/test/resources/bin/coremark_rv32ic.bin create mode 100755 VexRiscv/src/test/resources/bin/coremark_rv32im.bin create mode 100755 VexRiscv/src/test/resources/bin/coremark_rv32imc.bin create mode 100755 VexRiscv/src/test/resources/elf/uart.elf create mode 100644 VexRiscv/src/test/resources/freertos/AltBlckQ_rv32i_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/AltBlckQ_rv32i_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/AltBlckQ_rv32ic_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/AltBlckQ_rv32ic_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/AltBlckQ_rv32im_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/AltBlckQ_rv32imac_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/AltBlock_rv32i_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/AltBlock_rv32i_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/AltBlock_rv32ic_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/AltBlock_rv32ic_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/AltBlock_rv32im_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/AltBlock_rv32imac_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/AltPollQ_rv32i_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/AltPollQ_rv32i_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/AltPollQ_rv32ic_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/AltPollQ_rv32ic_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/AltPollQ_rv32im_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/AltPollQ_rv32imac_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/AltQTest_rv32i_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/AltQTest_rv32i_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/AltQTest_rv32ic_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/AltQTest_rv32ic_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/AltQTest_rv32im_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/AltQTest_rv32imac_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/BlockQ_rv32i_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/BlockQ_rv32i_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/BlockQ_rv32ic_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/BlockQ_rv32ic_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/BlockQ_rv32im_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/BlockQ_rv32imac_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/EventGroupsDemo_rv32i_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/EventGroupsDemo_rv32i_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/EventGroupsDemo_rv32ic_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/EventGroupsDemo_rv32ic_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/EventGroupsDemo_rv32im_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/EventGroupsDemo_rv32imac_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/GenQTest_rv32i_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/GenQTest_rv32i_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/GenQTest_rv32ic_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/GenQTest_rv32ic_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/GenQTest_rv32im_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/GenQTest_rv32imac_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/PollQ_rv32i_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/PollQ_rv32i_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/PollQ_rv32ic_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/PollQ_rv32ic_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/PollQ_rv32im_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/PollQ_rv32imac_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/QPeek_rv32i_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/QPeek_rv32i_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/QPeek_rv32ic_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/QPeek_rv32ic_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/QPeek_rv32im_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/QPeek_rv32imac_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/QueueOverwrite_rv32i_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/QueueOverwrite_rv32i_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/QueueOverwrite_rv32ic_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/QueueOverwrite_rv32ic_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/QueueOverwrite_rv32im_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/QueueOverwrite_rv32imac_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/QueueSetPolling_rv32i_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/QueueSetPolling_rv32i_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/QueueSetPolling_rv32ic_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/QueueSetPolling_rv32ic_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/QueueSetPolling_rv32im_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/QueueSetPolling_rv32imac_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/QueueSet_rv32i_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/QueueSet_rv32i_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/QueueSet_rv32ic_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/QueueSet_rv32ic_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/QueueSet_rv32im_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/QueueSet_rv32imac_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/TaskNotify_rv32i_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/TaskNotify_rv32i_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/TaskNotify_rv32ic_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/TaskNotify_rv32ic_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/TaskNotify_rv32im_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/TaskNotify_rv32imac_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/blocktim_rv32i_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/blocktim_rv32i_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/blocktim_rv32ic_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/blocktim_rv32ic_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/blocktim_rv32im_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/blocktim_rv32imac_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/countsem_rv32i_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/countsem_rv32i_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/countsem_rv32ic_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/countsem_rv32ic_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/countsem_rv32im_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/countsem_rv32imac_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/crhook_rv32i_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/crhook_rv32i_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/crhook_rv32ic_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/crhook_rv32ic_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/crhook_rv32im_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/crhook_rv32imac_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/dead_rv32i_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/dead_rv32i_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/dead_rv32ic_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/dead_rv32ic_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/dead_rv32im_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/dead_rv32imac_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/dynamic_rv32i_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/dynamic_rv32i_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/dynamic_rv32ic_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/dynamic_rv32ic_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/dynamic_rv32im_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/dynamic_rv32imac_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/flop_rv32i_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/flop_rv32i_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/flop_rv32ic_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/flop_rv32ic_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/flop_rv32im_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/flop_rv32imac_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/integer_rv32i_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/integer_rv32i_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/integer_rv32ic_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/integer_rv32ic_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/integer_rv32im_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/integer_rv32imac_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/recmutex_rv32i_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/recmutex_rv32i_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/recmutex_rv32ic_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/recmutex_rv32ic_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/recmutex_rv32im_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/recmutex_rv32imac_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/semtest_rv32i_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/semtest_rv32i_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/semtest_rv32ic_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/semtest_rv32ic_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/semtest_rv32im_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/semtest_rv32imac_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/sp_flop_rv32i_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/sp_flop_rv32i_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/sp_flop_rv32ic_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/sp_flop_rv32ic_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/sp_flop_rv32im_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/sp_flop_rv32imac_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/test1_rv32i_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/test1_rv32i_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/test1_rv32ic_O0.hex create mode 100644 VexRiscv/src/test/resources/freertos/test1_rv32ic_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/test1_rv32im_O3.hex create mode 100644 VexRiscv/src/test/resources/freertos/test1_rv32imac_O3.hex create mode 100644 VexRiscv/src/test/resources/hex/C.ADD.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/C.ADDI.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/C.ADDI16SP.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/C.ADDI4SPN.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/C.AND.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/C.ANDI.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/C.BEQZ.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/C.BNEZ.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/C.J.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/C.JAL.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/C.JALR.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/C.JR.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/C.LI.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/C.LUI.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/C.LW.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/C.LWSP.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/C.MV.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/C.OR.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/C.SLLI.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/C.SRAI.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/C.SRLI.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/C.SUB.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/C.SW.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/C.SWSP.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/C.XOR.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/DIV.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/DIVU.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-ADD-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-ADDI-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-AND-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-ANDI-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-AUIPC-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-BEQ-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-BGE-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-BGEU-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-BLT-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-BLTU-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-BNE-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-CSRRC-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-CSRRCI-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-CSRRS-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-CSRRSI-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-CSRRW-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-CSRRWI-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-DELAY_SLOTS-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-EBREAK-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-ECALL-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-ENDIANESS-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-FENCE.I-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-IO.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-JAL-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-JALR-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-LB-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-LBU-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-LH-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-LHU-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-LUI-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-LW-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-MISALIGN_JMP-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-MISALIGN_LDST-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-NOP-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-OR-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-ORI-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-RF_size-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-RF_width-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-RF_x0-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-SB-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-SH-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-SLL-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-SLLI-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-SLT-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-SLTI-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-SLTIU-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-SLTU-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-SRA-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-SRAI-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-SRL-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-SRLI-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-SUB-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-SW-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-XOR-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/I-XORI-01.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/MUL.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/MULH.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/MULHSU.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/MULHU.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/REM.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/REMU.elf.hex create mode 100644 VexRiscv/src/test/resources/hex/debugPlugin.hex create mode 100644 VexRiscv/src/test/resources/hex/debugPluginExternal.hex create mode 100644 VexRiscv/src/test/resources/hex/dhrystoneO3.hex create mode 100644 VexRiscv/src/test/resources/hex/dhrystoneO3C.hex create mode 100644 VexRiscv/src/test/resources/hex/dhrystoneO3M.hex create mode 100644 VexRiscv/src/test/resources/hex/dhrystoneO3MC.hex create mode 100644 VexRiscv/src/test/resources/hex/freeRTOS_demo.hex create mode 100644 VexRiscv/src/test/resources/hex/machineCsr.hex create mode 100644 VexRiscv/src/test/resources/hex/machineCsrCompressed.hex create mode 100644 VexRiscv/src/test/resources/hex/mmu.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32uc-p-rvc.hex create mode 100755 VexRiscv/src/test/resources/hex/rv32ud-p-fadd.hex create mode 100755 VexRiscv/src/test/resources/hex/rv32ud-p-fclass.hex create mode 100755 VexRiscv/src/test/resources/hex/rv32ud-p-fcmp.hex create mode 100755 VexRiscv/src/test/resources/hex/rv32ud-p-fcvt.hex create mode 100755 VexRiscv/src/test/resources/hex/rv32ud-p-fcvt_w.hex create mode 100755 VexRiscv/src/test/resources/hex/rv32ud-p-fdiv.hex create mode 100755 VexRiscv/src/test/resources/hex/rv32ud-p-fmadd.hex create mode 100755 VexRiscv/src/test/resources/hex/rv32ud-p-fmin.hex create mode 100755 VexRiscv/src/test/resources/hex/rv32ud-p-ldst.hex create mode 100755 VexRiscv/src/test/resources/hex/rv32ud-p-recoding.hex create mode 100755 VexRiscv/src/test/resources/hex/rv32uf-p-fadd.hex create mode 100755 VexRiscv/src/test/resources/hex/rv32uf-p-fclass.hex create mode 100755 VexRiscv/src/test/resources/hex/rv32uf-p-fcmp.hex create mode 100755 VexRiscv/src/test/resources/hex/rv32uf-p-fcvt.hex create mode 100755 VexRiscv/src/test/resources/hex/rv32uf-p-fcvt_w.hex create mode 100755 VexRiscv/src/test/resources/hex/rv32uf-p-fdiv.hex create mode 100755 VexRiscv/src/test/resources/hex/rv32uf-p-fmadd.hex create mode 100755 VexRiscv/src/test/resources/hex/rv32uf-p-fmin.hex create mode 100755 VexRiscv/src/test/resources/hex/rv32uf-p-ldst.hex create mode 100755 VexRiscv/src/test/resources/hex/rv32uf-p-move.hex create mode 100755 VexRiscv/src/test/resources/hex/rv32uf-p-recoding.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-add.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-addi.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-and.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-andi.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-auipc.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-beq.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-bge.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-bgeu.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-blt.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-bltu.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-bne.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-fence_i.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-jal.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-jalr.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-lb.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-lbu.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-lh.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-lhu.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-lui.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-lui.hex.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-lw.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-or.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-ori.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-sb.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-sh.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-simple.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-sll.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-slli.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-slt.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-slti.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-sltiu.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-sltu.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-sra.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-srai.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-srl.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-srli.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-sub.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-sw.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-xor.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32ui-p-xori.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32um-p-div.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32um-p-divu.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32um-p-mul.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32um-p-mulh.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32um-p-mulhsu.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32um-p-mulhu.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32um-p-rem.hex create mode 100644 VexRiscv/src/test/resources/hex/rv32um-p-remu.hex create mode 100644 VexRiscv/src/test/resources/hex/testA.hex create mode 100644 VexRiscv/src/test/resources/ref/C.ADD.reference_output create mode 100644 VexRiscv/src/test/resources/ref/C.ADDI.reference_output create mode 100644 VexRiscv/src/test/resources/ref/C.ADDI16SP.reference_output create mode 100644 VexRiscv/src/test/resources/ref/C.ADDI4SPN.reference_output create mode 100644 VexRiscv/src/test/resources/ref/C.AND.reference_output create mode 100644 VexRiscv/src/test/resources/ref/C.ANDI.reference_output create mode 100644 VexRiscv/src/test/resources/ref/C.BEQZ.reference_output create mode 100644 VexRiscv/src/test/resources/ref/C.BNEZ.reference_output create mode 100644 VexRiscv/src/test/resources/ref/C.J.reference_output create mode 100644 VexRiscv/src/test/resources/ref/C.JAL.reference_output create mode 100644 VexRiscv/src/test/resources/ref/C.JALR.reference_output create mode 100644 VexRiscv/src/test/resources/ref/C.JR.reference_output create mode 100644 VexRiscv/src/test/resources/ref/C.LI.reference_output create mode 100644 VexRiscv/src/test/resources/ref/C.LUI.reference_output create mode 100644 VexRiscv/src/test/resources/ref/C.LW.reference_output create mode 100644 VexRiscv/src/test/resources/ref/C.LWSP.reference_output create mode 100644 VexRiscv/src/test/resources/ref/C.MV.reference_output create mode 100644 VexRiscv/src/test/resources/ref/C.OR.reference_output create mode 100644 VexRiscv/src/test/resources/ref/C.SLLI.reference_output create mode 100644 VexRiscv/src/test/resources/ref/C.SRAI.reference_output create mode 100644 VexRiscv/src/test/resources/ref/C.SRLI.reference_output create mode 100644 VexRiscv/src/test/resources/ref/C.SUB.reference_output create mode 100644 VexRiscv/src/test/resources/ref/C.SW.reference_output create mode 100644 VexRiscv/src/test/resources/ref/C.SWSP.reference_output create mode 100644 VexRiscv/src/test/resources/ref/C.XOR.reference_output create mode 100644 VexRiscv/src/test/resources/ref/DIV.reference_output create mode 100644 VexRiscv/src/test/resources/ref/DIVU.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-ADD-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-ADDI-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-AND-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-ANDI-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-AUIPC-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-BEQ-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-BGE-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-BGEU-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-BLT-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-BLTU-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-BNE-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-CSRRC-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-CSRRCI-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-CSRRS-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-CSRRSI-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-CSRRW-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-CSRRWI-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-DELAY_SLOTS-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-EBREAK-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-ECALL-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-ENDIANESS-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-FENCE.I-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-IO.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-JAL-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-JALR-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-LB-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-LBU-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-LH-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-LHU-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-LUI-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-LW-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-MISALIGN_JMP-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-MISALIGN_LDST-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-NOP-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-OR-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-ORI-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-RF_size-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-RF_width-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-RF_x0-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-SB-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-SH-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-SLL-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-SLLI-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-SLT-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-SLTI-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-SLTIU-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-SLTU-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-SRA-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-SRAI-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-SRL-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-SRLI-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-SUB-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-SW-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-XOR-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/I-XORI-01.reference_output create mode 100644 VexRiscv/src/test/resources/ref/MUL.reference_output create mode 100644 VexRiscv/src/test/resources/ref/MULH.reference_output create mode 100644 VexRiscv/src/test/resources/ref/MULHSU.reference_output create mode 100644 VexRiscv/src/test/resources/ref/MULHU.reference_output create mode 100644 VexRiscv/src/test/resources/ref/REM.reference_output create mode 100644 VexRiscv/src/test/resources/ref/REMU.reference_output create mode 100644 VexRiscv/src/test/scala/vexriscv/DhrystoneBench.scala create mode 100644 VexRiscv/src/test/scala/vexriscv/MuraxSim.scala create mode 100644 VexRiscv/src/test/scala/vexriscv/TestIndividualFeatures.scala create mode 100644 VexRiscv/src/test/scala/vexriscv/experimental/Experiments.scala create mode 100644 VexRiscv/src/test/scala/vexriscv/experimental/GenMicro.scala create mode 100644 VexRiscv/src/test/scala/vexriscv/experimental/PlicCost.scala create mode 100644 VexRiscv/src/test/scala/vexriscv/experimental/config.scala create mode 100644 VexRiscv/src/test/scala/vexriscv/ip/fpu/FpuTest.scala create mode 100644 VexRiscv/src/test/scala/vexriscv/ip/fpu/Playground.scala create mode 100644 VexRiscv/tools.sh create mode 100644 VexRiscvSocSoftware/.gitignore create mode 100644 VexRiscvSocSoftware/README.md create mode 100755 VexRiscvSocSoftware/libs/gpio.h create mode 100644 VexRiscvSocSoftware/libs/interrupt.h create mode 100644 VexRiscvSocSoftware/libs/prescaler.h create mode 100644 VexRiscvSocSoftware/libs/timer.h create mode 100755 VexRiscvSocSoftware/libs/uart.h create mode 100644 VexRiscvSocSoftware/libs/vga.h create mode 100644 VexRiscvSocSoftware/makefile create mode 100644 VexRiscvSocSoftware/projects/briey/dhrystone/makefile create mode 100644 VexRiscvSocSoftware/projects/briey/dhrystone/src/crt.S create mode 100644 VexRiscvSocSoftware/projects/briey/dhrystone/src/dhry.h create mode 100644 VexRiscvSocSoftware/projects/briey/dhrystone/src/dhry_1.c create mode 100644 VexRiscvSocSoftware/projects/briey/dhrystone/src/dhry_2.c create mode 100644 VexRiscvSocSoftware/projects/briey/dhrystone/src/main.c create mode 100644 VexRiscvSocSoftware/projects/briey/dhrystone/src/stdlib.c create mode 100644 VexRiscvSocSoftware/projects/briey/libs/briey.h create mode 100755 VexRiscvSocSoftware/projects/briey/libs/linker.ld create mode 100644 VexRiscvSocSoftware/projects/briey/libs/makefile create mode 100644 VexRiscvSocSoftware/projects/briey/makefile create mode 100755 VexRiscvSocSoftware/projects/briey/timer/makefile create mode 100755 VexRiscvSocSoftware/projects/briey/timer/src/crt.S create mode 100755 VexRiscvSocSoftware/projects/briey/timer/src/main.c create mode 100755 VexRiscvSocSoftware/projects/briey/uart/makefile create mode 100755 VexRiscvSocSoftware/projects/briey/uart/src/crt.S create mode 100755 VexRiscvSocSoftware/projects/briey/uart/src/main.c create mode 100755 VexRiscvSocSoftware/projects/briey/vga/makefile create mode 100755 VexRiscvSocSoftware/projects/briey/vga/src/crt.S create mode 100755 VexRiscvSocSoftware/projects/briey/vga/src/main.c create mode 100644 VexRiscvSocSoftware/projects/makefile create mode 100755 VexRiscvSocSoftware/projects/murax/demo/makefile create mode 100755 VexRiscvSocSoftware/projects/murax/demo/src/crt.S create mode 100755 VexRiscvSocSoftware/projects/murax/demo/src/main.c create mode 100755 VexRiscvSocSoftware/projects/murax/dhrystone/linker.ld create mode 100644 VexRiscvSocSoftware/projects/murax/dhrystone/makefile create mode 100644 VexRiscvSocSoftware/projects/murax/dhrystone/src/crt.S create mode 100644 VexRiscvSocSoftware/projects/murax/dhrystone/src/dhry.h create mode 100644 VexRiscvSocSoftware/projects/murax/dhrystone/src/dhry_1.c create mode 100644 VexRiscvSocSoftware/projects/murax/dhrystone/src/dhry_2.c create mode 100644 VexRiscvSocSoftware/projects/murax/dhrystone/src/main.c create mode 100644 VexRiscvSocSoftware/projects/murax/dhrystone/src/stdlib.c create mode 100755 VexRiscvSocSoftware/projects/murax/libs/linker.ld create mode 100644 VexRiscvSocSoftware/projects/murax/libs/makefile create mode 100644 VexRiscvSocSoftware/projects/murax/libs/murax.h create mode 100644 VexRiscvSocSoftware/projects/murax/makefile create mode 100644 VexRiscvSocSoftware/projects/murax/wip/.vscode/launch.json create mode 100755 VexRiscvSocSoftware/projects/murax/wip/makefile create mode 100644 VexRiscvSocSoftware/projects/murax/wip/readme.md create mode 100644 VexRiscvSocSoftware/projects/murax/wip/src/crt.c create mode 100755 VexRiscvSocSoftware/projects/murax/wip/src/main.c create mode 100644 VexRiscvSocSoftware/projects/raw/dhrystone/makefile create mode 100755 VexRiscvSocSoftware/projects/raw/dhrystone/src/crt.S create mode 100755 VexRiscvSocSoftware/projects/raw/dhrystone/src/dhry.h create mode 100644 VexRiscvSocSoftware/projects/raw/dhrystone/src/dhry_1.c create mode 100644 VexRiscvSocSoftware/projects/raw/dhrystone/src/dhry_2.c create mode 100755 VexRiscvSocSoftware/projects/raw/dhrystone/src/main.c create mode 100644 VexRiscvSocSoftware/projects/raw/dhrystone/src/stdlib.c create mode 100644 VexRiscvSocSoftware/projects/raw/libs/simple.ld create mode 100644 VexRiscvSocSoftware/projects/raw/makefile create mode 100644 VexRiscvSocSoftware/projects/raw/simple/makefile create mode 100755 VexRiscvSocSoftware/projects/raw/simple/src/crt.S create mode 100755 VexRiscvSocSoftware/projects/raw/simple/src/main.c create mode 100755 VexRiscvSocSoftware/resources/crt.S create mode 100644 VexRiscvSocSoftware/resources/gcc.mk create mode 100644 VexRiscvSocSoftware/resources/recursive.mk create mode 100755 VexRiscvSocSoftware/resources/subproject.mk create mode 100644 pnr/de1_murax_franz/de1_murax_franz_pins.tcl create mode 100644 pnr/de1_murax_franz/makefile create mode 100644 pnr/makefile create mode 100644 scripts/create_quartus_project_settings.tcl create mode 100644 scripts/de1_pin_assignments_minimumio.csv create mode 100644 scripts/de1_pin_assignments_minimumio.tcl create mode 100644 scripts/design_project_directory_structure.txt create mode 100644 scripts/modelsim.ini create mode 100644 scripts/quartus_project_flow.tcl create mode 100644 sim/de1_murax_franz/makefile create mode 100644 sim/de1_murax_franz/makefile.sources create mode 100644 sim/makefile create mode 100644 src/de1_murax_franz.vhd create mode 100644 src/t_de1_murax_franz.vhd diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..63fc5a7 --- /dev/null +++ b/.gitignore @@ -0,0 +1,6 @@ +# Ignore files for git + +# Emacs backup files +*~ +*.bak + diff --git a/README.md b/README.md new file mode 100644 index 0000000..4e0080f --- /dev/null +++ b/README.md @@ -0,0 +1,66 @@ +Praktikum Digitaltechnik +======================== + +Im Rahmen des Praktikums Digitaltechnik werden kombinatorische und sequentielle Schaltungen mit dem Modelsim Simulator simuliert und mit der Intel Quartus Software für eine Altera FPGA synthetisiert. Die Schaltungen können auf dem Altera DE1 Board ausprobiert und untersucht werden. + + * Boolesche Ausdrücke + * Binaer zu 7Segment Konverter + * Addierer + * Zaehler + * Automate + +Technische Umsetzung +-------------------- + +### Entwicklungsumgebung + +Die Schaltungsteile sind in VHDL beschrieben. Als FPGA Board +wird das Altera DE1 Board verwendet. Die Designsoftware ist kostenlos von Altera erhaeltlich. + + * Synthese: Altera Quartus II + * Simulation: Altera Mentor Modelsim (Web Edition) + +Die Designsoftware ist auf einer virtuellen Maschine fertig installiert. Eine Beschreibung ist hier: http://www.hs-augsburg.de/~beckmanf/dokuwiki/doku.php?id=ubuntu_virtual_cae_system + +### Ordnerstruktur + +src: hier sind alle VHDL Quelldateien +sim: hier sind die Makefiles fuer die Simulation der Komponenten +pnr: Place and Route - Die makefiles fuer die Synthese der Schaltung +scripts: Globale scripts + +### Download, Simulation und Synthese + +Das Projekt ist unter git Versionsverwaltung. Zum Download sind die folgenden Schritte notwendig: + +``` +mkdir projects +cd projects +git clone https://gitlab.elektrotechnik.hs-augsburg.de/beckmanf/digitaltechnikpraktikum.git +cd digitaltechnikpraktikum +``` + +Hier die Simulation von geschalteten LEDs: + +``` +cd sim +cd ledsw +make sim +``` + +Die zugehörige Synthese: + +``` +cd ../../pnr +cd ledsw-make +make quartus +``` + +Um das Design auf das Board zu laden muss das Board mit dem Kabel an den USB Anschluss des Rechners angeschlossen sein. Dann: + +``` +make prog +``` + +Ein einfaches make zeigt die moeglichen Targets. + diff --git a/VexRiscv/.github/workflows/scala.yml b/VexRiscv/.github/workflows/scala.yml new file mode 100644 index 0000000..9d2d2aa --- /dev/null +++ b/VexRiscv/.github/workflows/scala.yml @@ -0,0 +1,69 @@ +name: Scala CI + +on: [push, pull_request] + +jobs: + build: + runs-on: ubuntu-latest + timeout-minutes: 120 + + steps: + - uses: actions/checkout@v2 + with: + submodules: 'recursive' + + - name: Set up JDK 11 + uses: actions/setup-java@v2 + with: + java-version: '11' + distribution: 'adopt' + + - name: Cache pip + uses: actions/cache@v2 + with: + path: ~/.cache/pip + key: ${{ runner.os }}-pip-v2 + restore-keys: | + ${{ runner.os }}-pip- + + - name: Cache SBT + uses: actions/cache@v2 + with: + path: | + ~/.ivy2/cache + ~/.sbt + key: ${{ runner.os }}-sbt-${{ hashFiles('**/build.sbt') }} + + - name: Cache tools + id: tools + uses: actions/cache@v2 + with: + path: | + ~/tools + key: ${{ runner.os }}-tools_v4 + + - name: Setup env + run: echo "$HOME/tools/bin" >> $GITHUB_PATH + + - name: Install cached tools + if: steps.tools.outputs.cache-hit != 'true' + run: source tools.sh && (cd ~/ && install_verilator) + + - name: Install uncached tools + run: (cd .. && git clone https://github.com/SpinalHDL/SpinalHDL.git -b dev) + + - name: Compile tests + run: sbt "+test:compile" + + - name: Test Dhrystones + run: make regression_dhrystone -C scripts/regression + + - name: Test Baremetal + run: make regression_random_baremetal -C scripts/regression + + - name: Test Machine OS + run: make regression_random_machine_os -C scripts/regression + + - name: Test Linux + run: make regression_random_linux -C scripts/regression + diff --git a/VexRiscv/.gitignore b/VexRiscv/.gitignore new file mode 100644 index 0000000..641c926 --- /dev/null +++ b/VexRiscv/.gitignore @@ -0,0 +1,54 @@ +*.class +*.log +*.bak +.*.swp + +# sbt specific +.cache/ +.history/ +.lib/ +dist/* +target +lib_managed/ +src_managed/ +project/boot/ +project/plugins/project/ + +# Scala-IDE specific +.scala_dependencies +.worksheet + +.idea +out + +# Eclipse +bin/ +.classpath +.project +.cproject +.settings +.cache-main + +#User +/*.vhd +/*.v +*.cf +*.json +*.vcd +*.fst* +!tester/src/test/resources/*.vhd +obj_dir +*.logTrace +*.yaml +*.memTrace +*.regTrace +*.debugTrace +*.tcl +*.o +*.bin +explor + +simWorkspace/ +tmp/ +/archive.tar.gz +*.out32 diff --git a/VexRiscv/.gitmodules b/VexRiscv/.gitmodules new file mode 100644 index 0000000..4205bb2 --- /dev/null +++ b/VexRiscv/.gitmodules @@ -0,0 +1,3 @@ +[submodule "src/test/resources/VexRiscvRegressionData"] + path = src/test/resources/VexRiscvRegressionData + url = https://github.com/SpinalHDL/VexRiscvRegressionData.git diff --git a/VexRiscv/.travis.yml b/VexRiscv/.travis.yml new file mode 100644 index 0000000..65168b3 --- /dev/null +++ b/VexRiscv/.travis.yml @@ -0,0 +1,89 @@ +language: scala + +dist: xenial + +notifications: + email: + on_success: never + +scala: + - 2.11.12 + +sbt_args: -no-colors -J-Xss2m + +addons: + apt: + sources: + - ubuntu-toolchain-r-test + packages: + - git + - make + - autoconf + - g++ + - flex + - bison + +jdk: + - openjdk10 + +jobs: + include: + - stage: prepare cache-verilator + script: + - cp scripts/regression/verilator.mk $HOME/makefile + - cd $HOME + - make verilator_binary + - &test + stage: Test + name: Dhrystone + script: + - make regression_dhrystone -C scripts/regression + - <<: *test + stage: Test + name: Baremetal + script: + - make regression_random_baremetal -C scripts/regression + - <<: *test + stage: Test + name: Machine OS + script: + - make regression_random_machine_os -C scripts/regression + - <<: *test + stage: Test + name: Machine OS + script: + - make regression_random_machine_os -C scripts/regression + - <<: *test + stage: Test + name: Mixed + script: + - make regression_random -C scripts/regression + - <<: *test + stage: Test + name: Linux + script: + - make regression_random_linux -C scripts/regression + - <<: *test + stage: Test + name: Linux + script: + - make regression_random_linux -C scripts/regression + +before_install: + - cd .. + - git clone https://github.com/SpinalHDL/SpinalHDL.git -b dev + - cd VexRiscv + - export VERILATOR_ROOT=$HOME/verilator + - export PATH=$VERILATOR_ROOT/bin:$PATH + +before_cache: + - rm -fv $HOME/.ivy2/.sbt.ivy.lock + - find $HOME/.ivy2/cache -name "ivydata-*.properties" -print -delete + - find $HOME/.sbt -name "*.lock" -print -delete + +cache: + directories: + - $HOME/.ivy2/cache + - $HOME/.sbt + - $HOME/verilator + diff --git a/VexRiscv/LICENSE b/VexRiscv/LICENSE new file mode 100644 index 0000000..0675e44 --- /dev/null +++ b/VexRiscv/LICENSE @@ -0,0 +1,21 @@ +MIT License + +Copyright (c) 2016 Spinal HDL contributors + +Permission is hereby granted, free of charge, to any person obtaining a copy +of this software and associated documentation files (the "Software"), to deal +in the Software without restriction, including without limitation the rights +to use, copy, modify, merge, publish, distribute, sublicense, and/or sell +copies of the Software, and to permit persons to whom the Software is +furnished to do so, subject to the following conditions: + +The above copyright notice and this permission notice shall be included in all +copies or substantial portions of the Software. + +THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE +SOFTWARE. \ No newline at end of file diff --git a/VexRiscv/README.md b/VexRiscv/README.md new file mode 100644 index 0000000..410d7f9 --- /dev/null +++ b/VexRiscv/README.md @@ -0,0 +1,1213 @@ +## Index + +- [Index](#index) +- [Description](#description) +- [Area usage and maximal frequency](#area-usage-and-maximal-frequency) +- [Dependencies](#dependencies) +- [CPU generation](#cpu-generation) +- [Regression tests](#regression-tests) +- [Interactive debug of the simulated CPU via GDB OpenOCD and Verilator](#interactive-debug-of-the-simulated-cpu-via-gdb-openocd-and-verilator) +- [Using Eclipse to run and debug the software](#using-eclipse-to-run-and-debug-the-software) + - [By using gnu-mcu-eclipse](#by-using-gnu-mcu-eclipse) + - [By using Zylin plugin (old)](#by-using-zylin-plugin-old) +- [Briey SoC](#briey-soc) +- [Murax SoC](#murax-soc) +- [Running Linux](#running-linux) +- [Build the RISC-V GCC](#build-the-risc-v-gcc) +- [CPU parametrization and instantiation example](#cpu-parametrization-and-instantiation-example) +- [Add a custom instruction to the CPU via the plugin system](#add-a-custom-instruction-to-the-cpu-via-the-plugin-system) +- [Adding a new CSR via the plugin system](#adding-a-new-csr-via-the-plugin-system) +- [CPU clock and resets](#cpu-clock-and-resets) +- [VexRiscv Architecture](#vexriscv-architecture) + - [FPU](#fpu) + - [Plugins](#plugins) + - [IBusSimplePlugin](#ibussimpleplugin) + - [IBusCachedPlugin](#ibuscachedplugin) + - [DecoderSimplePlugin](#decodersimpleplugin) + - [RegFilePlugin](#regfileplugin) + - [HazardSimplePlugin](#hazardsimpleplugin) + - [SrcPlugin](#srcplugin) + - [IntAluPlugin](#intaluplugin) + - [LightShifterPlugin](#lightshifterplugin) + - [FullBarrelShifterPlugin](#fullbarrelshifterplugin) + - [BranchPlugin](#branchplugin) + - [Prediction NONE](#prediction-none) + - [Prediction STATIC](#prediction-static) + - [Prediction DYNAMIC](#prediction-dynamic) + - [Prediction DYNAMIC_TARGET](#prediction-dynamic_target) + - [DBusSimplePlugin](#dbussimpleplugin) + - [DBusCachedPlugin](#dbuscachedplugin) + - [MulPlugin](#mulplugin) + - [DivPlugin](#divplugin) + - [MulDivIterativePlugin](#muldiviterativeplugin) + - [CsrPlugin](#csrplugin) + - [StaticMemoryTranslatorPlugin](#staticmemorytranslatorplugin) + - [MmuPlugin](#mmuplugin) + - [PmpPlugin](#pmpplugin) + - [DebugPlugin](#debugplugin) + - [YamlPlugin](#yamlplugin) + - [FpuPlugin](#fpuplugin) + - [AesPlugin](#aesplugin) + + + +## Description + +This repository hosts a RISC-V implementation written in SpinalHDL. Here are some specs : + +- RV32I[M][A][F[D]][C] instruction set +- Pipelined from 2 to 5+ stages ([Fetch*X], Decode, Execute, [Memory], [WriteBack]) +- 1.44 DMIPS/Mhz --no-inline when nearly all features are enabled (1.57 DMIPS/Mhz when the divider lookup table is enabled) +- Optimized for FPGA, does not use any vendor specific IP block / primitive +- AXI4, Avalon, wishbone ready +- Optional MUL/DIV extensions +- Optional F32/F64 FPU (require data cache for now) +- Optional instruction and data caches +- Optional hardware refilled MMU +- Optional debug extension allowing Eclipse debugging via a GDB >> openOCD >> JTAG connection +- Optional interrupts and exception handling with Machine, [Supervisor] and [User] modes as defined in the [RISC-V Privileged ISA Specification v1.10](https://riscv.org/specifications/privileged-isa/). +- Two implementations of shift instructions: single cycle (full barrel shifter) and shiftNumber cycles +- Each stage can have optional bypass or interlock hazard logic +- Linux compatible (SoC : https://github.com/enjoy-digital/linux-on-litex-vexriscv) +- Zephyr compatible +- [FreeRTOS port](https://github.com/Dolu1990/FreeRTOS-RISCV) + +The hardware description of this CPU is done by using a very software oriented approach +(without any overhead in the generated hardware). Here is a list of software concepts used: + +- There are very few fixed things. Nearly everything is plugin based. The PC manager is a plugin, the register file is a plugin, the hazard controller is a plugin, ... +- There is an automatic a tool which allows plugins to insert data in the pipeline at a given stage, and allows other plugins to read it in another stage through automatic pipelining. +- There is a service system which provides a very dynamic framework. For instance, a plugin could provide an exception service which can then be used by other plugins to emit exceptions from the pipeline. + +There is a gitter channel for all questions about VexRiscv :
+[![Gitter](https://badges.gitter.im/SpinalHDL/VexRiscv.svg)](https://gitter.im/SpinalHDL/VexRiscv?utm_source=badge&utm_medium=badge&utm_campaign=pr-badge) + +For commercial support, please contact spinalhdl@gmail.com. + +## Area usage and maximal frequency + +The following numbers were obtained by synthesizing the CPU as toplevel on the fastest speed grade without any specific synthesis options to save area or to get better maximal frequency (neutral).
+The clock constraint is set to an unattainable value, which tends to increase the design area.
+The dhrystone benchmark was compiled with the `-O3 -fno-inline` option.
+All the cached configurations have some cache trashing during the dhrystone benchmark except the `VexRiscv full max perf` one. This, of course, reduces the performance. It is possible to produce +dhrystone binaries which fit inside a 4KB I$ and 4KB D$ (I already had this case once) but currently it isn't the case.
+The CPU configurations used below can be found in the `src/scala/vexriscv/demo` directory. + +``` +VexRiscv small (RV32I, 0.52 DMIPS/Mhz, no datapath bypass, no interrupt) -> + Artix 7 -> 243 Mhz 504 LUT 505 FF + Cyclone V -> 174 Mhz 352 ALMs + Cyclone IV -> 179 Mhz 731 LUT 494 FF + iCE40 -> 92 Mhz 1130 LC + +VexRiscv small (RV32I, 0.52 DMIPS/Mhz, no datapath bypass) -> + Artix 7 -> 240 Mhz 556 LUT 566 FF + Cyclone V -> 194 Mhz 394 ALMs + Cyclone IV -> 174 Mhz 831 LUT 555 FF + iCE40 -> 85 Mhz 1292 LC + +VexRiscv small and productive (RV32I, 0.82 DMIPS/Mhz) -> + Artix 7 -> 232 Mhz 816 LUT 534 FF + Cyclone V -> 155 Mhz 492 ALMs + Cyclone IV -> 155 Mhz 1,111 LUT 530 FF + iCE40 -> 63 Mhz 1596 LC + +VexRiscv small and productive with I$ (RV32I, 0.70 DMIPS/Mhz, 4KB-I$) -> + Artix 7 -> 220 Mhz 730 LUT 570 FF + Cyclone V -> 142 Mhz 501 ALMs + Cyclone IV -> 150 Mhz 1,139 LUT 536 FF + iCE40 -> 66 Mhz 1680 LC + +VexRiscv full no cache (RV32IM, 1.21 DMIPS/Mhz 2.30 Coremark/Mhz, single cycle barrel shifter, debug module, catch exceptions, static branch) -> + Artix 7 -> 216 Mhz 1418 LUT 949 FF + Cyclone V -> 133 Mhz 933 ALMs + Cyclone IV -> 143 Mhz 2,076 LUT 972 FF + +VexRiscv full (RV32IM, 1.21 DMIPS/Mhz 2.30 Coremark/Mhz with cache trashing, 4KB-I$,4KB-D$, single cycle barrel shifter, debug module, catch exceptions, static branch) -> + Artix 7 -> 199 Mhz 1840 LUT 1158 FF + Cyclone V -> 141 Mhz 1,166 ALMs + Cyclone IV -> 131 Mhz 2,407 LUT 1,067 FF + +VexRiscv full max perf (HZ*IPC) -> (RV32IM, 1.38 DMIPS/Mhz 2.57 Coremark/Mhz, 8KB-I$,8KB-D$, single cycle barrel shifter, debug module, catch exceptions, dynamic branch prediction in the fetch stage, branch and shift operations done in the Execute stage) -> + Artix 7 -> 200 Mhz 1935 LUT 1216 FF + Cyclone V -> 130 Mhz 1,166 ALMs + Cyclone IV -> 126 Mhz 2,484 LUT 1,120 FF + +VexRiscv full with MMU (RV32IM, 1.24 DMIPS/Mhz 2.35 Coremark/Mhz, with cache trashing, 4KB-I$, 4KB-D$, single cycle barrel shifter, debug module, catch exceptions, dynamic branch, MMU) -> + Artix 7 -> 151 Mhz 2021 LUT 1541 FF + Cyclone V -> 124 Mhz 1,368 ALMs + Cyclone IV -> 128 Mhz 2,826 LUT 1,474 FF + +VexRiscv linux balanced (RV32IMA, 1.21 DMIPS/Mhz 2.27 Coremark/Mhz, with cache trashing, 4KB-I$, 4KB-D$, single cycle barrel shifter, catch exceptions, static branch, MMU, Supervisor, Compatible with mainstream linux) -> + Artix 7 -> 180 Mhz 2883 LUT 2130 FF + Cyclone V -> 131 Mhz 1,764 ALMs + Cyclone IV -> 121 Mhz 3,608 LUT 2,082 FF +``` + +The following configuration results in 1.44 DMIPS/MHz: + +- 5 stage: F -> D -> E -> M -> WB +- single cycle ADD/SUB/Bitwise/Shift ALU +- branch/jump done in the E stage +- memory load values are bypassed in the WB stage (late result) +- 33 cycle division with bypassing in the M stage (late result) +- single cycle multiplication with bypassing in the WB stage (late result) +- dynamic branch prediction done in the F stage with a direct mapped target buffer cache (no penalties on correct predictions) + +Note that, recently, the capability to remove the Fetch/Memory/WriteBack stage was added to reduce the area of the CPU, which ends up with a smaller CPU and a better DMIPS/Mhz for the small configurations. + +## Dependencies + +On Ubuntu 14: + +```sh +# JAVA JDK 8 +sudo add-apt-repository -y ppa:openjdk-r/ppa +sudo apt-get update +sudo apt-get install openjdk-8-jdk -y +sudo update-alternatives --config java +sudo update-alternatives --config javac + +# Install SBT - https://www.scala-sbt.org/ +echo "deb https://repo.scala-sbt.org/scalasbt/debian all main" | sudo tee /etc/apt/sources.list.d/sbt.list +echo "deb https://repo.scala-sbt.org/scalasbt/debian /" | sudo tee /etc/apt/sources.list.d/sbt_old.list +curl -sL "https://keyserver.ubuntu.com/pks/lookup?op=get&search=0x2EE0EA64E40A89B84B2DF73499E82A75642AC823" | sudo apt-key add +sudo apt-get update +sudo apt-get install sbt + +# Verilator (for sim only, really needs 3.9+, in general apt-get will give you 3.8) +sudo apt-get install git make autoconf g++ flex bison +git clone http://git.veripool.org/git/verilator # Only first time +unsetenv VERILATOR_ROOT # For csh; ignore error if on bash +unset VERILATOR_ROOT # For bash +cd verilator +git pull # Make sure we're up-to-date +git checkout v4.216 +autoconf # Create ./configure script +./configure +make +sudo make install +``` + +## CPU generation +You can find two example CPU instances in: +- `src/main/scala/vexriscv/demo/GenFull.scala` +- `src/main/scala/vexriscv/demo/GenSmallest.scala` + +To generate the corresponding RTL as a `VexRiscv.v` file, run the following commands in the root directory of this repository: + +```sh +sbt "runMain vexriscv.demo.GenFull" +``` + +or + +```sh +sbt "runMain vexriscv.demo.GenSmallest" +``` + +NOTES: +- It could take time the first time you run it. +- The VexRiscv project may need an unreleased master-head of the SpinalHDL repo. If it fails to compile, just get the SpinalHDL repository and + do a "sbt clean compile publishLocal" in it as described in the dependencies chapter. + +## Regression tests + +[![Build Status](https://travis-ci.org/SpinalHDL/VexRiscv.svg?branch=master)](https://travis-ci.org/SpinalHDL/VexRiscv) + +To run tests (need java, scala, verilator), just do : + +```sh +export VEXRISCV_REGRESSION_SEED=42 +export VEXRISCV_REGRESSION_TEST_ID= +sbt "testOnly vexriscv.TestIndividualFeatures" +``` + +This will generate random VexRiscv configuration and test them with: +- ISA tests from https://github.com/riscv/riscv-tests/tree/master/isa and https://github.com/riscv/riscv-compliance +- Dhrystone benchmark +- Coremark benchmark +- Zephyr os +- Buildroot/Linux os +- Some handwritten tests to check the CSR, debug module and MMU plugins + +You can rerun some specific test by setting VEXRISCV_REGRESSION_TEST_ID by their id. For instance, if you want to rerun : +- test_id_5_test_IBus_CachedS1024W1BPL32Relaxvexriscv.plugin.DYNAMIC_DBus_CachedS8192W2BPL16_MulDiv_MulDivFpga_Shift_FullLate_Branch_Late_Hazard_BypassAll_RegFile_SyncDR_Src__Csr_AllNoException_Decoder__Debug_None_DBus_NoMmu +- test_id_9_test_IBus_Simple1S2InjStagevexriscv.plugin.STATIC_DBus_SimpleLate_MulDiv_MulDivFpgaSimple_Shift_FullEarly_Branch_Late_Hazard_Interlock_RegFile_AsyncER_Src_AddSubExecute_Csr_None_Decoder__Debug_None_DBus_NoMmu + +then : + +``` +export VEXRISCV_REGRESSION_TEST_ID=5,9 +``` + +Also there is a few environnement variable that you can use to modulate the random generation : + +| Parameters | range | description | +| ------------------------------------------- | ------------------ | ----------- | +| VEXRISCV_REGRESSION_SEED | Int | Seed used to generate the random configurations | +| VEXRISCV_REGRESSION_TEST_ID | \[Int\[,\Int\]\*\] | Random configuration that should be keeped and tested | +| VEXRISCV_REGRESSION_CONFIG_COUNT | Int | Number of random configurations | +| VEXRISCV_REGRESSION_CONFIG_RVC_RATE | 0.0-1.0 | Chance to generate a RVC config | +| VEXRISCV_REGRESSION_CONFIG_LINUX_RATE | 0.0-1.0 | Chance to generate a linux ready config | +| VEXRISCV_REGRESSION_CONFIG_MACHINE_OS_RATE | 0.0-1.0 | Chance to generate a machine mode OS ready config | +| VEXRISCV_REGRESSION_LINUX_REGRESSION | yes/no | Enable the linux test | +| VEXRISCV_REGRESSION_COREMARK | yes/no | Enable the Coremark test | +| VEXRISCV_REGRESSION_ZEPHYR_COUNT | Int | Number of zephyr tests to run on capable configs | +| VEXRISCV_REGRESSION_CONFIG_DEMW_RATE | 0.0-1.0 | Chance to generate a config with writeback stage | +| VEXRISCV_REGRESSION_CONFIG_DEM_RATE | 0.0-1.0 | Chance to generate a config with memory stage | + +## Interactive debug of the simulated CPU via GDB OpenOCD and Verilator +To use this, you just need to use the same command as with running tests, but adding `DEBUG_PLUGIN_EXTERNAL=yes` in the make arguments. +This works for the `GenFull` configuration, but not for `GenSmallest`, as this configuration has no debug module. + +Then, you can use the [OpenOCD RISC-V](https://github.com/SpinalHDL/openocd_riscv) tool to create a GDB server connected to the target (the simulated CPU), as follows: + +```sh +#In the VexRiscv repository, to run the simulation on which one OpenOCD can connect itself => +sbt "runMain vexriscv.demo.GenFull" +cd src/test/cpp/regression +make run DEBUG_PLUGIN_EXTERNAL=yes + +#In the openocd git, after building it => +src/openocd -c "set VEXRISCV_YAML PATH_TO_THE_GENERATED_CPU0_YAML_FILE" -f tcl/target/vexriscv_sim.cfg + +#Run a GDB session with an elf RISCV executable (GenFull CPU) +YourRiscvToolsPath/bin/riscv32-unknown-elf-gdb VexRiscvRepo/src/test/resources/elf/uart.elf +target remote localhost:3333 +monitor reset halt +load +continue + +# Now it should print messages in the Verilator simulation of the CPU +``` + +## Using Eclipse to run and debug the software + +### By using gnu-mcu-eclipse + +You can download releases of the IDE here: + +In the IDE, you can import a makefile project by: +- file -> import -> C/C++ -> existing Code as Makefile Project +- Select the folder which contains the makefile, then select "Cross GCC" (not "RISC-V Cross GCC") + +To create a new debug configuration: +- run -> Debug Configurations -> GDB OpenOCD Debugging double click +- Look at https://drive.google.com/open?id=1c46tyEV0xLwOsk76b0y2qqs8CYy7Zq3f for a configuration example + +### By using Zylin plugin (old) +You can use the Eclipse + Zylin embedded CDT plugin to do it (http://opensource.zylin.com/embeddedcdt.html). Tested with Helios Service Release 2 (http://www.Eclipse.org/downloads/download.php?file=/technology/epp/downloads/release/helios/SR2/Eclipse-cpp-helios-SR2-linux-gtk-x86_64.tar.gz) and the corresponding zylin plugin. + +To following commands will download Eclipse and install the plugin. + +```sh +wget http://www.eclipse.org/downloads/download.php?file=/technology/epp/downloads/release/helios/SR2/eclipse-cpp-helios-SR2-linux-gtk-x86_64.tar.gz +tar -xvzf download.php?file=%2Ftechnology%2Fepp%2Fdownloads%2Frelease%2Fhelios%2FSR2%2Feclipse-cpp-helios-SR2-linux-gtk-x86_64.tar.gz +cd eclipse +./eclipse -application org.eclipse.equinox.p2.director -repository http://opensource.zylin.com/zylincdt -installIU com.zylin.cdt.feature.feature.group/ +``` + +See https://drive.google.com/drive/folders/1NseNHH05B6lmIXqQFVwK8xRjWE4ydeG-?usp=sharing to import a makefile project and create a debug configuration. + +Note that sometimes Eclipse needs to be restarted in order to be able to place new breakpoints. + +If you want to get more information about how all this JTAG / GDB stuff work, you can find great blog about it here : + + + +## Briey SoC +As a demonstration, a SoC named Briey is implemented in `src/main/scala/vexriscv/demo/Briey.scala`. This SoC is very similar to +the [Pinsec SoC](https://spinalhdl.github.io/SpinalDoc-RTD/SpinalHDL/Legacy/pinsec/hardware_toplevel.html#): + +![Briey SoC](assets/brieySoc.png?raw=true "") + +To generate the Briey SoC Hardware: + +```sh +sbt "runMain vexriscv.demo.Briey" +``` + +To run the verilator simulation of the Briey SoC, which can then be connected to OpenOCD/GDB, first get these dependencies: + +```sh +sudo apt-get install build-essential xorg-dev libudev-dev libgl1-mesa-dev libglu1-mesa-dev libasound2-dev libpulse-dev libopenal-dev libogg-dev libvorbis-dev libaudiofile-dev libpng12-dev libfreetype6-dev libusb-dev libdbus-1-dev zlib1g-dev libdirectfb-dev libsdl2-dev +``` + +Then go in `src/test/cpp/briey` and run the simulation with (UART TX is printed in the terminal, VGA is displayed in a GUI): + +```sh +make clean run +``` + +To connect OpenOCD (https://github.com/SpinalHDL/openocd_riscv) to the simulation : + +```sh +src/openocd -f tcl/interface/jtag_tcp.cfg -c "set BRIEY_CPU0_YAML /home/spinalvm/Spinal/VexRiscv/cpu0.yaml" -f tcl/target/briey.cfg +``` + +You can find multiple software examples and demos here: + +You can find some FPGA projects which instantiate the Briey SoC here (DE1-SoC, DE0-Nano): https://drive.google.com/drive/folders/0B-CqLXDTaMbKZGdJZlZ5THAxRTQ?usp=sharing + +Here are some measurements of Briey SoC timings and area: + +``` +Artix 7 -> 181 Mhz 3220 LUT 3181 FF +Cyclone V -> 142 Mhz 2,222 ALMs +Cyclone IV -> 130 Mhz 4,538 LUT 3,211 FF +``` + +## Murax SoC + +Murax is a very light SoC (it fits in an ICE40 FPGA) which can work without any external components: +- VexRiscv RV32I[M] +- JTAG debugger (Eclipse/GDB/openocd ready) +- 8 kB of on-chip ram +- Interrupt support +- APB bus for peripherals +- 32 GPIO pin +- one 16 bits prescaler, two 16 bits timers +- one UART with tx/rx fifo + +Depending on the CPU configuration, on the ICE40-hx8k FPGA with icestorm for synthesis, the full SoC has the following area/performance: +- RV32I interlocked stages => 51 Mhz, 2387 LC 0.45 DMIPS/Mhz +- RV32I bypassed stages => 45 Mhz, 2718 LC 0.65 DMIPS/Mhz + +Its implementation can be found here: `src/main/scala/vexriscv/demo/Murax.scala`. + +To generate the Murax SoC Hardware: + +```sh +# To generate the SoC without any content in the ram +sbt "runMain vexriscv.demo.Murax" + +# To generate the SoC with a demo program already in ram +sbt "runMain vexriscv.demo.MuraxWithRamInit" +``` + +The demo program included by default with `MuraxWithRamInit` will blink the +LEDs and echo characters received on the UART back to the user. To see this +when running the Verilator sim, type some text and press enter. + +Then go in `src/test/cpp/murax` and run the simulation with: + +```sh +make clean run +``` + +To connect OpenOCD (https://github.com/SpinalHDL/openocd_riscv) to the simulation: + +```sh +src/openocd -f tcl/interface/jtag_tcp.cfg -c "set MURAX_CPU0_YAML /home/spinalvm/Spinal/VexRiscv/cpu0.yaml" -f tcl/target/murax.cfg +``` + +You can find multiple software examples and demos here: https://github.com/SpinalHDL/VexRiscvSocSoftware/tree/master/projects/murax + +Here are some timing and area measurements of the Murax SoC: + +``` +Murax interlocked stages (0.45 DMIPS/Mhz, 8 bits GPIO) -> + Artix 7 -> 216 Mhz 1109 LUT 1201 FF + Cyclone V -> 182 Mhz 725 ALMs + Cyclone IV -> 147 Mhz 1,551 LUT 1,223 FF + iCE40 -> 64 Mhz 2422 LC (nextpnr) + +MuraxFast bypassed stages (0.65 DMIPS/Mhz, 8 bits GPIO) -> + Artix 7 -> 224 Mhz 1278 LUT 1300 FF + Cyclone V -> 173 Mhz 867 ALMs + Cyclone IV -> 143 Mhz 1,755 LUT 1,258 FF + iCE40 -> 66 Mhz 2799 LC (nextpnr) +``` + +Some scripts to generate the SoC and call the icestorm toolchain can be found here: `scripts/Murax/` + +A top level simulation testbench with the same features + a GUI are implemented with SpinalSim. You can find it in `src/test/scala/vexriscv/MuraxSim.scala`. + +To run it : + +```sh +# This will generate the Murax RTL + run its testbench. You need Verilator 3.9xx installated. +sbt "test:runMain vexriscv.MuraxSim" +``` + +## Running Linux + +A default configuration is located in `src/main/scala/vexriscv/demo/Linux.scala`. + +This file also contains +- The commands to compile the buildroot image +- How to run the Verilator simulation in interative mode + +There is currently no SoC to run it on hardware, it is WIP. But the CPU simulation can already boot linux and run user space applications (even python). + +Note that VexRiscv can run Linux on both cache full and cache less design. + +## Build the RISC-V GCC + +A prebuild GCC toolsuite can be found here: + +- https://www.sifive.com/software/ => Prebuilt RISC‑V GCC Toolchain and Emulator + +The VexRiscvSocSoftware makefiles are expecting to find this prebuild version in /opt/riscv/__contentOfThisPreBuild__ + +```sh +version=riscv64-unknown-elf-gcc-8.3.0-2019.08.0-x86_64-linux-ubuntu14 +wget -O riscv64-unknown-elf-gcc.tar.gz riscv https://static.dev.sifive.com/dev-tools/$version.tar.gz +tar -xzvf riscv64-unknown-elf-gcc.tar.gz +sudo mv $version /opt/riscv +echo 'export PATH=/opt/riscv/bin:$PATH' >> ~/.bashrc +``` + +If you want to compile the rv32i and rv32im GCC toolchain from source code and install them in `/opt/`, do the following (will take one hour): + +```sh +# Be carefull, sometime the git clone has issue to successfully clone riscv-gnu-toolchain. +sudo apt-get install autoconf automake autotools-dev curl libmpc-dev libmpfr-dev libgmp-dev gawk build-essential bison flex texinfo gperf libtool patchutils bc zlib1g-dev -y + +git clone --recursive https://github.com/riscv/riscv-gnu-toolchain riscv-gnu-toolchain +cd riscv-gnu-toolchain + +echo "Starting RISC-V Toolchain build process" + +ARCH=rv32im +rmdir -rf $ARCH +mkdir $ARCH; cd $ARCH +../configure --prefix=/opt/$ARCH --with-arch=$ARCH --with-abi=ilp32 +sudo make -j4 +cd .. + + +ARCH=rv32i +rmdir -rf $ARCH +mkdir $ARCH; cd $ARCH +../configure --prefix=/opt/$ARCH --with-arch=$ARCH --with-abi=ilp32 +sudo make -j4 +cd .. + +echo -e "\\nRISC-V Toolchain installation completed!" +``` + +## CPU parametrization and instantiation example + +You can find many examples of different configurations in the https://github.com/SpinalHDL/VexRiscv/tree/master/src/main/scala/vexriscv/demo folder. + +Here is one such example: + +```scala +import vexriscv._ +import vexriscv.plugin._ + +//Instanciate one VexRiscv +val cpu = new VexRiscv( + //Provide a configuration instance + config = VexRiscvConfig( + //Provide a list of plugins which will futher add their logic into the CPU + plugins = List( + new IBusSimplePlugin( + resetVector = 0x00000000l, + cmdForkOnSecondStage = true, + cmdForkPersistence = true + ), + new DBusSimplePlugin( + catchAddressMisaligned = false, + catchAccessFault = false + ), + new DecoderSimplePlugin( + catchIllegalInstruction = false + ), + new RegFilePlugin( + regFileReadyKind = Plugin.SYNC, + zeroBoot = true + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = false + ), + new LightShifterPlugin, + new HazardSimplePlugin( + bypassExecute = false, + bypassMemory = false, + bypassWriteBack = false, + bypassWriteBackBuffer = false + ), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = false + ), + new YamlPlugin("cpu0.yaml") + ) + ) +) +``` + +## Add a custom instruction to the CPU via the plugin system + +Here is an example of a simple plugin which adds a simple SIMD_ADD instruction: + +```scala +import spinal.core._ +import vexriscv.plugin.Plugin +import vexriscv.{Stageable, DecoderService, VexRiscv} + +//This plugin example will add a new instruction named SIMD_ADD which does the following: +// +//RD : Regfile Destination, RS : Regfile Source +//RD( 7 downto 0) = RS1( 7 downto 0) + RS2( 7 downto 0) +//RD(16 downto 8) = RS1(16 downto 8) + RS2(16 downto 8) +//RD(23 downto 16) = RS1(23 downto 16) + RS2(23 downto 16) +//RD(31 downto 24) = RS1(31 downto 24) + RS2(31 downto 24) +// +//Instruction encoding : +//0000011----------000-----0110011 +// |RS2||RS1| |RD | +// +//Note : RS1, RS2, RD positions follow the RISC-V spec and are common for all instruction of the ISA + +class SimdAddPlugin extends Plugin[VexRiscv]{ + //Define the concept of IS_SIMD_ADD signals, which specify if the current instruction is destined for this plugin + object IS_SIMD_ADD extends Stageable(Bool) + + //Callback to setup the plugin and ask for different services + override def setup(pipeline: VexRiscv): Unit = { + import pipeline.config._ + + //Retrieve the DecoderService instance + val decoderService = pipeline.service(classOf[DecoderService]) + + //Specify the IS_SIMD_ADD default value when instructions are decoded + decoderService.addDefault(IS_SIMD_ADD, False) + + //Specify the instruction decoding which should be applied when the instruction matches the 'key' parttern + decoderService.add( + //Bit pattern of the new SIMD_ADD instruction + key = M"0000011----------000-----0110011", + + //Decoding specification when the 'key' pattern is recognized in the instruction + List( + IS_SIMD_ADD -> True, + REGFILE_WRITE_VALID -> True, //Enable the register file write + BYPASSABLE_EXECUTE_STAGE -> True, //Notify the hazard management unit that the instruction result is already accessible in the EXECUTE stage (Bypass ready) + BYPASSABLE_MEMORY_STAGE -> True, //Same as above but for the memory stage + RS1_USE -> True, //Notify the hazard management unit that this instruction uses the RS1 value + RS2_USE -> True //Same as above but for RS2. + ) + ) + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + + //Add a new scope on the execute stage (used to give a name to signals) + execute plug new Area { + //Define some signals used internally by the plugin + val rs1 = execute.input(RS1).asUInt + //32 bits UInt value of the regfile[RS1] + val rs2 = execute.input(RS2).asUInt + val rd = UInt(32 bits) + + //Do some computations + rd(7 downto 0) := rs1(7 downto 0) + rs2(7 downto 0) + rd(16 downto 8) := rs1(16 downto 8) + rs2(16 downto 8) + rd(23 downto 16) := rs1(23 downto 16) + rs2(23 downto 16) + rd(31 downto 24) := rs1(31 downto 24) + rs2(31 downto 24) + + //When the instruction is a SIMD_ADD, write the result into the register file data path. + when(execute.input(IS_SIMD_ADD)) { + execute.output(REGFILE_WRITE_DATA) := rd.asBits + } + } + } +} +``` + +If you want to add this plugin to a given CPU, you just need to add it to its parameterized plugin list. + +This example is a very simple one, but each plugin can really have access to the whole CPU: +- Halt a given stage of the CPU +- Unschedule instructions +- Emit an exception +- Introduce a new instruction decoding specification +- Ask to jump the PC somewhere +- Read signals published by other plugins +- Override published signals values +- Provide an alternative implementation +- ... + +As a demonstration, this SimdAddPlugin was integrated in the `src/main/scala/vexriscv/demo/GenCustomSimdAdd.scala` CPU configuration +and is self-tested by the `src/test/cpp/custom/simd_add` application by running the following commands: + +```sh +# Generate the CPU +sbt "runMain vexriscv.demo.GenCustomSimdAdd" + +cd src/test/cpp/regression/ + +# Optionally add TRACE=yes if you want to get the VCD waveform from the simulation. +# Also you have to know that, by default, the testbench introduce instruction/data bus stall. +# Note the CUSTOM_SIMD_ADD flag is set to yes. +make clean run IBUS=SIMPLE DBUS=SIMPLE CSR=no MMU=no DEBUG_PLUGIN=no MUL=no DIV=no DHRYSTONE=no REDO=2 CUSTOM_SIMD_ADD=yes +``` + +To retrieve the plugin related signals in your waveform viewer, just filter with `simd`. + +## Adding a new CSR via the plugin system + +Here are two examples about how to add a custom CSR to the CPU via the plugin system: +https://github.com/SpinalHDL/VexRiscv/blob/master/src/main/scala/vexriscv/demo/CustomCsrDemoPlugin.scala + +The first one (`CustomCsrDemoPlugin`) adds an instruction counter and a clock cycle counter into the CSR mapping (and also do tricky stuff as a demonstration). + +The second one (`CustomCsrDemoGpioPlugin`) creates a GPIO peripheral directly mapped into the CSR. + +## CPU clock and resets + +Without the debug plugin, the CPU will have a standard `clk` input and a `reset` input. But with the debug plugin the situation is the following: + +- `clk`: as before, the clock which drives the whole CPU design, including the debug logic +- `reset`: reset all the CPU states except the debug logic +- `debugReset`: reset the debug logic of the CPU +- `debug_resetOut`: a CPU output signal which allows the JTAG to reset the CPU + the memory interconnect + the peripherals + +So here is the reset interconnect, in case you use the debug plugin: + +``` + VexRiscv + +------------------+ + | | +toplevelReset >----+--------> debugReset | + | | | + | +-----< debug_resetOut | + | | | | + +--or>-+-> reset | + | | | + | +------------------+ + | + +-> Interconnect / Peripherals +``` + + +## VexRiscv Architecture + +VexRiscv is implemented via a 5 stage in-order pipeline on which many optional and complementary plugins add functionalities to provide a functional RISC-V CPU. +This approach is completely unconventional and only possible through meta hardware description languages (SpinalHDL, in the current case) but has proven its advantages +via the VexRiscv implementation: + +- You can swap/turn on/turn off parts of the CPU directly via the plugin system +- You can add new functionalities/instructions without having to modify any of the sources of the CPU +- It allows the CPU configuration to cover a very large spectrum of implementations without cooking spaghetti code +- It allows your codebase to truly produce a parametrized CPU design + +If you generate the CPU without any plugin, it will only contain the definition of the 5 pipeline stages and their basic arbitration, but nothing else, +and everything else, including the program counter is added into the CPU via plugins. + +### FPU + +Features : + +- Support IEEE 754 float and optionaly double +- Implement Subnormal (few cycles lost in case of subnormal load/store) +- Implement exceptions flags +- The FPU can be shared between multiple CPU +- Can be integrated inside or outside the CPU via the FpuPlugin +- Fully pipelined, can produce one result per cycle for most operations (add,sub, mul, fma, load, store), as long there is no inter-dependancies +- Implement multiplication using multiple sub multiplication operations in parallel ("FPGA friendly") +- Division done with radix 4 (2 bits per cycle) +- Square root done with radix 2 (1 bit per cycle) +- Currently only compatible with the DBusCachedPlugin for load and store +- 64 bits Load and store can be done in one cycle via the DBusCachedPlugin (even if VexRiscv is RV32) + +Accuracy, roundings (RNE, RTZ, RDN, RUP, RMM) and compliance: + +- Fully implemented excepted in the cases specified bellow +- In FMA, the result of the multiplication is rounded before the addition (keep mantissa width + 2 bits) +- A very special corner case of underflow flag do not follow IEEE 754 (rounding from subnormal to normal number) +- Very specific, but SGNJ instruction will not mutate the value from/to F32/F64 (no NaN-boxing mutation) + + There is a diagram of the FPU design and its CPU integration : + + ![fpuDesign](assets/fpuDesign.png?raw=true "") + + The FPU can be parametrized with FpuParameter data structure : + + | Parameters | type | description | + | ------ | ----------- | ------ | + | withDouble | Boolean | Enable 64 bits floating point (32 bits always enabled) | + | asyncRegFile | Boolean | Implement the register file using combinatorial reads (instead of syncronous reads) | + | mulWidthA | Boolean | Specify the width of the left operand of multiplication blocks | + | mulWidthB | Boolean | Same than above but the the right operand | + +Synthesis results of the FPU itself, without the CPU integration, on the fast speed grade : + +``` +Fpu 32 bits -> + Artix 7 relaxed -> 135 Mhz 1786 LUT 1778 FF + Artix 7 FMax -> 205 Mhz 2101 LUT 1778 FF +Fpu 64/32 bits -> + Artix 7 relaxed -> 101 Mhz 3336 LUT 3033 FF + Artix 7 FMax -> 165 Mhz 3728 LUT 3175 FF +``` + +Note that if you want to debug FPU code via the openocd_riscv.vexriscv target, you need to use the GDB from : + +https://static.dev.sifive.com/dev-tools/riscv64-unknown-elf-gcc-20171231-x86_64-linux-centos6.tar.gz + +More recent versions of gdb will not detect the FPU. Also, the openocd_riscv.vexriscv can't read CSR/FPU registers, so to have visibility on the floating points values, you need to compile your code in -O0, which will force values to be stored in memory (and so, be visible) + +### Plugins + +This chapter describes the currently implemented plugins. + +- [IBusSimplePlugin](#ibussimpleplugin) +- [IBusCachedPlugin](#ibuscachedplugin) +- [DecoderSimplePlugin](#decodersimpleplugin) +- [RegFilePlugin](#regfileplugin) +- [HazardSimplePlugin](#hazardsimpleplugin) +- [SrcPlugin](#srcplugin) +- [IntAluPlugin](#intaluplugin) +- [LightShifterPlugin](#lightshifterplugin) +- [FullBarrelShifterPlugin](#fullbarrelshifterplugin) +- [BranchPlugin](#branchplugin) +- [DBusSimplePlugin](#dbussimpleplugin) +- [DBusCachedPlugin](#dbuscachedplugin) +- [MulPlugin](#mulplugin) +- [DivPlugin](#divplugin) +- [MulDivIterativePlugin](#muldiviterativeplugin) +- [CsrPlugin](#csrplugin) +- [StaticMemoryTranslatorPlugin](#staticmemorytranslatorplugin) +- [MemoryTranslatorPlugin](#memorytranslatorplugin) +- [DebugPlugin](#debugplugin) +- [YamlPlugin](#yamlplugin) +- [FpuPlugin](#fpuplugin) + + +#### IBusSimplePlugin + +This plugin implements the CPU frontend (instruction fetch) via a very simple and neutral memory interface going outside the CPU. + +| Parameters | type | description | +| ------ | ----------- | ------ | +| catchAccessFault | Boolean | When true, an instruction read response with read error asserted results in a CPU exception trap. | +| resetVector | BigInt | Address of the program counter after the reset. | +| cmdForkOnSecondStage | Boolean | When false, branches immediately update the program counter. This minimizes branch penalties but might reduce FMax because the instruction bus address signal is a combinatorial path. When true, this combinatorial path is removed and the program counter is updated one cycle after a branch is detected. While FMax may improve, an additional branch penalty will be incurred as well. | +| cmdForkPersistence | Boolean | When false, requests on the iBus can disappear/change before they are acknowledged. This reduces area but isn't safe/supported by many arbitration/slaves. When true, once initiated, iBus requests will stay until they are acknowledged. | +| compressedGen | Boolean | Enable RISC-V compressed instruction (RVC) support. | +| busLatencyMin | Int | Specifies the minimal latency between the iBus.cmd and iBus.rsp. A corresponding number of stages are added to the frontend to keep the IPC to 1.| +| injectorStage | Boolean | When true, a stage between the frontend and the decode stage of the CPU is added to improve FMax. (busLatencyMin + injectorStage) should be at least two. | +| prediction | BranchPrediction | Can be set to NONE/STATIC/DYNAMIC/DYNAMIC_TARGET to specify the branch predictor implementation. See below for more details. | +| historyRamSizeLog2 | Int | Specify the number of entries in the direct mapped prediction cache of DYNAMIC/DYNAMIC_TARGET implementation. 2 pow historyRamSizeLog2 entries. | + +Here is the SimpleBus interface definition: + +```scala +case class IBusSimpleCmd() extends Bundle{ + val pc = UInt(32 bits) +} + +case class IBusSimpleRsp() extends Bundle with IMasterSlave{ + val error = Bool + val inst = Bits(32 bits) + + override def asMaster(): Unit = { + out(error,inst) + } +} + +case class IBusSimpleBus(interfaceKeepData : Boolean) extends Bundle with IMasterSlave{ + var cmd = Stream(IBusSimpleCmd()) + var rsp = Flow(IBusSimpleRsp()) + + override def asMaster(): Unit = { + master(cmd) + slave(rsp) + } +} +``` + +**Important** : check out the cmdForkPersistence parameter, because if it is not set, it can break the iBus compatibility with your memory system (unless you externaly add some buffers). + +Setting cmdForkPersistence and cmdForkOnSecondStage improves iBus cmd timings. + +The iBusSimplePlugin includes bridges to convert from the IBusSimpleBus to AXI4, Avalon, and Wishbone interfaces. + +This plugin implements a jump interface that allows all other plugins to issue a jump: + +```scala +trait JumpService{ + def createJumpInterface(stage : Stage) : Flow[UInt] +} +``` + +The stage argument specifies the stage from which the jump is asked. This allows the PcManagerSimplePlugin plugin to manage priorities between jump requests from +diffent stages. + +#### IBusCachedPlugin + +Simple and light multi-way instruction cache. + +| Parameters | type | description | +| ------ | ----------- | ------ | +| resetVector | BigInt | Address of the program counter after the reset. | +| relaxedPcCalculation | Boolean | When false, branches immediately update the program counter. This minimizes branch penalties but might reduce FMax because the instruction bus address signal is a combinatorial path. When true, this combinatorial path is removed and the program counter is updated one cycle after a branch is detected. While FMax may improve, an additional branch penalty will be incurred as well. | +| prediction | BranchPrediction | Can be set to NONE/STATIC/DYNAMIC/DYNAMIC_TARGET to specify the branch predictor implementation. See below for more details. | +| historyRamSizeLog2 | Int | Specify the number of entries in the direct mapped prediction cache of DYNAMIC/DYNAMIC_TARGET implementation. 2 pow historyRamSizeLog2 entries | +| compressedGen | Boolean | Enable RISC-V compressed instruction (RVC) support. | +| config.cacheSize | Int | Total storage capacity of the cache in bytes. | +| config.bytePerLine | Int | Number of bytes per cache line | +| config.wayCount | Int | Number of cache ways | +| config.twoCycleRam | Boolean | Check the tags values in the decode stage instead of the fetch stage to relax timings | +| config.asyncTagMemory | Boolean | Read the cache tags in an asynchronous manner instead of syncronous one | +| config.addressWidth | Int | CPU address width. Should be 32 | +| config.cpuDataWidth | Int | CPU data width. Should be 32 | +| config.memDataWidth | Int | Memory data width. Could potentialy be something else than 32, but only 32 is currently tested | +| config.catchIllegalAccess | Boolean | Catch when a memory access is done on non-valid memory address (MMU) | +| config.catchAccessFault | Boolean | Catch when the memeory bus is responding with an error | +| config.catchMemoryTranslationMiss | Boolean | Catch when the MMU miss a TLB | + +Note: If you enable the twoCycleRam option and if wayCount is bigger than one, then the register file plugin should be configured to read the regFile in an asynchronous manner. + +#### DecoderSimplePlugin + +This plugin provides instruction decoding capabilities to other plugins. + +For instance, for a given instruction, the pipeline hazard plugin needs to know if it uses the register file source 1/2 in order to stall the pipeline until the hazard is gone. +Each plugin that implements an instruction provides this kind of information to the DecoderSimplePlugin plugin. + +| Parameters | type | description | +| ------ | ----------- | ------ | +| catchIllegalInstruction | Boolean | When true, instructions that don't match a decoding specification will generate a trap exception | + +Here is a usage example: + +```scala + //Specify the instruction decoding which should be applied when the instruction matches the 'key' pattern + decoderService.add( + //Bit pattern of the new instruction + key = M"0000011----------000-----0110011", + + //Decoding specification when the 'key' pattern is recognized in the instruction + List( + IS_SIMD_ADD -> True, //Inform the pipeline that the current instruction is a SIMD_ADD instruction + REGFILE_WRITE_VALID -> True, //Notify the hazard management unit that this instruction writes to the register file + BYPASSABLE_EXECUTE_STAGE -> True, //Notify the hazard management unit that the instruction result is already accessible in the EXECUTE stage (Bypass ready) + BYPASSABLE_MEMORY_STAGE -> True, //Same as above but for the memory stage + RS1_USE -> True, //Notify the hazard management unit that this instruction uses the RS1 value + RS2_USE -> True //Same than above but for RS2. + ) + ) + } +``` + +This plugin operates in the Decode stage. + +#### RegFilePlugin + +This plugin implements the register file. + +| Parameters | type | description | +| ------ | ----------- | ------ | +| regFileReadyKind | RegFileReadKind | Can be set to ASYNC or SYNC. Specifies the kind of memory read used to implement the register file. ASYNC means zero cycle latency memory read, while SYNC means one cycle latency memory read which can be mapped into standard FPGA memory blocks | +| zeroBoot | Boolean | Load all registers with zeroes at the beginning of the simulation to keep everything deterministic in logs/traces| + +This register file use a `don't care` read-during-write policy, so the bypassing/hazard plugin should take care of this. + +If you get a `Missing inserts : INSTRUCTION_ANTICIPATE` error, that's because the RegFilePlugin is configured to use SYNC memory read ports to access the register file, but the IBus plugin configuration can't provide the instruction's register file read address one cycle before the decode stage. To workaround that you can : + +- Configure the RegFilePlugin to implement the register file read in a asyncronus manner (ASYNC), if your target device support such things +- If you use the IBusSimplePlugin, you need to enable the injectorStage configuration +- If you use the IBusCachedPlugin, you can either enable the injectorStage, or set twoCycleCache + twoCycleRam to false. + +#### HazardSimplePlugin + +This plugin checks the pipeline instruction dependencies and, if necessary or possible, will stop the instruction in the decoding stage or bypass the instruction results +from the later stages of the decode stage. + +Since the register file is implemented with a `don't care` read-during-write policy, this plugin also manages these kind of hazards. + +| Parameters | type | description | +| ------ | ----------- | ------ | +| bypassExecute | Boolean | Enable the bypassing of instruction results coming from the Execute stage | +| bypassMemory | Boolean | Enable the bypassing of instruction results coming from the Memory stage | +| bypassWriteBack | Boolean | Enable the bypassing of instruction results coming from the WriteBack stage | +| bypassWriteBackBuffer | Boolean | Enable the bypassing of the previous cycle register file written value | + +#### SrcPlugin + +This plugin muxes different input values to produce SRC1/SRC2/SRC_ADD/SRC_SUB/SRC_LESS values which are common values used by many plugins in the execute stage (ALU/Branch/Load/Store). + +| Parameters | type | description | +| ------ | ----------- | ------ | +| separatedAddSub | RegFileReadKind | By default SRC_ADD/SRC_SUB are generated from a single controllable adder/substractor, but if this is set to true, it use separate adder/substractors | +| executeInsertion | Boolean | By default SRC1/SRC2 are generated in the Decode stage, but if this parameter is true, it is done in the Execute stage (It will relax the bypassing network) | + +Except for SRC1/SRC2, this plugin does everything at the begining of Execute stage. + +#### IntAluPlugin + +This plugin implements all ADD/SUB/SLT/SLTU/XOR/OR/AND/LUI/AUIPC instructions in the execute stage by using the SrcPlugin outputs. It is a really simple plugin. + +The result is injected into the pipeline directly at the end of the execute stage. + +#### LightShifterPlugin + +Implements SLL/SRL/SRA instructions by using an iterative shifter register, while using one cycle per bit shift. + +The result is injected into the pipeline directly at the end of the execute stage. + +#### FullBarrelShifterPlugin + +Implements SLL/SRL/SRA instructions by using a full barrel shifter, so it executes all shifts in a single cycle. + +| Parameters | type | description | +| ------ | ----------- | ------ | +| earlyInjection | Boolean | By default the result of the shift is injected into the pipeline in the Memory stage to relax timings, but if this option is true it will be done in the Execute stage | + +#### BranchPlugin + +This plugin implements all branch/jump instructions (JAL/JALR/BEQ/BNE/BLT/BGE/BLTU/BGEU) with primitives used by the cpu frontend plugins to implement branch prediction. The prediction implementation is set in the frontend plugins (IBusX). + +| Parameters | type | description | +| ------ | ----------- | ------ | +| earlyBranch | Boolean | By default the branch is done in the Memory stage to relax timings, but if this option is set it's done in the Execute stage| +| catchAddressMisaligned | Boolean | If a jump/branch is done in an unaligned PC address, it will fire an trap exception | + +Each miss predicted jumps will produce between 2 and 4 cycles penalty depending the `earlyBranch` and the `PcManagerSimplePlugin.relaxedPcCalculation` configurations + +##### Prediction NONE + +No prediction: each PC change due to a jump/branch will produce a penalty. + +##### Prediction STATIC + +In the decode stage, a conditional branch pointing backwards or a JAL is branched speculatively. If the speculation is right, the branch penalty is reduced to a single cycle, +otherwise the standard penalty is applied. + +##### Prediction DYNAMIC + +Same as the STATIC prediction, except that to do the prediction, it uses a direct mapped 2 bit history cache (BHT) which remembers if the branch is more likely to be taken or not. + +##### Prediction DYNAMIC_TARGET + +This predictor uses a direct mapped branch target buffer (BTB) in the Fetch stage which stores the PC of the instruction, the target PC of the instruction and a 2 bit history to remember +if the branch is more likely to be taken or not. This is actually the most efficient branch predictor implemented on VexRiscv, because when the branch prediction is right, it produces no branch penalty. +The downside is that this predictor has a long combinatorial path coming from the prediction cache read port to the programm counter, passing through the jump interface. + +#### DBusSimplePlugin + +This plugin implements the load and store instructions (LB/LH/LW/LBU/LHU/LWU/SB/SH/SW) via a simple memory bus going out of the CPU. + +| Parameters | type | description | +| ------ | ----------- | ------ | +| catchAddressMisaligned | Boolean | If a memory access is done to an unaligned memory address, it will fire a trap exception | +| catchAccessFault | Boolean | If a memory read returns an error, it will fire a trap exception | +| earlyInjection | Boolean | By default, the memory read values are injected into the pipeline in the WriteBack stage to relax the timings. If this parameter is true, it's done in the Memory stage | + +Here is the DBusSimpleBus + +```scala +case class DBusSimpleCmd() extends Bundle{ + val wr = Bool + val address = UInt(32 bits) + val data = Bits(32 bit) + val size = UInt(2 bit) +} + +case class DBusSimpleRsp() extends Bundle with IMasterSlave{ + val ready = Bool + val error = Bool + val data = Bits(32 bit) + + override def asMaster(): Unit = { + out(ready,error,data) + } +} + + +case class DBusSimpleBus() extends Bundle with IMasterSlave{ + val cmd = Stream(DBusSimpleCmd()) + val rsp = DBusSimpleRsp() + + override def asMaster(): Unit = { + master(cmd) + slave(rsp) + } +} +``` + +Note that there are bridges available that can convert this interface into AXI4 and Avalon. + +There is at least one cycle latency between a cmd and the corresponding rsp. The rsp.ready flag should be false after a read cmd until the rsp is present. + +#### DBusCachedPlugin + +Multi way cache implementation with writh-through and allocate on read strategy. (Documentation is WIP) + +You can invalidate the whole cache via the 0x500F instruction, and you can invalidate a address range (single line size) via the instruction 0x500F | RS1 << 15 where RS1 should not be X0 and point to one byte of the desired address to invalidate. + +#### MulPlugin + +Implements the multiplication instruction from the RISC-V M extension. Its implementation was done in a FPGA friendly way by using 4 17*17 bit multiplications. +The processing is fully pipelined between the Execute/Memory/Writeback stage. The results of the instructions are always inserted in the WriteBack stage. + +#### DivPlugin + +Implements the division/modulo instruction from the RISC-V M extension. It is done in a simple iterative way which always takes 34 cycles. The result is inserted into the +Memory stage. + +This plugin is now based on MulDivIterativePlugin. + +#### MulDivIterativePlugin + +This plugin implements the multiplication, division and modulo of the RISC-V M extension in an iterative way, which is friendly for small FPGAs that don't have DSP blocks. + +This plugin is able to unroll the iterative calculation process to reduce the number of cycles used to execute mul/div instructions. + +| Parameters | type | description | +| ------ | ----------- | ------ | +| genMul | Boolean | Enables multiplication support. Can be set to false if you want to use the MulPlugin instead | +| genDiv | Boolean | Enables division support | +| mulUnrollFactor | Int | Number of combinatorial stages used to speed up the multiplication, should be > 0 | +| divUnrollFactor | Int | Number of combinatorial stages used to speed up the division, should be > 0 | + +The number of cycles used to execute a multiplication is '32/mulUnrollFactor' +The number of cycles used to execute a division is '32/divUnrollFactor + 1' + +Both mul/div are processed in the memory stage (late result). + +#### CsrPlugin + +Implements most of the Machine mode and a few of the User mode registers, as specified in the RISC-V priviledged spec. +The access mode of most of the CSR is parameterizable to reduce the area usage of unneeded features. + +(CsrAccess can be `NONE/READ_ONLY/WRITE_ONLY/READ_WRITE`) + +| Parameters | type | description | +| ------ | ----------- | ------ | +| catchIllegalAccess | Boolean | | +| mvendorid | BigInt | | +| marchid | BigInt | | +| mimpid | BigInt | | +| mhartid | BigInt | | +| misaExtensionsInit | Int | | +| misaAccess | CsrAccess | | +| mtvecAccess | CsrAccess | | +| mtvecInit | BigInt | | +| mepcAccess | CsrAccess | | +| mscratchGen | Boolean | | +| mcauseAccess | CsrAccess | | +| mbadaddrAccess | CsrAccess | | +| mcycleAccess | CsrAccess | | +| minstretAccess | CsrAccess | | +| ucycleAccess | CsrAccess | | +| wfiGen | Boolean | | +| ecallGen | Boolean | | + +If an interrupt occurs, before jumping to mtvec, the plugin will stop the Prefetch stage and wait for all the instructions in the later pipeline stages to complete their execution. + +If an exception occur, the plugin will kill the corresponding instruction, flush all previous instructions, and wait until the previously killed instructions reach the WriteBack +stage before jumping to mtvec. + +#### StaticMemoryTranslatorPlugin + +Static memory translator plugin which allows to specify which range of the memory addresses is I/O mapped and shouldn't be cached. + +| Parameters | type | description | +| ------ | ----------- | ------ | +| ioRange | UInt => Bool | Function reference which eat an address and return true if the address should be uncached. ex : ioRange= _(31 downto 28) === 0xF => all 0xFXXXXXXX will be uncached| + + +#### MmuPlugin + +Hardware refilled MMU implementation. Allows other plugins such as DBusCachedPlugin/IBusCachedPlugin to instanciate memory address translation ports. Each port has a small dedicated +fully associative TLB cache which is refilled automaticaly via a dbus access sharing. + +#### PmpPlugin + +This is a physical memory protection (PMP) plugin which conforms to the latest RISC-V privilege specification. PMP is configured by writing two special CSRs: `pmpcfg#` and `pmpaddr#`. The former contains the permissions and addressing modes for four protection regions, and the latter contains the encoded start address for a single region. Since the actual region bounds must be computed from the values written to these registers, writing them takes a few CPU cylces. This delay is necessary in order to centralize all of the decoding logic into a single component. Otherwise, it would have to be duplicated for each region, even though the decoding operation happens only when PMP is reprogrammed (e.g., on some context switches). + +#### DebugPlugin + +This plugin implements enough CPU debug features to allow comfortable GDB/Eclipse debugging. To access those debug features, it provides a simple memory bus interface. +The JTAG interface is provided by another bridge, which makes it possible to efficiently connect multiple CPUs to the same JTAG. + +| Parameters | type | description | +| ------ | ----------- | ------ | +| debugClockDomain | ClockDomain | As the debug unit is able to reset the CPU itself, it should use another clock domain to avoid killing itself (only the reset wire should differ) | + +The internals of the debug plugin are done in a manner which reduces the area usage and the FMax impact of this plugin. + +Here is the simple bus to access it, the rsp comes one cycle after the request: + +```scala +case class DebugExtensionCmd() extends Bundle{ + val wr = Bool + val address = UInt(8 bit) + val data = Bits(32 bit) +} +case class DebugExtensionRsp() extends Bundle{ + val data = Bits(32 bit) +} + +case class DebugExtensionBus() extends Bundle with IMasterSlave{ + val cmd = Stream(DebugExtensionCmd()) + val rsp = DebugExtensionRsp() + + override def asMaster(): Unit = { + master(cmd) + in(rsp) + } +} +``` + + +Here is the register mapping: + +``` +Read address 0x00 -> + bit 0 : resetIt + bit 1 : haltIt + bit 2 : isPipBusy + bit 3 : haltedByBreak + bit 4 : stepIt +Write address 0x00 -> + bit 4 : stepIt + bit 16 : set resetIt + bit 17 : set haltIt + bit 24 : clear resetIt + bit 25 : clear haltIt and haltedByBreak + +Read Address 0x04 -> + bits (31 downto 0) : Last value written into the register file +Write Address 0x04 -> + bits (31 downto 0) : Instruction that should be pushed into the CPU pipeline for debug purposes +``` + +The OpenOCD port is here: + +#### YamlPlugin + +This plugin offers a service to other plugins to generate a useful Yaml file describing the CPU configuration. It contains, for instance, the sequence of instructions required +to flush the data cache (information used by openocd). + + +#### FpuPlugin + +Allow the integration of a internal or a external FPU into VexRiscv (See the FPU chapter) + +| Parameters | type | description | +| ------ | ----------- | ------ | +| externalFpu | Boolean | When false the FPU is instanciated in Vex, else the plugin has a `port` interface to which you can connect an external FPU | +| p | FpuParameter | Parameter with which the connected FPU will be created | + +#### AesPlugin + +This plugin allow to accelerate AES encryption/decryption by using an internal ROM to solve SBOX and permutations, allowing in practice to execute one AES round in about 21 cycles. + +For more documentation, check src/main/scala/vexriscv/plugin/AesPlugin.scala, a software C driver can be found here : + +It was also ported on libressl via the following patch : + + +Speed up of 4 was observed in libressl running in linux. diff --git a/VexRiscv/assets/brieySoc.png b/VexRiscv/assets/brieySoc.png new file mode 100644 index 0000000..77e2757 Binary files /dev/null and b/VexRiscv/assets/brieySoc.png differ diff --git a/VexRiscv/assets/fpuDesign.png b/VexRiscv/assets/fpuDesign.png new file mode 100644 index 0000000..7b5127a Binary files /dev/null and b/VexRiscv/assets/fpuDesign.png differ diff --git a/VexRiscv/build.sbt b/VexRiscv/build.sbt new file mode 100644 index 0000000..72cab4a --- /dev/null +++ b/VexRiscv/build.sbt @@ -0,0 +1,20 @@ +val spinalVersion = "1.7.1" + +lazy val root = (project in file(".")). + settings( + inThisBuild(List( + organization := "com.github.spinalhdl", + scalaVersion := "2.11.12", + version := "2.0.0" + )), + libraryDependencies ++= Seq( + "com.github.spinalhdl" % "spinalhdl-core_2.11" % spinalVersion, + "com.github.spinalhdl" % "spinalhdl-lib_2.11" % spinalVersion, + compilerPlugin("com.github.spinalhdl" % "spinalhdl-idsl-plugin_2.11" % spinalVersion), + "org.scalatest" %% "scalatest" % "3.2.5", + "org.yaml" % "snakeyaml" % "1.8" + ), + name := "VexRiscv" + ) + +fork := true diff --git a/VexRiscv/doc/gcdPeripheral/README.md b/VexRiscv/doc/gcdPeripheral/README.md new file mode 100644 index 0000000..210fbba --- /dev/null +++ b/VexRiscv/doc/gcdPeripheral/README.md @@ -0,0 +1,592 @@ +# Tutorial on Implementing a Peripheral for the VexRiscv Based Murax SoC +**By** + +**Sallar Ahmadi-Pour - Researcher, University of Bremen, Group of Computer Architecture** + +[http://www.informatik.uni-bremen.de/agra/projects/risc-v/](http://www.informatik.uni-bremen.de/agra/projects/risc-v/) + +[http://www.informatik.uni-bremen.de/agra/](http://www.informatik.uni-bremen.de/agra/) + + +## 1. Introduction +Traditional hardware design often requires using languages like VHDL and Verilog and tooling that don't catch errors that can be caught with static analysis of the design. Additionally, information developers receive from the tools is scarce and often lead inexperienced developers on an odyssey. Currently emerging tools (Verilator, Yosys, etc.) for hardware design and languages for hardware description (SpinalHDL, Amaranth, etc.) tackle these and other existing issues. + +Projects like SpinalHDL and the thereon based highly configurable VexRiscv processor experience a rise in popularity and usage amongst academic and commercial users. The increased popularity also requires an increase in educational resources. Due to the specific popularity in the academic environment it only seems natural that researchers document their approaches and insights (not only in peer reviewed publications in a journal). This will allow the next generation of hardware designers to extend and explore big projects like VexRiscv. + +## 2. Our Goal for this Tutorial +Murax SoC is a VexRiscv configuration that is a very lightweight RISC-V platform. +It features a basic set of peripherals (UART, GPIO, Prescalers and Timers) around a pipelined memory bus and Apb3 peripheral bus. +The Murax SoC features enough to more than a toy system and being small and thus offering space for extension. + +For the choice of possible algorithms, that we want to describe in hardware rather than software, the algorithm for calculating the Greatest Common Divisor (GCD) is a good example to start off. There are many digital design resources available on designing a GCD module. + +We will add the hardware peripheral module to the Murax on the Apb3 bus with memory mapped registers to control the module and transfer the data around for the calculation. +In this way we transfer the resources the software to the hardware implementation. +The aspects we will shed some light upon will be + +a) How do we implement an algorithm that we know from the software domain in a hardware implementation suited for FPGAs? + +b) How do we prepare and integrate a new peripheral into the Murax domain and map its control and data ports via memory mapped registers? + +c) How do we extend the software to use the peripheral easily in our baremetal code? + +For a) we will start off the pseudocode of the GCD and work our way to a hardware implementation in SpinalHDL. +We will evaluate that design in a SpinalHDL testbench with Verilator as the simulation backend and drive the testbench with randomly generated values which we compare to a software implementation of the same algorithm. +For b) we will look into the features of SpinalHDL and the structure of the Murax SoC to get an idea where and how to integrate our peripheral. +Before adding the peripheral into the Murax we also need to decide on the details of memory mapping our control and data ports to memory mapped registers (i.e, addresses, write/read/clear modes, etc.). + +At the end there is a small list of possible extensions from which anyone can continue with their own additions. + +## 3. GCD HW Implementation +Let us start the HW implementation by looking at some kind of specification. + +```c +// Pseudocode of the Euclids algorithm for calculating the GCD +inputs: [a, b] +outputs: [ready, a] +ready := False +while(!ready): + if(a > b): + a := a - b + else if(b > a): + b := b - a + else: + ready := True +``` + +The pseudocode shows the GCD algorithm we want to implement in hardware. +Implementing algorithms in hardware in the Register Transfer Level (RTL) style will require you to separate the control path (so if, else, while, for) and the data path (moving, calculating and comparing data). +Inevitably results from data and comparisons affect the control flow and the control flow affects the data flow. +Thus the two paths need to communicate the shared information. +But let us start at defining the interface of our module that will calculate the GCD. + +![GCD Top Diagram](./img/murax-gcd-diagrams-gcd.png) + +Our pseudocode already defines some in- and outputs that can aid us in defining the interface for our module. +At this point we don't want to think about which bus we connect our module to (APB, AXI, Wishbone, etc.). +We take care about that part later. +We simply know we have our input integers A and B, a signal to indicate the start of the calculation, the result and a signal indicating the completion of the calculation. +We choose 32 bit integers and use a valid-ready mechanism (we add a valid signal to kick of the calculation). +The interface features the values A, B and result as the data signals, valid and ready are control signals. +Signals for reset and clock are omitted for readability (unless explicitly used these are handled by SpinalHDL internally anyways). + +From this top level perspective we can describe the behavior as follows: Once we apply a set of operands A and B and then apply the valid signal the module calculates the GCD for a variable amount of clock cycles. +We know the result is ready and can be read once the ready signal is asserted. +Inside the GCD module we will have two other modules: the data path GCDData and the control path GCDCtrl. +We notice again, the data signals (opA, opB and result) belong to our data path and the control signals (valid and ready) belong to our control path. + +![GCD top level block diagram](./img/murax-gcd-diagrams-gcd-dp+cp.png) + +The data path will consist of some basic RTL blocks like multiplexers, a subtraction, comparators and registers. +The elements are connected and arranged such that they represent the dataflow of the algorithm. +Parts of the data path are enabled by the control path. +The control path will be represented by a Finite State Machine (FSM), which orchestrates the data paths calculation of the result. + +![GCD data path](./img/murax-gcd-diagrams-gcd-datapath.png) + +The diagram of the data path shows the processing elements for our algorithm in hardware, with their control input and outputs respectively. +From this we can already see what the interface towards the control path looks like. +The control path needs to know the results of the comparisons. +Vice versa the data path gets controlled through selecting the subtract operands (or more precisely their order), the register enables and an initiation signal for a defined start state. +In the data path, the D-Flipflops (DFF) hold the values A and B that are used for the calculation and they change value throughout the computation. +A subtraction which is set up for a computation such that `r = x - y` with x being the "left" and y being the "right" operand. +The left and right operands are multiplexed from our control path inputs. +Two comparators compute the greater than (cmpAgtB) and less than (cmpAltB) operation. +The result, the GCD of A and B, will be available in the A register after the calculation is done. +Completion of the calculation is signaled by the control path. + +![GCD control path](./img/murax-gcd-diagrams-gcd-controlpath.png) + +In the diagram of the control path we see the same interface (with inverse directions — this information will be helpful later in SpinalHDL). +The interface of the control path are the top level valid signal, the ready signal indicating the finished computation, the results of the two comparisons `A > B` (*cmpAgtB*) and `B > A` (*cmpAltB*). +Initially the FSM is in an idle state, waiting for the valid signal to be asserted, on exit of this state, the init signal is set to 1 to clock in the values of A and B into their respective registers. +Similar to the pseudocode the FSM loops for the calculation and based on the comparators of the data path and orchestrates the data path to calculate either `a := a - b` or `b := b - a`. +If both if the comparators outputs are 0, the end of the calculation is reached. +Within the `calcDone` state the `ready` signal is set to 1. +With the entry of the `idle` state the module becomes ready to calculate another GCD. +The control path drives all the outputs based on the state in the state machine (Moore FSM). +The guards on the transitions show the condition with which the respective transition occurs. +These block diagrams, digital logic and the FSM can be quickly implemented in SpinalHDL, things like the `DataControlIF` that interconnect between the data path and control path can be quickly created and connected in SpinalHDL as well. + +## 4. SpinalHDL implementation +First we can take a look at the interface between the data and control path. + +```scala +// in GCDTop.scala +case class GCDDataControl() extends Bundle with IMasterSlave{ + val cmpAgtB = Bool + val cmpAltB = Bool + val loadA = Bool + val loadB = Bool + val init = Bool + val selL = Bool + val selR = Bool + + override def asMaster(): Unit = { + out(loadA, loadB, selL, selR, init) + in(cmpAgtB, cmpAltB) + } +} +``` + +We can define a Bundle that implements the `IMasterSlave` Interface (see the [Bundle documentation](https://spinalhdl.github.io/SpinalDoc-RTD/master/SpinalHDL/Data%20types/bundle.html?highlight=master%20slave#master-slave)), which allows us to use a operator (`<>`) to interconnect modules and their signals without explicitly describing each wire and connection (other than inside the Bundle from above). +In the Bundle we can define the signals with their types. +We override the `asMaster()` Method (line 10 to 13) from the `IMasterSlave` interface. +In the `asMaster()` Method we define the signal direction from the point of view of the control path. +Thus `cmpAgtB` and `cmpAltB` are inputs and `loadA`, `loadB`, `selL`, `selR`, `init` are outputs. +SpinalHDL will infer the directions for the data path side when we will use the `<>`-Operator. +With that our top level module will look very tidy: + +```scala +// in GCDTop.scala +class GCDTop() extends Component { + val io = new Bundle { + val valid = in Bool() + val ready = out Bool() + val a = in(UInt(32 bits)) + val b = in(UInt(32 bits)) + val res = out(UInt(32 bits)) + } + val gcdCtr = new GCDCtrl() + gcdCtr.io.valid := io.valid + io.ready := gcdCtr.io.ready + val gcdDat = new GCDData() + gcdDat.io.a := io.a + gcdDat.io.b := io.b + io.res := gcdDat.io.res + gcdCtr.io.dataCtrl <> gcdDat.io.dataCtrl +} +``` + +Lines 2 to 8 define the input/output Bundle inline, lines 9 and 12 instantiate the control and data path. All other lines are interconnecting the IO signals. Note in line 16 we interconnect the control and data path by using the `<>`-Operator as they use the shared interface description from earlier as a input (called `dataCtrl` in the design). We will see this in the respective modules input/output bundles. + +Our data path in SpinalHDL looks like this: + +```scala +// in GCDData.scala +class GCDData() extends Component { + val io = new Bundle { + val a = in(UInt(32 bits)) + val b = in(UInt(32 bits)) + val res = out(UInt(32 bits)) + val dataCtrl = slave(GCDDataControl()) + } + //registers + val regA = Reg(UInt(32 bits)) init(0) + val regB = Reg(UInt(32 bits)) init(0) + // compare + val xGTy = regA > regB + val xLTy = regA < regB + // mux + val chX = io.dataCtrl.selL ? regB | regA + val chY = io.dataCtrl.selR ? regB | regA + // subtract + val subXY = chX - chY + // load logic + when(io.dataCtrl.init){ + regA := io.a + regB := io.b + } + when(io.dataCtrl.loadA){ + regA := subXY + } + when(io.dataCtrl.loadB){ + regB := subXY + } + io.dataCtrl.cmpAgtB := xGTy + io.dataCtrl.cmpAltB := xLTy + io.res := regA +} +``` + +Lines 2 to 7 show the Bundle for the IO signals. Note the signal in line 6 (`dataCtrl`), we use the defined Bundle from earlier and give it the direction `slave()` instead `in()` or `out()`. +This tells SpinalHDL to infer the directions of the Bundle signals according to the `asMaster()` method (in that case the inverse directions). +We will see this again in the control path. +The rest of the module (or components, thats how SpinalHDL modules are called) consists of defining signals, registers, and behavior. +Registers can be defined through a `Reg()` components that takes a type and optionally a reset value (via `init()`). +We can write to the register in our `when()` Blocks which could be interpreted as the enable signals for the registers. +(* Side note: technically we describe a multiplexing onto each register as we have multiple cases of enables and different data sources, but we can abstract from that in SpinalHDL a bit and keep it in the back of our minds*). + +Now for the control path of our GCD module: +```scala +// in GCDCtrl.scala +class GCDCtrl() extends Component { + val io = new Bundle { + val valid = in Bool() + val ready = out Bool() + val dataCtrl = master(GCDDataControl()) + } + val fsm = new StateMachine{ + io.dataCtrl.loadA := False + io.dataCtrl.loadB := False + io.dataCtrl.init := False + io.dataCtrl.selL := False + io.dataCtrl.selR := False + io.ready := False + val idle : State = new State with EntryPoint{ + whenIsActive{ + when(io.valid){ + io.dataCtrl.init := True + goto(calculate) + } + } + } + val calculate : State = new State{ + whenIsActive{ + when(io.dataCtrl.cmpAgtB){ + goto(calcA) + }.elsewhen(io.dataCtrl.cmpAltB){ + goto(calcB) + }.elsewhen(!io.dataCtrl.cmpAgtB & !io.dataCtrl.cmpAgtB){ + goto(calcDone) + } + } + } + val calcA : State = new State{ + whenIsActive{ + io.dataCtrl.selR := True + io.dataCtrl.loadA := True + goto(calculate) + } + } + val calcB : State = new State{ + whenIsActive{ + io.dataCtrl.selL := True + io.dataCtrl.loadB := True + goto(calculate) + } + } + val calcDone : State = new State{ + whenIsActive{ + io.ready := True + goto(idle) + } + } + } +} +``` + +The lines 2 to 6 show the input/output signals again, and this time the `dataCtrl` signal, at line 5, shows the direction as `master()`. +This will apply the directions that we set in the first code snipped. +SpinalHDL offers a library to build FSMs and since this module is only that, our control path is descriptive. +We set default values for outputs (lines 8 to 13) and apply the according value in the respective state. + +The API for FSMs in SpinalHDL offers much more than we use here. +In each state we can describe actions for `onEntry`, `onExit`, `whenIsNext` and for `whenIsActive` phases (see the [State Machine documentation](https://spinalhdl.github.io/SpinalDoc-RTD/master/SpinalHDL/Libraries/fsm.html)). +The `onEntry` phase refers to the cycle before entering the state, `onExit` will be executed if the next cycle will be in a different state, and `whenIsNext` will be executed if the state machine will be in that state in the next cycle. +That resembles the capabilities of FSM we have in UML/SysML or in StateCharts. +There is also the possibility to nest FSMs hierarchically or have delay states for a certain amount of cycles. +Describing these things in classic HDL is a lot of boilerplate that SpinalHDL can generate for us instead. + +But with these modules we can already run some first simulations, testing our design for functionality. +And as traditional HDLs go we need a testbench for this. +This applies to SpinalHDL as well. +The default way for [simulation in SpinalHDL](https://spinalhdl.github.io/SpinalDoc-RTD/master/SpinalHDL/Simulation/index.html) is by writing a testbench with SpinalHDL and Scala and then getting it simulated through Verilator. +Verilator compiles our HDL (generated from SpinalHDL) to a C++ simulation model, our testbench interacts with that and thus we can have a fast simulation at hand. +Lets jump straight into the simulation testbench and see how SpinalHDL aids our work here: + +```scala +// in GCDTopSim.scala +object GCDTopSim { + def main(args: Array[String]) { + SimConfig.doSim(new GCDTop()){dut => + def gcd(a: Long,b: Long): Long = { + if(b==0) a else gcd(b, a%b) + } + def RndNextUInt32(): Long = { + ThreadLocalRandom.current().nextLong(Math.pow(2, 32).toLong - 1) + } + var a = 0L + var b = 0L + var model = 0L + dut.io.a #= 0 + dut.io.b #= 0 + dut.io.valid #= false + + dut.clockDomain.forkStimulus(period = 10) + dut.clockDomain.waitRisingEdge() + + for(idx <- 0 to 50000){ + a = RndNextUInt32() + b = RndNextUInt32() + model = gcd(a,b) + dut.io.a #= a + dut.io.b #= b + dut.io.valid #= true + dut.clockDomain.waitRisingEdge() + dut.io.valid #= false + waitUntil(dut.io.ready.toBoolean) + assert( + assertion = (dut.io.res.toBigInt == model), + message = "test " + idx + " failed. Expected " + model + ", retrieved: " + dut.io.res.toBigInt + ) + waitUntil(!dut.io.ready.toBoolean) + } + } + } +} +``` + +In line 3 we basically setup our Design Under Test (DUT), and we could setup some other simulations options like generating the VCD wavetrace. +We want to generate some arbitrary amount of testcases and compare the results against a (different) implementation of the GCD algorithm in software. +Doing this for enough random cases can give confidence in the design, tho it will not always cover edge cases and other aspects that are covered by a constrained random approach, white box testing or formal methods to verify our design. +Lines 4 to 6 are our (recursive) software implementation. +Lines 7 to 9 generate a random number in the range of a UInt32 — we have to do this by hand because of the nature of Java, Scala and SpinalHDL and how they interact with each other when it comes to numeric values and types. +Lines 10 to 15 setup our input for the DUT and in line 17 and 18 we set up the clock and trigger the first event for our signals to be applied to the inputs. +Lines 20 to 35 describe the application of 50k random integers to our design, and our software model, and then comparing them after we waited for the hardware cycles to pass. +We use the `assert` to output a message to the terminal in case a testcase doesn't match with the software model. +If we add `.withWave` to the line 3 we can obtain a wavetrace (tho its recommended not to run as many testcases, as the dump will be huge otherwise). + +![GCD wave trace](./img/simulationWave.PNG) + +## 5. GCD Murax Integration +Now that we have a standalone module that we want to integrate into the Murax SoC. + +Since the Murax is using the APB bus for the peripherals, our module needs to map the IO signals into the memory mapped space of the APB bus. + +```scala +// in Apb3GCDCtrl.scala +object Apb3GCDCtrl { + def getApb3Config = Apb3Config( + addressWidth = 5, + dataWidth = 32, + selWidth = 1, + useSlaveError = false + ) +} + +class Apb3GCDCtrl(apb3Config : Apb3Config) extends Component { + val io = new Bundle { + val apb = slave(Apb3(Apb3GCDCtrl.getApb3Config)) + } + val gcdCtrl = new GCDTop() + val apbCtrl = Apb3SlaveFactory(io.apb) + apbCtrl.driveAndRead(gcdCtrl.io.a, address=0) + apbCtrl.driveAndRead(gcdCtrl.io.b, address=4) + val resSyncBuf = RegNextWhen(gcdCtrl.io.res, gcdCtrl.io.ready) + apbCtrl.read(resSyncBuf, address=8) + apbCtrl.onRead(8)(resSyncBuf := 0) + apbCtrl.onRead(8)(rdySyncBuf := False) + val rdySyncBuf = RegNextWhen(gcdCtrl.io.ready, gcdCtrl.io.ready) + apbCtrl.read(rdySyncBuf, address=12) + gcdCtrl.io.valid := apbCtrl.setOnSet(RegNext(False) init(False), address=16, 0) +} +``` + +Looking at the other peripherals in the Murax, we get an idea how to implement our own Apb3 Mapping (this is also part of the SpinalHDL Workshop). + +The components uses the APB3 Bus as a slave peripheral. +In line 14 we create a instance of our GCD module, in line 15 we create a [APB3 Slave Factory](https://spinalhdl.github.io/SpinalDoc-RTD/master/SpinalHDL/Libraries/bus_slave_factory.html) (for our APB bus connection of the component). +This factory offers us to add memory mapped registers very easily that create all the logic needed to interconnect with our module properly. +A register which can be read and written to can be seen in line 16 and 17 (`driveAndRead()`). +We pass the signal we want to be buffered through that register and an address. +Our result is [buffered with a `RegNextWhen`](https://spinalhdl.github.io/SpinalDoc-RTD/master/SpinalHDL/Sequential%20logic/registers.html#instantiation) (which buffers the first argument `gcdCtrl.io.res` based on the enable signal that is the second argument `gcdCtrl.io.ready`). +We need this because our result is visible for the clock cycle that the ready signal is asserted true by the control path. +We do something similar with the ready signal, and keep it buffered for longer than just one clock cycle (since we don't know when the software will check these registers). +The result and ready registers will be read-only (`read()`) on their respective addresses. +If the result is read (even if ready was not checked) we will flush both registers as if we fetched the result and don't need it anymore. +The valid signal shouldn't be asserted longer than one clock cycle, this is achieved in line 24. +We use a register that sets itself to 0/false whenever its written to. +So if we write a 1/true into it, after one cycle its set to 0/false again. + +| Address | Name | Description | Mode | +|---------|-------|----------------------------------------------|----------------------------------| +| 0 | a | Operand A of the GCD(a,b) | R/W | +| 4 | b | Operand B of the GCD(a,b) | R/W | +| 8 | res | Result of GCD(a,b) | RO, clears res and ready on read | +| 12 | ready | Ready, 1 if result available, 0 otherwise | RO | +| 16 | valid | Valid, write 1 to start calculating GCD(a,b) | WO, clear after write | + + +In this way we implemented this memory mapped register bank with various modes. +Now all thats left is to attach our module to the APB bus of the Murax SoC and write some bare metal firmware to access it. + +We created our modules inside the VexRiscv structure as follows: +``` +src/main/scala/ +├── spinal +└── vexriscv + ├── demo + ├── ip + ├── periph <--- we add this directory with subdir + │ └── gcd + │ ├── Apb3GCDCtrl.scala + │ ├── GCDCtrl.scala + │ ├── GCDData.scala + │ ├── GCDTop.scala + │ └── GCDTopSim.scala + ├── plugin + └── test + +``` + +To integrate our `Apb3GCDCtrl` peripheral into the Murax we need to modify the Murax SoC (`src/main/scala/vexriscv/demo/Murax.scala`) directly. +Deep in the source there will be a comment designating the start of the APB peripherals (`//******** APB peripherals *********`). +There we are going to add our peripheral and designate some memory mapped space to it. + +This step is straightforward as we can add the peripheral similarly to the existing ones. +After the code for the timer `MuraxApb3Timer` module we add our GCD peripheral: + +```scala +val gcd = new Apb3GCDCtrl( + apb3Config = Apb3Config( + addressWidth = 20, + dataWidth = 32 + ) +) +apbMapping += gcd.io.apb -> (0x30000, 1 kB) +``` + +And thats it! + +The Murax SoC now supports our own GCD peripheral. +All thats left now is to use the peripheral in a piece of software. + +## 6. Software Driver Integration + +We start off the software part with the existing `hello_world` example and copy it into a new directory `gcd_world`. + +Since we support a new peripheral in hardware we also need to support it from the software (its supported but we are making it more usable for the developer). +We add a new file in the `gcd_world/src` directory called `gcd.h`. + +```c +// in gcd.h +#ifndef GCD_H_ +#define GCD_H_ + +typedef struct +{ + volatile uint32_t A; + volatile uint32_t B; + volatile uint32_t RES; + volatile uint32_t READY; + volatile uint32_t VALID; +} Gcd_Reg; + +#endif /* GCD_H_ */ + +``` + +With that we define the available memory mapped registers starting from the base address of the peripheral. + +We then edit the `murax.h` header file in the same directory: + +```c +#ifndef __MURAX_H__ +#define __MURAX_H__ + +#include "timer.h" +#include "prescaler.h" +#include "interrupt.h" +#include "gpio.h" +#include "uart.h" +#include "gcd.h" + +#define GPIO_A ((Gpio_Reg*)(0xF0000000)) +#define TIMER_PRESCALER ((Prescaler_Reg*)0xF0020000) +#define TIMER_INTERRUPT ((InterruptCtrl_Reg*)0xF0020010) +#define TIMER_A ((Timer_Reg*)0xF0020040) +#define TIMER_B ((Timer_Reg*)0xF0020050) +#define UART ((Uart_Reg*)(0xF0010000)) +#define GCD ((Gcd_Reg*)(0xF0030000)) + + +#endif /* __MURAX_H__ */ +``` + +Our addition is the line `#define GCD ((Gcd_Reg*)(0xF0030000))`. +With that we create a way of accessing the memory mapped registers without directly referring to the peripherals address (`0xF0030000`) or having to calculate offsets for the registers. + +Now we can start writing our software! + +In our `main.c` we add a function to make the peripheral handling a bit more convenient: + +```c +uint32_t gcd(uint32_t a, uint32_t b){ + GCD->A = a; + GCD->B = b; + GCD->VALID = 0x00000001; + uint32_t rdyFlag = 0; + do{ + rdyFlag = GCD->READY; + }while(!rdyFlag); + return GCD->RES; +} +``` + +This function will take the parameters `a` and `b` and applies them to the respective hardware registers `A` and `B` of our peripheral. +Then the `VALID` signal is set (our Apb3 wrapper takes care of setting it back to 0). +All thats left is waiting for the result, which is done by polling the ready flag until its available and then returning our result value `RES`. + +The software contains a little more code for formatting numbers to print them onto the UART device but reading and understanding that is left as an exercise to the reader. + +So how do we execute our software on the Murax now? + +First we compile the software with the make file. For that call `make` inside `src/main/c/murax/gcd_world`. +You should get some minor warnings and a statistics about the memory usage like + +``` +Memory region Used Size Region Size %age Used + RAM: 1752 B 2 KB 85.55% +``` + +Now we can edit the `Murax.scala` one last time before we execute our simulation. +For this scroll down in the `Murax.scala` file until `MuraxWithRamInit`. +In order to load the memory with our new software instead of the `hello_world` example we edit this part. + +```scala +object MuraxWithRamInit { + def main(args: Array[String]) { + SpinalVerilog( + Murax( + MuraxConfig.default.copy( + onChipRamSize = 4 kB, + onChipRamHexFile = "src/main/c/murax/gcd_world/build/gcd_world.hex" + ) + ) + ) + } +} +``` + + + +Then in the root directory we open `sbt` and call `runMain vexriscv.demo.MuraxWithRamInit` or we call `sbt "runMain vexriscv.demo.MuraxWithRamInit"` directly. + +This will call SpinalHDL to generate the modified Murax SoC with our small software example. + +The last thing we need to do is call the simulation. +For that navigate to `src/test/cpp/murax` and call `make clean run`. + +After some time you should see the following output in the terminal: + +``` +... +BOOT +hello gcd world +gcd(1,123913): +1 +gcd(461952,116298): +18 +gcd(461952,1162): +2 +gcd(461952,11623): +1 +``` + +Keep in mind that we are simulating a SoC. There is no shutdown for our simulation so we have to stop it by ourselves by pressing `CTRL+C`! +Otherwise the simulation won't stop. + + + +## 7. Conclusion + +In a tutorial we described how to convert pseudocode for the GCD calculation into SpinalHDL based hardware. Furthermore the hardware was integrated into the VexRiscv based Murax SoC. +To demonstrate the usage an example C project was set up and the hardware peripheral was used from within the software. + +This tutorial covered the translation from RTL into SpinalHDL, writing a small wrapper for the APB3 bus used in the Murax SoC, integrating the peripheral into the Murax SoC with designated memory mapped space and writing software in C for the Murax SoC that uses the hardware peripheral to calculate the GCD and print it out on the UART of the Murax SoC. + +Now there are a few open challanges to approach as an exercise here are two that would follow up naturally to our existing code: + +* The Murax SoC features interrupts, we could stop polling our ready flag and instead trigger an interrupt from the `Apb3GCDCtrl` instead. +* Write the same algorithm in C and compare it with the hardware peripheral. Is it faster, is it smaller (interacting with the peripheral in software still costs instruction in terms of memory) \ No newline at end of file diff --git a/VexRiscv/doc/gcdPeripheral/img/murax-gcd-diagrams-gcd-controlpath.png b/VexRiscv/doc/gcdPeripheral/img/murax-gcd-diagrams-gcd-controlpath.png new file mode 100644 index 0000000..e0b09f6 Binary files /dev/null and b/VexRiscv/doc/gcdPeripheral/img/murax-gcd-diagrams-gcd-controlpath.png differ diff --git a/VexRiscv/doc/gcdPeripheral/img/murax-gcd-diagrams-gcd-datapath.png b/VexRiscv/doc/gcdPeripheral/img/murax-gcd-diagrams-gcd-datapath.png new file mode 100644 index 0000000..7bfbdef Binary files /dev/null and b/VexRiscv/doc/gcdPeripheral/img/murax-gcd-diagrams-gcd-datapath.png differ diff --git a/VexRiscv/doc/gcdPeripheral/img/murax-gcd-diagrams-gcd-dp+cp.png b/VexRiscv/doc/gcdPeripheral/img/murax-gcd-diagrams-gcd-dp+cp.png new file mode 100644 index 0000000..68daa3c Binary files /dev/null and b/VexRiscv/doc/gcdPeripheral/img/murax-gcd-diagrams-gcd-dp+cp.png differ diff --git a/VexRiscv/doc/gcdPeripheral/img/murax-gcd-diagrams-gcd.png b/VexRiscv/doc/gcdPeripheral/img/murax-gcd-diagrams-gcd.png new file mode 100644 index 0000000..f557a69 Binary files /dev/null and b/VexRiscv/doc/gcdPeripheral/img/murax-gcd-diagrams-gcd.png differ diff --git a/VexRiscv/doc/gcdPeripheral/img/murax-gcd-diagrams.drawio b/VexRiscv/doc/gcdPeripheral/img/murax-gcd-diagrams.drawio new file mode 100644 index 0000000..3ce2d0b --- /dev/null +++ b/VexRiscv/doc/gcdPeripheral/img/murax-gcd-diagrams.drawio @@ -0,0 +1 @@ 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 \ No newline at end of file diff --git a/VexRiscv/doc/gcdPeripheral/img/simulationWave.PNG b/VexRiscv/doc/gcdPeripheral/img/simulationWave.PNG new file mode 100644 index 0000000..93853cb Binary files /dev/null and b/VexRiscv/doc/gcdPeripheral/img/simulationWave.PNG differ diff --git a/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/makefile b/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/makefile new file mode 100644 index 0000000..0f4abd8 --- /dev/null +++ b/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/makefile @@ -0,0 +1,134 @@ +PROJ_NAME=gcd_world +DEBUG=no +BENCH=no +MULDIV=no + +SRCS = $(wildcard src/*.c) \ + $(wildcard src/*.cpp) \ + $(wildcard src/*.S) + +OBJDIR = build + +INC = +LIBS = +LIBSINC = -L$(OBJDIR) +LDSCRIPT = ./src/linker.ld + +#include ../../../resources/gcc.mk +# Set it to yes if you are using the sifive precompiled GCC pack +SIFIVE_GCC_PACK ?= no + +ifeq ($(SIFIVE_GCC_PACK),yes) + RISCV_NAME ?= riscv64-unknown-elf + RISCV_PATH ?= /home/sallar/tools/riscv-64-newlib-dist/ +else + RISCV_NAME ?= riscv32-unknown-elf + ifeq ($(MULDIV),yes) + RISCV_PATH ?= /home/sallar/tools/riscv-32-imac-ilp32-newlib-dist/ + else + RISCV_PATH ?= /home/sallar/tools/rv32i-ilp32-dist/ + endif +endif + +MABI=ilp32 +MARCH := rv32i +ifeq ($(MULDIV),yes) + MARCH := $(MARCH)m +endif +ifeq ($(COMPRESSED),yes) + MARCH := $(MARCH)ac +endif + +CFLAGS += -march=$(MARCH) -mabi=$(MABI) -DNDEBUG +LDFLAGS += -march=$(MARCH) -mabi=$(MABI) + + + +#include ../../../resources/subproject.mk + + +ifeq ($(DEBUG),yes) + CFLAGS += -g3 -O0 +endif + +ifeq ($(DEBUG),no) + CFLAGS += -g -Os +endif + +ifeq ($(BENCH),yes) + CFLAGS += -fno-inline +endif + +ifeq ($(SIFIVE_GCC_PACK),yes) + RISCV_CLIB=$(RISCV_PATH)/$(RISCV_NAME)/lib/$(MARCH)/$(MABI)/ +else + RISCV_CLIB=$(RISCV_PATH)/$(RISCV_NAME)/lib/ +endif + + + + + +RISCV_OBJCOPY = $(RISCV_PATH)/bin/$(RISCV_NAME)-objcopy +RISCV_OBJDUMP = $(RISCV_PATH)/bin/$(RISCV_NAME)-objdump +RISCV_CC=$(RISCV_PATH)/bin/$(RISCV_NAME)-gcc + +CFLAGS += -MD -fstrict-volatile-bitfields -fno-strict-aliasing +LDFLAGS += -nostdlib -lgcc -mcmodel=medany -nostartfiles -ffreestanding -Wl,-Bstatic,-T,$(LDSCRIPT),-Map,$(OBJDIR)/$(PROJ_NAME).map,--print-memory-usage +#LDFLAGS += -lgcc -lc -lg -nostdlib -lgcc -msave-restore --strip-debug, + +OBJS := $(SRCS) +OBJS := $(OBJS:.c=.o) +OBJS := $(OBJS:.cpp=.o) +OBJS := $(OBJS:.S=.o) +OBJS := $(OBJS:..=miaou) +OBJS := $(addprefix $(OBJDIR)/,$(OBJS)) + + +all: $(OBJDIR)/$(PROJ_NAME).elf $(OBJDIR)/$(PROJ_NAME).hex $(OBJDIR)/$(PROJ_NAME).asm $(OBJDIR)/$(PROJ_NAME).v + +$(OBJDIR)/%.elf: $(OBJS) | $(OBJDIR) + $(RISCV_CC) $(CFLAGS) -o $@ $^ $(LDFLAGS) $(LIBSINC) $(LIBS) + +%.hex: %.elf + $(RISCV_OBJCOPY) -O ihex $^ $@ + +%.bin: %.elf + $(RISCV_OBJCOPY) -O binary $^ $@ + +%.v: %.elf + $(RISCV_OBJCOPY) -O verilog $^ $@ + +%.asm: %.elf + $(RISCV_OBJDUMP) -S -d $^ > $@ + +$(OBJDIR)/%.o: %.c + mkdir -p $(dir $@) + $(RISCV_CC) -c $(CFLAGS) $(INC) -o $@ $^ + $(RISCV_CC) -S $(CFLAGS) $(INC) -o $@.disasm $^ + +$(OBJDIR)/%.o: %.cpp + mkdir -p $(dir $@) + $(RISCV_CC) -c $(CFLAGS) $(INC) -o $@ $^ + +$(OBJDIR)/%.o: %.S + mkdir -p $(dir $@) + $(RISCV_CC) -c $(CFLAGS) -o $@ $^ -D__ASSEMBLY__=1 + +$(OBJDIR): + mkdir -p $@ + +.PHONY: clean +clean: + rm -rf $(OBJDIR)/src + rm -f $(OBJDIR)/$(PROJ_NAME).elf + rm -f $(OBJDIR)/$(PROJ_NAME).hex + rm -f $(OBJDIR)/$(PROJ_NAME).map + rm -f $(OBJDIR)/$(PROJ_NAME).v + rm -f $(OBJDIR)/$(PROJ_NAME).asm + find $(OBJDIR) -type f -name '*.o' -print0 | xargs -0 -r rm + find $(OBJDIR) -type f -name '*.d' -print0 | xargs -0 -r rm + +clean-all : clean + +.SECONDARY: $(OBJS) diff --git a/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/project/build.properties b/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/project/build.properties new file mode 100644 index 0000000..dbae93b --- /dev/null +++ b/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/project/build.properties @@ -0,0 +1 @@ +sbt.version=1.4.9 diff --git a/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/crt.S b/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/crt.S new file mode 100644 index 0000000..62d67b9 --- /dev/null +++ b/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/crt.S @@ -0,0 +1,98 @@ +.global crtStart +.global main +.global irqCallback + + .section .start_jump,"ax",@progbits +crtStart: + //long jump to allow crtInit to be anywhere + //do it always in 12 bytes + lui x2, %hi(crtInit) + addi x2, x2, %lo(crtInit) + jalr x1,x2 + nop + +.section .text + +.global trap_entry +.align 5 +trap_entry: + sw x1, - 1*4(sp) + sw x5, - 2*4(sp) + sw x6, - 3*4(sp) + sw x7, - 4*4(sp) + sw x10, - 5*4(sp) + sw x11, - 6*4(sp) + sw x12, - 7*4(sp) + sw x13, - 8*4(sp) + sw x14, - 9*4(sp) + sw x15, -10*4(sp) + sw x16, -11*4(sp) + sw x17, -12*4(sp) + sw x28, -13*4(sp) + sw x29, -14*4(sp) + sw x30, -15*4(sp) + sw x31, -16*4(sp) + addi sp,sp,-16*4 + call irqCallback + lw x1 , 15*4(sp) + lw x5, 14*4(sp) + lw x6, 13*4(sp) + lw x7, 12*4(sp) + lw x10, 11*4(sp) + lw x11, 10*4(sp) + lw x12, 9*4(sp) + lw x13, 8*4(sp) + lw x14, 7*4(sp) + lw x15, 6*4(sp) + lw x16, 5*4(sp) + lw x17, 4*4(sp) + lw x28, 3*4(sp) + lw x29, 2*4(sp) + lw x30, 1*4(sp) + lw x31, 0*4(sp) + addi sp,sp,16*4 + mret + .text + + +crtInit: + .option push + .option norelax + la gp, __global_pointer$ + .option pop + la sp, _stack_start + +bss_init: + la a0, _bss_start + la a1, _bss_end +bss_loop: + beq a0,a1,bss_done + sw zero,0(a0) + add a0,a0,4 + j bss_loop +bss_done: + +ctors_init: + la a0, _ctors_start + addi sp,sp,-4 +ctors_loop: + la a1, _ctors_end + beq a0,a1,ctors_done + lw a3,0(a0) + add a0,a0,4 + sw a0,0(sp) + jalr a3 + lw a0,0(sp) + j ctors_loop +ctors_done: + addi sp,sp,4 + + + li a0, 0x880 //880 enable timer + external interrupts + csrw mie,a0 + li a0, 0x1808 //1808 enable interrupts + csrw mstatus,a0 + + call main +infinitLoop: + j infinitLoop diff --git a/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/gcd.h b/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/gcd.h new file mode 100644 index 0000000..1d3ccb7 --- /dev/null +++ b/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/gcd.h @@ -0,0 +1,13 @@ +#ifndef GCD_H_ +#define GCD_H_ + +typedef struct +{ + volatile uint32_t A; + volatile uint32_t B; + volatile uint32_t RES; + volatile uint32_t READY; + volatile uint32_t VALID; +} Gcd_Reg; + +#endif /* GCD_H_ */ diff --git a/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/gpio.h b/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/gpio.h new file mode 100644 index 0000000..34348fe --- /dev/null +++ b/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/gpio.h @@ -0,0 +1,15 @@ +#ifndef GPIO_H_ +#define GPIO_H_ + + +typedef struct +{ + volatile uint32_t INPUT; + volatile uint32_t OUTPUT; + volatile uint32_t OUTPUT_ENABLE; +} Gpio_Reg; + + +#endif /* GPIO_H_ */ + + diff --git a/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/interrupt.h b/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/interrupt.h new file mode 100644 index 0000000..23b7d27 --- /dev/null +++ b/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/interrupt.h @@ -0,0 +1,17 @@ +#ifndef INTERRUPTCTRL_H_ +#define INTERRUPTCTRL_H_ + +#include + +typedef struct +{ + volatile uint32_t PENDINGS; + volatile uint32_t MASKS; +} InterruptCtrl_Reg; + +static void interruptCtrl_init(InterruptCtrl_Reg* reg){ + reg->MASKS = 0; + reg->PENDINGS = 0xFFFFFFFF; +} + +#endif /* INTERRUPTCTRL_H_ */ diff --git a/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/linker.ld b/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/linker.ld new file mode 100644 index 0000000..57bc2f7 --- /dev/null +++ b/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/linker.ld @@ -0,0 +1,110 @@ +/* +This is free and unencumbered software released into the public domain. + +Anyone is free to copy, modify, publish, use, compile, sell, or +distribute this software, either in source code form or as a compiled +binary, for any purpose, commercial or non-commercial, and by any +means. +*/ +OUTPUT_FORMAT("elf32-littleriscv", "elf32-littleriscv", "elf32-littleriscv") +OUTPUT_ARCH(riscv) +ENTRY(crtStart) + +MEMORY { + RAM (rwx): ORIGIN = 0x80000000, LENGTH = 2k +} + +_stack_size = DEFINED(_stack_size) ? _stack_size : 256; +_heap_size = DEFINED(_heap_size) ? _heap_size : 0; + +SECTIONS { + + ._vector ORIGIN(RAM): { + *crt.o(.start_jump); + *crt.o(.text); + } > RAM + + ._user_heap (NOLOAD): + { + . = ALIGN(8); + PROVIDE ( end = . ); + PROVIDE ( _end = . ); + PROVIDE ( _heap_start = .); + . = . + _heap_size; + . = ALIGN(8); + PROVIDE ( _heap_end = .); + } > RAM + +._stack (NOLOAD): + { + . = ALIGN(16); + PROVIDE (_stack_end = .); + . = . + _stack_size; + . = ALIGN(16); + PROVIDE (_stack_start = .); + } > RAM + + .data : + { + *(.rdata) + *(.rodata .rodata.*) + *(.gnu.linkonce.r.*) + *(.data .data.*) + *(.gnu.linkonce.d.*) + . = ALIGN(8); + PROVIDE( __global_pointer$ = . + 0x800 ); + *(.sdata .sdata.*) + *(.gnu.linkonce.s.*) + . = ALIGN(8); + *(.srodata.cst16) + *(.srodata.cst8) + *(.srodata.cst4) + *(.srodata.cst2) + *(.srodata .srodata.*) + } > RAM + + .bss (NOLOAD) : { + . = ALIGN(4); + /* This is used by the startup in order to initialize the .bss secion */ + _bss_start = .; + *(.sbss*) + *(.gnu.linkonce.sb.*) + *(.bss .bss.*) + *(.gnu.linkonce.b.*) + *(COMMON) + . = ALIGN(4); + _bss_end = .; + } > RAM + + + .rodata : + { + *(.rdata) + *(.rodata .rodata.*) + *(.gnu.linkonce.r.*) + } > RAM + + .noinit (NOLOAD) : { + . = ALIGN(4); + *(.noinit .noinit.*) + . = ALIGN(4); + } > RAM + + .memory : { + *(.text); + end = .; + } > RAM + + .ctors : + { + . = ALIGN(4); + _ctors_start = .; + KEEP(*(.init_array*)) + KEEP (*(SORT(.ctors.*))) + KEEP (*(.ctors)) + . = ALIGN(4); + _ctors_end = .; + PROVIDE ( END_OF_SW_IMAGE = . ); + } > RAM + +} diff --git a/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/main.c b/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/main.c new file mode 100644 index 0000000..fccbcc2 --- /dev/null +++ b/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/main.c @@ -0,0 +1,62 @@ +//#include "stddefs.h" +#include + +#include "murax.h" + +#include "main.h" + +#define DEBUG 0 + +uint32_t gcd(uint32_t a, uint32_t b){ + GCD->A = a; + GCD->B = b; + GCD->VALID = 0x00000001; + uint32_t rdyFlag = 0; + do{ + rdyFlag = GCD->READY; + }while(!rdyFlag); + return GCD->RES; +} + +void calcPrintGCD(uint32_t a, uint32_t b){ + uint32_t myGCD = 0; + char buf[5] = { 0x00 }; + char aBuf[11] = { 0x00 }; + char bBuf[11] = { 0x00 }; + itoa(a, aBuf, 10); + itoa(b, bBuf, 10); + print("gcd(");print(aBuf);print(",");print(bBuf);println("):"); + myGCD = gcd(a,b); + itoa(myGCD, buf, 10); + println(buf); +} + +void main() { + GPIO_A->OUTPUT_ENABLE = 0x0000000F; + GPIO_A->OUTPUT = 0x00000001; + println("hello gcd world"); + const int nleds = 4; + const int nloops = 2000000; + + GCD->VALID = 0x00000000; + while(GCD->READY); + + calcPrintGCD(1, 123913); + calcPrintGCD(461952, 116298); + calcPrintGCD(461952, 116298); + calcPrintGCD(461952, 116298); + + while(1){ + for(unsigned int i=0;iOUTPUT = 1<OUTPUT = (1<<(nleds-1))>>i; + delay(nloops); + } + } +} + +void irqCallback(){ +} diff --git a/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/main.h b/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/main.h new file mode 100644 index 0000000..31cb9c0 --- /dev/null +++ b/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/main.h @@ -0,0 +1,78 @@ + +//---------------------------- +// integer to ascii (itoa) with util functions +//---------------------------- + +// function to swap two numbers +void swap(char *x, char *y) { + char t = *x; *x = *y; *y = t; +} + +// function to reverse buffer[i..j] +char* reverse(char *buffer, int i, int j) { + while (i < j) + swap(&buffer[i++], &buffer[j--]); + return buffer; +} + +// Iterative function to implement itoa() function in C +char* itoa(int value, char* buffer, int base) { + // invalid input + if (base < 2 || base > 32) + return buffer; + // consider absolute value of number + int n = (value < 0) ? -value : value; + int i = 0; + while (n) { + int r = n % base; + if (r >= 10) + buffer[i++] = 65 + (r - 10); + else + buffer[i++] = 48 + r; + n = n / base; + } + + // if number is 0 + if (i == 0) + buffer[i++] = '0'; + + // If base is 10 and value is negative, the resulting string + // is preceded with a minus sign (-) + // With any other base, value is always considered unsigned + if (value < 0 && base == 10) + buffer[i++] = '-'; + + buffer[i] = '\0'; // null terminate string + + // reverse the string and return it + return reverse(buffer, 0, i - 1); +} + +//---------------------------- +// print, println, dbgprint +//---------------------------- + +void print(const char*str){ + while(*str){ + uart_write(UART,*str); + str++; + } +} +void println(const char*str){ + print(str); + uart_write(UART,'\n'); +} + +void dbgPrintln(const char*str){ + #if DEBUG == 1 + println(str); + #else + void; + #endif +} + +void delay(uint32_t loops){ + for(int i=0;iOUTPUT; + } +} \ No newline at end of file diff --git a/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/murax.h b/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/murax.h new file mode 100644 index 0000000..9d7b7e7 --- /dev/null +++ b/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/murax.h @@ -0,0 +1,20 @@ +#ifndef __MURAX_H__ +#define __MURAX_H__ + +#include "timer.h" +#include "prescaler.h" +#include "interrupt.h" +#include "gpio.h" +#include "uart.h" +#include "gcd.h" + +#define GPIO_A ((Gpio_Reg*)(0xF0000000)) +#define TIMER_PRESCALER ((Prescaler_Reg*)0xF0020000) +#define TIMER_INTERRUPT ((InterruptCtrl_Reg*)0xF0020010) +#define TIMER_A ((Timer_Reg*)0xF0020040) +#define TIMER_B ((Timer_Reg*)0xF0020050) +#define UART ((Uart_Reg*)(0xF0010000)) +#define GCD ((Gcd_Reg*)(0xF0030000)) + + +#endif /* __MURAX_H__ */ diff --git a/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/prescaler.h b/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/prescaler.h new file mode 100644 index 0000000..6bd9694 --- /dev/null +++ b/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/prescaler.h @@ -0,0 +1,16 @@ +#ifndef PRESCALERCTRL_H_ +#define PRESCALERCTRL_H_ + +#include + + +typedef struct +{ + volatile uint32_t LIMIT; +} Prescaler_Reg; + +static void prescaler_init(Prescaler_Reg* reg){ + +} + +#endif /* PRESCALERCTRL_H_ */ diff --git a/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/timer.h b/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/timer.h new file mode 100644 index 0000000..1577535 --- /dev/null +++ b/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/timer.h @@ -0,0 +1,20 @@ +#ifndef TIMERCTRL_H_ +#define TIMERCTRL_H_ + +#include + + +typedef struct +{ + volatile uint32_t CLEARS_TICKS; + volatile uint32_t LIMIT; + volatile uint32_t VALUE; +} Timer_Reg; + +static void timer_init(Timer_Reg *reg){ + reg->CLEARS_TICKS = 0; + reg->VALUE = 0; +} + + +#endif /* TIMERCTRL_H_ */ diff --git a/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/uart.h b/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/uart.h new file mode 100644 index 0000000..c3a30a5 --- /dev/null +++ b/VexRiscv/doc/gcdPeripheral/src/main/c/murax/gcd_world/src/uart.h @@ -0,0 +1,42 @@ +#ifndef UART_H_ +#define UART_H_ + + +typedef struct +{ + volatile uint32_t DATA; + volatile uint32_t STATUS; + volatile uint32_t CLOCK_DIVIDER; + volatile uint32_t FRAME_CONFIG; +} Uart_Reg; + +enum UartParity {NONE = 0,EVEN = 1,ODD = 2}; +enum UartStop {ONE = 0,TWO = 1}; + +typedef struct { + uint32_t dataLength; + enum UartParity parity; + enum UartStop stop; + uint32_t clockDivider; +} Uart_Config; + +static uint32_t uart_writeAvailability(Uart_Reg *reg){ + return (reg->STATUS >> 16) & 0xFF; +} +static uint32_t uart_readOccupancy(Uart_Reg *reg){ + return reg->STATUS >> 24; +} + +static void uart_write(Uart_Reg *reg, uint32_t data){ + while(uart_writeAvailability(reg) == 0); + reg->DATA = data; +} + +static void uart_applyConfig(Uart_Reg *reg, Uart_Config *config){ + reg->CLOCK_DIVIDER = config->clockDivider; + reg->FRAME_CONFIG = ((config->dataLength-1) << 0) | (config->parity << 8) | (config->stop << 16); +} + +#endif /* UART_H_ */ + + diff --git a/VexRiscv/doc/gcdPeripheral/src/main/scala/vexriscv/demo/Murax.scala b/VexRiscv/doc/gcdPeripheral/src/main/scala/vexriscv/demo/Murax.scala new file mode 100644 index 0000000..f3d4f6c --- /dev/null +++ b/VexRiscv/doc/gcdPeripheral/src/main/scala/vexriscv/demo/Murax.scala @@ -0,0 +1,559 @@ +package vexriscv.demo + +import spinal.core._ +import spinal.lib._ +import spinal.lib.bus.amba3.apb._ +import spinal.lib.bus.misc.SizeMapping +import spinal.lib.bus.simple.PipelinedMemoryBus +import spinal.lib.com.jtag.Jtag +import spinal.lib.com.spi.ddr.SpiXdrMaster +import spinal.lib.com.uart._ +import spinal.lib.io.{InOutWrapper, TriStateArray} +import spinal.lib.misc.{InterruptCtrl, Prescaler, Timer} +import spinal.lib.soc.pinsec.{PinsecTimerCtrl, PinsecTimerCtrlExternal} +import vexriscv.plugin._ +import vexriscv.{VexRiscv, VexRiscvConfig, plugin} +import spinal.lib.com.spi.ddr._ +import spinal.lib.bus.simple._ +import scala.collection.mutable.ArrayBuffer +import vexriscv.periph.gcd._ +import vexriscv.periph.tasks.gen._ +import vexriscv.periph.tasks.map._ +import vexriscv.periph.tasks.sort._ +import vexriscv.periph.tasks.max._ +import vexriscv.periph.tasks.sum._ +import vexriscv.periph.tasks.hash._ + +/** Created by PIC32F_USER on 28/07/2017. + * + * Murax is a very light SoC which could work without any external component. + * - ICE40-hx8k + icestorm => 53 Mhz, 2142 LC + * - 0.37 DMIPS/Mhz + * - 8 kB of on-chip ram + * - JTAG debugger (eclipse/GDB/openocd ready) + * - Interrupt support + * - APB bus for peripherals + * - 32 GPIO pin + * - one 16 bits prescaler, two 16 bits timers + * - one UART with tx/rx fifo + */ + +case class MuraxConfig( + coreFrequency: HertzNumber, + onChipRamSize: BigInt, + onChipRamHexFile: String, + pipelineDBus: Boolean, + pipelineMainBus: Boolean, + pipelineApbBridge: Boolean, + gpioWidth: Int, + uartCtrlConfig: UartCtrlMemoryMappedConfig, + xipConfig: SpiXdrMasterCtrl.MemoryMappingParameters, + hardwareBreakpointCount: Int, + cpuPlugins: ArrayBuffer[Plugin[VexRiscv]] +) { + require( + pipelineApbBridge || pipelineMainBus, + "At least pipelineMainBus or pipelineApbBridge should be enable to avoid wipe transactions" + ) + val genXip = xipConfig != null + +} + +object MuraxConfig { + def default: MuraxConfig = default(false, false) + def default(withXip: Boolean = false, bigEndian: Boolean = false) = + MuraxConfig( + coreFrequency = 12 MHz, + onChipRamSize = 8 kB, + onChipRamHexFile = null, + pipelineDBus = true, + pipelineMainBus = false, + pipelineApbBridge = true, + gpioWidth = 32, + xipConfig = ifGen(withXip)( + SpiXdrMasterCtrl.MemoryMappingParameters( + SpiXdrMasterCtrl + .Parameters(8, 12, SpiXdrParameter(2, 2, 1)) + .addFullDuplex(0, 1, false), + cmdFifoDepth = 32, + rspFifoDepth = 32, + xip = SpiXdrMasterCtrl + .XipBusParameters(addressWidth = 24, lengthWidth = 2) + ) + ), + hardwareBreakpointCount = if (withXip) 3 else 0, + cpuPlugins = ArrayBuffer( //DebugPlugin added by the toplevel + new IBusSimplePlugin( + resetVector = if (withXip) 0xf001e000L else 0x80000000L, + cmdForkOnSecondStage = true, + cmdForkPersistence = withXip, //Required by the Xip controller + prediction = NONE, + catchAccessFault = false, + compressedGen = false, + bigEndian = bigEndian + ), + new DBusSimplePlugin( + catchAddressMisaligned = false, + catchAccessFault = false, + earlyInjection = false, + bigEndian = bigEndian + ), + new CsrPlugin( + CsrPluginConfig.smallest(mtvecInit = + if (withXip) 0xe0040020L else 0x80000020L + ) + ), + new DecoderSimplePlugin( + catchIllegalInstruction = false + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = false + ), + new LightShifterPlugin, + new HazardSimplePlugin( + bypassExecute = false, + bypassMemory = false, + bypassWriteBack = false, + bypassWriteBackBuffer = false, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = false + ), + new YamlPlugin("cpu0.yaml") + ), + uartCtrlConfig = UartCtrlMemoryMappedConfig( + uartCtrlConfig = UartCtrlGenerics( + dataWidthMax = 8, + clockDividerWidth = 20, + preSamplingSize = 1, + samplingSize = 3, + postSamplingSize = 1 + ), + initConfig = UartCtrlInitConfig( + baudrate = 115200, + dataLength = 7, //7 => 8 bits + parity = UartParityType.NONE, + stop = UartStopType.ONE + ), + busCanWriteClockDividerConfig = false, + busCanWriteFrameConfig = false, + txFifoDepth = 16, + rxFifoDepth = 16 + ) + ) + + def fast = { + val config = default + + //Replace HazardSimplePlugin to get datapath bypass + config.cpuPlugins( + config.cpuPlugins.indexWhere(_.isInstanceOf[HazardSimplePlugin]) + ) = new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true + ) +// config.cpuPlugins(config.cpuPlugins.indexWhere(_.isInstanceOf[LightShifterPlugin])) = new FullBarrelShifterPlugin() + + config + } +} + +case class Murax(config: MuraxConfig) extends Component { + import config._ + + val io = new Bundle { + //Clocks / reset + val asyncReset = in Bool () + val mainClk = in Bool () + + //Main components IO + val jtag = slave(Jtag()) + + //Peripherals IO + val gpioA = master(TriStateArray(gpioWidth bits)) + val uart = master(Uart()) + + val xip = ifGen(genXip)(master(SpiXdrMaster(xipConfig.ctrl.spi))) + } + + val resetCtrlClockDomain = ClockDomain( + clock = io.mainClk, + config = ClockDomainConfig( + resetKind = BOOT + ) + ) + + val resetCtrl = new ClockingArea(resetCtrlClockDomain) { + val mainClkResetUnbuffered = False + + //Implement an counter to keep the reset axiResetOrder high 64 cycles + // Also this counter will automatically do a reset when the system boot. + val systemClkResetCounter = Reg(UInt(6 bits)) init (0) + when(systemClkResetCounter =/= U(systemClkResetCounter.range -> true)) { + systemClkResetCounter := systemClkResetCounter + 1 + mainClkResetUnbuffered := True + } + when(BufferCC(io.asyncReset)) { + systemClkResetCounter := 0 + } + + //Create all reset used later in the design + val mainClkReset = RegNext(mainClkResetUnbuffered) + val systemReset = RegNext(mainClkResetUnbuffered) + } + + val systemClockDomain = ClockDomain( + clock = io.mainClk, + reset = resetCtrl.systemReset, + frequency = FixedFrequency(coreFrequency) + ) + + val debugClockDomain = ClockDomain( + clock = io.mainClk, + reset = resetCtrl.mainClkReset, + frequency = FixedFrequency(coreFrequency) + ) + + val system = new ClockingArea(systemClockDomain) { + val pipelinedMemoryBusConfig = PipelinedMemoryBusConfig( + addressWidth = 32, + dataWidth = 32 + ) + + val bigEndianDBus = config.cpuPlugins.exists(_ match { + case plugin: DBusSimplePlugin => plugin.bigEndian + case _ => false + }) + + //Arbiter of the cpu dBus/iBus to drive the mainBus + //Priority to dBus, !! cmd transactions can change on the fly !! + val mainBusArbiter = + new MuraxMasterArbiter(pipelinedMemoryBusConfig, bigEndianDBus) + + //Instanciate the CPU + val cpu = new VexRiscv( + config = VexRiscvConfig( + plugins = cpuPlugins += new DebugPlugin( + debugClockDomain, + hardwareBreakpointCount + ) + ) + ) + + //Checkout plugins used to instanciate the CPU to connect them to the SoC + val timerInterrupt = False + val externalInterrupt = False + for (plugin <- cpu.plugins) plugin match { + case plugin: IBusSimplePlugin => + mainBusArbiter.io.iBus.cmd <> plugin.iBus.cmd + mainBusArbiter.io.iBus.rsp <> plugin.iBus.rsp + case plugin: DBusSimplePlugin => { + if (!pipelineDBus) + mainBusArbiter.io.dBus <> plugin.dBus + else { + mainBusArbiter.io.dBus.cmd << plugin.dBus.cmd.halfPipe() + mainBusArbiter.io.dBus.rsp <> plugin.dBus.rsp + } + } + case plugin: CsrPlugin => { + plugin.externalInterrupt := externalInterrupt + plugin.timerInterrupt := timerInterrupt + } + case plugin: DebugPlugin => + plugin.debugClockDomain { + resetCtrl.systemReset setWhen (RegNext(plugin.io.resetOut)) + io.jtag <> plugin.io.bus.fromJtag() + } + case _ => + } + + //****** MainBus slaves ******** + val mainBusMapping = ArrayBuffer[(PipelinedMemoryBus, SizeMapping)]() + val ram = new MuraxPipelinedMemoryBusRam( + onChipRamSize = onChipRamSize, + onChipRamHexFile = onChipRamHexFile, + pipelinedMemoryBusConfig = pipelinedMemoryBusConfig, + bigEndian = bigEndianDBus + ) + mainBusMapping += ram.io.bus -> (0x80000000L, onChipRamSize) + + val apbBridge = new PipelinedMemoryBusToApbBridge( + apb3Config = Apb3Config( + addressWidth = 20, + dataWidth = 32 + ), + pipelineBridge = pipelineApbBridge, + pipelinedMemoryBusConfig = pipelinedMemoryBusConfig + ) + mainBusMapping += apbBridge.io.pipelinedMemoryBus -> (0xf0000000L, 1 MB) + + //******** APB peripherals ********* + val apbMapping = ArrayBuffer[(Apb3, SizeMapping)]() + val gpioACtrl = Apb3Gpio(gpioWidth = gpioWidth, withReadSync = true) + io.gpioA <> gpioACtrl.io.gpio + apbMapping += gpioACtrl.io.apb -> (0x00000, 4 kB) + + val uartCtrl = Apb3UartCtrl(uartCtrlConfig) + uartCtrl.io.uart <> io.uart + externalInterrupt setWhen (uartCtrl.io.interrupt) + apbMapping += uartCtrl.io.apb -> (0x10000, 4 kB) + + val timer = new MuraxApb3Timer() + timerInterrupt setWhen (timer.io.interrupt) + apbMapping += timer.io.apb -> (0x20000, 4 kB) + + val gcd = new Apb3GCDCtrl( + apb3Config = Apb3Config( + addressWidth = 20, + dataWidth = 32 + ) + ) + apbMapping += gcd.io.apb -> (0x30000, 1 kB) + + val xip = ifGen(genXip)(new Area { + val ctrl = Apb3SpiXdrMasterCtrl(xipConfig) + ctrl.io.spi <> io.xip + externalInterrupt setWhen (ctrl.io.interrupt) + apbMapping += ctrl.io.apb -> (0x1f000, 4 kB) + + val accessBus = new PipelinedMemoryBus(PipelinedMemoryBusConfig(24, 32)) + mainBusMapping += accessBus -> (0xe0000000L, 16 MB) + + ctrl.io.xip.fromPipelinedMemoryBus() << accessBus + val bootloader = Apb3Rom("src/main/c/murax/xipBootloader/crt.bin") + apbMapping += bootloader.io.apb -> (0x1e000, 4 kB) + }) + + //******** Memory mappings ********* + val apbDecoder = Apb3Decoder( + master = apbBridge.io.apb, + slaves = apbMapping + ) + + val mainBusDecoder = new Area { + val logic = new MuraxPipelinedMemoryBusDecoder( + master = mainBusArbiter.io.masterBus, + specification = mainBusMapping, + pipelineMaster = pipelineMainBus + ) + } + } +} + +object Murax { + def main(args: Array[String]) { + SpinalVerilog(Murax(MuraxConfig.default)) + } +} + +object Murax_iCE40_hx8k_breakout_board_xip { + + case class SB_GB() extends BlackBox { + val USER_SIGNAL_TO_GLOBAL_BUFFER = in Bool () + val GLOBAL_BUFFER_OUTPUT = out Bool () + } + + case class SB_IO_SCLK() extends BlackBox { + addGeneric("PIN_TYPE", B"010000") + val PACKAGE_PIN = out Bool () + val OUTPUT_CLK = in Bool () + val CLOCK_ENABLE = in Bool () + val D_OUT_0 = in Bool () + val D_OUT_1 = in Bool () + setDefinitionName("SB_IO") + } + + case class SB_IO_DATA() extends BlackBox { + addGeneric("PIN_TYPE", B"110000") + val PACKAGE_PIN = inout(Analog(Bool)) + val CLOCK_ENABLE = in Bool () + val INPUT_CLK = in Bool () + val OUTPUT_CLK = in Bool () + val OUTPUT_ENABLE = in Bool () + val D_OUT_0 = in Bool () + val D_OUT_1 = in Bool () + val D_IN_0 = out Bool () + val D_IN_1 = out Bool () + setDefinitionName("SB_IO") + } + + case class Murax_iCE40_hx8k_breakout_board_xip() extends Component { + val io = new Bundle { + val mainClk = in Bool () + val jtag_tck = in Bool () + val jtag_tdi = in Bool () + val jtag_tdo = out Bool () + val jtag_tms = in Bool () + val uart_txd = out Bool () + val uart_rxd = in Bool () + + val mosi = inout(Analog(Bool)) + val miso = inout(Analog(Bool)) + val sclk = out Bool () + val spis = out Bool () + + val led = out Bits (8 bits) + } + val murax = Murax( + MuraxConfig.default(withXip = true).copy(onChipRamSize = 8 kB) + ) + murax.io.asyncReset := False + + val mainClkBuffer = SB_GB() + mainClkBuffer.USER_SIGNAL_TO_GLOBAL_BUFFER <> io.mainClk + mainClkBuffer.GLOBAL_BUFFER_OUTPUT <> murax.io.mainClk + + val jtagClkBuffer = SB_GB() + jtagClkBuffer.USER_SIGNAL_TO_GLOBAL_BUFFER <> io.jtag_tck + jtagClkBuffer.GLOBAL_BUFFER_OUTPUT <> murax.io.jtag.tck + + io.led <> murax.io.gpioA.write(7 downto 0) + + murax.io.jtag.tdi <> io.jtag_tdi + murax.io.jtag.tdo <> io.jtag_tdo + murax.io.jtag.tms <> io.jtag_tms + murax.io.gpioA.read <> 0 + murax.io.uart.txd <> io.uart_txd + murax.io.uart.rxd <> io.uart_rxd + + val xip = new ClockingArea(murax.systemClockDomain) { + RegNext(murax.io.xip.ss.asBool) <> io.spis + + val sclkIo = SB_IO_SCLK() + sclkIo.PACKAGE_PIN <> io.sclk + sclkIo.CLOCK_ENABLE := True + + sclkIo.OUTPUT_CLK := ClockDomain.current.readClockWire + sclkIo.D_OUT_0 <> murax.io.xip.sclk.write(0) + sclkIo.D_OUT_1 <> RegNext(murax.io.xip.sclk.write(1)) + + val datas = + for ((data, pin) <- (murax.io.xip.data, List(io.mosi, io.miso)).zipped) + yield new Area { + val dataIo = SB_IO_DATA() + dataIo.PACKAGE_PIN := pin + dataIo.CLOCK_ENABLE := True + + dataIo.OUTPUT_CLK := ClockDomain.current.readClockWire + dataIo.OUTPUT_ENABLE <> data.writeEnable + dataIo.D_OUT_0 <> data.write(0) + dataIo.D_OUT_1 <> RegNext(data.write(1)) + + dataIo.INPUT_CLK := ClockDomain.current.readClockWire + data.read(0) := dataIo.D_IN_0 + data.read(1) := RegNext(dataIo.D_IN_1) + } + } + + } + + def main(args: Array[String]) { + SpinalVerilog(Murax_iCE40_hx8k_breakout_board_xip()) + } +} + +object MuraxDhrystoneReady { + def main(args: Array[String]) { + SpinalVerilog(Murax(MuraxConfig.fast.copy(onChipRamSize = 256 kB))) + } +} + +object MuraxDhrystoneReadyMulDivStatic { + def main(args: Array[String]) { + SpinalVerilog({ + val config = MuraxConfig.fast.copy(onChipRamSize = 256 kB) + config.cpuPlugins += new MulPlugin + config.cpuPlugins += new DivPlugin + config.cpuPlugins.remove( + config.cpuPlugins.indexWhere(_.isInstanceOf[BranchPlugin]) + ) + config.cpuPlugins += new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = false + ) + config.cpuPlugins += new IBusSimplePlugin( + resetVector = 0x80000000L, + cmdForkOnSecondStage = true, + cmdForkPersistence = false, + prediction = STATIC, + catchAccessFault = false, + compressedGen = false + ) + config.cpuPlugins.remove( + config.cpuPlugins.indexWhere(_.isInstanceOf[LightShifterPlugin]) + ) + config.cpuPlugins += new FullBarrelShifterPlugin + Murax(config) + }) + } +} + +//Will blink led and echo UART RX to UART TX (in the verilator sim, type some text and press enter to send UART frame to the Murax RX pin) +object MuraxWithRamInit { + def main(args: Array[String]) { + SpinalVerilog( + Murax( + MuraxConfig.default.copy( + onChipRamSize = 4 kB, + onChipRamHexFile = "src/main/c/murax/gcd_world/build/gcd_world.hex" + ) + ) + ) + .printPruned() + } +} + +object MuraxWithRamInitSynth { + def main(args: Array[String]) { + val config = SpinalConfig( + targetDirectory = "synth", + defaultClockDomainFrequency = FixedFrequency(12 MHz) + ) + config + .generateVerilog( + Murax( + MuraxConfig.default.copy( + onChipRamSize = 4 kB, + onChipRamHexFile = "src/main/c/murax/gcd_world/build/gcd_world.hex" + ) + ) + ) + .printPruned() + } +} + +object Murax_arty { + def main(args: Array[String]) { + val hex = "src/main/c/murax/hello_world/build/hello_world.hex" + SpinalVerilog( + Murax( + MuraxConfig + .default(false) + .copy( + coreFrequency = 100 MHz, + onChipRamSize = 32 kB, + onChipRamHexFile = hex + ) + ) + ) + } +} + +object MuraxAsicBlackBox extends App { + println("Warning this soc do not has any rom to boot on.") + val config = SpinalConfig() + config.addStandardMemBlackboxing(blackboxAll) + config.generateVerilog(Murax(MuraxConfig.default())) +} diff --git a/VexRiscv/doc/gcdPeripheral/src/main/scala/vexriscv/periph/gcd/Apb3GCDCtrl.scala b/VexRiscv/doc/gcdPeripheral/src/main/scala/vexriscv/periph/gcd/Apb3GCDCtrl.scala new file mode 100644 index 0000000..2ec7401 --- /dev/null +++ b/VexRiscv/doc/gcdPeripheral/src/main/scala/vexriscv/periph/gcd/Apb3GCDCtrl.scala @@ -0,0 +1,39 @@ +package vexriscv.periph.gcd + +import spinal.core._ +import spinal.lib._ +import spinal.lib.bus.amba3.apb.{Apb3, Apb3Config, Apb3SlaveFactory} +import spinal.lib.eda.altera.QSysify +import spinal.lib.slave + +object Apb3GCDCtrl { + def getApb3Config = Apb3Config( + addressWidth = 5, + dataWidth = 32, + selWidth = 1, + useSlaveError = false + ) +} + +class Apb3GCDCtrl(apb3Config : Apb3Config) extends Component { + val io = new Bundle { + val apb = slave(Apb3(Apb3GCDCtrl.getApb3Config)) + // maybe later + // val interrupt = out Bool + } + val gcdCtrl = new GCDTop() + val apbCtrl = Apb3SlaveFactory(io.apb) + apbCtrl.driveAndRead(gcdCtrl.io.a, address=0) + apbCtrl.driveAndRead(gcdCtrl.io.b, address=4) + // when result of calculation ready, synchronize it into memory mapped register + val resSyncBuf = RegNextWhen(gcdCtrl.io.res, gcdCtrl.io.ready) + apbCtrl.read(resSyncBuf, address=8) + // if result is read, it will be consumed, set ready to 0 + apbCtrl.onRead(8)(resSyncBuf := 0) + apbCtrl.onRead(8)(rdySyncBuf := False) + // synchronize ready signal into memory mapped register + val rdySyncBuf = RegNextWhen(gcdCtrl.io.ready, gcdCtrl.io.ready) + apbCtrl.read(rdySyncBuf, address=12) + // set valid based on memory mapped register but clear/consume it after 1 cycle b): + * a := a - b + * else if(b > a): + * b := b - a + * else: + * done := True + */ + //registers + val regA = Reg(UInt(32 bits)) init(0) + val regB = Reg(UInt(32 bits)) init(0) + // compare + val xGTy = regA > regB + val xLTy = regA < regB + // mux + val chX = io.dataCtrl.selL ? regB | regA + val chY = io.dataCtrl.selR ? regB | regA + // subtract + val subXY = chX - chY + // load logic + when(io.dataCtrl.init){ + regA := io.a + regB := io.b + } + when(io.dataCtrl.loadA){ + regA := subXY + } + when(io.dataCtrl.loadB){ + regB := subXY + } + io.dataCtrl.cmpAgtB := xGTy + io.dataCtrl.cmpAltB := xLTy + io.res := regA +} \ No newline at end of file diff --git a/VexRiscv/doc/gcdPeripheral/src/main/scala/vexriscv/periph/gcd/GCDTop.scala b/VexRiscv/doc/gcdPeripheral/src/main/scala/vexriscv/periph/gcd/GCDTop.scala new file mode 100644 index 0000000..654e9b8 --- /dev/null +++ b/VexRiscv/doc/gcdPeripheral/src/main/scala/vexriscv/periph/gcd/GCDTop.scala @@ -0,0 +1,46 @@ +package vexriscv.periph.gcd + +import spinal.core._ +import spinal.lib._ +import spinal.lib.IMasterSlave + +case class GCDDataControl() extends Bundle with IMasterSlave{ + val cmpAgtB = Bool + val cmpAltB = Bool + val loadA = Bool + val loadB = Bool + val init = Bool + val selL = Bool + val selR = Bool + // define <> semantic + override def asMaster(): Unit = { + // as controller: output, input + out(loadA, loadB, selL, selR, init) + in(cmpAgtB, cmpAltB) + } +} + +//Hardware definition +class GCDTop() extends Component { + val io = new Bundle { + val valid = in Bool() + val ready = out Bool() + val a = in(UInt(32 bits)) + val b = in(UInt(32 bits)) + val res = out(UInt(32 bits)) + } + val gcdCtr = new GCDCtrl() + gcdCtr.io.valid := io.valid + io.ready := gcdCtr.io.ready + val gcdDat = new GCDData() + gcdDat.io.a := io.a + gcdDat.io.b := io.b + io.res := gcdDat.io.res + gcdCtr.io.dataCtrl <> gcdDat.io.dataCtrl +} + +object GCDTopVerilog { + def main(args: Array[String]) { + SpinalVerilog(new GCDTop) + } +} \ No newline at end of file diff --git a/VexRiscv/doc/gcdPeripheral/src/main/scala/vexriscv/periph/gcd/GCDTopSim.scala b/VexRiscv/doc/gcdPeripheral/src/main/scala/vexriscv/periph/gcd/GCDTopSim.scala new file mode 100644 index 0000000..53ea8dc --- /dev/null +++ b/VexRiscv/doc/gcdPeripheral/src/main/scala/vexriscv/periph/gcd/GCDTopSim.scala @@ -0,0 +1,52 @@ +package vexriscv.periph.gcd + +import spinal.core._ +import spinal.sim._ +import spinal.core.sim._ + +//import scala.util.Random +import java.util.concurrent.ThreadLocalRandom +object GCDTopSim { + def main(args: Array[String]) { + + SimConfig.withWave.doSim(new GCDTop()){dut => + // SimConfig.doSim(new GCDTop()){dut => + def gcd(a: Long,b: Long): Long = { + if(b==0) a else gcd(b, a%b) + } + def RndNextUInt32(): Long = { + ThreadLocalRandom.current().nextLong(Math.pow(2, 32).toLong - 1) + } + var a = 0L + var b = 0L + var model = 0L + dut.io.a #= 0 + dut.io.b #= 0 + dut.io.valid #= false + + dut.clockDomain.forkStimulus(period = 10) + dut.clockDomain.waitRisingEdge() + + for(idx <- 0 to 500){ + // generate 2 random ints + a = RndNextUInt32() + b = RndNextUInt32() + // calculate the model value (software) + model = gcd(a,b) + // apply stimulus with random ints + dut.io.a #= a + dut.io.b #= b + dut.io.valid #= true + dut.clockDomain.waitRisingEdge() + dut.io.valid #= false + // wait until calculation of hardware is done + waitUntil(dut.io.ready.toBoolean) + assert( + assertion = (dut.io.res.toBigInt == model), + message = "test " + idx + " failed. Expected " + model + ", retrieved: " + dut.io.res.toBigInt + ) + waitUntil(!dut.io.ready.toBoolean) + } + } + } +} diff --git a/VexRiscv/doc/nativeJtag/README.md b/VexRiscv/doc/nativeJtag/README.md new file mode 100644 index 0000000..550d8be --- /dev/null +++ b/VexRiscv/doc/nativeJtag/README.md @@ -0,0 +1,172 @@ +# Implementing VexRiscv Based Murax SoC on Arty A7 Artix-7 PCB from Digilent and Enabling JTAG Connection through Xilinx’s BSCANE2 Debug IP + +**By**
+**Pradeep Krishnamurthy – Student Research Assistant, OFFIS e.V.**
+**Frank Poppen – Senior Research Engineer, OFFIS e.V.**
+ +**www.offis.de** + +Acknowledgement +This work was supported in part by the German Federal Ministry of Education and Research (BMBF) within the project +SATiSFy under contract no. 16KIS0821K, and within the project Scale4Edge under contract no. 16ME0127. + +## 1. Introduction +Up-to-date FPGA evaluation boards, like the Digilent Arty A7 mounting a Xilinx Artix-7 FPGA, come with an integrated +FTDI chip which makes programming and debugging quite easy. In our work, we synthesized the VexRiscv based Murax +processor to an Artix-7 FPGA and at first lead out the JTAG relevant signals of the Riscv core to the board’s Pmod +Header to connect to a dedicated Olimex JTAG Adapter through a second USB cable. As it turns out, this extra effort +on hardware can be minimized by use of some Xilinx Debug IP named BSCANE2. Collecting the required information on how +to do this was tedious. So we came to the decision to document our path to success with this short report. We expect +that the reader is familiar with the README.md to be found at https://github.com/SpinalHDL/VexRiscv and that the +reader is capable of generating the Murax SoC as it is described there. + +## 2. SpinalHDL - Generation of Murax SoC with BSCANE2 +The BSCANE2 allows access between the internal FPGA logic and the JTAG Boundary Scan logic controller. This allows +for communication between the internally running design and the dedicated JTAG pins of the FPGA. + +### Steps to enable Bscane2 + +After cloning all files from https://github.com/SpinalHDL/VexRiscv, go to the path: `src/main/scala/vexriscv/demo` +and find the `Murax.scala` file. +* Comment out the following lines to remove the toplevel jtag I/O pins in `Murax.scala`. Be aware that line numbers +as given could move with future changes to the file: +``` +[164] val jtag = slave(Jtag()) +… +[392] val jtagClkBuffer = SB_GB() +[393] jtagClkBuffer.USER_SIGNAL_TO_GLOBAL_BUFFER <> io.jtag_tck +[394] jtagClkBuffer.GLOBAL_BUFFER_OUTPUT <> murax.io.jtag.tck +… +[398] murax.io.jtag.tdi <> io.jtag_tdi +[399] murax.io.jtag.tdo <> io.jtag_tdo +[400] murax.io.jtag.tms <> io.jtag_tms +``` +* In the `Murax.scala` file, delete the line: +``` +[253] io.jtag <> plugin.io.bus.fromJtag() +``` +* And add the lines: +``` +[254] val jtagCtrl = JtagTapInstructionCtrl() +[255] val tap = jtagCtrl.fromXilinxBscane2(userId = 2) +[256] jtagCtrl <> plugin.io.bus.fromJtagInstructionCtrl(ClockDomain(tap.TCK)) +``` +Changing the above lines, removes the Murax SoC’s JTAG ports as pins of the FPGA and inserts the BSCANE2 Xilinx +Debug IP to which the JTAG signals are now connected. +* Add the following import statement at the beginning of `Murax.scala`: +``` +import spinal.lib.com.jtag.JtagTapInstructionCtrl +``` +With these changes in place, you generate the SoC with a demo program already in ram by use of: +``` +sbt "runMain vexriscv.demo.MuraxWithRamInit" +``` +A Verilog file is generated with the name `Murax.v` next to four `.bin` files inside the `VexRiscv` folder. These +files are the input to the Xilinx FPGA synthesis. Inside the `Murax.v` file, we can see that the BSCANE2 ports are +instantiated, confirming that the BSCANE2 has successfully been instantiated within the Murax SoC as a debug brige +to JTAG. + +## 3. Xilinx Vivado - Programming Arty A7 FPGA +There are many applications to program a FPGA. In our work we referred to the freely available Xilinx Vivado 2020 +application to synthesize and program the FPGA. Vivado is readily available at Xilinx website and free of cost to +download. This document assumes that the reader is able to setup and execute FPGA synthesis projects. The +following is not a step by step tutorial, but gives general guiding information. + +### Programming the FPGA + +* Create a new project and choose the board. In our case it is the Arty A7-35 (`xc7a35ticsg324-1L`). +* Copy the mentioned files (.v and .bin) of the previous section from the Vexriscv folder into the Vivado project +in e.g. the path: `project_name.srcs\sources_1\imports\Downloads` +* Create a toplevel file by instantiating Murax I/O ports in it to blink the LED’s on the Digilent board. (Note: The program to blink the LED’s is already present in the four `.bin` files with the `Murax.v` file). The toplevel file and constraint `arty_a7.xdc` file, if required, can be found and reused from the path: `VexRiscv/scripts/Murax/arty_a7`, but you need to make sure that all the JTAG ports of Murax are commented or deleted in the toplevel file. Remember: we removed them in Section 2 and connected them internally to the BSCANE2 debug bridge. +* Be aware that line numbers as given could move with future changes to the file. The lines to remove from toplevel file are: +``` +[43] reg tesic_tck,tesic_tms,tesic_tdi; +[44] wire tesic_tdo; +[45] reg soc_tck,soc_tms,soc_tdi; +[46] wire soc_tdo; +[47] +[48] always @(*) begin +[49] {soc_tck, soc_tms, soc_tdi } = {tck,tms,tdi}; +[50] tdo = soc_tdo; +[51] end +… +[56] .io_jtag_tck(soc_tck), +[57] .io_jtag_tdi(soc_tdi), +[58] .io_jtag_tdo(soc_tdo), +[59] .io_jtag_tms(soc_tms), +``` +* Also remove any JTAG port to pin assignments from any constraint file. +* Next, click Generate Bitstream and program the FPGA with the bit file. You can see the LED’s blink and Murax SoC has been programmed into the FPGA. + +### 4. Debugging - Using OpenOCD and GDB +* Clone and setup openocd with the steps as provided by https://github.com/SpinalHDL/openocd_riscv +* You basically have to provide two files for OpenOCD to connect successfully through the FPGA into the Murax SoC inside it: +1. `usb_connect.cfg` (interface configuration) +2. `soc_init.cfg` (take over the control of the CPU) +* `usb_connect.cfg` +You can take it from ... https://github.com/SpinalHDL/SaxonSoc/blob/dev-0.3/bsp/digilent/ArtyA7SmpLinux/openocd/usb_connect.cfg ... without modifications as we would say. Be aware that it includes the two files `xilinx-xc7.cfg` and `jtagspi.cfg` which are part of the OpenOCD project ... https://github.com/riscv/riscv-openocd/tree/riscv/tcl/cpld , but make sure to check the path for the files. If required, adapt the find and path for the lines: +``` +[29] source [find cpld/xilinx-xc7.cfg] +[30] source [find cpld/jtagspi.cfg] +``` +* `soc_init.cfg` +https://github.com/SpinalHDL/SaxonSoc/blob/dev-0.3/bsp/digilent/ArtyA7SmpLinux/openocd/soc_init.cfg +You can take it but you need to: `set cpu_count to 1` and remove lines 22 to 35 as shown in the result below: +``` +set cpu_count 1 + +for {set i 0} {$i < $cpu_count} {incr i} { + target create saxon.cpu$i vexriscv -endian little -chain-position $TAP_NAME -coreid $i -dbgbase [expr $i*0x1000+0x10B80000] + vexriscv readWaitCycles 40 + vexriscv cpuConfigFile $CPU0_YAML + if {$SPINAL_SIM != "yes"} { + vexriscv jtagMapping 3 3 0 1 2 2 + } +} + +for {set i 0} {$i < $cpu_count} {incr i} { + targets saxon.cpu$i + poll_period 50 + init + soft_reset_halt +} + +puts " done" +``` +* Run openocd: +``` +openocd -c "set CPU0_YAML ../VexRiscv/cpu0.yaml" \ +-f tcl/interface/usb_connect.cfg \ +-f tcl/interface/soc_init.cfg +``` +On success you should be able to see something like +``` +Open On-Chip Debugger 0.10.0+dev-01231-gf8c1c8ad-dirty (2021-05-03-10:57) +Licensed under GNU GPL v2 +For bug reports, read + http://openocd.org/doc/doxygen/bugs.html +../../cpu0.yaml +Info : auto-selecting first available session transport "jtag". To override use 'transport select '. +xc7.tap +Info : set servers polling period to 50ms +Info : clock speed 5000 kHz +Info : JTAG tap: xc7.tap tap/device found: 0x0362d093 (mfg: 0x049 (Xilinx), part: 0x362d, ver: 0x0) +Info : starting gdb server for saxon.cpu0 on 3333 +Info : Listening on port 3333 for gdb connections +requesting target halt and executing a soft reset + done +Info : Listening on port 6666 for tcl connections +Info : Listening on port 4444 for telnet connections +``` +* Information on setting up a riscv compiler and debugger toolchain are to be found at: +https://github.com/riscv/riscv-gnu-toolchain +* With openocd running you can now connect a debugger to `port 3333`. +* A demonstration software to compile and debug with the Murax SoC can be found at https://github.com/SpinalHDL/VexRiscvSocSoftware in the path `VexRiscvSocSoftware/projects/murax/demo`. With a `make` you create the `.elf` in the `build` directory from which you then give the command: +``` +riscv64-unknown-elf-gdb demo.elf +``` +* The riscv debugger is started with the `demo.elf` program and is ready to be connected to the CPU. Do so by issuing the following command in its window: + * `target remote localhost:3333` This command will connect the GDB server to OpenOCD + * `load` This command will load the program into the FPGA. Whenever you decide to make changes to the demo software and recompiled it, you need to upload the resulting new executable to the CPU in this way. + * `monitor reset halt` This command resets the Murax CPU and halts it to receive further commands. + * `continue` From here on you should be able to execute a regular debug session with your VexRiscv based Murax SoC on the FPGA. diff --git a/VexRiscv/doc/nativeJtag/soc_init.cfg b/VexRiscv/doc/nativeJtag/soc_init.cfg new file mode 100644 index 0000000..47fc5fb --- /dev/null +++ b/VexRiscv/doc/nativeJtag/soc_init.cfg @@ -0,0 +1,26 @@ +if [info exists env(SPINAL_SIM)] { + set SPINAL_SIM $::env(SPINAL_SIM) +} else { + set SPINAL_SIM no +} + +set cpu_count 1 + + +for {set i 0} {$i < $cpu_count} {incr i} { + target create saxon.cpu$i vexriscv -endian little -chain-position $TAP_NAME -coreid $i -dbgbase [expr $i*0x1000+0x10B80000] + vexriscv readWaitCycles 40 + vexriscv cpuConfigFile $CPU0_YAML + if {$SPINAL_SIM != "yes"} { + vexriscv jtagMapping 3 3 0 1 2 2 + } +} + +for {set i 0} {$i < $cpu_count} {incr i} { + targets saxon.cpu$i + poll_period 50 + init + soft_reset_halt +} + +puts " done" diff --git a/VexRiscv/doc/nativeJtag/usb_connect.cfg b/VexRiscv/doc/nativeJtag/usb_connect.cfg new file mode 100644 index 0000000..02d62fd --- /dev/null +++ b/VexRiscv/doc/nativeJtag/usb_connect.cfg @@ -0,0 +1,14 @@ +adapter driver ftdi +ftdi_device_desc "Digilent USB Device" +ftdi_vid_pid 0x0403 0x6010 +ftdi_channel 0 +ftdi_layout_init 0x00e8 0x60eb +ftdi_tdo_sample_edge falling + +reset_config none +adapter speed 5000 + +source [find cpld/xilinx-xc7.cfg] +source [find cpld/jtagspi.cfg] + +set TAP_NAME xc7.tap diff --git a/VexRiscv/doc/smp/smp.md b/VexRiscv/doc/smp/smp.md new file mode 100644 index 0000000..f8b39c2 --- /dev/null +++ b/VexRiscv/doc/smp/smp.md @@ -0,0 +1,216 @@ +# Coherent interface specification + +Features : +- 3 interface (write, read, probe) composed of 7 streams +- Two data paths (read + write), but allow dirty/clean sharing by reusing the write data path +- Allow multi level coherent interconnect +- No ordering, but provide barrier +- Allow cache-full and cache-less agents + +## A few hint to help reading the spec + +In order to make the spec more readable, there is some definitions : + +### Stream + +A stream is a primitive interface which carry transactions using a valid/ready handshake. + +### Memory copy + +To talk in a non abstract way, in a system with multiple caches, a given memory address can potentialy be loaded in multiple caches at the same time. So let's define that : + +- The DDR memory is named `main memory` +- Each cache line can be loaded with a part of the main memory, let's name that a `memory copy` + +### Master / Interconnect / Slave + +A master could be for instance a CPU cache, the side of the interconnect toward the main memory or toward a more general interconnect. + +A slave could be main memory, the side of the interconnect toward a CPU cache or toward a less general interconnect. + +The spec will try to stay abstract and define the coherent interface as something which can be used between two agents (cpu, interconnect, main memory) + +## Memory copy status + +Memory copy, in other words, cache line, have more states than non coherent systems : + +| Name | Description | +|---------------|-------------| +| Valid/Invalid | Line loaded or not | +| Shared/Unique | shared => multiple copy of the cache line in different caches, unique => no other caches has a copy of the line | +| Owner/Lodger | lodger => copy of the line, but no other responsibility, owner => the given cache is responsible to write back dirty data and answer probes with the data | +| Clean/Dirty | clean => match main memory, dirty => main memory need updates | + +All combination of those cache flag are valid. But if a cache line is invalid, the other status have no meaning. + +Later in the spec, memory copy state can be described for example as : + +- VSOC for (Valid, Shared, Owner, Clean) +- V-OC for (Valid, Shared or Unique, Owner, Clean) +- !V-OC for NOT (Valid, Shared or Unique, Owner, Clean) +- ... + +## Coherent interface + +One full coherent interface is composed of 3 inner interfaces, them-self composed of 7 stream described bellow as `interfaceName (Side -> StreamName -> Side -> StreamName -> ...)` +- write (M -> writeCmd -> S -> writeRsp -> M) +- read (M -> readCmd- > S -> readRsp -> M -> readAck -> S) +- probe (S -> probeCmd -> M -> probeRsp -> S) + +The following streams could physically be merges in order to reduce the number of arbitration : + +- writeCmd, probeRsp, readAck +- writeRsp, readRsp + +### Read interface + +Used by masters to obtain new memory copies and make copies unique (used to write them). + +Composed of 3 stream : + +| Name | Direction | Description | +|---------|-----------|----------| +| readCmd | M -> S | Emit memory read and cache management commands | +| readRsp | M <- S | Return some data and/or a status from readCmd | +| readAck | M -> S | Return ACK from readRsp to synchronize the interconnect status | + +### Write interface + +Used by masters to write data back to the memory and notify the interconnect of memory copies eviction (used to keep potential directories updated). + +Composed of 2 stream : + +| Name | Direction | Description | +|---------|-----------|----------| +| writeCmd | M -> S | Emit memory writes and cache management commands | +| writeRsp | M <- S | Return a status from writeCmd | + +### Probe interface + +Used by the interconnect to order master to change their memory copies status and get memory copies owners data. + +Composed of 2 stream : + +| Name | Direction | Description | +|----------|-----------|----------| +| probeCmd | M <- S | Used for cache management | +| probeRsp | M -> S | Acknowledgment | + +## Transactions + +This chapter define transactions moving over the 3 previously defined interface (read/write/probe). + +### Read commands + +Emitted on the readCmd channel (master -> slave) + +| Command | Initial state | Description | Usage example | +|-------------|---------------|----------|------| +| readShared | I--- | Get a memory copy as V--- | Want to read a uncached address | +| readUnique | I--- | Get a memory copy as VUO- | Want to write a uncached address | +| readOnce | I--- | Get a memory copy without coherency tracking | Instruction cache read | +| makeUnique | VS-- | Make other memory copy as I--- and make yourself VUO- | Want to write into a shared line | +| readBarrier | N/A | Ensure that the visibility of the memory operations of this channel do not cross the barrier | ISA fence | + +makeUnique should be designed with care. There is a few corner cases : +- While a master has a inflight makeUnique, a probe can change its state, in such case, the makeUnique become weak and invalidation is canceled. This is usefull for multi level coherent interconnects. +- Multi level coherent interconnect should be careful to properly move the ownership and not lose dirty data + +I'm not sure yet if we should add some barrier transactions to enforce + +### Read responses + +Emitted on the readRsp channel (master <- slave) + +readSuccess, readError, data shared/unique clean/dirty owner/notOwner + +| Responses | From command | Description | +|-------------|---------------|----------| +| readSuccess | makeUnique, readBarrier | - | +| readError | readShared, readUnique, readOnce | Bad address | +| readData | readShared, readUnique, readOnce | Data + coherency status (V---) | + +### Read ack + +Emitted on the readAck channel (master -> slave), it carry no information, just a notification that the master received the read response + +| Name | From command | Description | +|--------------|---------------|----------| +| readSuccess | * | - | + +### Write commands + +Write commands can be emitted on the writeCmd channel (master -> slave) + +| Name | Initial state | Description | Usage example | +|--------------|---------------|----------|----------| +| writeInvalid | V-O- | Write the memory copy and update it status to I--- | Need to free the dirty cache line | +| writeShare | V-O- | Write the memory copy but keep it as VSO- | A probe makeShared asked it | +| writeUnique | VUO- | Write the memory copy but keep it as VUO- | A probe probeOnce need to read the data | +| evict | V---, !V-OD | Notify the interconnect that the cache line is now I--- | Need to free a clean cache line | +| writeBarrier | N/A | Ensure that the visibility of the memory operations of this channel do not cross the barrier | ISA fence | + +### Write responses + +Emitted on the writeRsp channel (master <- slave), it carry no information, just a notification that the corresponding command is done. + +| Name | From command | Description | +|--------------|---------------|----------| +| writeSuccess | * | - | + +### Probe commands + +Probe commands can be emitted on the probeCmd channel (slave -> master) + +| Name | Description | Usage example | +|-------------|-------------|---------------| +| makeInvalid | Make the memory copy I--- | Another cache want to make his shared copy unique to write it | +| makeShared | Make the memory copy VS-- | Another cache want to read a memory block, so unique copy need to be shared | +| probeOnce | Read the V-O- memory copy | A non coherent agent did a readOnce | + +makeInvalid and makeShared could result into one of the following probeSuccess, writeInvalid, writeShare + +probeOnce can result into one of the following probeSuccess, writeShare, writeUnique + +To help the slave matching the writeInvalid and writeShare generated from a probe, those request are tagged with a matching ID. + +### Probe responses + +Emitted on the probeRsp channel (master -> slave), it carry no information, just a notification that the corresponding command is done. + +| Name | From command | Description | +|--------------|---------------|----------| +| probeSuccess | * | - | + + +## Channel interlocking + +This is a delicate subject as if everything was permited, it would be easy to end up with deadlocks. + +There is the streams priority (top => high priority, bottom => low priority) A lower priority stream should not block a higher priority stream in order to avoid deadlocks. +- writeCmd, writeRsp, readRsp, readAck, probeRsp. Nothing should realy block them excepted bandwidth +- probeCmd. Can be blocked by inflight/generated writes +- readCmd. Can be blocked by inflight/generated probes + +In other words : + +Masters can emit writeCmd and wait their writeRsp completion before answering probes commands. +Slaves can emit probeCmd and wait their proveRsp completion before answering reads. +Slaves can emit readRsp and wait on their readAck completion before doing anything else + +## Interface subsets + +There is a few cases where you could need a specific subset of the coherent interface : +- Instruction caches do not necessarily need to maintain the coherency with the memory system. +- DMA need to read and write the memory system, but are cache-less (no probe) + +### ReadOnly interface without maintained coherency + +Such interface is only composed of the read bus on which the readCmd stream can only use readOnce requests + + +### WriteOnly interface + +In such interface, there is no read/probe buses, but only a writeCmd and a writeRsp stream. The writeCmd will invalidate other memory copies, then write into the memory while the writeRsp will return a writeSuccess/writeError status. + + diff --git a/VexRiscv/project/build.properties b/VexRiscv/project/build.properties new file mode 100644 index 0000000..0b2e09c --- /dev/null +++ b/VexRiscv/project/build.properties @@ -0,0 +1 @@ +sbt.version=1.4.7 diff --git a/VexRiscv/project/plugins.sbt b/VexRiscv/project/plugins.sbt new file mode 100644 index 0000000..26ac3e5 --- /dev/null +++ b/VexRiscv/project/plugins.sbt @@ -0,0 +1 @@ +addSbtPlugin("com.eed3si9n" % "sbt-assembly" % "0.14.10") diff --git a/VexRiscv/scripts/Murax/arty_a7/README.md b/VexRiscv/scripts/Murax/arty_a7/README.md new file mode 100644 index 0000000..ad781f3 --- /dev/null +++ b/VexRiscv/scripts/Murax/arty_a7/README.md @@ -0,0 +1,129 @@ +This example is for the Digilent ARTY A7 35T board. + +# Using the example + +## Before Starting + +You should make sure you have the following tools installed: + * vivado 2018.1 or later + * riscv toolchain (riscv64-unknown-elf) + * sbt + +## Board setup +Make sure you have a rev E board. If you have a later version check that the +flash part is S25FL128SAGMF100. + +Jumper settings for board rev E: + * Disconnect anything from the connectors (Pmod, Arduino) + * Jumpers: JP1 and JP2 on, others off. + +## Building + +You should be able to just type `make` and get output similar to this; +``` +... +Memory region Used Size Region Size %age Used + RAM: 896 B 2 KB 43.75% +... +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:08 ; elapsed = 00:00:09 . Memory (MB): peak = 1457.785 ; gain = 243.430 ; free physical = 17940 ; free virtual = 57159 +--------------------------------------------------------------------------------- +... +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:02:42 ; elapsed = 00:02:58 . Memory (MB): peak = 1986.879 ; gain = 772.523 ; free physical = 17454 ; free virtual = 56670 +--------------------------------------------------------------------------------- +... +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:02:45 ; elapsed = 00:03:01 . Memory (MB): peak = 1986.879 ; gain = 772.523 ; free physical = 17457 ; free virtual = 56673 +--------------------------------------------------------------------------------- +... +Writing bitstream ./toplevel.bit... +... +mmi files generated +... +******************************************** + ./soc_latest_sw.bit correctly generated +******************************************** +... +******************************************** + ./soc_latest_sw.mcs correctly generated +******************************************** + +INFO: [Common 17-206] Exiting Vivado at Thu Nov 28 04:00:50 2019... +``` + +The process should take around 8 minutes on a reasonably fast computer. + +## Programming + +### Direct FPGA RAM programming + +Run `make prog` to program the bit file directly to FPGA RAM. + +You should get output like the following; +``` +... +****** Xilinx hw_server v2018.1 + **** Build date : Apr 4 2018-18:56:09 + ** Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. + +INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210319AB569AA +INFO: [Labtools 27-1434] Device xc7a35t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. +WARNING: [Labtools 27-3361] The debug hub core was not detected. +Resolution: +1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active. +2. Make sure the BSCAN_SWITCH_USER_MASK device property in Vivado Hardware Manager reflects the user scan chain setting in the design and refresh the device. To determine the user scan chain setting in the design, open the implemented design and use 'get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]'. +For more details on setting the scan chain property, consult the Vivado Debug and Programming User Guide (UG908). +INFO: [Labtools 27-3164] End of startup status: HIGH +INFO: [Common 17-206] Exiting Vivado at Thu Nov 28 04:01:36 2019... +``` + +After programming the LED4~LED7 shall show some activity. + +### QSPI flash programming + +Run `make flash` to program the bit file to the QSPI flash. + +You should get output like the following; +``` +... +****** Xilinx hw_server v2018.1 + **** Build date : Apr 4 2018-18:56:09 + ** Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. + + +INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210319AB569AA +INFO: [Labtools 27-1434] Device xc7a35t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. +... +INFO: [Labtools 27-3164] End of startup status: HIGH +Mfg ID : 1 Memory Type : 20 Memory Capacity : 18 Device ID 1 : 0 Device ID 2 : 0 +Performing Erase Operation... +Erase Operation successful. +Performing Program and Verify Operations... +Program/Verify Operation successful. +INFO: [Labtoolstcl 44-377] Flash programming completed successfully +program_hw_cfgmem: Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:52 . Memory (MB): peak = 1792.711 ; gain = 8.000 ; free physical = 17712 ; free virtual = 56943 +INFO: [Labtoolstcl 44-464] Closing hw_target localhost:3121/xilinx_tcf/Digilent/210319AB569AA +... +INFO: [Common 17-206] Exiting Vivado at Thu Nov 28 04:06:28 2019... +``` + +After programming the flash you need to press the "PROG" button on the board. Then after a second or so the "DONE" LED shall be ON and LED4~LED7 shall show some activity. + + +## Connect + +After programming you should be able to connect to the serial port and have some output. + +On Linux you can do this using a command like `screen /dev/ttyUSB1`. Other good alternatives: + +* moserial (GUI) +* picocom (can be launched via the file "picocom_arty") + +Parameters: +* port is : /dev/ttyUSB1 +* flowcontrol : none +* baudrate is : 115200 +* parity is : none +* databits are : 8 +* stopbits are : 1 diff --git a/VexRiscv/scripts/Murax/arty_a7/arty_a7.xdc b/VexRiscv/scripts/Murax/arty_a7/arty_a7.xdc new file mode 100644 index 0000000..5ddd8fe --- /dev/null +++ b/VexRiscv/scripts/Murax/arty_a7/arty_a7.xdc @@ -0,0 +1,366 @@ +set_property PACKAGE_PIN F4 [get_ports tck] +set_property IOSTANDARD LVCMOS33 [get_ports tck] + +set_property PACKAGE_PIN D2 [get_ports tms] +set_property IOSTANDARD LVCMOS33 [get_ports tms] + +set_property PACKAGE_PIN D4 [get_ports tdo] +set_property IOSTANDARD LVCMOS33 [get_ports tdo] +set_property PULLUP true [get_ports tdo] + +set_property PACKAGE_PIN E2 [get_ports tdi] +set_property IOSTANDARD LVCMOS33 [get_ports tdi] + +set_property PACKAGE_PIN D3 [get_ports trst] +set_property IOSTANDARD LVCMOS33 [get_ports trst] +set_property PULLUP true [get_ports trst] + + +## serial:0.tx +set_property PACKAGE_PIN D10 [get_ports serial_tx] +set_property IOSTANDARD LVCMOS33 [get_ports serial_tx] +## serial:0.rx +set_property PACKAGE_PIN A9 [get_ports serial_rx] +set_property IOSTANDARD LVCMOS33 [get_ports serial_rx] +## clk100:0 +set_property PACKAGE_PIN E3 [get_ports clk100] +set_property IOSTANDARD LVCMOS33 [get_ports clk100] +## cpu_reset:0 +set_property PACKAGE_PIN C2 [get_ports cpu_reset] +set_property IOSTANDARD LVCMOS33 [get_ports cpu_reset] +## eth_ref_clk:0 +#set_property LOC G18 [get_ports eth_ref_clk] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_ref_clk] +## user_led:0 +set_property PACKAGE_PIN H5 [get_ports user_led0] +set_property IOSTANDARD LVCMOS33 [get_ports user_led0] +## user_led:1 +set_property PACKAGE_PIN J5 [get_ports user_led1] +set_property IOSTANDARD LVCMOS33 [get_ports user_led1] +## user_led:2 +set_property PACKAGE_PIN T9 [get_ports user_led2] +set_property IOSTANDARD LVCMOS33 [get_ports user_led2] +## user_led:3 +set_property PACKAGE_PIN T10 [get_ports user_led3] +set_property IOSTANDARD LVCMOS33 [get_ports user_led3] +## user_sw:0 +set_property PACKAGE_PIN A8 [get_ports user_sw0] +set_property IOSTANDARD LVCMOS33 [get_ports user_sw0] +## user_sw:1 +set_property PACKAGE_PIN C11 [get_ports user_sw1] +set_property IOSTANDARD LVCMOS33 [get_ports user_sw1] +## user_sw:2 +set_property PACKAGE_PIN C10 [get_ports user_sw2] +set_property IOSTANDARD LVCMOS33 [get_ports user_sw2] +## user_sw:3 +set_property PACKAGE_PIN A10 [get_ports user_sw3] +set_property IOSTANDARD LVCMOS33 [get_ports user_sw3] +## user_btn:0 +set_property PACKAGE_PIN D9 [get_ports user_btn0] +set_property IOSTANDARD LVCMOS33 [get_ports user_btn0] +## user_btn:1 +set_property PACKAGE_PIN C9 [get_ports user_btn1] +set_property IOSTANDARD LVCMOS33 [get_ports user_btn1] +## user_btn:2 +set_property PACKAGE_PIN B9 [get_ports user_btn2] +set_property IOSTANDARD LVCMOS33 [get_ports user_btn2] +## user_btn:3 +set_property PACKAGE_PIN B8 [get_ports user_btn3] +set_property IOSTANDARD LVCMOS33 [get_ports user_btn3] +## spiflash_1x:0.cs_n +#set_property LOC L13 [get_ports spiflash_1x_cs_n] +#set_property IOSTANDARD LVCMOS33 [get_ports spiflash_1x_cs_n] +# ## spiflash_1x:0.mosi +#set_property LOC K17 [get_ports spiflash_1x_mosi] +#set_property IOSTANDARD LVCMOS33 [get_ports spiflash_1x_mosi] +# ## spiflash_1x:0.miso +#set_property LOC K18 [get_ports spiflash_1x_miso] +#set_property IOSTANDARD LVCMOS33 [get_ports spiflash_1x_miso] +# ## spiflash_1x:0.wp +#set_property LOC L14 [get_ports spiflash_1x_wp] +#set_property IOSTANDARD LVCMOS33 [get_ports spiflash_1x_wp] +# ## spiflash_1x:0.hold +#set_property LOC M14 [get_ports spiflash_1x_hold] +#set_property IOSTANDARD LVCMOS33 [get_ports spiflash_1x_hold] +# ## ddram:0.a +#set_property LOC R2 [get_ports ddram_a[0]] +#set_property SLEW FAST [get_ports ddram_a[0]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[0]] +# ## ddram:0.a +#set_property LOC M6 [get_ports ddram_a[1]] +#set_property SLEW FAST [get_ports ddram_a[1]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[1]] +# ## ddram:0.a +#set_property LOC N4 [get_ports ddram_a[2]] +#set_property SLEW FAST [get_ports ddram_a[2]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[2]] +# ## ddram:0.a +#set_property LOC T1 [get_ports ddram_a[3]] +#set_property SLEW FAST [get_ports ddram_a[3]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[3]] +# ## ddram:0.a +#set_property LOC N6 [get_ports ddram_a[4]] +#set_property SLEW FAST [get_ports ddram_a[4]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[4]] +# ## ddram:0.a +#set_property LOC R7 [get_ports ddram_a[5]] +#set_property SLEW FAST [get_ports ddram_a[5]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[5]] +# ## ddram:0.a +#set_property LOC V6 [get_ports ddram_a[6]] +#set_property SLEW FAST [get_ports ddram_a[6]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[6]] +# ## ddram:0.a +#set_property LOC U7 [get_ports ddram_a[7]] +#set_property SLEW FAST [get_ports ddram_a[7]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[7]] +# ## ddram:0.a +#set_property LOC R8 [get_ports ddram_a[8]] +#set_property SLEW FAST [get_ports ddram_a[8]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[8]] +# ## ddram:0.a +#set_property LOC V7 [get_ports ddram_a[9]] +#set_property SLEW FAST [get_ports ddram_a[9]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[9]] +# ## ddram:0.a +#set_property LOC R6 [get_ports ddram_a[10]] +#set_property SLEW FAST [get_ports ddram_a[10]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[10]] +# ## ddram:0.a +#set_property LOC U6 [get_ports ddram_a[11]] +#set_property SLEW FAST [get_ports ddram_a[11]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[11]] +# ## ddram:0.a +#set_property LOC T6 [get_ports ddram_a[12]] +#set_property SLEW FAST [get_ports ddram_a[12]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[12]] +# ## ddram:0.a +#set_property LOC T8 [get_ports ddram_a[13]] +#set_property SLEW FAST [get_ports ddram_a[13]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[13]] +# ## ddram:0.ba +#set_property LOC R1 [get_ports ddram_ba[0]] +#set_property SLEW FAST [get_ports ddram_ba[0]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_ba[0]] +# ## ddram:0.ba +#set_property LOC P4 [get_ports ddram_ba[1]] +#set_property SLEW FAST [get_ports ddram_ba[1]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_ba[1]] +# ## ddram:0.ba +#set_property LOC P2 [get_ports ddram_ba[2]] +#set_property SLEW FAST [get_ports ddram_ba[2]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_ba[2]] +# ## ddram:0.ras_n +#set_property LOC P3 [get_ports ddram_ras_n] +#set_property SLEW FAST [get_ports ddram_ras_n] +#set_property IOSTANDARD SSTL15 [get_ports ddram_ras_n] +# ## ddram:0.cas_n +#set_property LOC M4 [get_ports ddram_cas_n] +#set_property SLEW FAST [get_ports ddram_cas_n] +#set_property IOSTANDARD SSTL15 [get_ports ddram_cas_n] +# ## ddram:0.we_n +#set_property LOC P5 [get_ports ddram_we_n] +#set_property SLEW FAST [get_ports ddram_we_n] +#set_property IOSTANDARD SSTL15 [get_ports ddram_we_n] +# ## ddram:0.cs_n +#set_property LOC U8 [get_ports ddram_cs_n] +#set_property SLEW FAST [get_ports ddram_cs_n] +#set_property IOSTANDARD SSTL15 [get_ports ddram_cs_n] +# ## ddram:0.dm +#set_property LOC L1 [get_ports ddram_dm[0]] +#set_property SLEW FAST [get_ports ddram_dm[0]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dm[0]] +# ## ddram:0.dm +#set_property LOC U1 [get_ports ddram_dm[1]] +#set_property SLEW FAST [get_ports ddram_dm[1]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dm[1]] +# ## ddram:0.dq +#set_property LOC K5 [get_ports ddram_dq[0]] +#set_property SLEW FAST [get_ports ddram_dq[0]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[0]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[0]] +# ## ddram:0.dq +#set_property LOC L3 [get_ports ddram_dq[1]] +#set_property SLEW FAST [get_ports ddram_dq[1]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[1]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[1]] +# ## ddram:0.dq +#set_property LOC K3 [get_ports ddram_dq[2]] +#set_property SLEW FAST [get_ports ddram_dq[2]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[2]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[2]] +# ## ddram:0.dq +#set_property LOC L6 [get_ports ddram_dq[3]] +#set_property SLEW FAST [get_ports ddram_dq[3]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[3]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[3]] +# ## ddram:0.dq +#set_property LOC M3 [get_ports ddram_dq[4]] +#set_property SLEW FAST [get_ports ddram_dq[4]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[4]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[4]] +# ## ddram:0.dq +#set_property LOC M1 [get_ports ddram_dq[5]] +#set_property SLEW FAST [get_ports ddram_dq[5]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[5]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[5]] +# ## ddram:0.dq +#set_property LOC L4 [get_ports ddram_dq[6]] +#set_property SLEW FAST [get_ports ddram_dq[6]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[6]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[6]] +# ## ddram:0.dq +#set_property LOC M2 [get_ports ddram_dq[7]] +#set_property SLEW FAST [get_ports ddram_dq[7]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[7]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[7]] +# ## ddram:0.dq +#set_property LOC V4 [get_ports ddram_dq[8]] +#set_property SLEW FAST [get_ports ddram_dq[8]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[8]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[8]] +# ## ddram:0.dq +#set_property LOC T5 [get_ports ddram_dq[9]] +#set_property SLEW FAST [get_ports ddram_dq[9]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[9]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[9]] +# ## ddram:0.dq +#set_property LOC U4 [get_ports ddram_dq[10]] +#set_property SLEW FAST [get_ports ddram_dq[10]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[10]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[10]] +# ## ddram:0.dq +#set_property LOC V5 [get_ports ddram_dq[11]] +#set_property SLEW FAST [get_ports ddram_dq[11]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[11]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[11]] +# ## ddram:0.dq +#set_property LOC V1 [get_ports ddram_dq[12]] +#set_property SLEW FAST [get_ports ddram_dq[12]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[12]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[12]] +# ## ddram:0.dq +#set_property LOC T3 [get_ports ddram_dq[13]] +#set_property SLEW FAST [get_ports ddram_dq[13]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[13]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[13]] +# ## ddram:0.dq +#set_property LOC U3 [get_ports ddram_dq[14]] +#set_property SLEW FAST [get_ports ddram_dq[14]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[14]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[14]] +# ## ddram:0.dq +#set_property LOC R3 [get_ports ddram_dq[15]] +#set_property SLEW FAST [get_ports ddram_dq[15]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[15]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[15]] +# ## ddram:0.dqs_p +#set_property LOC N2 [get_ports ddram_dqs_p[0]] +#set_property SLEW FAST [get_ports ddram_dqs_p[0]] +#set_property IOSTANDARD DIFF_SSTL15 [get_ports ddram_dqs_p[0]] +# ## ddram:0.dqs_p +#set_property LOC U2 [get_ports ddram_dqs_p[1]] +#set_property SLEW FAST [get_ports ddram_dqs_p[1]] +#set_property IOSTANDARD DIFF_SSTL15 [get_ports ddram_dqs_p[1]] +# ## ddram:0.dqs_n +#set_property LOC N1 [get_ports ddram_dqs_n[0]] +#set_property SLEW FAST [get_ports ddram_dqs_n[0]] +#set_property IOSTANDARD DIFF_SSTL15 [get_ports ddram_dqs_n[0]] +# ## ddram:0.dqs_n +#set_property LOC V2 [get_ports ddram_dqs_n[1]] +#set_property SLEW FAST [get_ports ddram_dqs_n[1]] +#set_property IOSTANDARD DIFF_SSTL15 [get_ports ddram_dqs_n[1]] +# ## ddram:0.clk_p +#set_property LOC U9 [get_ports ddram_clk_p] +#set_property SLEW FAST [get_ports ddram_clk_p] +#set_property IOSTANDARD DIFF_SSTL15 [get_ports ddram_clk_p] +# ## ddram:0.clk_n +#set_property LOC V9 [get_ports ddram_clk_n] +#set_property SLEW FAST [get_ports ddram_clk_n] +#set_property IOSTANDARD DIFF_SSTL15 [get_ports ddram_clk_n] +# ## ddram:0.cke +#set_property LOC N5 [get_ports ddram_cke] +#set_property SLEW FAST [get_ports ddram_cke] +#set_property IOSTANDARD SSTL15 [get_ports ddram_cke] +# ## ddram:0.odt +#set_property LOC R5 [get_ports ddram_odt] +#set_property SLEW FAST [get_ports ddram_odt] +#set_property IOSTANDARD SSTL15 [get_ports ddram_odt] +# ## ddram:0.reset_n +#set_property LOC K6 [get_ports ddram_reset_n] +#set_property SLEW FAST [get_ports ddram_reset_n] +#set_property IOSTANDARD SSTL15 [get_ports ddram_reset_n] +# ## eth_clocks:0.tx +#set_property LOC H16 [get_ports eth_clocks_tx] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_clocks_tx] +# ## eth_clocks:0.rx +#set_property LOC F15 [get_ports eth_clocks_rx] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_clocks_rx] +# ## eth:0.rst_n +#set_property LOC C16 [get_ports eth_rst_n] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_rst_n] +# ## eth:0.mdio +#set_property LOC K13 [get_ports eth_mdio] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_mdio] +# ## eth:0.mdc +#set_property LOC F16 [get_ports eth_mdc] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_mdc] +# ## eth:0.rx_dv +#set_property LOC G16 [get_ports eth_rx_dv] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_rx_dv] +# ## eth:0.rx_er +#set_property LOC C17 [get_ports eth_rx_er] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_rx_er] +# ## eth:0.rx_data +#set_property LOC D18 [get_ports eth_rx_data[0]] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_rx_data[0]] +# ## eth:0.rx_data +#set_property LOC E17 [get_ports eth_rx_data[1]] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_rx_data[1]] +# ## eth:0.rx_data +#set_property LOC E18 [get_ports eth_rx_data[2]] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_rx_data[2]] +# ## eth:0.rx_data +#set_property LOC G17 [get_ports eth_rx_data[3]] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_rx_data[3]] +# ## eth:0.tx_en +#set_property LOC H15 [get_ports eth_tx_en] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_tx_en] +# ## eth:0.tx_data +#set_property LOC H14 [get_ports eth_tx_data[0]] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_tx_data[0]] +# ## eth:0.tx_data +#set_property LOC J14 [get_ports eth_tx_data[1]] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_tx_data[1]] +# ## eth:0.tx_data +#set_property LOC J13 [get_ports eth_tx_data[2]] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_tx_data[2]] +# ## eth:0.tx_data +#set_property LOC H17 [get_ports eth_tx_data[3]] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_tx_data[3]] +# ## eth:0.col +#set_property LOC D17 [get_ports eth_col] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_col] +# ## eth:0.crs +#set_property LOC G14 [get_ports eth_crs] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_crs] + +set_property INTERNAL_VREF 0.75 [get_iobanks 34] + + +create_clock -period 10.000 -name clk100 [get_nets clk100] + +#create_clock -name eth_rx_clk -period 40.0 [get_nets eth_rx_clk] + +#create_clock -name eth_tx_clk -period 40.0 [get_nets eth_tx_clk] + +#set_clock_groups -group [get_clocks -include_generated_clocks -of [get_nets sys_clk]] -group [get_clocks -include_generated_clocks -of [get_nets eth_rx_clk]] -asynchronous + +#set_clock_groups -group [get_clocks -include_generated_clocks -of [get_nets sys_clk]] -group [get_clocks -include_generated_clocks -of [get_nets eth_tx_clk]] -asynchronous + +#set_clock_groups -group [get_clocks -include_generated_clocks -of [get_nets eth_rx_clk]] -group [get_clocks -include_generated_clocks -of [get_nets eth_tx_clk]] -asynchronous + + + + +set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design] diff --git a/VexRiscv/scripts/Murax/arty_a7/arty_a7_org.xdc b/VexRiscv/scripts/Murax/arty_a7/arty_a7_org.xdc new file mode 100644 index 0000000..75c81b1 --- /dev/null +++ b/VexRiscv/scripts/Murax/arty_a7/arty_a7_org.xdc @@ -0,0 +1,350 @@ + ## serial:0.tx +set_property LOC D10 [get_ports serial_tx] +set_property IOSTANDARD LVCMOS33 [get_ports serial_tx] + ## serial:0.rx +set_property LOC A9 [get_ports serial_rx] +set_property IOSTANDARD LVCMOS33 [get_ports serial_rx] + ## clk100:0 +set_property LOC E3 [get_ports clk100] +set_property IOSTANDARD LVCMOS33 [get_ports clk100] + ## cpu_reset:0 +set_property LOC C2 [get_ports cpu_reset] +set_property IOSTANDARD LVCMOS33 [get_ports cpu_reset] + ## eth_ref_clk:0 +#set_property LOC G18 [get_ports eth_ref_clk] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_ref_clk] + ## user_led:0 +set_property LOC H5 [get_ports user_led0] +set_property IOSTANDARD LVCMOS33 [get_ports user_led0] + ## user_led:1 +set_property LOC J5 [get_ports user_led1] +set_property IOSTANDARD LVCMOS33 [get_ports user_led1] + ## user_led:2 +set_property LOC T9 [get_ports user_led2] +set_property IOSTANDARD LVCMOS33 [get_ports user_led2] + ## user_led:3 +set_property LOC T10 [get_ports user_led3] +set_property IOSTANDARD LVCMOS33 [get_ports user_led3] + ## user_sw:0 +set_property LOC A8 [get_ports user_sw0] +set_property IOSTANDARD LVCMOS33 [get_ports user_sw0] + ## user_sw:1 +set_property LOC C11 [get_ports user_sw1] +set_property IOSTANDARD LVCMOS33 [get_ports user_sw1] + ## user_sw:2 +set_property LOC C10 [get_ports user_sw2] +set_property IOSTANDARD LVCMOS33 [get_ports user_sw2] + ## user_sw:3 +set_property LOC A10 [get_ports user_sw3] +set_property IOSTANDARD LVCMOS33 [get_ports user_sw3] + ## user_btn:0 +set_property LOC D9 [get_ports user_btn0] +set_property IOSTANDARD LVCMOS33 [get_ports user_btn0] + ## user_btn:1 +set_property LOC C9 [get_ports user_btn1] +set_property IOSTANDARD LVCMOS33 [get_ports user_btn1] + ## user_btn:2 +set_property LOC B9 [get_ports user_btn2] +set_property IOSTANDARD LVCMOS33 [get_ports user_btn2] + ## user_btn:3 +set_property LOC B8 [get_ports user_btn3] +set_property IOSTANDARD LVCMOS33 [get_ports user_btn3] + ## spiflash_1x:0.cs_n +#set_property LOC L13 [get_ports spiflash_1x_cs_n] +#set_property IOSTANDARD LVCMOS33 [get_ports spiflash_1x_cs_n] +# ## spiflash_1x:0.mosi +#set_property LOC K17 [get_ports spiflash_1x_mosi] +#set_property IOSTANDARD LVCMOS33 [get_ports spiflash_1x_mosi] +# ## spiflash_1x:0.miso +#set_property LOC K18 [get_ports spiflash_1x_miso] +#set_property IOSTANDARD LVCMOS33 [get_ports spiflash_1x_miso] +# ## spiflash_1x:0.wp +#set_property LOC L14 [get_ports spiflash_1x_wp] +#set_property IOSTANDARD LVCMOS33 [get_ports spiflash_1x_wp] +# ## spiflash_1x:0.hold +#set_property LOC M14 [get_ports spiflash_1x_hold] +#set_property IOSTANDARD LVCMOS33 [get_ports spiflash_1x_hold] +# ## ddram:0.a +#set_property LOC R2 [get_ports ddram_a[0]] +#set_property SLEW FAST [get_ports ddram_a[0]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[0]] +# ## ddram:0.a +#set_property LOC M6 [get_ports ddram_a[1]] +#set_property SLEW FAST [get_ports ddram_a[1]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[1]] +# ## ddram:0.a +#set_property LOC N4 [get_ports ddram_a[2]] +#set_property SLEW FAST [get_ports ddram_a[2]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[2]] +# ## ddram:0.a +#set_property LOC T1 [get_ports ddram_a[3]] +#set_property SLEW FAST [get_ports ddram_a[3]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[3]] +# ## ddram:0.a +#set_property LOC N6 [get_ports ddram_a[4]] +#set_property SLEW FAST [get_ports ddram_a[4]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[4]] +# ## ddram:0.a +#set_property LOC R7 [get_ports ddram_a[5]] +#set_property SLEW FAST [get_ports ddram_a[5]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[5]] +# ## ddram:0.a +#set_property LOC V6 [get_ports ddram_a[6]] +#set_property SLEW FAST [get_ports ddram_a[6]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[6]] +# ## ddram:0.a +#set_property LOC U7 [get_ports ddram_a[7]] +#set_property SLEW FAST [get_ports ddram_a[7]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[7]] +# ## ddram:0.a +#set_property LOC R8 [get_ports ddram_a[8]] +#set_property SLEW FAST [get_ports ddram_a[8]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[8]] +# ## ddram:0.a +#set_property LOC V7 [get_ports ddram_a[9]] +#set_property SLEW FAST [get_ports ddram_a[9]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[9]] +# ## ddram:0.a +#set_property LOC R6 [get_ports ddram_a[10]] +#set_property SLEW FAST [get_ports ddram_a[10]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[10]] +# ## ddram:0.a +#set_property LOC U6 [get_ports ddram_a[11]] +#set_property SLEW FAST [get_ports ddram_a[11]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[11]] +# ## ddram:0.a +#set_property LOC T6 [get_ports ddram_a[12]] +#set_property SLEW FAST [get_ports ddram_a[12]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[12]] +# ## ddram:0.a +#set_property LOC T8 [get_ports ddram_a[13]] +#set_property SLEW FAST [get_ports ddram_a[13]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_a[13]] +# ## ddram:0.ba +#set_property LOC R1 [get_ports ddram_ba[0]] +#set_property SLEW FAST [get_ports ddram_ba[0]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_ba[0]] +# ## ddram:0.ba +#set_property LOC P4 [get_ports ddram_ba[1]] +#set_property SLEW FAST [get_ports ddram_ba[1]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_ba[1]] +# ## ddram:0.ba +#set_property LOC P2 [get_ports ddram_ba[2]] +#set_property SLEW FAST [get_ports ddram_ba[2]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_ba[2]] +# ## ddram:0.ras_n +#set_property LOC P3 [get_ports ddram_ras_n] +#set_property SLEW FAST [get_ports ddram_ras_n] +#set_property IOSTANDARD SSTL15 [get_ports ddram_ras_n] +# ## ddram:0.cas_n +#set_property LOC M4 [get_ports ddram_cas_n] +#set_property SLEW FAST [get_ports ddram_cas_n] +#set_property IOSTANDARD SSTL15 [get_ports ddram_cas_n] +# ## ddram:0.we_n +#set_property LOC P5 [get_ports ddram_we_n] +#set_property SLEW FAST [get_ports ddram_we_n] +#set_property IOSTANDARD SSTL15 [get_ports ddram_we_n] +# ## ddram:0.cs_n +#set_property LOC U8 [get_ports ddram_cs_n] +#set_property SLEW FAST [get_ports ddram_cs_n] +#set_property IOSTANDARD SSTL15 [get_ports ddram_cs_n] +# ## ddram:0.dm +#set_property LOC L1 [get_ports ddram_dm[0]] +#set_property SLEW FAST [get_ports ddram_dm[0]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dm[0]] +# ## ddram:0.dm +#set_property LOC U1 [get_ports ddram_dm[1]] +#set_property SLEW FAST [get_ports ddram_dm[1]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dm[1]] +# ## ddram:0.dq +#set_property LOC K5 [get_ports ddram_dq[0]] +#set_property SLEW FAST [get_ports ddram_dq[0]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[0]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[0]] +# ## ddram:0.dq +#set_property LOC L3 [get_ports ddram_dq[1]] +#set_property SLEW FAST [get_ports ddram_dq[1]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[1]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[1]] +# ## ddram:0.dq +#set_property LOC K3 [get_ports ddram_dq[2]] +#set_property SLEW FAST [get_ports ddram_dq[2]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[2]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[2]] +# ## ddram:0.dq +#set_property LOC L6 [get_ports ddram_dq[3]] +#set_property SLEW FAST [get_ports ddram_dq[3]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[3]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[3]] +# ## ddram:0.dq +#set_property LOC M3 [get_ports ddram_dq[4]] +#set_property SLEW FAST [get_ports ddram_dq[4]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[4]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[4]] +# ## ddram:0.dq +#set_property LOC M1 [get_ports ddram_dq[5]] +#set_property SLEW FAST [get_ports ddram_dq[5]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[5]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[5]] +# ## ddram:0.dq +#set_property LOC L4 [get_ports ddram_dq[6]] +#set_property SLEW FAST [get_ports ddram_dq[6]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[6]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[6]] +# ## ddram:0.dq +#set_property LOC M2 [get_ports ddram_dq[7]] +#set_property SLEW FAST [get_ports ddram_dq[7]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[7]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[7]] +# ## ddram:0.dq +#set_property LOC V4 [get_ports ddram_dq[8]] +#set_property SLEW FAST [get_ports ddram_dq[8]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[8]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[8]] +# ## ddram:0.dq +#set_property LOC T5 [get_ports ddram_dq[9]] +#set_property SLEW FAST [get_ports ddram_dq[9]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[9]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[9]] +# ## ddram:0.dq +#set_property LOC U4 [get_ports ddram_dq[10]] +#set_property SLEW FAST [get_ports ddram_dq[10]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[10]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[10]] +# ## ddram:0.dq +#set_property LOC V5 [get_ports ddram_dq[11]] +#set_property SLEW FAST [get_ports ddram_dq[11]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[11]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[11]] +# ## ddram:0.dq +#set_property LOC V1 [get_ports ddram_dq[12]] +#set_property SLEW FAST [get_ports ddram_dq[12]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[12]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[12]] +# ## ddram:0.dq +#set_property LOC T3 [get_ports ddram_dq[13]] +#set_property SLEW FAST [get_ports ddram_dq[13]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[13]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[13]] +# ## ddram:0.dq +#set_property LOC U3 [get_ports ddram_dq[14]] +#set_property SLEW FAST [get_ports ddram_dq[14]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[14]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[14]] +# ## ddram:0.dq +#set_property LOC R3 [get_ports ddram_dq[15]] +#set_property SLEW FAST [get_ports ddram_dq[15]] +#set_property IOSTANDARD SSTL15 [get_ports ddram_dq[15]] +#set_property IN_TERM UNTUNED_SPLIT_40 [get_ports ddram_dq[15]] +# ## ddram:0.dqs_p +#set_property LOC N2 [get_ports ddram_dqs_p[0]] +#set_property SLEW FAST [get_ports ddram_dqs_p[0]] +#set_property IOSTANDARD DIFF_SSTL15 [get_ports ddram_dqs_p[0]] +# ## ddram:0.dqs_p +#set_property LOC U2 [get_ports ddram_dqs_p[1]] +#set_property SLEW FAST [get_ports ddram_dqs_p[1]] +#set_property IOSTANDARD DIFF_SSTL15 [get_ports ddram_dqs_p[1]] +# ## ddram:0.dqs_n +#set_property LOC N1 [get_ports ddram_dqs_n[0]] +#set_property SLEW FAST [get_ports ddram_dqs_n[0]] +#set_property IOSTANDARD DIFF_SSTL15 [get_ports ddram_dqs_n[0]] +# ## ddram:0.dqs_n +#set_property LOC V2 [get_ports ddram_dqs_n[1]] +#set_property SLEW FAST [get_ports ddram_dqs_n[1]] +#set_property IOSTANDARD DIFF_SSTL15 [get_ports ddram_dqs_n[1]] +# ## ddram:0.clk_p +#set_property LOC U9 [get_ports ddram_clk_p] +#set_property SLEW FAST [get_ports ddram_clk_p] +#set_property IOSTANDARD DIFF_SSTL15 [get_ports ddram_clk_p] +# ## ddram:0.clk_n +#set_property LOC V9 [get_ports ddram_clk_n] +#set_property SLEW FAST [get_ports ddram_clk_n] +#set_property IOSTANDARD DIFF_SSTL15 [get_ports ddram_clk_n] +# ## ddram:0.cke +#set_property LOC N5 [get_ports ddram_cke] +#set_property SLEW FAST [get_ports ddram_cke] +#set_property IOSTANDARD SSTL15 [get_ports ddram_cke] +# ## ddram:0.odt +#set_property LOC R5 [get_ports ddram_odt] +#set_property SLEW FAST [get_ports ddram_odt] +#set_property IOSTANDARD SSTL15 [get_ports ddram_odt] +# ## ddram:0.reset_n +#set_property LOC K6 [get_ports ddram_reset_n] +#set_property SLEW FAST [get_ports ddram_reset_n] +#set_property IOSTANDARD SSTL15 [get_ports ddram_reset_n] +# ## eth_clocks:0.tx +#set_property LOC H16 [get_ports eth_clocks_tx] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_clocks_tx] +# ## eth_clocks:0.rx +#set_property LOC F15 [get_ports eth_clocks_rx] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_clocks_rx] +# ## eth:0.rst_n +#set_property LOC C16 [get_ports eth_rst_n] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_rst_n] +# ## eth:0.mdio +#set_property LOC K13 [get_ports eth_mdio] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_mdio] +# ## eth:0.mdc +#set_property LOC F16 [get_ports eth_mdc] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_mdc] +# ## eth:0.rx_dv +#set_property LOC G16 [get_ports eth_rx_dv] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_rx_dv] +# ## eth:0.rx_er +#set_property LOC C17 [get_ports eth_rx_er] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_rx_er] +# ## eth:0.rx_data +#set_property LOC D18 [get_ports eth_rx_data[0]] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_rx_data[0]] +# ## eth:0.rx_data +#set_property LOC E17 [get_ports eth_rx_data[1]] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_rx_data[1]] +# ## eth:0.rx_data +#set_property LOC E18 [get_ports eth_rx_data[2]] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_rx_data[2]] +# ## eth:0.rx_data +#set_property LOC G17 [get_ports eth_rx_data[3]] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_rx_data[3]] +# ## eth:0.tx_en +#set_property LOC H15 [get_ports eth_tx_en] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_tx_en] +# ## eth:0.tx_data +#set_property LOC H14 [get_ports eth_tx_data[0]] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_tx_data[0]] +# ## eth:0.tx_data +#set_property LOC J14 [get_ports eth_tx_data[1]] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_tx_data[1]] +# ## eth:0.tx_data +#set_property LOC J13 [get_ports eth_tx_data[2]] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_tx_data[2]] +# ## eth:0.tx_data +#set_property LOC H17 [get_ports eth_tx_data[3]] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_tx_data[3]] +# ## eth:0.col +#set_property LOC D17 [get_ports eth_col] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_col] +# ## eth:0.crs +#set_property LOC G14 [get_ports eth_crs] +#set_property IOSTANDARD LVCMOS33 [get_ports eth_crs] + +set_property INTERNAL_VREF 0.750 [get_iobanks 34] + +create_clock -name sys_clk -period 10.0 [get_nets sys_clk] + +create_clock -name clk100 -period 10.0 [get_nets clk100] + +#create_clock -name eth_rx_clk -period 40.0 [get_nets eth_rx_clk] + +#create_clock -name eth_tx_clk -period 40.0 [get_nets eth_tx_clk] + +#set_clock_groups -group [get_clocks -include_generated_clocks -of [get_nets sys_clk]] -group [get_clocks -include_generated_clocks -of [get_nets eth_rx_clk]] -asynchronous + +#set_clock_groups -group [get_clocks -include_generated_clocks -of [get_nets sys_clk]] -group [get_clocks -include_generated_clocks -of [get_nets eth_tx_clk]] -asynchronous + +#set_clock_groups -group [get_clocks -include_generated_clocks -of [get_nets eth_rx_clk]] -group [get_clocks -include_generated_clocks -of [get_nets eth_tx_clk]] -asynchronous + +set_false_path -quiet -to [get_nets -quiet -filter {mr_ff == TRUE}] + +set_false_path -quiet -to [get_pins -quiet -filter {REF_PIN_NAME == PRE} -of [get_cells -quiet -filter {ars_ff1 == TRUE || ars_ff2 == TRUE}]] + +set_max_delay 2 -quiet -from [get_pins -quiet -filter {REF_PIN_NAME == Q} -of [get_cells -quiet -filter {ars_ff1 == TRUE}]] -to [get_pins -quiet -filter {REF_PIN_NAME == D} -of [get_cells -quiet -filter {ars_ff2 == TRUE}]] diff --git a/VexRiscv/scripts/Murax/arty_a7/make_mcs_file b/VexRiscv/scripts/Murax/arty_a7/make_mcs_file new file mode 100755 index 0000000..40bcc5a --- /dev/null +++ b/VexRiscv/scripts/Murax/arty_a7/make_mcs_file @@ -0,0 +1,6 @@ +#!/bin/sh +#Create mcs file for QSPI flash + +cd ./build + +vivado -mode batch -source ../make_mcs_file.tcl -notrace diff --git a/VexRiscv/scripts/Murax/arty_a7/make_mmi_files b/VexRiscv/scripts/Murax/arty_a7/make_mmi_files new file mode 100755 index 0000000..3919e16 --- /dev/null +++ b/VexRiscv/scripts/Murax/arty_a7/make_mmi_files @@ -0,0 +1,4 @@ +#!/bin/sh + +cd ./build +vivado -mode batch -source ../make_mmi_files.tcl -notrace diff --git a/VexRiscv/scripts/Murax/arty_a7/make_vivado_project b/VexRiscv/scripts/Murax/arty_a7/make_vivado_project new file mode 100755 index 0000000..eb0f5a9 --- /dev/null +++ b/VexRiscv/scripts/Murax/arty_a7/make_vivado_project @@ -0,0 +1,9 @@ +#!/bin/sh + +#cannot rm build because it erase software images that the make file copy there +#rm -rf ./build + +mkdir -p ./build + +cd ./build +vivado -mode batch -source ../make_vivado_project.tcl -notrace diff --git a/VexRiscv/scripts/Murax/arty_a7/makefile b/VexRiscv/scripts/Murax/arty_a7/makefile new file mode 100644 index 0000000..b672652 --- /dev/null +++ b/VexRiscv/scripts/Murax/arty_a7/makefile @@ -0,0 +1,62 @@ +ROOT=../../.. +SWBASE=$(ROOT)/src/main/c/murax +SOCSW=hello_world +SOCMEMSRC=$(SWBASE)/$(SOCSW)/build/$(SOCSW).v +SOCMEM=build/soc.mem + +TOP=Murax + +all : build/latest.bit + +../../../$(TOP).v : toplevel.v + (cd ../../..; sbt "runMain vexriscv.demo.Murax_arty") + +.PHONY: $(SOCMEMSRC) +$(SOCMEMSRC): + mkdir -p build + make -C $(SWBASE)/$(SOCSW) + +$(SOCMEM) : $(SOCMEMSRC) + cp -u $(SOCMEMSRC) $(SOCMEM) + +build/vivado_project/fpga.runs/impl_1/toplevel.bit : toplevel.v arty_a7.xdc ../../../$(TOP).v + mkdir -p build + ./make_vivado_project + cp build/vivado_project/fpga.runs/impl_1/toplevel.bit build/latest.bit + +build/soc.mmi: build/vivado_project/fpga.runs/impl_1/toplevel.bit + ./make_mmi_files + +build/latest_soc_sw.bit : $(SOCMEM) build/soc.mmi + rm -f updatemem.jou updatemem.log + updatemem -force --meminfo build/soc.mmi --data $(SOCMEM) --bit build/latest.bit --proc dummy --out build/latest_soc_sw.bit + cp build/latest_soc_sw.bit build/latest.bit + +build/latest.bit : build/latest_soc_sw.bit + +build/latest.mcs : build/latest.bit + ./make_mcs_file + +prog : build/latest.bit + ./write_fpga + +flash : build/latest.mcs + ./write_flash + +clean-soc-sw: + make -C $(SWBASE)/$(SOCSW) clean-all + +soc-sw: clean-soc-sw $(SOCMEM) + +.PHONY: clean +clean : + rm -rf build + mkdir build + rm -f updatemem.jou + rm -f updatemem.log + +clean-sw: clean-soc-sw + +clean-all : clean clean-sw + rm -f ../../../$(TOP).v + rm -f ../../../$(TOP).v_* diff --git a/VexRiscv/scripts/Murax/arty_a7/open_vivado_project b/VexRiscv/scripts/Murax/arty_a7/open_vivado_project new file mode 100755 index 0000000..24c54d1 --- /dev/null +++ b/VexRiscv/scripts/Murax/arty_a7/open_vivado_project @@ -0,0 +1,4 @@ +#!/bin/sh + +cd ./build +vivado -mode batch -source ../open_vivado_project.tcl -notrace diff --git a/VexRiscv/scripts/Murax/arty_a7/picocom_arty b/VexRiscv/scripts/Murax/arty_a7/picocom_arty new file mode 100644 index 0000000..ff15a17 --- /dev/null +++ b/VexRiscv/scripts/Murax/arty_a7/picocom_arty @@ -0,0 +1 @@ +picocom --baud 115200 --imap lfcrlf /dev/ttyUSB1 diff --git a/VexRiscv/scripts/Murax/arty_a7/toplevel.v b/VexRiscv/scripts/Murax/arty_a7/toplevel.v new file mode 100644 index 0000000..e127da6 --- /dev/null +++ b/VexRiscv/scripts/Murax/arty_a7/toplevel.v @@ -0,0 +1,66 @@ +`timescale 1ns / 1ps + +module toplevel( + input wire clk100, + input wire cpu_reset,//active low + + input wire tck, + input wire tms, + input wire tdi, + input wire trst,//ignored + output reg tdo, + + input wire serial_rx, + output wire serial_tx, + + input wire user_sw0, + input wire user_sw1, + input wire user_sw2, + input wire user_sw3, + + input wire user_btn0, + input wire user_btn1, + input wire user_btn2, + input wire user_btn3, + + output wire user_led0, + output wire user_led1, + output wire user_led2, + output wire user_led3 + ); + + wire [31:0] io_gpioA_read; + wire [31:0] io_gpioA_write; + wire [31:0] io_gpioA_writeEnable; + + wire io_asyncReset = ~cpu_reset; + + assign {user_led3,user_led2,user_led1,user_led0} = io_gpioA_write[3 : 0]; + assign io_gpioA_read[3:0] = {user_sw3,user_sw2,user_sw1,user_sw0}; + assign io_gpioA_read[7:4] = {user_btn3,user_btn2,user_btn1,user_btn0}; + assign io_gpioA_read[11:8] = {tck,tms,tdi,trst}; + + reg tesic_tck,tesic_tms,tesic_tdi; + wire tesic_tdo; + reg soc_tck,soc_tms,soc_tdi; + wire soc_tdo; + + always @(*) begin + {soc_tck, soc_tms, soc_tdi } = {tck,tms,tdi}; + tdo = soc_tdo; + end + + Murax core ( + .io_asyncReset(io_asyncReset), + .io_mainClk (clk100 ), + .io_jtag_tck(soc_tck), + .io_jtag_tdi(soc_tdi), + .io_jtag_tdo(soc_tdo), + .io_jtag_tms(soc_tms), + .io_gpioA_read (io_gpioA_read), + .io_gpioA_write (io_gpioA_write), + .io_gpioA_writeEnable(io_gpioA_writeEnable), + .io_uart_txd(serial_tx), + .io_uart_rxd(serial_rx) + ); +endmodule diff --git a/VexRiscv/scripts/Murax/arty_a7/write_flash b/VexRiscv/scripts/Murax/arty_a7/write_flash new file mode 100755 index 0000000..05414c4 --- /dev/null +++ b/VexRiscv/scripts/Murax/arty_a7/write_flash @@ -0,0 +1,3 @@ +#!/bin/sh +cd ./build +vivado -mode batch -source ../write_flash.tcl -notrace diff --git a/VexRiscv/scripts/Murax/arty_a7/write_fpga b/VexRiscv/scripts/Murax/arty_a7/write_fpga new file mode 100755 index 0000000..63a344e --- /dev/null +++ b/VexRiscv/scripts/Murax/arty_a7/write_fpga @@ -0,0 +1,3 @@ +#!/bin/sh +cd ./build +vivado -mode batch -source ../write_fpga.tcl -notrace diff --git a/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board/Makefile b/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board/Makefile new file mode 100644 index 0000000..4689674 --- /dev/null +++ b/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board/Makefile @@ -0,0 +1,38 @@ + + +VERILOG = ../../../Murax.v toplevel.v + +generate : + (cd ../../..; sbt "runMain vexriscv.demo.MuraxWithRamInit") + +../../../Murax.v : + (cd ../../..; sbt "runMain vexriscv.demo.MuraxWithRamInit") + +../../../Murax.v*.bin: + +bin/toplevel.blif : ${VERILOG} ../../../Murax.v*.bin + mkdir -p bin + rm -f Murax.v*.bin + cp ../../../Murax.v*.bin . | true + yosys -v3 -p "synth_ice40 -top toplevel -blif bin/toplevel.blif" ${VERILOG} + +bin/toplevel.asc : toplevel.pcf bin/toplevel.blif + arachne-pnr -p toplevel.pcf -d 8k --max-passes 600 -P ct256 bin/toplevel.blif -o bin/toplevel.asc + +bin/toplevel.bin : bin/toplevel.asc + icepack bin/toplevel.asc bin/toplevel.bin + +compile : bin/toplevel.bin + +time: bin/toplevel.bin + icetime -tmd hx8k bin/toplevel.asc + +prog : bin/toplevel.bin + iceprog -S bin/toplevel.bin + +sudo-prog : bin/toplevel.bin + sudo iceprog -S bin/toplevel.bin + +clean : + rm -rf bin + rm -f Murax.v*.bin diff --git a/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board/README.md b/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board/README.md new file mode 100644 index 0000000..1e50a02 --- /dev/null +++ b/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board/README.md @@ -0,0 +1,86 @@ +This example is for the +[Lattice iCE40HX-8K Breakout Board](http://www.latticesemi.com/Products/DevelopmentBoardsAndKits/iCE40HX8KBreakoutBoard.aspx). + +An image of this board is shown below; + +![`iCE40HX8K breakout revA`](img/iCE40HX8K-breakout-revA.png) + +This board can be purchased for ~$USD 49 directly from Lattice and is supported +by the IceStorm +[`iceprog`](https://github.com/cliffordwolf/icestorm/tree/master/iceprog) tool. + + +# Using the example + +## Before Starting + +Before starting make sure that your board is configured for `CRAM Programming` +mode. This requires removing jumper `J7` and putting the pair of jumpers on +`J6` to be parallel to the text on the board. + +This is shown in **Figure 5** of the +[iCE40HX-8K Breakout Board User Guide](http://www.latticesemi.com/view_document?document_id=50373). +which is also reproduced below; + +![CRAM Programming Config](img/cram-programming-config.png) + +Once your board is ready, you should follow the setup instructions at the +[top level](../../../README.md). + +You should make sure you have the following tools installed; + * Yosys + * arachne-pnr + * icestorm tools (like icepack and iceprog) + * riscv toolchain + * sbt + +## Building + +You should be able to just type `make compile` and get output similar to this; +``` +... + place time 10.14s +route... + pass 1, 15 shared. + pass 2, 4 shared. + pass 3, 1 shared. + pass 4, 0 shared. + +After routing: +span_4 4406 / 29696 +span_12 951 / 5632 + + route time 9.12s +write_txt bin/toplevel.asc... +icepack bin/toplevel.asc bin/toplevel.bin +``` + +The process should take around 30 seconds on a reasonable fast computer. + +## Programming + +After building you should be able to run `make prog`. You may need to run `make +sudo-prog` if root is needed to access your USB devices. + +You should get output like the following; +``` +iceprog -S bin/toplevel.bin +init.. +cdone: high +reset.. +cdone: low +programming.. +cdone: high +Bye. +``` + +After programming the LEDs at the top of the board should start flashing in an +interesting pattern. + +## Connect + +After programming you should be able to connect to the serial port and have the +output echoed back to you. + +On Linux you can do this using a command like `screen /dev/ttyUSB1`. Then as +you type you should get back the same characters. diff --git a/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board/img/cram-programming-config.png b/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board/img/cram-programming-config.png new file mode 100644 index 0000000..48562bb Binary files /dev/null and b/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board/img/cram-programming-config.png differ diff --git a/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board/img/iCE40HX8K-breakout-revA.png b/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board/img/iCE40HX8K-breakout-revA.png new file mode 100644 index 0000000..2c460bb Binary files /dev/null and b/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board/img/iCE40HX8K-breakout-revA.png differ diff --git a/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board/toplevel.pcf b/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board/toplevel.pcf new file mode 100644 index 0000000..1f10124 --- /dev/null +++ b/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board/toplevel.pcf @@ -0,0 +1,19 @@ +## iCE40-hx8k breakout board + +set_io io_J3 J3 +set_io io_H16 H16 +set_io io_G15 G15 +set_io io_G16 G16 +set_io io_F15 F15 +set_io io_B12 B12 +set_io io_B10 B10 +set_io io_led[0] B5 +set_io io_led[1] B4 +set_io io_led[2] A2 +set_io io_led[3] A1 +set_io io_led[4] C5 +set_io io_led[5] C4 +set_io io_led[6] B3 +set_io io_led[7] C3 + + diff --git a/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board/toplevel.v b/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board/toplevel.v new file mode 100644 index 0000000..2643c30 --- /dev/null +++ b/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board/toplevel.v @@ -0,0 +1,45 @@ +`timescale 1ns / 1ps + +module toplevel( + input io_J3, + input io_H16, + input io_G15, + output io_G16, + input io_F15, + output io_B12, + input io_B10, + output [7:0] io_led + ); + + wire [31:0] io_gpioA_read; + wire [31:0] io_gpioA_write; + wire [31:0] io_gpioA_writeEnable; + wire io_mainClk; + wire io_jtag_tck; + + SB_GB mainClkBuffer ( + .USER_SIGNAL_TO_GLOBAL_BUFFER (io_J3), + .GLOBAL_BUFFER_OUTPUT ( io_mainClk) + ); + + SB_GB jtagClkBuffer ( + .USER_SIGNAL_TO_GLOBAL_BUFFER (io_H16), + .GLOBAL_BUFFER_OUTPUT ( io_jtag_tck) + ); + + assign io_led = io_gpioA_write[7 : 0]; + + Murax murax ( + .io_asyncReset(0), + .io_mainClk (io_mainClk ), + .io_jtag_tck(io_jtag_tck), + .io_jtag_tdi(io_G15), + .io_jtag_tdo(io_G16), + .io_jtag_tms(io_F15), + .io_gpioA_read (io_gpioA_read), + .io_gpioA_write (io_gpioA_write), + .io_gpioA_writeEnable(io_gpioA_writeEnable), + .io_uart_txd(io_B12), + .io_uart_rxd(io_B10) + ); +endmodule \ No newline at end of file diff --git a/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board_xip/Makefile b/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board_xip/Makefile new file mode 100644 index 0000000..8feef20 --- /dev/null +++ b/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board_xip/Makefile @@ -0,0 +1,44 @@ + +VBASE = ../../.. +VNAME = Murax_iCE40_hx8k_breakout_board_xip +VERILOG = ${VBASE}/${VNAME}.v + +all: prog + +${VERILOG} : + (cd ${VBASE}; sbt "runMain vexriscv.demo.${VNAME}") + +generate : ${VERILOG} + +${VERILOG}*.bin: + +bin/Murax_iCE40_hx8k_breakout_board_xip.blif : ${VERILOG} ${VERILOG}*.bin + mkdir -p bin + rm -f Murax_iCE40_hx8k_breakout_board_xip.v*.bin + cp ${VERILOG}*.bin . | true + yosys -v3 -p "synth_ice40 -top Murax_iCE40_hx8k_breakout_board_xip -blif bin/Murax_iCE40_hx8k_breakout_board_xip.blif" ${VERILOG} + +bin/Murax_iCE40_hx8k_breakout_board_xip.asc : Murax_iCE40_hx8k_breakout_board_xip.pcf bin/Murax_iCE40_hx8k_breakout_board_xip.blif + arachne-pnr -p Murax_iCE40_hx8k_breakout_board_xip.pcf -d 8k --max-passes 600 -P ct256 bin/Murax_iCE40_hx8k_breakout_board_xip.blif -o bin/Murax_iCE40_hx8k_breakout_board_xip.asc + +bin/Murax_iCE40_hx8k_breakout_board_xip.bin : bin/Murax_iCE40_hx8k_breakout_board_xip.asc + icepack bin/Murax_iCE40_hx8k_breakout_board_xip.asc bin/Murax_iCE40_hx8k_breakout_board_xip.bin + +compile : bin/Murax_iCE40_hx8k_breakout_board_xip.bin + +time: bin/Murax_iCE40_hx8k_breakout_board_xip.bin + icetime -tmd hx8k bin/Murax_iCE40_hx8k_breakout_board_xip.asc + +prog : bin/Murax_iCE40_hx8k_breakout_board_xip.bin + lsusb -d 0403:6010 + iceprog -S bin/Murax_iCE40_hx8k_breakout_board_xip.bin + +sudo-prog : bin/Murax_iCE40_hx8k_breakout_board_xip.bin + sudo lsusb -d 0403:6010 + sudo iceprog -S bin/Murax_iCE40_hx8k_breakout_board_xip.bin + +clean : + rm -rf bin + rm -f Murax_iCE40_hx8k_breakout_board_xip.v*.bin + rm -f ${VERILOG}*.bin + rm -f ${VERILOG} diff --git a/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board_xip/Murax_iCE40_hx8k_breakout_board_xip.pcf b/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board_xip/Murax_iCE40_hx8k_breakout_board_xip.pcf new file mode 100644 index 0000000..510acf7 --- /dev/null +++ b/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board_xip/Murax_iCE40_hx8k_breakout_board_xip.pcf @@ -0,0 +1,23 @@ +## iCE40-hx8k breakout board + +set_io io_mainClk J3 +set_io io_jtag_tck H16 +set_io io_jtag_tdi G15 +set_io io_jtag_tdo G16 +set_io io_jtag_tms F15 +set_io io_uart_txd B12 +set_io io_uart_rxd B10 +set_io io_led[0] B5 +set_io io_led[1] B4 +set_io io_led[2] A2 +set_io io_led[3] A1 +set_io io_led[4] C5 +set_io io_led[5] C4 +set_io io_led[6] B3 +set_io io_led[7] C3 + +#XIP +set_io io_miso P12 +set_io io_mosi P11 +set_io io_sclk R11 +set_io io_spis R12 diff --git a/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board_xip/README.md b/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board_xip/README.md new file mode 100644 index 0000000..3ed77c5 --- /dev/null +++ b/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board_xip/README.md @@ -0,0 +1,207 @@ +This example is for the +[Lattice iCE40HX-8K Breakout Board](http://www.latticesemi.com/Products/DevelopmentBoardsAndKits/iCE40HX8KBreakoutBoard.aspx). + +An image of this board is shown below; + +![`iCE40HX8K breakout revA`](img/iCE40HX8K-breakout-revA.png) + +This board can be purchased for ~$USD 49 directly from Lattice and is supported +by the IceStorm +[`iceprog`](https://github.com/cliffordwolf/icestorm/tree/master/iceprog) tool. + +# Bootloader operations + +A bootloader is implemented in a ROM within the FPGA bitfile. It configure the SPI and attempt to read the first word in 'XIP' area of the flash (0xE0040000 in CPU address space, 0x40000 in flash). If this first word is not 0xFFFFFFFF and the same value is read 3 times, +then the bootloader jump at 0xE0040000. + +# Using the example + +## Before Starting + +Before starting make sure that your board is configured for `CRAM Programming` +mode. This requires removing jumper `J7` and putting the pair of jumpers on +`J6` to be parallel to the text on the board. + +This is shown in **Figure 5** of the +[iCE40HX-8K Breakout Board User Guide](http://www.latticesemi.com/view_document?document_id=50373). +which is also reproduced below; + +![CRAM Programming Config](img/cram-programming-config.png) + +Once your board is ready, you should follow the setup instructions at the +[top level](../../../README.md). + +You should make sure you have the following tools installed; + * Yosys + * arachne-pnr + * icestorm tools (like icepack and iceprog) + * riscv toolchain + * sbt + +## Building + +You should be able to just type `make compile` and get output similar to this; +``` +... + place time 10.14s +route... + pass 1, 15 shared. + pass 2, 4 shared. + pass 3, 1 shared. + pass 4, 0 shared. + +After routing: +span_4 4406 / 29696 +span_12 951 / 5632 + + route time 9.12s +write_txt bin/toplevel.asc... +icepack bin/toplevel.asc bin/toplevel.bin +``` + +The process should take around 30 seconds on a reasonable fast computer. + +## Programming + +Make sure the FPGA board is the only USB peripheral with ID 0403:6010 + +For example, this is bad: +``` +user@lafite:~$ lsusb -d 0403:6010 +Bus 001 Device 088: ID 0403:6010 Future Technology Devices International, Ltd FT2232C Dual USB-UART/FIFO IC +Bus 001 Device 090: ID 0403:6010 Future Technology Devices International, Ltd FT2232C Dual USB-UART/FIFO IC +``` +This is good: +``` +user@lafite:~$ lsusb -d 0403:6010 +Bus 001 Device 088: ID 0403:6010 Future Technology Devices International, Ltd FT2232C Dual USB-UART/FIFO IC +``` + + +After building you should be able to run `make prog`. You may need to run `make +sudo-prog` if root is needed to access your USB devices. + +You should get output like the following; +``` +lsusb -d 0403:6010 +Bus 001 Device 088: ID 0403:6010 Future Technology Devices International, Ltd FT2232C Dual USB-UART/FIFO IC +iceprog -S bin/Murax_iCE40_hx8k_breakout_board_xip.bin +init.. +cdone: high +reset.. +cdone: low +programming.. +cdone: high +Bye. +``` + +WARNING: having this output does NOT guarantee you actually programmed anything in the FPGA! + +After programming nothing visual will happen, except the LEDs being off. +The bootloader is waiting for a valid content in the flash (see Bootloader operations). + +## Programming flash image + +### Connect JTAG + +We will use vexrisc JTAG to program the flash, so you need openocd and a +suitable JTAG dongle. + +Pin-out: +``` +TCK: H16 aka J2.25 +TDO: G16 aka J2.26 +TDI: G15 aka J2.27 +TMS: F15 aka J2.28 +``` +In addition you need to connect the ground and VTarget aka VIO: J2.2 on the +board. + +### Start GDB server / OpenOCD +Make sure to use https://github.com/SpinalHDL/openocd_riscv +Make sure to select the configuration file which match your JTAG dongle. + +An example with the dongle "ft2232h_breakout": +``` +src/openocd -f tcl/interface/ftdi/ft2232h_breakout.cfg -c "set MURAX_CPU0_YAML ../VexRiscv/cpu0.yaml" -f tcl/target/murax_xip.cfg +``` + +You should get an output like below: +``` +Open On-Chip Debugger 0.10.0+dev-01214-g0ace94f (2019-10-02-18:23) +Licensed under GNU GPL v2 +For bug reports, read + http://openocd.org/doc/doxygen/bugs.html +../VexRiscv/cpu0.yaml +adapter speed: 100 kHz +adapter_nsrst_delay: 260 +Info : auto-selecting first available session transport "jtag". To override use 'transport select '. +jtag_ntrst_delay: 250 +Info : set servers polling period to 50ms +Error: libusb_get_string_descriptor_ascii() failed with LIBUSB_ERROR_INVALID_PARAM +Info : clock speed 100 kHz +Info : JTAG tap: fpga_spinal.bridge tap/device found: 0x10001fff (mfg: 0x7ff (), part: 0x0001, ver: 0x1) +Info : Listening on port 3333 for gdb connections +requesting target halt and executing a soft reset +Info : Listening on port 6666 for tcl connections +Info : Listening on port 4444 for telnet connections +``` + +### Loading the flash with telnet + +First we connect and stop execution on the device: +``` +user@lafite:~/Downloads/vexrisc_full/VexRiscv/src/main/c/murax/xipBootloader$ telnet 127.0.0.1 4444 +Trying 127.0.0.1... +Connected to 127.0.0.1. +Escape character is '^]'. +Open On-Chip Debugger +> reset +JTAG tap: fpga_spinal.bridge tap/device found: 0x10001fff (mfg: 0x7ff (), part: 0x0001, ver: 0x1) +> +``` + +Now we can safely connect the J7 jumper on the board to be able to access the flash. +After that, we can load the program in flash: +``` +> flash erase_sector 0 4 4 +erased sectors 4 through 4 on flash bank 0 in 0.872235s +> flash write_bank 0 /home/user/dev/vexrisc_fork/VexRiscv/src/main/c/murax/xipBootloader/demo_xip.bin 0x40000 +wrote 48 bytes from file /home/user/dev/vexrisc_fork/VexRiscv/src/main/c/murax/xipBootloader/demo_xip.bin to flash bank 0 at offset 0x00040000 in 0.285539s (0.164 KiB/s) +> flash verify_bank 0 /home/user/dev/vexrisc_fork/VexRiscv/src/main/c/murax/xipBootloader/demo_xip.bin 0x40000 +read 48 bytes from file /home/user/dev/vexrisc_fork/VexRiscv/src/main/c/murax/xipBootloader/demo_xip.bin and flash bank 0 at offset 0x00040000 in 0.192036s (0.244 KiB/s) +contents match +> reset +JTAG tap: fpga_spinal.bridge tap/device found: 0x10001fff (mfg: 0x7ff (), part: 0x0001, ver: 0x1) +> resume +> exit +Connection closed by foreign host. +``` + +From now the device runs the code from flash, LEDs shall display a dot moving from D9 to D2. + +### Loading flash using GDB / eclipse +``` +src/openocd -f tcl/interface/ftdi/ft2232h_breakout.cfg -c "set MURAX_CPU0_YAML ../VexRiscv/cpu0.yaml" -f tcl/target/murax_xip.cfg +``` +- Make sure J7 is connected. +- Connect to GDB / eclipse as usual. + +From there code loading, step, break points works as usual (including software break points in flash). + +## Update hardware/bootloader + +- Stop any OpenOCD connection +- Remove J7, then: +``` +make clean prog +``` +- Remember to check a single FTDI device is listed in the output. If not: + - Disconnect the other devices + ``` + make prog + ``` +- Connect J7, flash software shall start executing. + +## Flash software +Refer to "Loading the flash with telnet" or "Loading flash using GDB / eclipse". diff --git a/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board_xip/img/cram-programming-config.png b/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board_xip/img/cram-programming-config.png new file mode 100644 index 0000000..48562bb Binary files /dev/null and b/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board_xip/img/cram-programming-config.png differ diff --git a/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board_xip/img/iCE40HX8K-breakout-revA.png b/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board_xip/img/iCE40HX8K-breakout-revA.png new file mode 100644 index 0000000..2c460bb Binary files /dev/null and b/VexRiscv/scripts/Murax/iCE40-hx8k_breakout_board_xip/img/iCE40HX8K-breakout-revA.png differ diff --git a/VexRiscv/scripts/Murax/iCE40HX8K-EVB/Makefile b/VexRiscv/scripts/Murax/iCE40HX8K-EVB/Makefile new file mode 100644 index 0000000..e90ed02 --- /dev/null +++ b/VexRiscv/scripts/Murax/iCE40HX8K-EVB/Makefile @@ -0,0 +1,38 @@ + + +VERILOG = ../../../Murax.v toplevel.v toplevel_pll.v + +generate : + (cd ../../..; sbt "runMain vexriscv.demo.MuraxWithRamInit") + +../../../Murax.v : + (cd ../../..; sbt "runMain vexriscv.demo.MuraxWithRamInit") + +../../../Murax.v*.bin: + +bin/toplevel.blif : ${VERILOG} ../../../Murax.v*.bin + mkdir -p bin + rm -f Murax.v*.bin + cp ../../../Murax.v*.bin . | true + yosys -v3 -p "synth_ice40 -top toplevel -blif bin/toplevel.blif" ${VERILOG} + +bin/toplevel.asc : toplevel.pcf bin/toplevel.blif + arachne-pnr -p toplevel.pcf -d 8k --max-passes 600 -P ct256 bin/toplevel.blif -o bin/toplevel.asc + +bin/toplevel.bin : bin/toplevel.asc + icepack bin/toplevel.asc bin/toplevel.bin + +compile : bin/toplevel.bin + +time: bin/toplevel.bin + icetime -tmd hx8k bin/toplevel.asc + +prog : bin/toplevel.bin + iceprogduino bin/toplevel.bin + +sudo-prog : bin/toplevel.bin + sudo iceprogduino bin/toplevel.bin + +clean : + rm -rf bin + rm -f Murax.v*.bin diff --git a/VexRiscv/scripts/Murax/iCE40HX8K-EVB/toplevel.pcf b/VexRiscv/scripts/Murax/iCE40HX8K-EVB/toplevel.pcf new file mode 100644 index 0000000..1a627d9 --- /dev/null +++ b/VexRiscv/scripts/Murax/iCE40HX8K-EVB/toplevel.pcf @@ -0,0 +1,5 @@ +set_io CLK J3 +set_io BUT1 K11 +set_io BUT2 P13 +set_io LED1 M12 +set_io LED2 R16 diff --git a/VexRiscv/scripts/Murax/iCE40HX8K-EVB/toplevel.v b/VexRiscv/scripts/Murax/iCE40HX8K-EVB/toplevel.v new file mode 100644 index 0000000..7058c11 --- /dev/null +++ b/VexRiscv/scripts/Murax/iCE40HX8K-EVB/toplevel.v @@ -0,0 +1,39 @@ +`timescale 1ns / 1ps + +module toplevel( + input CLK, + input BUT1, + input BUT2, + output LED1, + output LED2 + ); + + assign LED1 = io_gpioA_write[0]; + assign LED2 = io_gpioA_write[7]; + + wire [31:0] io_gpioA_read; + wire [31:0] io_gpioA_write; + wire [31:0] io_gpioA_writeEnable; + wire io_mainClk; + + // Use PLL to downclock external clock. + toplevel_pll toplevel_pll_inst(.REFERENCECLK(CLK), + .PLLOUTCORE(io_mainClk), + .PLLOUTGLOBAL(), + .RESET(1'b1)); + + Murax murax ( + .io_asyncReset(1'b0), + .io_mainClk (io_mainClk), + .io_jtag_tck(1'b0), + .io_jtag_tdi(1'b0), + .io_jtag_tdo(), + .io_jtag_tms(1'b0), + .io_gpioA_read (io_gpioA_read), + .io_gpioA_write (io_gpioA_write), + .io_gpioA_writeEnable(io_gpioA_writeEnable), + .io_uart_txd(), + .io_uart_rxd(0'b0) + ); + +endmodule diff --git a/VexRiscv/scripts/Murax/iCE40HX8K-EVB/toplevel_pll.v b/VexRiscv/scripts/Murax/iCE40HX8K-EVB/toplevel_pll.v new file mode 100644 index 0000000..e6df6b2 --- /dev/null +++ b/VexRiscv/scripts/Murax/iCE40HX8K-EVB/toplevel_pll.v @@ -0,0 +1,38 @@ +module toplevel_pll(REFERENCECLK, + PLLOUTCORE, + PLLOUTGLOBAL, + RESET); + +input REFERENCECLK; +input RESET; /* To initialize the simulation properly, the RESET signal (Active Low) must be asserted at the beginning of the simulation */ +output PLLOUTCORE; +output PLLOUTGLOBAL; + +SB_PLL40_CORE toplevel_pll_inst(.REFERENCECLK(REFERENCECLK), + .PLLOUTCORE(PLLOUTCORE), + .PLLOUTGLOBAL(PLLOUTGLOBAL), + .EXTFEEDBACK(), + .DYNAMICDELAY(), + .RESETB(RESET), + .BYPASS(1'b0), + .LATCHINPUTVALUE(), + .LOCK(), + .SDI(), + .SDO(), + .SCLK()); + +//\\ Fin=100, Fout=12; +defparam toplevel_pll_inst.DIVR = 4'b0010; +defparam toplevel_pll_inst.DIVF = 7'b0010110; +defparam toplevel_pll_inst.DIVQ = 3'b110; +defparam toplevel_pll_inst.FILTER_RANGE = 3'b011; +defparam toplevel_pll_inst.FEEDBACK_PATH = "SIMPLE"; +defparam toplevel_pll_inst.DELAY_ADJUSTMENT_MODE_FEEDBACK = "FIXED"; +defparam toplevel_pll_inst.FDA_FEEDBACK = 4'b0000; +defparam toplevel_pll_inst.DELAY_ADJUSTMENT_MODE_RELATIVE = "FIXED"; +defparam toplevel_pll_inst.FDA_RELATIVE = 4'b0000; +defparam toplevel_pll_inst.SHIFTREG_DIV_MODE = 2'b00; +defparam toplevel_pll_inst.PLLOUT_SELECT = "GENCLK"; +defparam toplevel_pll_inst.ENABLE_ICEGATE = 1'b0; + +endmodule diff --git a/VexRiscv/scripts/regression/.gitignore b/VexRiscv/scripts/regression/.gitignore new file mode 100644 index 0000000..67869c2 --- /dev/null +++ b/VexRiscv/scripts/regression/.gitignore @@ -0,0 +1,3 @@ +verilator* +verilator +!verilator.mk diff --git a/VexRiscv/scripts/regression/makefile b/VexRiscv/scripts/regression/makefile new file mode 100644 index 0000000..11ef24f --- /dev/null +++ b/VexRiscv/scripts/regression/makefile @@ -0,0 +1,7 @@ +.ONESHELL: + +include verilator.mk +include regression.mk + + + diff --git a/VexRiscv/scripts/regression/regression.mk b/VexRiscv/scripts/regression/regression.mk new file mode 100644 index 0000000..89e760d --- /dev/null +++ b/VexRiscv/scripts/regression/regression.mk @@ -0,0 +1,48 @@ +.ONESHELL: + + +regression_random: + cd ../.. + export VEXRISCV_REGRESSION_CONFIG_COUNT=4 + export VEXRISCV_REGRESSION_FREERTOS_COUNT=1 + export VEXRISCV_REGRESSION_ZEPHYR_COUNT=4 + export VEXRISCV_REGRESSION_THREAD_COUNT=1 + sbt "testOnly vexriscv.TestIndividualFeatures" + +regression_random_linux: + cd ../.. + export VEXRISCV_REGRESSION_CONFIG_COUNT=2 + export VEXRISCV_REGRESSION_CONFIG_LINUX_RATE=1.0 + export VEXRISCV_REGRESSION_CONFIG_SECURE_RATE=0.0 + export VEXRISCV_REGRESSION_FREERTOS_COUNT=1 + export VEXRISCV_REGRESSION_ZEPHYR_COUNT=2 + export VEXRISCV_REGRESSION_THREAD_COUNT=1 + sbt "testOnly vexriscv.TestIndividualFeatures" + + +regression_random_machine_os: + cd ../.. + export VEXRISCV_REGRESSION_CONFIG_COUNT=10 + export VEXRISCV_REGRESSION_CONFIG_LINUX_RATE=0.0 + export VEXRISCV_REGRESSION_CONFIG_MACHINE_OS_RATE=1.0 + export VEXRISCV_REGRESSION_CONFIG_SECURE_RATE=0.0 + export VEXRISCV_REGRESSION_FREERTOS_COUNT=1 + export VEXRISCV_REGRESSION_ZEPHYR_COUNT=2 + export VEXRISCV_REGRESSION_THREAD_COUNT=1 + sbt "testOnly vexriscv.TestIndividualFeatures" + +regression_random_baremetal: + cd ../.. + export VEXRISCV_REGRESSION_CONFIG_COUNT=30 + export VEXRISCV_REGRESSION_CONFIG_LINUX_RATE=0.0 + export VEXRISCV_REGRESSION_CONFIG_MACHINE_OS_RATE=0.0 + export VEXRISCV_REGRESSION_CONFIG_SECURE_RATE=0.0 + export VEXRISCV_REGRESSION_FREERTOS_COUNT=1 + export VEXRISCV_REGRESSION_ZEPHYR_COUNT=no + export VEXRISCV_REGRESSION_THREAD_COUNT=1 + sbt "testOnly vexriscv.TestIndividualFeatures" + + +regression_dhrystone: + cd ../.. + sbt "testOnly vexriscv.DhrystoneBench" diff --git a/VexRiscv/scripts/regression/verilator.mk b/VexRiscv/scripts/regression/verilator.mk new file mode 100644 index 0000000..b97b74f --- /dev/null +++ b/VexRiscv/scripts/regression/verilator.mk @@ -0,0 +1,20 @@ + +.ONESHELL: + +verilator/configure: + rm -rf verilator* + wget https://www.veripool.org/ftp/verilator-4.034.tgz + tar xvzf verilator*.t*gz + mv verilator-4.034 verilator + +verilator/Makefile: verilator/configure + cd verilator + ./configure + +verilator/bin/verilator_bin: verilator/Makefile + cd verilator + make -j$(shell nproc) + rm -rf src/obj_dbg + rm -rf src/obj_opt + +verilator_binary: verilator/bin/verilator_bin diff --git a/VexRiscv/src/main/c/common/ram.ld b/VexRiscv/src/main/c/common/ram.ld new file mode 100755 index 0000000..2ebf858 --- /dev/null +++ b/VexRiscv/src/main/c/common/ram.ld @@ -0,0 +1,151 @@ +OUTPUT_ARCH( "riscv" ) + +ENTRY( _start ) + +MEMORY +{ + ram : ORIGIN = DEFINED(__ram_origin) ? __ram_origin : 0x80000000, LENGTH = 64k +} + + +SECTIONS +{ + __stack_size = DEFINED(__stack_size) ? __stack_size : 2K; + + .init : + { + KEEP (*(SORT_NONE(.init))) + }> ram + + .text : + { + *(.text.unlikely .text.unlikely.*) + *(.text.startup .text.startup.*) + *(.text .text.*) + *(.gnu.linkonce.t.*) + *(.note.gnu.build-id) + } > ram + + .fini : + { + KEEP (*(SORT_NONE(.fini))) + } > ram + + PROVIDE (__etext = .); + PROVIDE (_etext = .); + PROVIDE (etext = .); + + . = ALIGN(4); + + .preinit_array : + { + PROVIDE_HIDDEN (__preinit_array_start = .); + KEEP (*(.preinit_array)) + PROVIDE_HIDDEN (__preinit_array_end = .); + } > ram + + .init_array : + { + PROVIDE_HIDDEN (__init_array_start = .); + KEEP (*(SORT_BY_INIT_PRIORITY(.init_array.*) SORT_BY_INIT_PRIORITY(.ctors.*))) + KEEP (*(.init_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .ctors)) + PROVIDE_HIDDEN (__init_array_end = .); + } > ram + + .fini_array : + { + PROVIDE_HIDDEN (__fini_array_start = .); + KEEP (*(SORT_BY_INIT_PRIORITY(.fini_array.*) SORT_BY_INIT_PRIORITY(.dtors.*))) + KEEP (*(.fini_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .dtors)) + PROVIDE_HIDDEN (__fini_array_end = .); + } > ram + + .ctors : + { + /* gcc uses crtbegin.o to find the start of + the constructors, so we make sure it is + first. Because this is a wildcard, it + doesn't matter if the user does not + actually link against crtbegin.o; the + linker won't look for a file to match a + wildcard. The wildcard also means that it + doesn't matter which directory crtbegin.o + is in. */ + KEEP (*crtbegin.o(.ctors)) + KEEP (*crtbegin?.o(.ctors)) + /* We don't want to include the .ctor section from + the crtend.o file until after the sorted ctors. + The .ctor section from the crtend file contains the + end of ctors marker and it must be last */ + KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .ctors)) + KEEP (*(SORT(.ctors.*))) + KEEP (*(.ctors)) + } > ram + + .dtors : + { + KEEP (*crtbegin.o(.dtors)) + KEEP (*crtbegin?.o(.dtors)) + KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .dtors)) + KEEP (*(SORT(.dtors.*))) + KEEP (*(.dtors)) + } > ram + + .lalign : + { + . = ALIGN(4); + PROVIDE( _data_lma = . ); + } > ram + + .dalign : + { + . = ALIGN(4); + PROVIDE( _data = . ); + } > ram + + .data : + { + *(.rdata) + *(.rodata .rodata.*) + *(.gnu.linkonce.r.*) + *(.data .data.*) + *(.gnu.linkonce.d.*) + . = ALIGN(8); + PROVIDE( __global_pointer$ = . + 0x800 ); + *(.sdata .sdata.*) + *(.gnu.linkonce.s.*) + . = ALIGN(8); + *(.srodata.cst16) + *(.srodata.cst8) + *(.srodata.cst4) + *(.srodata.cst2) + *(.srodata .srodata.*) + } >ram + + . = ALIGN(4); + PROVIDE( _edata = . ); + PROVIDE( edata = . ); + + PROVIDE( _fbss = . ); + PROVIDE( __bss_start = . ); + .bss : + { + *(.sbss*) + *(.gnu.linkonce.sb.*) + *(.bss .bss.*) + *(.gnu.linkonce.b.*) + *(COMMON) + . = ALIGN(4); + } >ram + + . = ALIGN(8); + PROVIDE( _end = . ); + PROVIDE( end = . ); + + .stack : + { + PROVIDE( _heap_end = . ); + . = __stack_size; + PROVIDE( _sp = . ); + } > ram +} diff --git a/VexRiscv/src/main/c/common/riscv64-unknown-elf.mk b/VexRiscv/src/main/c/common/riscv64-unknown-elf.mk new file mode 100644 index 0000000..e17a9d1 --- /dev/null +++ b/VexRiscv/src/main/c/common/riscv64-unknown-elf.mk @@ -0,0 +1,16 @@ +RISCV_BIN ?= riscv64-unknown-elf- +RISCV_CC=${RISCV_BIN}gcc +RISCV_OBJCOPY=${RISCV_BIN}objcopy +RISCV_OBJDUMP=${RISCV_BIN}objdump + +MARCH := rv32i +ifeq ($(MULDIV),yes) + MARCH := $(MARCH)M +endif +ifeq ($(COMPRESSED),yes) + MARCH := $(MARCH)AC +endif + +CFLAGS += -march=$(MARCH) -mabi=ilp32 -DUSE_GP +LDFLAGS += -march=$(MARCH) -mabi=ilp32 + diff --git a/VexRiscv/src/main/c/common/standalone.mk b/VexRiscv/src/main/c/common/standalone.mk new file mode 100644 index 0000000..88328a2 --- /dev/null +++ b/VexRiscv/src/main/c/common/standalone.mk @@ -0,0 +1,74 @@ + + +LDFLAGS += -lc + +CFLAGS += -I${STANDALONE}/include + + + + +ifeq ($(DEBUG),yes) + CFLAGS += -g3 -Og +endif + +ifeq ($(DEBUG),no) + CFLAGS += -O3 +endif + + +LDFLAGS += -nostdlib -lgcc -nostartfiles -ffreestanding -Wl,-Bstatic,-T,$(LDSCRIPT),-Map,$(OBJDIR)/$(PROJ_NAME).map,--print-memory-usage + + + +OBJDIR ?= build +OBJS := $(SRCS) +OBJS := $(OBJS:.c=.o) +OBJS := $(OBJS:.cpp=.o) +OBJS := $(OBJS:.S=.o) +OBJS := $(OBJS:..=miaou) +OBJS := $(addprefix $(OBJDIR)/,$(OBJS)) + + + +all: $(OBJDIR)/$(PROJ_NAME).elf $(OBJDIR)/$(PROJ_NAME).hex $(OBJDIR)/$(PROJ_NAME).asm $(OBJDIR)/$(PROJ_NAME).bin + +$(OBJDIR)/%.elf: $(OBJS) | $(OBJDIR) + $(RISCV_CC) $(CFLAGS) -o $@ $^ $(LDFLAGS) $(LIBS) + +%.hex: %.elf + $(RISCV_OBJCOPY) -O ihex $^ $@ + +%.bin: %.elf + $(RISCV_OBJCOPY) -O binary $^ $@ + +%.v: %.elf + $(RISCV_OBJCOPY) -O verilog $^ $@ + +%.asm: %.elf + $(RISCV_OBJDUMP) -S -d $^ > $@ + +$(OBJDIR)/%.o: %.c + mkdir -p $(dir $@) + $(RISCV_CC) -c $(CFLAGS) $(INC) -o $@ $^ + +$(OBJDIR)/%.o: %.cpp + mkdir -p $(dir $@) + $(RISCV_CC) -c $(CFLAGS) $(INC) -o $@ $^ + +$(OBJDIR)/%.o: %.S + mkdir -p $(dir $@) + $(RISCV_CC) -c $(CFLAGS) -o $@ $^ -D__ASSEMBLY__=1 + +$(OBJDIR): + mkdir -p $@ + +clean: + rm -f $(OBJDIR)/$(PROJ_NAME).elf + rm -f $(OBJDIR)/$(PROJ_NAME).hex + rm -f $(OBJDIR)/$(PROJ_NAME).map + rm -f $(OBJDIR)/$(PROJ_NAME).v + rm -f $(OBJDIR)/$(PROJ_NAME).bin + rm -f $(OBJDIR)/$(PROJ_NAME).asm + find $(OBJDIR) -type f -name '*.o' -print0 | xargs -0 -r rm + +.SECONDARY: $(OBJS) \ No newline at end of file diff --git a/VexRiscv/src/main/c/emulator/.gitignore b/VexRiscv/src/main/c/emulator/.gitignore new file mode 100644 index 0000000..a7caa3b --- /dev/null +++ b/VexRiscv/src/main/c/emulator/.gitignore @@ -0,0 +1,6 @@ +*.map +*.v +*.elf +*.o +*.hex +!*.bin \ No newline at end of file diff --git a/VexRiscv/src/main/c/emulator/build/emulator.asm b/VexRiscv/src/main/c/emulator/build/emulator.asm new file mode 100644 index 0000000..5e5dfe2 --- /dev/null +++ b/VexRiscv/src/main/c/emulator/build/emulator.asm @@ -0,0 +1,620 @@ + +build/emulator.elf: file format elf32-littleriscv + + +Disassembly of section .init: + +80000000 <_start>: +80000000: 00001117 auipc sp,0x1 +80000004: 18810113 addi sp,sp,392 # 80001188 <_sp> +80000008: 00001517 auipc a0,0x1 +8000000c: 8dc50513 addi a0,a0,-1828 # 800008e4 <__init_array_end> +80000010: 00001597 auipc a1,0x1 +80000014: 8d458593 addi a1,a1,-1836 # 800008e4 <__init_array_end> +80000018: 00001617 auipc a2,0x1 +8000001c: 97060613 addi a2,a2,-1680 # 80000988 <__bss_start> +80000020: 00c5fc63 bgeu a1,a2,80000038 <_start+0x38> +80000024: 00052283 lw t0,0(a0) +80000028: 0055a023 sw t0,0(a1) +8000002c: 00450513 addi a0,a0,4 +80000030: 00458593 addi a1,a1,4 +80000034: fec5e8e3 bltu a1,a2,80000024 <_start+0x24> +80000038: 00001517 auipc a0,0x1 +8000003c: 95050513 addi a0,a0,-1712 # 80000988 <__bss_start> +80000040: 00001597 auipc a1,0x1 +80000044: 94858593 addi a1,a1,-1720 # 80000988 <__bss_start> +80000048: 00b57863 bgeu a0,a1,80000058 <_start+0x58> +8000004c: 00052023 sw zero,0(a0) +80000050: 00450513 addi a0,a0,4 +80000054: feb56ce3 bltu a0,a1,8000004c <_start+0x4c> +80000058: 7e4000ef jal ra,8000083c <__libc_init_array> +8000005c: 178000ef jal ra,800001d4 +80000060: 00000097 auipc ra,0x0 +80000064: 01408093 addi ra,ra,20 # 80000074 +80000068: 00000513 li a0,0 +8000006c: c30005b7 lui a1,0xc3000 +80000070: 30200073 mret + +80000074 : +80000074: 0000006f j 80000074 + +80000078 <_init>: +80000078: 00008067 ret + +8000007c : +8000007c: 34011173 csrrw sp,mscratch,sp +80000080: 00112223 sw ra,4(sp) +80000084: 00312623 sw gp,12(sp) +80000088: 00412823 sw tp,16(sp) +8000008c: 00512a23 sw t0,20(sp) +80000090: 00612c23 sw t1,24(sp) +80000094: 00712e23 sw t2,28(sp) +80000098: 02812023 sw s0,32(sp) +8000009c: 02912223 sw s1,36(sp) +800000a0: 02a12423 sw a0,40(sp) +800000a4: 02b12623 sw a1,44(sp) +800000a8: 02c12823 sw a2,48(sp) +800000ac: 02d12a23 sw a3,52(sp) +800000b0: 02e12c23 sw a4,56(sp) +800000b4: 02f12e23 sw a5,60(sp) +800000b8: 05012023 sw a6,64(sp) +800000bc: 05112223 sw a7,68(sp) +800000c0: 05212423 sw s2,72(sp) +800000c4: 05312623 sw s3,76(sp) +800000c8: 05412823 sw s4,80(sp) +800000cc: 05512a23 sw s5,84(sp) +800000d0: 05612c23 sw s6,88(sp) +800000d4: 05712e23 sw s7,92(sp) +800000d8: 07812023 sw s8,96(sp) +800000dc: 07912223 sw s9,100(sp) +800000e0: 07a12423 sw s10,104(sp) +800000e4: 07b12623 sw s11,108(sp) +800000e8: 07c12823 sw t3,112(sp) +800000ec: 07d12a23 sw t4,116(sp) +800000f0: 07e12c23 sw t5,120(sp) +800000f4: 07f12e23 sw t6,124(sp) +800000f8: 2c4000ef jal ra,800003bc +800000fc: 00412083 lw ra,4(sp) +80000100: 00c12183 lw gp,12(sp) +80000104: 01012203 lw tp,16(sp) +80000108: 01412283 lw t0,20(sp) +8000010c: 01812303 lw t1,24(sp) +80000110: 01c12383 lw t2,28(sp) +80000114: 02012403 lw s0,32(sp) +80000118: 02412483 lw s1,36(sp) +8000011c: 02812503 lw a0,40(sp) +80000120: 02c12583 lw a1,44(sp) +80000124: 03012603 lw a2,48(sp) +80000128: 03412683 lw a3,52(sp) +8000012c: 03812703 lw a4,56(sp) +80000130: 03c12783 lw a5,60(sp) +80000134: 04012803 lw a6,64(sp) +80000138: 04412883 lw a7,68(sp) +8000013c: 04812903 lw s2,72(sp) +80000140: 04c12983 lw s3,76(sp) +80000144: 05012a03 lw s4,80(sp) +80000148: 05412a83 lw s5,84(sp) +8000014c: 05812b03 lw s6,88(sp) +80000150: 05c12b83 lw s7,92(sp) +80000154: 06012c03 lw s8,96(sp) +80000158: 06412c83 lw s9,100(sp) +8000015c: 06812d03 lw s10,104(sp) +80000160: 06c12d83 lw s11,108(sp) +80000164: 07012e03 lw t3,112(sp) +80000168: 07412e83 lw t4,116(sp) +8000016c: 07812f03 lw t5,120(sp) +80000170: 07c12f83 lw t6,124(sp) +80000174: 34011173 csrrw sp,mscratch,sp +80000178: 30200073 mret + +Disassembly of section .text: + +8000017c : +8000017c: ff010113 addi sp,sp,-16 +80000180: 00812423 sw s0,8(sp) +80000184: 00112623 sw ra,12(sp) +80000188: 00050413 mv s0,a0 +8000018c: 00054503 lbu a0,0(a0) +80000190: 00050a63 beqz a0,800001a4 +80000194: 00140413 addi s0,s0,1 +80000198: 668000ef jal ra,80000800 +8000019c: 00044503 lbu a0,0(s0) +800001a0: fe051ae3 bnez a0,80000194 +800001a4: 00c12083 lw ra,12(sp) +800001a8: 00812403 lw s0,8(sp) +800001ac: 01010113 addi sp,sp,16 +800001b0: 00008067 ret + +800001b4 : +800001b4: 01f00793 li a5,31 +800001b8: fff00713 li a4,-1 +800001bc: 00000297 auipc t0,0x0 +800001c0: 01428293 addi t0,t0,20 # 800001d0 +800001c4: 30529073 csrw mtvec,t0 +800001c8: 3b071073 csrw pmpaddr0,a4 +800001cc: 3a079073 csrw pmpcfg0,a5 +800001d0: 00008067 ret + +800001d4 : +800001d4: ff010113 addi sp,sp,-16 +800001d8: 00112623 sw ra,12(sp) +800001dc: 00812423 sw s0,8(sp) +800001e0: 01f00793 li a5,31 +800001e4: fff00713 li a4,-1 +800001e8: 00000297 auipc t0,0x0 +800001ec: 01428293 addi t0,t0,20 # 800001fc +800001f0: 30529073 csrw mtvec,t0 +800001f4: 3b071073 csrw pmpaddr0,a4 +800001f8: 3a079073 csrw pmpcfg0,a5 +800001fc: 80001437 lui s0,0x80001 +80000200: 638000ef jal ra,80000838 +80000204: 95840413 addi s0,s0,-1704 # 80000958 <_sp+0xfffff7d0> +80000208: 02a00513 li a0,42 +8000020c: 00140413 addi s0,s0,1 +80000210: 5f0000ef jal ra,80000800 +80000214: 00044503 lbu a0,0(s0) +80000218: fe051ae3 bnez a0,8000020c +8000021c: 800007b7 lui a5,0x80000 +80000220: 07c78793 addi a5,a5,124 # 8000007c <_sp+0xffffeef4> +80000224: 30579073 csrw mtvec,a5 +80000228: 800017b7 lui a5,0x80001 +8000022c: 10878793 addi a5,a5,264 # 80001108 <_sp+0xffffff80> +80000230: 34079073 csrw mscratch,a5 +80000234: 000017b7 lui a5,0x1 +80000238: 88078793 addi a5,a5,-1920 # 880 <__stack_size+0x80> +8000023c: 30079073 csrw mstatus,a5 +80000240: 30405073 csrwi mie,0 +80000244: c00007b7 lui a5,0xc0000 +80000248: 34179073 csrw mepc,a5 +8000024c: 0000b7b7 lui a5,0xb +80000250: 10078793 addi a5,a5,256 # b100 <__stack_size+0xa900> +80000254: 30279073 csrw medeleg,a5 +80000258: 22200793 li a5,546 +8000025c: 30379073 csrw mideleg,a5 +80000260: 14305073 csrwi stval,0 +80000264: 80001437 lui s0,0x80001 +80000268: 97040413 addi s0,s0,-1680 # 80000970 <_sp+0xfffff7e8> +8000026c: 02a00513 li a0,42 +80000270: 00140413 addi s0,s0,1 +80000274: 58c000ef jal ra,80000800 +80000278: 00044503 lbu a0,0(s0) +8000027c: fe051ae3 bnez a0,80000270 +80000280: 00c12083 lw ra,12(sp) +80000284: 00812403 lw s0,8(sp) +80000288: 01010113 addi sp,sp,16 +8000028c: 00008067 ret + +80000290 : +80000290: 800017b7 lui a5,0x80001 +80000294: 10878793 addi a5,a5,264 # 80001108 <_sp+0xffffff80> +80000298: 00251513 slli a0,a0,0x2 +8000029c: 00f50533 add a0,a0,a5 +800002a0: 00052503 lw a0,0(a0) +800002a4: 00008067 ret + +800002a8 : +800002a8: 800017b7 lui a5,0x80001 +800002ac: 00251513 slli a0,a0,0x2 +800002b0: 10878793 addi a5,a5,264 # 80001108 <_sp+0xffffff80> +800002b4: 00f50533 add a0,a0,a5 +800002b8: 00b52023 sw a1,0(a0) +800002bc: 00008067 ret + +800002c0 : +800002c0: ff010113 addi sp,sp,-16 +800002c4: 00112623 sw ra,12(sp) +800002c8: 530000ef jal ra,800007f8 +800002cc: 343027f3 csrr a5,mtval +800002d0: 14379073 csrw stval,a5 +800002d4: 341027f3 csrr a5,mepc +800002d8: 14179073 csrw sepc,a5 +800002dc: 342027f3 csrr a5,mcause +800002e0: 14279073 csrw scause,a5 +800002e4: 105027f3 csrr a5,stvec +800002e8: 34179073 csrw mepc,a5 +800002ec: 00c12083 lw ra,12(sp) +800002f0: 01010113 addi sp,sp,16 +800002f4: 00008067 ret + +800002f8 : +800002f8: 800007b7 lui a5,0x80000 +800002fc: 07c78793 addi a5,a5,124 # 8000007c <_sp+0xffffeef4> +80000300: 30579073 csrw mtvec,a5 +80000304: 343027f3 csrr a5,mtval +80000308: 14379073 csrw stval,a5 +8000030c: 342027f3 csrr a5,mcause +80000310: 14279073 csrw scause,a5 +80000314: 14151073 csrw sepc,a0 +80000318: 105027f3 csrr a5,stvec +8000031c: 34179073 csrw mepc,a5 +80000320: 0035d793 srli a5,a1,0x3 +80000324: 00459713 slli a4,a1,0x4 +80000328: 02077713 andi a4,a4,32 +8000032c: 1007f793 andi a5,a5,256 +80000330: 00e7e7b3 or a5,a5,a4 +80000334: ffffe737 lui a4,0xffffe +80000338: 6dd70713 addi a4,a4,1757 # ffffe6dd <_sp+0x7fffd555> +8000033c: 00e5f5b3 and a1,a1,a4 +80000340: 00b7e7b3 or a5,a5,a1 +80000344: 000015b7 lui a1,0x1 +80000348: 88058593 addi a1,a1,-1920 # 880 <__stack_size+0x80> +8000034c: 00b7e7b3 or a5,a5,a1 +80000350: 30079073 csrw mstatus,a5 +80000354: 00008067 ret + +80000358 : +80000358: 00020737 lui a4,0x20 +8000035c: 30072073 csrs mstatus,a4 +80000360: 00000717 auipc a4,0x0 +80000364: 01870713 addi a4,a4,24 # 80000378 +80000368: 30571073 csrw mtvec,a4 +8000036c: 00100693 li a3,1 +80000370: 00052783 lw a5,0(a0) +80000374: 00000693 li a3,0 +80000378: 00020737 lui a4,0x20 +8000037c: 30073073 csrc mstatus,a4 +80000380: 00068513 mv a0,a3 +80000384: 00f5a023 sw a5,0(a1) +80000388: 00008067 ret + +8000038c : +8000038c: 00020737 lui a4,0x20 +80000390: 30072073 csrs mstatus,a4 +80000394: 00000717 auipc a4,0x0 +80000398: 01870713 addi a4,a4,24 # 800003ac +8000039c: 30571073 csrw mtvec,a4 +800003a0: 00100793 li a5,1 +800003a4: 00b52023 sw a1,0(a0) +800003a8: 00000793 li a5,0 +800003ac: 00020737 lui a4,0x20 +800003b0: 30073073 csrc mstatus,a4 +800003b4: 00078513 mv a0,a5 +800003b8: 00008067 ret + +800003bc : +800003bc: fe010113 addi sp,sp,-32 +800003c0: 00112e23 sw ra,28(sp) +800003c4: 00812c23 sw s0,24(sp) +800003c8: 00912a23 sw s1,20(sp) +800003cc: 01212823 sw s2,16(sp) +800003d0: 01312623 sw s3,12(sp) +800003d4: 342027f3 csrr a5,mcause +800003d8: 0807cc63 bltz a5,80000470 +800003dc: 00200713 li a4,2 +800003e0: 0ce78463 beq a5,a4,800004a8 +800003e4: 00900693 li a3,9 +800003e8: 04d79463 bne a5,a3,80000430 +800003ec: 80001437 lui s0,0x80001 +800003f0: 18840413 addi s0,s0,392 # 80001188 <_sp+0x0> +800003f4: fc442783 lw a5,-60(s0) +800003f8: 00100693 li a3,1 +800003fc: fa842503 lw a0,-88(s0) +80000400: 2ed78463 beq a5,a3,800006e8 +80000404: 2ee78e63 beq a5,a4,80000700 +80000408: 2a078c63 beqz a5,800006c0 +8000040c: 01812403 lw s0,24(sp) +80000410: 01c12083 lw ra,28(sp) +80000414: 01412483 lw s1,20(sp) +80000418: 01012903 lw s2,16(sp) +8000041c: 00c12983 lw s3,12(sp) +80000420: 02010113 addi sp,sp,32 +80000424: 3d40006f j 800007f8 +80000428: 00777713 andi a4,a4,7 +8000042c: 12f70c63 beq a4,a5,80000564 +80000430: 3c8000ef jal ra,800007f8 +80000434: 343027f3 csrr a5,mtval +80000438: 14379073 csrw stval,a5 +8000043c: 341027f3 csrr a5,mepc +80000440: 14179073 csrw sepc,a5 +80000444: 342027f3 csrr a5,mcause +80000448: 14279073 csrw scause,a5 +8000044c: 105027f3 csrr a5,stvec +80000450: 34179073 csrw mepc,a5 +80000454: 01c12083 lw ra,28(sp) +80000458: 01812403 lw s0,24(sp) +8000045c: 01412483 lw s1,20(sp) +80000460: 01012903 lw s2,16(sp) +80000464: 00c12983 lw s3,12(sp) +80000468: 02010113 addi sp,sp,32 +8000046c: 00008067 ret +80000470: 0ff7f793 andi a5,a5,255 +80000474: 00700713 li a4,7 +80000478: fae79ce3 bne a5,a4,80000430 +8000047c: 02000793 li a5,32 +80000480: 1447a073 csrs sip,a5 +80000484: 08000793 li a5,128 +80000488: 3047b073 csrc mie,a5 +8000048c: 01c12083 lw ra,28(sp) +80000490: 01812403 lw s0,24(sp) +80000494: 01412483 lw s1,20(sp) +80000498: 01012903 lw s2,16(sp) +8000049c: 00c12983 lw s3,12(sp) +800004a0: 02010113 addi sp,sp,32 +800004a4: 00008067 ret +800004a8: 341024f3 csrr s1,mepc +800004ac: 300025f3 csrr a1,mstatus +800004b0: 34302473 csrr s0,mtval +800004b4: 02f00613 li a2,47 +800004b8: 07f47693 andi a3,s0,127 +800004bc: 00c45713 srli a4,s0,0xc +800004c0: f6c684e3 beq a3,a2,80000428 +800004c4: 07300613 li a2,115 +800004c8: f6c694e3 bne a3,a2,80000430 +800004cc: 00377713 andi a4,a4,3 +800004d0: 10f70c63 beq a4,a5,800005e8 +800004d4: 00300793 li a5,3 +800004d8: 10f70863 beq a4,a5,800005e8 +800004dc: 00100993 li s3,1 +800004e0: 03370463 beq a4,s3,80000508 +800004e4: 314000ef jal ra,800007f8 +800004e8: 343027f3 csrr a5,mtval +800004ec: 14379073 csrw stval,a5 +800004f0: 341027f3 csrr a5,mepc +800004f4: 14179073 csrw sepc,a5 +800004f8: 342027f3 csrr a5,mcause +800004fc: 14279073 csrw scause,a5 +80000500: 105027f3 csrr a5,stvec +80000504: 34179073 csrw mepc,a5 +80000508: 00001737 lui a4,0x1 +8000050c: 01445793 srli a5,s0,0x14 +80000510: c0070693 addi a3,a4,-1024 # c00 <__stack_size+0x400> +80000514: 0ed7e263 bltu a5,a3,800005f8 +80000518: c0270713 addi a4,a4,-1022 +8000051c: 0cf77063 bgeu a4,a5,800005dc +80000520: fffff737 lui a4,0xfffff +80000524: 38070713 addi a4,a4,896 # fffff380 <_sp+0x7fffe1f8> +80000528: 00e787b3 add a5,a5,a4 +8000052c: 00200713 li a4,2 +80000530: 0cf76463 bltu a4,a5,800005f8 +80000534: 2e4000ef jal ra,80000818 +80000538: 00050913 mv s2,a0 +8000053c: 1c099e63 bnez s3,80000718 +80000540: 00545413 srli s0,s0,0x5 +80000544: 800017b7 lui a5,0x80001 +80000548: 10878793 addi a5,a5,264 # 80001108 <_sp+0xffffff80> +8000054c: 07c47413 andi s0,s0,124 +80000550: 00f40433 add s0,s0,a5 +80000554: 01242023 sw s2,0(s0) +80000558: 00448493 addi s1,s1,4 +8000055c: 34149073 csrw mepc,s1 +80000560: ef5ff06f j 80000454 +80000564: 00d45713 srli a4,s0,0xd +80000568: 01245793 srli a5,s0,0x12 +8000056c: 800016b7 lui a3,0x80001 +80000570: 10868693 addi a3,a3,264 # 80001108 <_sp+0xffffff80> +80000574: 07c77713 andi a4,a4,124 +80000578: 07c7f793 andi a5,a5,124 +8000057c: 00d70733 add a4,a4,a3 +80000580: 00d787b3 add a5,a5,a3 +80000584: 00072703 lw a4,0(a4) +80000588: 0007a603 lw a2,0(a5) +8000058c: 00020537 lui a0,0x20 +80000590: 30052073 csrs mstatus,a0 +80000594: 00000517 auipc a0,0x0 +80000598: 01850513 addi a0,a0,24 # 800005ac +8000059c: 30551073 csrw mtvec,a0 +800005a0: 00100793 li a5,1 +800005a4: 00072803 lw a6,0(a4) +800005a8: 00000793 li a5,0 +800005ac: 00020537 lui a0,0x20 +800005b0: 30053073 csrc mstatus,a0 +800005b4: 18079663 bnez a5,80000740 +800005b8: 01b45793 srli a5,s0,0x1b +800005bc: 01c00513 li a0,28 +800005c0: e6f568e3 bltu a0,a5,80000430 +800005c4: 80001537 lui a0,0x80001 +800005c8: 00279793 slli a5,a5,0x2 +800005cc: 8e450513 addi a0,a0,-1820 # 800008e4 <_sp+0xfffff75c> +800005d0: 00a787b3 add a5,a5,a0 +800005d4: 0007a783 lw a5,0(a5) +800005d8: 00078067 jr a5 +800005dc: 234000ef jal ra,80000810 +800005e0: 00050913 mv s2,a0 +800005e4: f59ff06f j 8000053c +800005e8: 00f45993 srli s3,s0,0xf +800005ec: 01f9f993 andi s3,s3,31 +800005f0: 013039b3 snez s3,s3 +800005f4: f15ff06f j 80000508 +800005f8: 200000ef jal ra,800007f8 +800005fc: 343027f3 csrr a5,mtval +80000600: 14379073 csrw stval,a5 +80000604: 341027f3 csrr a5,mepc +80000608: 14179073 csrw sepc,a5 +8000060c: 342027f3 csrr a5,mcause +80000610: 14279073 csrw scause,a5 +80000614: 105027f3 csrr a5,stvec +80000618: 34179073 csrw mepc,a5 +8000061c: f21ff06f j 8000053c +80000620: 01067463 bgeu a2,a6,80000628 +80000624: 00080613 mv a2,a6 +80000628: 00020537 lui a0,0x20 +8000062c: 30052073 csrs mstatus,a0 +80000630: 00000517 auipc a0,0x0 +80000634: 01850513 addi a0,a0,24 # 80000648 +80000638: 30551073 csrw mtvec,a0 +8000063c: 00100793 li a5,1 +80000640: 00c72023 sw a2,0(a4) +80000644: 00000793 li a5,0 +80000648: 00020537 lui a0,0x20 +8000064c: 30053073 csrc mstatus,a0 +80000650: 80000737 lui a4,0x80000 +80000654: 07c70713 addi a4,a4,124 # 8000007c <_sp+0xffffeef4> +80000658: 14079463 bnez a5,800007a0 +8000065c: 00545793 srli a5,s0,0x5 +80000660: 07c7f793 andi a5,a5,124 +80000664: 00d786b3 add a3,a5,a3 +80000668: 0106a023 sw a6,0(a3) +8000066c: 00448493 addi s1,s1,4 +80000670: 34149073 csrw mepc,s1 +80000674: 30571073 csrw mtvec,a4 +80000678: dddff06f j 80000454 +8000067c: 01064633 xor a2,a2,a6 +80000680: fa9ff06f j 80000628 +80000684: fac872e3 bgeu a6,a2,80000628 +80000688: 00080613 mv a2,a6 +8000068c: f9dff06f j 80000628 +80000690: f9065ce3 bge a2,a6,80000628 +80000694: 00080613 mv a2,a6 +80000698: f91ff06f j 80000628 +8000069c: f8c856e3 bge a6,a2,80000628 +800006a0: 00080613 mv a2,a6 +800006a4: f85ff06f j 80000628 +800006a8: 01067633 and a2,a2,a6 +800006ac: f7dff06f j 80000628 +800006b0: 01066633 or a2,a2,a6 +800006b4: f75ff06f j 80000628 +800006b8: 01060633 add a2,a2,a6 +800006bc: f6dff06f j 80000628 +800006c0: fac42583 lw a1,-84(s0) +800006c4: 15c000ef jal ra,80000820 +800006c8: 08000793 li a5,128 +800006cc: 3047a073 csrs mie,a5 +800006d0: 02000793 li a5,32 +800006d4: 1447b073 csrc sip,a5 +800006d8: 341027f3 csrr a5,mepc +800006dc: 00478793 addi a5,a5,4 +800006e0: 34179073 csrw mepc,a5 +800006e4: d71ff06f j 80000454 +800006e8: 0ff57513 andi a0,a0,255 +800006ec: 114000ef jal ra,80000800 +800006f0: 341027f3 csrr a5,mepc +800006f4: 00478793 addi a5,a5,4 +800006f8: 34179073 csrw mepc,a5 +800006fc: d59ff06f j 80000454 +80000700: 108000ef jal ra,80000808 +80000704: faa42423 sw a0,-88(s0) +80000708: 341027f3 csrr a5,mepc +8000070c: 00478793 addi a5,a5,4 +80000710: 34179073 csrw mepc,a5 +80000714: d41ff06f j 80000454 +80000718: 0e0000ef jal ra,800007f8 +8000071c: 343027f3 csrr a5,mtval +80000720: 14379073 csrw stval,a5 +80000724: 341027f3 csrr a5,mepc +80000728: 14179073 csrw sepc,a5 +8000072c: 342027f3 csrr a5,mcause +80000730: 14279073 csrw scause,a5 +80000734: 105027f3 csrr a5,stvec +80000738: 34179073 csrw mepc,a5 +8000073c: e05ff06f j 80000540 +80000740: 800007b7 lui a5,0x80000 +80000744: 07c78793 addi a5,a5,124 # 8000007c <_sp+0xffffeef4> +80000748: 30579073 csrw mtvec,a5 +8000074c: 343027f3 csrr a5,mtval +80000750: 14379073 csrw stval,a5 +80000754: 342027f3 csrr a5,mcause +80000758: 14279073 csrw scause,a5 +8000075c: 14149073 csrw sepc,s1 +80000760: 105027f3 csrr a5,stvec +80000764: 34179073 csrw mepc,a5 +80000768: 0035d793 srli a5,a1,0x3 +8000076c: 00459713 slli a4,a1,0x4 +80000770: 02077713 andi a4,a4,32 +80000774: 1007f793 andi a5,a5,256 +80000778: 00e7e7b3 or a5,a5,a4 +8000077c: ffffe737 lui a4,0xffffe +80000780: 6dd70713 addi a4,a4,1757 # ffffe6dd <_sp+0x7fffd555> +80000784: 00e5f5b3 and a1,a1,a4 +80000788: 00001737 lui a4,0x1 +8000078c: 00b7e7b3 or a5,a5,a1 +80000790: 88070713 addi a4,a4,-1920 # 880 <__stack_size+0x80> +80000794: 00e7e7b3 or a5,a5,a4 +80000798: 30079073 csrw mstatus,a5 +8000079c: cb9ff06f j 80000454 +800007a0: 30571073 csrw mtvec,a4 +800007a4: 343027f3 csrr a5,mtval +800007a8: 14379073 csrw stval,a5 +800007ac: 342027f3 csrr a5,mcause +800007b0: 14279073 csrw scause,a5 +800007b4: 14149073 csrw sepc,s1 +800007b8: 105027f3 csrr a5,stvec +800007bc: 34179073 csrw mepc,a5 +800007c0: 0035d793 srli a5,a1,0x3 +800007c4: 00459713 slli a4,a1,0x4 +800007c8: 02077713 andi a4,a4,32 +800007cc: 1007f793 andi a5,a5,256 +800007d0: 00e7e7b3 or a5,a5,a4 +800007d4: ffffe737 lui a4,0xffffe +800007d8: 6dd70713 addi a4,a4,1757 # ffffe6dd <_sp+0x7fffd555> +800007dc: 00e5f5b3 and a1,a1,a4 +800007e0: 00b7e5b3 or a1,a5,a1 +800007e4: 000017b7 lui a5,0x1 +800007e8: 88078793 addi a5,a5,-1920 # 880 <__stack_size+0x80> +800007ec: 00f5e7b3 or a5,a1,a5 +800007f0: 30079073 csrw mstatus,a5 +800007f4: c61ff06f j 80000454 + +800007f8 : +800007f8: fe002e23 sw zero,-4(zero) # fffffffc <_sp+0x7fffee74> +800007fc: 0000006f j 800007fc + +80000800 : +80000800: fea02c23 sw a0,-8(zero) # fffffff8 <_sp+0x7fffee70> +80000804: 00008067 ret + +80000808 : +80000808: ff802503 lw a0,-8(zero) # fffffff8 <_sp+0x7fffee70> +8000080c: 00008067 ret + +80000810 : +80000810: fe002503 lw a0,-32(zero) # ffffffe0 <_sp+0x7fffee58> +80000814: 00008067 ret + +80000818 : +80000818: fe402503 lw a0,-28(zero) # ffffffe4 <_sp+0x7fffee5c> +8000081c: 00008067 ret + +80000820 : +80000820: fec00793 li a5,-20 +80000824: fff00713 li a4,-1 +80000828: 00e7a023 sw a4,0(a5) +8000082c: fea02423 sw a0,-24(zero) # ffffffe8 <_sp+0x7fffee60> +80000830: 00b7a023 sw a1,0(a5) +80000834: 00008067 ret + +80000838 : +80000838: 00008067 ret + +8000083c <__libc_init_array>: +8000083c: ff010113 addi sp,sp,-16 +80000840: 00000797 auipc a5,0x0 +80000844: 0a478793 addi a5,a5,164 # 800008e4 <__init_array_end> +80000848: 00812423 sw s0,8(sp) +8000084c: 00000417 auipc s0,0x0 +80000850: 09840413 addi s0,s0,152 # 800008e4 <__init_array_end> +80000854: 40f40433 sub s0,s0,a5 +80000858: 00912223 sw s1,4(sp) +8000085c: 01212023 sw s2,0(sp) +80000860: 00112623 sw ra,12(sp) +80000864: 40245413 srai s0,s0,0x2 +80000868: 00000493 li s1,0 +8000086c: 00078913 mv s2,a5 +80000870: 04849263 bne s1,s0,800008b4 <__libc_init_array+0x78> +80000874: 805ff0ef jal ra,80000078 <_init> +80000878: 00000797 auipc a5,0x0 +8000087c: 06c78793 addi a5,a5,108 # 800008e4 <__init_array_end> +80000880: 00000417 auipc s0,0x0 +80000884: 06440413 addi s0,s0,100 # 800008e4 <__init_array_end> +80000888: 40f40433 sub s0,s0,a5 +8000088c: 40245413 srai s0,s0,0x2 +80000890: 00000493 li s1,0 +80000894: 00078913 mv s2,a5 +80000898: 02849a63 bne s1,s0,800008cc <__libc_init_array+0x90> +8000089c: 00c12083 lw ra,12(sp) +800008a0: 00812403 lw s0,8(sp) +800008a4: 00412483 lw s1,4(sp) +800008a8: 00012903 lw s2,0(sp) +800008ac: 01010113 addi sp,sp,16 +800008b0: 00008067 ret +800008b4: 00249793 slli a5,s1,0x2 +800008b8: 00f907b3 add a5,s2,a5 +800008bc: 0007a783 lw a5,0(a5) +800008c0: 00148493 addi s1,s1,1 +800008c4: 000780e7 jalr a5 +800008c8: fa9ff06f j 80000870 <__libc_init_array+0x34> +800008cc: 00249793 slli a5,s1,0x2 +800008d0: 00f907b3 add a5,s2,a5 +800008d4: 0007a783 lw a5,0(a5) +800008d8: 00148493 addi s1,s1,1 +800008dc: 000780e7 jalr a5 +800008e0: fb9ff06f j 80000898 <__libc_init_array+0x5c> diff --git a/VexRiscv/src/main/c/emulator/build/emulator.bin b/VexRiscv/src/main/c/emulator/build/emulator.bin new file mode 100755 index 0000000..83e0a0d Binary files /dev/null and b/VexRiscv/src/main/c/emulator/build/emulator.bin differ diff --git a/VexRiscv/src/main/c/emulator/makefile b/VexRiscv/src/main/c/emulator/makefile new file mode 100755 index 0000000..6f3c8fc --- /dev/null +++ b/VexRiscv/src/main/c/emulator/makefile @@ -0,0 +1,29 @@ +PROJ_NAME=emulator +DEBUG=no +MULDIV=no +COMPRESSED=no +STANDALONE = .. + + +SRCS = $(wildcard src/*.c) \ + $(wildcard src/*.cpp) \ + $(wildcard src/*.S) + + +LDSCRIPT = ${STANDALONE}/common/ram.ld + +sim: CFLAGS += -DSIM +sim: all + +qemu: CFLAGS += -DQEMU +qemu: all + +litex: CFLAGS += -DLITEX -I${LITEX_GENERATED} -I${LITEX_BASE}/litex/soc/software/include +litex: | check_litex all +check_litex: + @[ "${LITEX_BASE}" ] || ( echo ">> LITEX_BASE is not set"; exit 1 ) + @[ "${LITEX_GENERATED}" ] || ( echo ">> LITEX_GENERATED is not set"; exit 1 ) + +include ${STANDALONE}/common/riscv64-unknown-elf.mk +include ${STANDALONE}/common/standalone.mk + diff --git a/VexRiscv/src/main/c/emulator/src/config.h b/VexRiscv/src/main/c/emulator/src/config.h new file mode 100644 index 0000000..afce2d5 --- /dev/null +++ b/VexRiscv/src/main/c/emulator/src/config.h @@ -0,0 +1,12 @@ +#ifndef CONFIG_H +#define CONFIG_H + +#ifndef OS_CALL +#define OS_CALL 0xC0000000 +#endif + +#ifndef DTB +#define DTB 0xC3000000 +#endif + +#endif diff --git a/VexRiscv/src/main/c/emulator/src/hal.c b/VexRiscv/src/main/c/emulator/src/hal.c new file mode 100644 index 0000000..aa6745b --- /dev/null +++ b/VexRiscv/src/main/c/emulator/src/hal.c @@ -0,0 +1,203 @@ +#include "hal.h" +#include "config.h" + +#ifdef SIM +void stopSim(){ + *((volatile uint32_t*) 0xFFFFFFFC) = 0; + while(1); +} + +void putC(char c){ + *((volatile uint32_t*) 0xFFFFFFF8) = c; +} + +int32_t getC(){ + return *((volatile int32_t*) 0xFFFFFFF8); +} + +uint32_t rdtime(){ + return *((volatile uint32_t*) 0xFFFFFFE0); +} + +uint32_t rdtimeh(){ + return *((volatile uint32_t*) 0xFFFFFFE4); +} + +void setMachineTimerCmp(uint32_t low, uint32_t high){ + volatile uint32_t* base = (volatile uint32_t*) 0xFFFFFFE8; + base[1] = 0xffffffff; + base[0] = low; + base[1] = high; +} + + +void halInit(){ +// putC('*'); +// putC('*'); +// putC('*'); +// while(1){ +// int32_t c = getC(); +// if(c > 0) putC(c); +// } +} +#endif + +#ifdef QEMU +#define VIRT_CLINT 0x2000000 +#define SIFIVE_TIMECMP_BASE (VIRT_CLINT + 0x4000) +#define SIFIVE_TIME_BASE (VIRT_CLINT + 0xBFF8) +#define NS16550A_UART0_CTRL_ADDR 0x10000000 +#define UART0_CLOCK_FREQ 32000000 +#define UART0_BAUD_RATE 115200 +enum { + UART_RBR = 0x00, /* Receive Buffer Register */ + UART_THR = 0x00, /* Transmit Hold Register */ + UART_IER = 0x01, /* Interrupt Enable Register */ + UART_DLL = 0x00, /* Divisor LSB (LCR_DLAB) */ + UART_DLM = 0x01, /* Divisor MSB (LCR_DLAB) */ + UART_FCR = 0x02, /* FIFO Control Register */ + UART_LCR = 0x03, /* Line Control Register */ + UART_MCR = 0x04, /* Modem Control Register */ + UART_LSR = 0x05, /* Line Status Register */ + UART_MSR = 0x06, /* Modem Status Register */ + UART_SCR = 0x07, /* Scratch Register */ + + UART_LCR_DLAB = 0x80, /* Divisor Latch Bit */ + UART_LCR_8BIT = 0x03, /* 8-bit */ + UART_LCR_PODD = 0x08, /* Parity Odd */ + + UART_LSR_DA = 0x01, /* Data Available */ + UART_LSR_OE = 0x02, /* Overrun Error */ + UART_LSR_PE = 0x04, /* Parity Error */ + UART_LSR_FE = 0x08, /* Framing Error */ + UART_LSR_BI = 0x10, /* Break indicator */ + UART_LSR_RE = 0x20, /* THR is empty */ + UART_LSR_RI = 0x40, /* THR is empty and line is idle */ + UART_LSR_EF = 0x80, /* Erroneous data in FIFO */ +}; + +static volatile uint8_t *uart; + +static void ns16550a_init() +{ + uart = (uint8_t *)(void *)(NS16550A_UART0_CTRL_ADDR); + uint32_t uart_freq = (UART0_CLOCK_FREQ); + uint32_t baud_rate = (UART0_BAUD_RATE); + uint32_t divisor = uart_freq / (16 * baud_rate); + uart[UART_LCR] = UART_LCR_DLAB; + uart[UART_DLL] = divisor & 0xff; + uart[UART_DLM] = (divisor >> 8) & 0xff; + uart[UART_LCR] = UART_LCR_PODD | UART_LCR_8BIT; +} + +//static int ns16550a_getchar() +//{ +// if (uart[UART_LSR] & UART_LSR_DA) { +// return uart[UART_RBR]; +// } else { +// return -1; +// } +//} +// +//static int ns16550a_putchar(int ch) +//{ +// while ((uart[UART_LSR] & UART_LSR_RI) == 0); +// return uart[UART_THR] = ch & 0xff; +//} + +void stopSim(){ + while(1); +} + +void putC(char ch){ + while ((uart[UART_LSR] & UART_LSR_RI) == 0); + uart[UART_THR] = ch & 0xff; +} + +int32_t getC(){ + if (uart[UART_LSR] & UART_LSR_DA) { + return uart[UART_RBR]; + } else { + return -1; + } +} + + +uint32_t rdtime(){ + return *((volatile uint32_t*) SIFIVE_TIME_BASE); +} + +uint32_t rdtimeh(){ + return *((volatile uint32_t*) (SIFIVE_TIME_BASE + 4)); +} + +void setMachineTimerCmp(uint32_t low, uint32_t high){ + volatile uint32_t* base = (volatile uint32_t*) SIFIVE_TIMECMP_BASE; + base[1] = 0xffffffff; + base[0] = low; + base[1] = high; +} + +void halInit(){ + ns16550a_init(); +} +#endif + + +#ifdef LITEX + +// this is a file generated by LiteX +#include + +#if !defined(CSR_UART_BASE) || !defined(CSR_CPU_BASE) + #error LiteX configuration with uart and cpu_timer is required. +#endif + +void stopSim(){ + while(1); +} + +void putC(char ch){ + // protect against writing to a full tx fifo + while(uart_txfull_read()); + uart_rxtx_write(ch); +} + +int32_t getC(){ + if(uart_rxempty_read()) + { + return -1; + } + + // this is required to refresh rexempty status + uart_ev_pending_write(1 << 1); + return uart_rxtx_read(); +} + +uint32_t rdtime(){ + cpu_timer_latch_write(0); + uint32_t result = (uint32_t)cpu_timer_time_read(); + cpu_timer_latch_write(1); + return result; +} + +uint32_t rdtimeh(){ + cpu_timer_latch_write(0); + uint32_t result = (uint32_t)(cpu_timer_time_read() >> 32); + cpu_timer_latch_write(1); + return result; +} + +void setMachineTimerCmp(uint32_t low, uint32_t high){ + cpu_timer_latch_write(0); + cpu_timer_time_cmp_write((((unsigned long long int)high) << 32) | low); + cpu_timer_latch_write(1); +} + +void halInit(){ + cpu_timer_latch_write(1); +} + +#endif + + diff --git a/VexRiscv/src/main/c/emulator/src/hal.h b/VexRiscv/src/main/c/emulator/src/hal.h new file mode 100644 index 0000000..8b4e1c2 --- /dev/null +++ b/VexRiscv/src/main/c/emulator/src/hal.h @@ -0,0 +1,25 @@ + +#ifndef HAL_H +#define HAL_H + +#include + +#define SBI_SET_TIMER 0 +#define SBI_CONSOLE_PUTCHAR 1 +#define SBI_CONSOLE_GETCHAR 2 +#define SBI_CLEAR_IPI 3 +#define SBI_SEND_IPI 4 +#define SBI_REMOTE_FENCE_I 5 +#define SBI_REMOTE_SFENCE_VMA 6 +#define SBI_REMOTE_SFENCE_VMA_ASID 7 +#define SBI_SHUTDOWN 8 + +void halInit(); +void stopSim(); +void putC(char c); +int32_t getC(); +uint32_t rdtime(); +uint32_t rdtimeh(); +void setMachineTimerCmp(uint32_t low, uint32_t high); + +#endif diff --git a/VexRiscv/src/main/c/emulator/src/main.c b/VexRiscv/src/main/c/emulator/src/main.c new file mode 100755 index 0000000..dc12fb8 --- /dev/null +++ b/VexRiscv/src/main/c/emulator/src/main.c @@ -0,0 +1,288 @@ +#include +#include "riscv.h" +#include "config.h" +#include "hal.h" + +extern const uint32_t _sp; +extern void trapEntry(); +extern void emulationTrap(); + +void putString(char* s){ + while(*s){ + putC(*s); + s++; + } +} + +//Affect mtvec +void setup_pmp(void) +{ + // Set up a PMP to permit access to all of memory. + // Ignore the illegal-instruction trap if PMPs aren't supported. + uintptr_t pmpc = PMP_NAPOT | PMP_R | PMP_W | PMP_X; + asm volatile ("la t0, 1f\n\t" + "csrw mtvec, t0\n\t" + "csrw pmpaddr0, %1\n\t" + "csrw pmpcfg0, %0\n\t" + ".align 2\n\t" + "1:" + : : "r" (pmpc), "r" (-1UL) : "t0"); +} + +void init() { + setup_pmp(); + halInit(); + putString("*** VexRiscv BIOS ***\n"); + uint32_t sp = (uint32_t) (&_sp); + csr_write(mtvec, trapEntry); + csr_write(mscratch, sp -32*4); + csr_write(mstatus, 0x0800 | MSTATUS_MPIE); + csr_write(mie, 0); + csr_write(mepc, OS_CALL); + //In future it would probably need to manage missaligned stuff, now it will stop the simulation + csr_write(medeleg, MEDELEG_INSTRUCTION_PAGE_FAULT | MEDELEG_LOAD_PAGE_FAULT | MEDELEG_STORE_PAGE_FAULT | MEDELEG_USER_ENVIRONNEMENT_CALL); + csr_write(mideleg, MIDELEG_SUPERVISOR_TIMER | MIDELEG_SUPERVISOR_EXTERNAL | MIDELEG_SUPERVISOR_SOFTWARE); + csr_write(sbadaddr, 0); //Used to avoid simulation missmatch + + putString("*** Supervisor ***\n"); +} + +int readRegister(uint32_t id){ + unsigned int sp = (unsigned int) (&_sp); + return ((int*) sp)[id-32]; +} +void writeRegister(uint32_t id, int value){ + uint32_t sp = (uint32_t) (&_sp); + ((uint32_t*) sp)[id-32] = value; +} + + +//Currently, this should not happen, unless kernel things are going wrong +void redirectTrap(){ + stopSim(); + csr_write(sbadaddr, csr_read(mbadaddr)); + csr_write(sepc, csr_read(mepc)); + csr_write(scause, csr_read(mcause)); + csr_write(mepc, csr_read(stvec)); +} + +void emulationTrapToSupervisorTrap(uint32_t sepc, uint32_t mstatus){ + csr_write(mtvec, trapEntry); + csr_write(sbadaddr, csr_read(mbadaddr)); + csr_write(scause, csr_read(mcause)); + csr_write(sepc, sepc); + csr_write(mepc, csr_read(stvec)); + csr_write(mstatus, + (mstatus & ~(MSTATUS_SPP | MSTATUS_MPP | MSTATUS_SIE | MSTATUS_SPIE)) + | ((mstatus >> 3) & MSTATUS_SPP) + | (0x0800 | MSTATUS_MPIE) + | ((mstatus & MSTATUS_SIE) << 4) + ); +} + +#define max(a,b) \ + ({ __typeof__ (a) _a = (a); \ + __typeof__ (b) _b = (b); \ + _a > _b ? _a : _b; }) + + +#define min(a,b) \ + ({ __typeof__ (a) _a = (a); \ + __typeof__ (b) _b = (b); \ + _a < _b ? _a : _b; }) + + + +//Will modify MTVEC +int32_t readWord(uint32_t address, int32_t *data){ + int32_t result, tmp; + int32_t failed; + __asm__ __volatile__ ( + " li %[tmp], 0x00020000\n" + " csrs mstatus, %[tmp]\n" + " la %[tmp], 1f\n" + " csrw mtvec, %[tmp]\n" + " li %[failed], 1\n" + " lw %[result], 0(%[address])\n" + " li %[failed], 0\n" + "1:\n" + " li %[tmp], 0x00020000\n" + " csrc mstatus, %[tmp]\n" + : [result]"=&r" (result), [failed]"=&r" (failed), [tmp]"=&r" (tmp) + : [address]"r" (address) + : "memory" + ); + + *data = result; + return failed; +} + +//Will modify MTVEC +int32_t writeWord(uint32_t address, int32_t data){ + int32_t result, tmp; + int32_t failed; + __asm__ __volatile__ ( + " li %[tmp], 0x00020000\n" + " csrs mstatus, %[tmp]\n" + " la %[tmp], 1f\n" + " csrw mtvec, %[tmp]\n" + " li %[failed], 1\n" + " sw %[data], 0(%[address])\n" + " li %[failed], 0\n" + "1:\n" + " li %[tmp], 0x00020000\n" + " csrc mstatus, %[tmp]\n" + : [failed]"=&r" (failed), [tmp]"=&r" (tmp) + : [address]"r" (address), [data]"r" (data) + : "memory" + ); + + return failed; +} + + + + +void trap(){ + int32_t cause = csr_read(mcause); + if(cause < 0){ //interrupt + switch(cause & 0xFF){ + case CAUSE_MACHINE_TIMER:{ + csr_set(sip, MIP_STIP); + csr_clear(mie, MIE_MTIE); + }break; + default: redirectTrap(); break; + } + } else { //exception + switch(cause){ + case CAUSE_ILLEGAL_INSTRUCTION:{ + uint32_t mepc = csr_read(mepc); + uint32_t mstatus = csr_read(mstatus); +#ifdef SIM + uint32_t instruction = csr_read(mbadaddr); +#endif +#if defined(QEMU) || defined(LITEX) + uint32_t instruction = 0; + uint32_t i; + if (mepc & 2) { + readWord(mepc - 2, &i); + i >>= 16; + if (i & 3 == 3) { + uint32_t u32Buf; + readWord(mepc+2, &u32Buf); + i |= u32Buf << 16; + } + } else { + readWord(mepc, &i); + } + instruction = i; + csr_write(mtvec, trapEntry); //Restore mtvec +#endif + + uint32_t opcode = instruction & 0x7F; + uint32_t funct3 = (instruction >> 12) & 0x7; + switch(opcode){ + case 0x2F: //Atomic + switch(funct3){ + case 0x2:{ + uint32_t sel = instruction >> 27; + uint32_t addr = readRegister((instruction >> 15) & 0x1F); + int32_t src = readRegister((instruction >> 20) & 0x1F); + uint32_t rd = (instruction >> 7) & 0x1F; + int32_t readValue; + if(readWord(addr, &readValue)){ + emulationTrapToSupervisorTrap(mepc, mstatus); + return; + } + int writeValue; + switch(sel){ + case 0x0: writeValue = src + readValue; break; + case 0x1: writeValue = src; break; +//LR SC done in hardware (cheap), and require to keep track of context switches +// case 0x2:{ //LR +// }break; +// case 0x3:{ //SC +// }break; + case 0x4: writeValue = src ^ readValue; break; + case 0xC: writeValue = src & readValue; break; + case 0x8: writeValue = src | readValue; break; + case 0x10: writeValue = min(src, readValue); break; + case 0x14: writeValue = max(src, readValue); break; + case 0x18: writeValue = min((unsigned int)src, (unsigned int)readValue); break; + case 0x1C: writeValue = max((unsigned int)src, (unsigned int)readValue); break; + default: redirectTrap(); return; break; + } + if(writeWord(addr, writeValue)){ + emulationTrapToSupervisorTrap(mepc, mstatus); + return; + } + writeRegister(rd, readValue); + csr_write(mepc, mepc + 4); + csr_write(mtvec, trapEntry); //Restore mtvec + }break; + default: redirectTrap(); break; + } break; + case 0x73:{ + //CSR + uint32_t input = (instruction & 0x4000) ? ((instruction >> 15) & 0x1F) : readRegister((instruction >> 15) & 0x1F);; + uint32_t clear, set; + uint32_t write; + switch (funct3 & 0x3) { + case 0: redirectTrap(); break; + case 1: clear = ~0; set = input; write = 1; break; + case 2: clear = 0; set = input; write = ((instruction >> 15) & 0x1F) != 0; break; + case 3: clear = input; set = 0; write = ((instruction >> 15) & 0x1F) != 0; break; + } + uint32_t csrAddress = instruction >> 20; + uint32_t old; + switch(csrAddress){ + case RDCYCLE : + case RDINSTRET: + case RDTIME : old = rdtime(); break; + case RDCYCLEH : + case RDINSTRETH: + case RDTIMEH : old = rdtimeh(); break; + default: redirectTrap(); break; + } + if(write) { + uint32_t newValue = (old & ~clear) | set; + switch(csrAddress){ + default: redirectTrap(); break; + } + } + + writeRegister((instruction >> 7) & 0x1F, old); + csr_write(mepc, mepc + 4); + + }break; + default: redirectTrap(); break; + } + }break; + case CAUSE_SCALL:{ + uint32_t which = readRegister(17); + uint32_t a0 = readRegister(10); + uint32_t a1 = readRegister(11); + uint32_t a2 = readRegister(12); + switch(which){ + case SBI_CONSOLE_PUTCHAR:{ + putC(a0); + csr_write(mepc, csr_read(mepc) + 4); + }break; + case SBI_CONSOLE_GETCHAR:{ + writeRegister(10, getC()); //no char + csr_write(mepc, csr_read(mepc) + 4); + }break; + case SBI_SET_TIMER:{ + setMachineTimerCmp(a0, a1); + csr_set(mie, MIE_MTIE); + csr_clear(sip, MIP_STIP); + csr_write(mepc, csr_read(mepc) + 4); + }break; + default: stopSim(); break; + } + }break; + default: redirectTrap(); break; + } + } + +} diff --git a/VexRiscv/src/main/c/emulator/src/riscv.h b/VexRiscv/src/main/c/emulator/src/riscv.h new file mode 100644 index 0000000..488b172 --- /dev/null +++ b/VexRiscv/src/main/c/emulator/src/riscv.h @@ -0,0 +1,133 @@ +#ifndef RISCV_H +#define RISCV_H + +#define CAUSE_ILLEGAL_INSTRUCTION 2 +#define CAUSE_MACHINE_TIMER 7 +#define CAUSE_SCALL 9 + +#define MEDELEG_INSTRUCTION_PAGE_FAULT (1 << 12) +#define MEDELEG_LOAD_PAGE_FAULT (1 << 13) +#define MEDELEG_STORE_PAGE_FAULT (1 << 15) +#define MEDELEG_USER_ENVIRONNEMENT_CALL (1 << 8) +#define MIDELEG_SUPERVISOR_SOFTWARE (1 << 1) +#define MIDELEG_SUPERVISOR_TIMER (1 << 5) +#define MIDELEG_SUPERVISOR_EXTERNAL (1 << 9) + +#define MIE_MTIE (1 << 7) +#define MIP_STIP (1 << 5) + +#define MSTATUS_UIE 0x00000001 +#define MSTATUS_SIE 0x00000002 +#define MSTATUS_HIE 0x00000004 +#define MSTATUS_MIE 0x00000008 +#define MSTATUS_UPIE 0x00000010 +#define MSTATUS_SPIE 0x00000020 +#define MSTATUS_HPIE 0x00000040 +#define MSTATUS_MPIE 0x00000080 +#define MSTATUS_SPP 0x00000100 +#define MSTATUS_HPP 0x00000600 +#define MSTATUS_MPP 0x00001800 +#define MSTATUS_FS 0x00006000 +#define MSTATUS_XS 0x00018000 +#define MSTATUS_MPRV 0x00020000 +#define MSTATUS_SUM 0x00040000 +#define MSTATUS_MXR 0x00080000 +#define MSTATUS_TVM 0x00100000 +#define MSTATUS_TW 0x00200000 +#define MSTATUS_TSR 0x00400000 +#define MSTATUS32_SD 0x80000000 +#define MSTATUS_UXL 0x0000000300000000 +#define MSTATUS_SXL 0x0000000C00000000 +#define MSTATUS64_SD 0x8000000000000000 + +#define SSTATUS_UIE 0x00000001 +#define SSTATUS_SIE 0x00000002 +#define SSTATUS_UPIE 0x00000010 +#define SSTATUS_SPIE 0x00000020 +#define SSTATUS_SPP 0x00000100 +#define SSTATUS_FS 0x00006000 +#define SSTATUS_XS 0x00018000 +#define SSTATUS_SUM 0x00040000 +#define SSTATUS_MXR 0x00080000 +#define SSTATUS32_SD 0x80000000 +#define SSTATUS_UXL 0x0000000300000000 +#define SSTATUS64_SD 0x8000000000000000 + + +#define PMP_R 0x01 +#define PMP_W 0x02 +#define PMP_X 0x04 +#define PMP_A 0x18 +#define PMP_L 0x80 +#define PMP_SHIFT 2 + +#define PMP_TOR 0x08 +#define PMP_NA4 0x10 +#define PMP_NAPOT 0x18 + +#define RDCYCLE 0xC00 //Read-only cycle Cycle counter for RDCYCLE instruction. +#define RDTIME 0xC01 //Read-only time Timer for RDTIME instruction. +#define RDINSTRET 0xC02 //Read-only instret Instructions-retired counter for RDINSTRET instruction. +#define RDCYCLEH 0xC80 //Read-only cycleh Upper 32 bits of cycle, RV32I only. +#define RDTIMEH 0xC81 //Read-only timeh Upper 32 bits of time, RV32I only. +#define RDINSTRETH 0xC82 //Read-only instreth Upper 32 bits of instret, RV32I only. + + +#define csr_swap(csr, val) \ +({ \ + unsigned long __v = (unsigned long)(val); \ + __asm__ __volatile__ ("csrrw %0, " #csr ", %1" \ + : "=r" (__v) : "rK" (__v)); \ + __v; \ +}) + +#define csr_read(csr) \ +({ \ + register unsigned long __v; \ + __asm__ __volatile__ ("csrr %0, " #csr \ + : "=r" (__v)); \ + __v; \ +}) + +#define csr_write(csr, val) \ +({ \ + unsigned long __v = (unsigned long)(val); \ + __asm__ __volatile__ ("csrw " #csr ", %0" \ + : : "rK" (__v)); \ +}) + +#define csr_read_set(csr, val) \ +({ \ + unsigned long __v = (unsigned long)(val); \ + __asm__ __volatile__ ("csrrs %0, " #csr ", %1" \ + : "=r" (__v) : "rK" (__v)); \ + __v; \ +}) + +#define csr_set(csr, val) \ +({ \ + unsigned long __v = (unsigned long)(val); \ + __asm__ __volatile__ ("csrs " #csr ", %0" \ + : : "rK" (__v)); \ +}) + +#define csr_read_clear(csr, val) \ +({ \ + unsigned long __v = (unsigned long)(val); \ + __asm__ __volatile__ ("csrrc %0, " #csr ", %1" \ + : "=r" (__v) : "rK" (__v)); \ + __v; \ +}) + +#define csr_clear(csr, val) \ +({ \ + unsigned long __v = (unsigned long)(val); \ + __asm__ __volatile__ ("csrc " #csr ", %0" \ + : : "rK" (__v)); \ +}) + + + +#endif + + diff --git a/VexRiscv/src/main/c/emulator/src/start.S b/VexRiscv/src/main/c/emulator/src/start.S new file mode 100755 index 0000000..af7fafe --- /dev/null +++ b/VexRiscv/src/main/c/emulator/src/start.S @@ -0,0 +1,51 @@ + .section .init + .globl _start + .type _start,@function + +#include "config.h" +_start: +/*#ifdef USE_GP +.option push +.option norelax + la gp, __global_pointer$ +.option pop +#endif*/ + la sp, _sp + + + /* Load data section */ + la a0, _data_lma + la a1, _data + la a2, _edata + bgeu a1, a2, 2f +1: + lw t0, (a0) + sw t0, (a1) + addi a0, a0, 4 + addi a1, a1, 4 + bltu a1, a2, 1b +2: + + /* Clear bss section */ + la a0, __bss_start + la a1, _end + bgeu a0, a1, 2f +1: + sw zero, (a0) + addi a0, a0, 4 + bltu a0, a1, 1b +2: + + call __libc_init_array + call init + la ra, done + li a0, 0 + li a1, DTB + mret +done: + j done + + + .globl _init +_init: + ret diff --git a/VexRiscv/src/main/c/emulator/src/trap.S b/VexRiscv/src/main/c/emulator/src/trap.S new file mode 100644 index 0000000..53af1a8 --- /dev/null +++ b/VexRiscv/src/main/c/emulator/src/trap.S @@ -0,0 +1,71 @@ + .section .init + .globl trapEntry + .type trapEntry,@function + +trapEntry: + csrrw sp, mscratch, sp + sw x1, 1*4(sp) + sw x3, 3*4(sp) + sw x4, 4*4(sp) + sw x5, 5*4(sp) + sw x6, 6*4(sp) + sw x7, 7*4(sp) + sw x8, 8*4(sp) + sw x9, 9*4(sp) + sw x10, 10*4(sp) + sw x11, 11*4(sp) + sw x12, 12*4(sp) + sw x13, 13*4(sp) + sw x14, 14*4(sp) + sw x15, 15*4(sp) + sw x16, 16*4(sp) + sw x17, 17*4(sp) + sw x18, 18*4(sp) + sw x19, 19*4(sp) + sw x20, 20*4(sp) + sw x21, 21*4(sp) + sw x22, 22*4(sp) + sw x23, 23*4(sp) + sw x24, 24*4(sp) + sw x25, 25*4(sp) + sw x26, 26*4(sp) + sw x27, 27*4(sp) + sw x28, 28*4(sp) + sw x29, 29*4(sp) + sw x30, 30*4(sp) + sw x31, 31*4(sp) + call trap + lw x1, 1*4(sp) + lw x3, 3*4(sp) + lw x4, 4*4(sp) + lw x5, 5*4(sp) + lw x6, 6*4(sp) + lw x7, 7*4(sp) + lw x8, 8*4(sp) + lw x9, 9*4(sp) + lw x10, 10*4(sp) + lw x11, 11*4(sp) + lw x12, 12*4(sp) + lw x13, 13*4(sp) + lw x14, 14*4(sp) + lw x15, 15*4(sp) + lw x16, 16*4(sp) + lw x17, 17*4(sp) + lw x18, 18*4(sp) + lw x19, 19*4(sp) + lw x20, 20*4(sp) + lw x21, 21*4(sp) + lw x22, 22*4(sp) + lw x23, 23*4(sp) + lw x24, 24*4(sp) + lw x25, 25*4(sp) + lw x26, 26*4(sp) + lw x27, 27*4(sp) + lw x28, 28*4(sp) + lw x29, 29*4(sp) + lw x30, 30*4(sp) + lw x31, 31*4(sp) + csrrw sp, mscratch, sp + mret + + diff --git a/VexRiscv/src/main/c/emulator/src/utils.S b/VexRiscv/src/main/c/emulator/src/utils.S new file mode 100644 index 0000000..90c7b64 --- /dev/null +++ b/VexRiscv/src/main/c/emulator/src/utils.S @@ -0,0 +1,47 @@ +#include "riscv.h" +/* + + .section .init + .globl readMemory + .type readMemory,@function +readWord: + csrr a4, mepc + li a2, MSTATUS_MPRV + csrs mstatus, a2 + li a3, emulationTrap + csrw mepc, a3 + lw a0, 0(a0) + li a3, trapEntry + csrw mepc, a3 + csrc mstatus, a2 + +writeWord: + csrr a4, mepc + li a2, MSTATUS_MPRV + csrs mstatus, a2 + li a3, emulationTrap + csrw mepc, a3 + sw a1, 0(a0) + li a3, trapEntry + csrw mepc, a3 + csrc mstatus, a2 +*/ +//Redirect trap to supervisor +/* + .section .init + .globl emulationTrap + .type emulationTrap,@function +emulationTrap: + li a0, MSTATUS_MPRV + csrc mstatus, a0 + + la sp, _sp + csrw sepc, a4 + csrr a0, mcause + csrw scause, a0 + csrr a0, mbadaddr + csrw sbadaddr, a0 + + call init + mret +*/ diff --git a/VexRiscv/src/main/c/murax/hello_world/makefile b/VexRiscv/src/main/c/murax/hello_world/makefile new file mode 100644 index 0000000..dc560c0 --- /dev/null +++ b/VexRiscv/src/main/c/murax/hello_world/makefile @@ -0,0 +1,134 @@ +PROJ_NAME=hello_world +DEBUG=no +BENCH=no +MULDIV=no + +SRCS = $(wildcard src/*.c) \ + $(wildcard src/*.cpp) \ + $(wildcard src/*.S) + +OBJDIR = build + +INC = +LIBS = +LIBSINC = -L$(OBJDIR) +LDSCRIPT = ./src/linker.ld + +#include ../../../resources/gcc.mk +# Set it to yes if you are using the sifive precompiled GCC pack +SIFIVE_GCC_PACK ?= yes + +ifeq ($(SIFIVE_GCC_PACK),yes) + RISCV_NAME ?= riscv64-unknown-elf + RISCV_PATH ?= /opt/riscv/ +else + RISCV_NAME ?= riscv32-unknown-elf + ifeq ($(MULDIV),yes) + RISCV_PATH ?= /opt/riscv32im/ + else + RISCV_PATH ?= /opt/riscv32i/ + endif +endif + +MABI=ilp32 +MARCH := rv32i +ifeq ($(MULDIV),yes) + MARCH := $(MARCH)m +endif +ifeq ($(COMPRESSED),yes) + MARCH := $(MARCH)ac +endif + +CFLAGS += -march=$(MARCH) -mabi=$(MABI) -DNDEBUG +LDFLAGS += -march=$(MARCH) -mabi=$(MABI) + + + +#include ../../../resources/subproject.mk + + +ifeq ($(DEBUG),yes) + CFLAGS += -g3 -O0 +endif + +ifeq ($(DEBUG),no) + CFLAGS += -g -Os +endif + +ifeq ($(BENCH),yes) + CFLAGS += -fno-inline +endif + +ifeq ($(SIFIVE_GCC_PACK),yes) + RISCV_CLIB=$(RISCV_PATH)/$(RISCV_NAME)/lib/$(MARCH)/$(MABI)/ +else + RISCV_CLIB=$(RISCV_PATH)/$(RISCV_NAME)/lib/ +endif + + + + + +RISCV_OBJCOPY = $(RISCV_PATH)/bin/$(RISCV_NAME)-objcopy +RISCV_OBJDUMP = $(RISCV_PATH)/bin/$(RISCV_NAME)-objdump +RISCV_CC=$(RISCV_PATH)/bin/$(RISCV_NAME)-gcc + +CFLAGS += -MD -fstrict-volatile-bitfields -fno-strict-aliasing +LDFLAGS += -nostdlib -lgcc -mcmodel=medany -nostartfiles -ffreestanding -Wl,-Bstatic,-T,$(LDSCRIPT),-Map,$(OBJDIR)/$(PROJ_NAME).map,--print-memory-usage +#LDFLAGS += -lgcc -lc -lg -nostdlib -lgcc -msave-restore --strip-debug, + +OBJS := $(SRCS) +OBJS := $(OBJS:.c=.o) +OBJS := $(OBJS:.cpp=.o) +OBJS := $(OBJS:.S=.o) +OBJS := $(OBJS:..=miaou) +OBJS := $(addprefix $(OBJDIR)/,$(OBJS)) + + +all: $(OBJDIR)/$(PROJ_NAME).elf $(OBJDIR)/$(PROJ_NAME).hex $(OBJDIR)/$(PROJ_NAME).asm $(OBJDIR)/$(PROJ_NAME).v + +$(OBJDIR)/%.elf: $(OBJS) | $(OBJDIR) + $(RISCV_CC) $(CFLAGS) -o $@ $^ $(LDFLAGS) $(LIBSINC) $(LIBS) + +%.hex: %.elf + $(RISCV_OBJCOPY) -O ihex $^ $@ + +%.bin: %.elf + $(RISCV_OBJCOPY) -O binary $^ $@ + +%.v: %.elf + $(RISCV_OBJCOPY) -O verilog $^ $@ + +%.asm: %.elf + $(RISCV_OBJDUMP) -S -d $^ > $@ + +$(OBJDIR)/%.o: %.c + mkdir -p $(dir $@) + $(RISCV_CC) -c $(CFLAGS) $(INC) -o $@ $^ + $(RISCV_CC) -S $(CFLAGS) $(INC) -o $@.disasm $^ + +$(OBJDIR)/%.o: %.cpp + mkdir -p $(dir $@) + $(RISCV_CC) -c $(CFLAGS) $(INC) -o $@ $^ + +$(OBJDIR)/%.o: %.S + mkdir -p $(dir $@) + $(RISCV_CC) -c $(CFLAGS) -o $@ $^ -D__ASSEMBLY__=1 + +$(OBJDIR): + mkdir -p $@ + +.PHONY: clean +clean: + rm -rf $(OBJDIR)/src + rm -f $(OBJDIR)/$(PROJ_NAME).elf + rm -f $(OBJDIR)/$(PROJ_NAME).hex + rm -f $(OBJDIR)/$(PROJ_NAME).map + rm -f $(OBJDIR)/$(PROJ_NAME).v + rm -f $(OBJDIR)/$(PROJ_NAME).asm + find $(OBJDIR) -type f -name '*.o' -print0 | xargs -0 -r rm + find $(OBJDIR) -type f -name '*.d' -print0 | xargs -0 -r rm + +clean-all : clean + +.SECONDARY: $(OBJS) diff --git a/VexRiscv/src/main/c/murax/hello_world/src/crt.S b/VexRiscv/src/main/c/murax/hello_world/src/crt.S new file mode 100644 index 0000000..62d67b9 --- /dev/null +++ b/VexRiscv/src/main/c/murax/hello_world/src/crt.S @@ -0,0 +1,98 @@ +.global crtStart +.global main +.global irqCallback + + .section .start_jump,"ax",@progbits +crtStart: + //long jump to allow crtInit to be anywhere + //do it always in 12 bytes + lui x2, %hi(crtInit) + addi x2, x2, %lo(crtInit) + jalr x1,x2 + nop + +.section .text + +.global trap_entry +.align 5 +trap_entry: + sw x1, - 1*4(sp) + sw x5, - 2*4(sp) + sw x6, - 3*4(sp) + sw x7, - 4*4(sp) + sw x10, - 5*4(sp) + sw x11, - 6*4(sp) + sw x12, - 7*4(sp) + sw x13, - 8*4(sp) + sw x14, - 9*4(sp) + sw x15, -10*4(sp) + sw x16, -11*4(sp) + sw x17, -12*4(sp) + sw x28, -13*4(sp) + sw x29, -14*4(sp) + sw x30, -15*4(sp) + sw x31, -16*4(sp) + addi sp,sp,-16*4 + call irqCallback + lw x1 , 15*4(sp) + lw x5, 14*4(sp) + lw x6, 13*4(sp) + lw x7, 12*4(sp) + lw x10, 11*4(sp) + lw x11, 10*4(sp) + lw x12, 9*4(sp) + lw x13, 8*4(sp) + lw x14, 7*4(sp) + lw x15, 6*4(sp) + lw x16, 5*4(sp) + lw x17, 4*4(sp) + lw x28, 3*4(sp) + lw x29, 2*4(sp) + lw x30, 1*4(sp) + lw x31, 0*4(sp) + addi sp,sp,16*4 + mret + .text + + +crtInit: + .option push + .option norelax + la gp, __global_pointer$ + .option pop + la sp, _stack_start + +bss_init: + la a0, _bss_start + la a1, _bss_end +bss_loop: + beq a0,a1,bss_done + sw zero,0(a0) + add a0,a0,4 + j bss_loop +bss_done: + +ctors_init: + la a0, _ctors_start + addi sp,sp,-4 +ctors_loop: + la a1, _ctors_end + beq a0,a1,ctors_done + lw a3,0(a0) + add a0,a0,4 + sw a0,0(sp) + jalr a3 + lw a0,0(sp) + j ctors_loop +ctors_done: + addi sp,sp,4 + + + li a0, 0x880 //880 enable timer + external interrupts + csrw mie,a0 + li a0, 0x1808 //1808 enable interrupts + csrw mstatus,a0 + + call main +infinitLoop: + j infinitLoop diff --git a/VexRiscv/src/main/c/murax/hello_world/src/gpio.h b/VexRiscv/src/main/c/murax/hello_world/src/gpio.h new file mode 100644 index 0000000..34348fe --- /dev/null +++ b/VexRiscv/src/main/c/murax/hello_world/src/gpio.h @@ -0,0 +1,15 @@ +#ifndef GPIO_H_ +#define GPIO_H_ + + +typedef struct +{ + volatile uint32_t INPUT; + volatile uint32_t OUTPUT; + volatile uint32_t OUTPUT_ENABLE; +} Gpio_Reg; + + +#endif /* GPIO_H_ */ + + diff --git a/VexRiscv/src/main/c/murax/hello_world/src/interrupt.h b/VexRiscv/src/main/c/murax/hello_world/src/interrupt.h new file mode 100644 index 0000000..23b7d27 --- /dev/null +++ b/VexRiscv/src/main/c/murax/hello_world/src/interrupt.h @@ -0,0 +1,17 @@ +#ifndef INTERRUPTCTRL_H_ +#define INTERRUPTCTRL_H_ + +#include + +typedef struct +{ + volatile uint32_t PENDINGS; + volatile uint32_t MASKS; +} InterruptCtrl_Reg; + +static void interruptCtrl_init(InterruptCtrl_Reg* reg){ + reg->MASKS = 0; + reg->PENDINGS = 0xFFFFFFFF; +} + +#endif /* INTERRUPTCTRL_H_ */ diff --git a/VexRiscv/src/main/c/murax/hello_world/src/linker.ld b/VexRiscv/src/main/c/murax/hello_world/src/linker.ld new file mode 100644 index 0000000..57bc2f7 --- /dev/null +++ b/VexRiscv/src/main/c/murax/hello_world/src/linker.ld @@ -0,0 +1,110 @@ +/* +This is free and unencumbered software released into the public domain. + +Anyone is free to copy, modify, publish, use, compile, sell, or +distribute this software, either in source code form or as a compiled +binary, for any purpose, commercial or non-commercial, and by any +means. +*/ +OUTPUT_FORMAT("elf32-littleriscv", "elf32-littleriscv", "elf32-littleriscv") +OUTPUT_ARCH(riscv) +ENTRY(crtStart) + +MEMORY { + RAM (rwx): ORIGIN = 0x80000000, LENGTH = 2k +} + +_stack_size = DEFINED(_stack_size) ? _stack_size : 256; +_heap_size = DEFINED(_heap_size) ? _heap_size : 0; + +SECTIONS { + + ._vector ORIGIN(RAM): { + *crt.o(.start_jump); + *crt.o(.text); + } > RAM + + ._user_heap (NOLOAD): + { + . = ALIGN(8); + PROVIDE ( end = . ); + PROVIDE ( _end = . ); + PROVIDE ( _heap_start = .); + . = . + _heap_size; + . = ALIGN(8); + PROVIDE ( _heap_end = .); + } > RAM + +._stack (NOLOAD): + { + . = ALIGN(16); + PROVIDE (_stack_end = .); + . = . + _stack_size; + . = ALIGN(16); + PROVIDE (_stack_start = .); + } > RAM + + .data : + { + *(.rdata) + *(.rodata .rodata.*) + *(.gnu.linkonce.r.*) + *(.data .data.*) + *(.gnu.linkonce.d.*) + . = ALIGN(8); + PROVIDE( __global_pointer$ = . + 0x800 ); + *(.sdata .sdata.*) + *(.gnu.linkonce.s.*) + . = ALIGN(8); + *(.srodata.cst16) + *(.srodata.cst8) + *(.srodata.cst4) + *(.srodata.cst2) + *(.srodata .srodata.*) + } > RAM + + .bss (NOLOAD) : { + . = ALIGN(4); + /* This is used by the startup in order to initialize the .bss secion */ + _bss_start = .; + *(.sbss*) + *(.gnu.linkonce.sb.*) + *(.bss .bss.*) + *(.gnu.linkonce.b.*) + *(COMMON) + . = ALIGN(4); + _bss_end = .; + } > RAM + + + .rodata : + { + *(.rdata) + *(.rodata .rodata.*) + *(.gnu.linkonce.r.*) + } > RAM + + .noinit (NOLOAD) : { + . = ALIGN(4); + *(.noinit .noinit.*) + . = ALIGN(4); + } > RAM + + .memory : { + *(.text); + end = .; + } > RAM + + .ctors : + { + . = ALIGN(4); + _ctors_start = .; + KEEP(*(.init_array*)) + KEEP (*(SORT(.ctors.*))) + KEEP (*(.ctors)) + . = ALIGN(4); + _ctors_end = .; + PROVIDE ( END_OF_SW_IMAGE = . ); + } > RAM + +} diff --git a/VexRiscv/src/main/c/murax/hello_world/src/main.c b/VexRiscv/src/main/c/murax/hello_world/src/main.c new file mode 100644 index 0000000..05f3227 --- /dev/null +++ b/VexRiscv/src/main/c/murax/hello_world/src/main.c @@ -0,0 +1,42 @@ +//#include "stddefs.h" +#include + +#include "murax.h" + +void print(const char*str){ + while(*str){ + uart_write(UART,*str); + str++; + } +} +void println(const char*str){ + print(str); + uart_write(UART,'\n'); +} + +void delay(uint32_t loops){ + for(int i=0;iOUTPUT; + } +} + +void main() { + GPIO_A->OUTPUT_ENABLE = 0x0000000F; + GPIO_A->OUTPUT = 0x00000001; + println("hello world arty a7 v1"); + const int nleds = 4; + const int nloops = 2000000; + while(1){ + for(unsigned int i=0;iOUTPUT = 1<OUTPUT = (1<<(nleds-1))>>i; + delay(nloops); + } + } +} + +void irqCallback(){ +} diff --git a/VexRiscv/src/main/c/murax/hello_world/src/murax.h b/VexRiscv/src/main/c/murax/hello_world/src/murax.h new file mode 100644 index 0000000..fbfdf3e --- /dev/null +++ b/VexRiscv/src/main/c/murax/hello_world/src/murax.h @@ -0,0 +1,17 @@ +#ifndef __MURAX_H__ +#define __MURAX_H__ + +#include "timer.h" +#include "prescaler.h" +#include "interrupt.h" +#include "gpio.h" +#include "uart.h" + +#define GPIO_A ((Gpio_Reg*)(0xF0000000)) +#define TIMER_PRESCALER ((Prescaler_Reg*)0xF0020000) +#define TIMER_INTERRUPT ((InterruptCtrl_Reg*)0xF0020010) +#define TIMER_A ((Timer_Reg*)0xF0020040) +#define TIMER_B ((Timer_Reg*)0xF0020050) +#define UART ((Uart_Reg*)(0xF0010000)) + +#endif /* __MURAX_H__ */ diff --git a/VexRiscv/src/main/c/murax/hello_world/src/prescaler.h b/VexRiscv/src/main/c/murax/hello_world/src/prescaler.h new file mode 100644 index 0000000..6bd9694 --- /dev/null +++ b/VexRiscv/src/main/c/murax/hello_world/src/prescaler.h @@ -0,0 +1,16 @@ +#ifndef PRESCALERCTRL_H_ +#define PRESCALERCTRL_H_ + +#include + + +typedef struct +{ + volatile uint32_t LIMIT; +} Prescaler_Reg; + +static void prescaler_init(Prescaler_Reg* reg){ + +} + +#endif /* PRESCALERCTRL_H_ */ diff --git a/VexRiscv/src/main/c/murax/hello_world/src/timer.h b/VexRiscv/src/main/c/murax/hello_world/src/timer.h new file mode 100644 index 0000000..1577535 --- /dev/null +++ b/VexRiscv/src/main/c/murax/hello_world/src/timer.h @@ -0,0 +1,20 @@ +#ifndef TIMERCTRL_H_ +#define TIMERCTRL_H_ + +#include + + +typedef struct +{ + volatile uint32_t CLEARS_TICKS; + volatile uint32_t LIMIT; + volatile uint32_t VALUE; +} Timer_Reg; + +static void timer_init(Timer_Reg *reg){ + reg->CLEARS_TICKS = 0; + reg->VALUE = 0; +} + + +#endif /* TIMERCTRL_H_ */ diff --git a/VexRiscv/src/main/c/murax/hello_world/src/uart.h b/VexRiscv/src/main/c/murax/hello_world/src/uart.h new file mode 100644 index 0000000..c3a30a5 --- /dev/null +++ b/VexRiscv/src/main/c/murax/hello_world/src/uart.h @@ -0,0 +1,42 @@ +#ifndef UART_H_ +#define UART_H_ + + +typedef struct +{ + volatile uint32_t DATA; + volatile uint32_t STATUS; + volatile uint32_t CLOCK_DIVIDER; + volatile uint32_t FRAME_CONFIG; +} Uart_Reg; + +enum UartParity {NONE = 0,EVEN = 1,ODD = 2}; +enum UartStop {ONE = 0,TWO = 1}; + +typedef struct { + uint32_t dataLength; + enum UartParity parity; + enum UartStop stop; + uint32_t clockDivider; +} Uart_Config; + +static uint32_t uart_writeAvailability(Uart_Reg *reg){ + return (reg->STATUS >> 16) & 0xFF; +} +static uint32_t uart_readOccupancy(Uart_Reg *reg){ + return reg->STATUS >> 24; +} + +static void uart_write(Uart_Reg *reg, uint32_t data){ + while(uart_writeAvailability(reg) == 0); + reg->DATA = data; +} + +static void uart_applyConfig(Uart_Reg *reg, Uart_Config *config){ + reg->CLOCK_DIVIDER = config->clockDivider; + reg->FRAME_CONFIG = ((config->dataLength-1) << 0) | (config->parity << 8) | (config->stop << 16); +} + +#endif /* UART_H_ */ + + diff --git a/VexRiscv/src/main/c/murax/xipBootloader/.gitignore b/VexRiscv/src/main/c/murax/xipBootloader/.gitignore new file mode 100644 index 0000000..2b33f1e --- /dev/null +++ b/VexRiscv/src/main/c/murax/xipBootloader/.gitignore @@ -0,0 +1,5 @@ +*.elf +*.map +*.d +*.asm +*.o \ No newline at end of file diff --git a/VexRiscv/src/main/c/murax/xipBootloader/crt.S b/VexRiscv/src/main/c/murax/xipBootloader/crt.S new file mode 100644 index 0000000..5268767 --- /dev/null +++ b/VexRiscv/src/main/c/murax/xipBootloader/crt.S @@ -0,0 +1,74 @@ +#define CTRL_BASE 0xF001F000 +#define XIP_BASE 0xE0040000 +#define CTRL_DATA 0x00 +#define CTRL_STATUS 0x04 +#define CTRL_MODE 0x08 +#define CTRL_RATE 0x20 +#define CTRL_SS_SETUP 0x24 +#define CTRL_SS_HOLD 0x28 +#define CTRL_SS_DISABLE 0x2C + +#define CTRL_XIP_CONFIG 0x40 +#define CTRL_XIP_MODE 0x44 + +.global crtStart +.global main + +#define CTRL x31 + +crtStart: + li x31, CTRL_BASE + sw x0, CTRL_MODE(CTRL) + li t0, 2 + sw t0, CTRL_RATE(CTRL) + li t0, 4 + sw t0, CTRL_SS_SETUP(CTRL) + sw t0, CTRL_SS_HOLD(CTRL) + sw t0, CTRL_SS_DISABLE(CTRL) + + + li a0, 0x880 + call spiWrite + li a0, 0x181 + call spiWrite + li a0, 0x183 + call spiWrite + li a0, 0x800 + call spiWrite + + + li t0, 0x00FF010B + sw t0, CTRL_XIP_MODE(CTRL) + li t0, 0x1 + sw t0, CTRL_XIP_CONFIG(CTRL) + li t0, XIP_BASE + lw t1, (t0) + li t2, 0xFFFFFFFF + xor t3,t1,t2 + beqz t3,retry + //if we are here we have read a value from flash which is not all ones + lw t2, (t0) + xor t3,t1,t2 + bnez t3,retry + lw t2, (t0) + xor t3,t1,t2 + bnez t3,retry + //if we are here we have read the same value 3 times, so flash seems good, lets's jump + jr t0 + +retry: + li a0, 0x800 + call spiWrite + li t1,100000 +loop: + addi t1,t1,-1 + bnez t1, loop + j crtStart + +spiWrite: + sw a0,CTRL_DATA(CTRL) +spiWrite_wait: + lw t0,CTRL_STATUS(CTRL) + slli t0,t0,0x10 + beqz t0,spiWrite_wait + ret diff --git a/VexRiscv/src/main/c/murax/xipBootloader/demo.S b/VexRiscv/src/main/c/murax/xipBootloader/demo.S new file mode 100644 index 0000000..064db6f --- /dev/null +++ b/VexRiscv/src/main/c/murax/xipBootloader/demo.S @@ -0,0 +1,27 @@ +#define GPIO_BASE 0xF0000000 +#define GPIO_OUTPUT 4 +#define GPIO_OUTPUT_ENABLE 8 + + +.global crtStart + +crtStart: + + li x31, 0x12340000 // magic word expected by bootloader + + li x31, GPIO_BASE + li t0, 0x000000FF + sw t0, GPIO_OUTPUT_ENABLE(x31) + + li t0,1 +redo: + sw t0, GPIO_OUTPUT(x31) + li t1,10000 + slli t0,t0,1 + andi t0,t0,0xFF + bnez t0, loop + li t0,1 +loop: + addi t1,t1,-1 + bnez t1, loop + j redo diff --git a/VexRiscv/src/main/c/murax/xipBootloader/makefile b/VexRiscv/src/main/c/murax/xipBootloader/makefile new file mode 100644 index 0000000..e08c17b --- /dev/null +++ b/VexRiscv/src/main/c/murax/xipBootloader/makefile @@ -0,0 +1,37 @@ +CFLAGS= -march=rv32i -mabi=ilp32 -g -O3 -MD +LFLAGS= -nostdlib -mcmodel=medany -nostartfiles -ffreestanding -fPIC -fPIE + + +all: crt.S demo.S + riscv64-unknown-elf-gcc -c $(CFLAGS) -o crt.o crt.S + riscv64-unknown-elf-gcc $(CFLAGS) -o crt.elf crt.o $(LFLAGS) -Wl,-Bstatic,-T,mapping_rom.ld,-Map,crt.map,--print-memory-usage + riscv64-unknown-elf-objdump -S -d crt.elf > crt.asm + riscv64-unknown-elf-objcopy -O binary crt.elf crt.bin + + riscv64-unknown-elf-gcc $(CFLAGS) -o crt_ram.elf crt.o $(LFLAGS) -Wl,-Bstatic,-T,mapping.ld,-Map,crt_ram.map,--print-memory-usage + riscv64-unknown-elf-objdump -S -d crt_ram.elf > crt_ram.asm + riscv64-unknown-elf-objcopy -O binary crt_ram.elf crt_ram.bin + + riscv64-unknown-elf-gcc -c $(CFLAGS) -o demo.o demo.S + riscv64-unknown-elf-gcc $(CFLAGS) -o demo.elf demo.o $(LFLAGS) -Wl,-Bstatic,-T,mapping.ld,-Map,demo.map,--print-memory-usage + riscv64-unknown-elf-objdump -S -d demo.elf > demo.asm + riscv64-unknown-elf-objcopy -O binary demo.elf demo.bin + + riscv64-unknown-elf-gcc $(CFLAGS) -o demo_rom.elf demo.o $(LFLAGS) -Wl,-Bstatic,-T,mapping_rom.ld,-Map,demo_rom.map,--print-memory-usage + riscv64-unknown-elf-objdump -S -d demo_rom.elf > demo_rom.asm + riscv64-unknown-elf-objcopy -O binary demo_rom.elf demo_rom.bin + + riscv64-unknown-elf-gcc $(CFLAGS) -o demo_xip.elf demo.o $(LFLAGS) -Wl,-Bstatic,-T,mapping_xip.ld,-Map,demo_xip.map,--print-memory-usage + riscv64-unknown-elf-objdump -S -d demo_xip.elf > demo_xip.asm + riscv64-unknown-elf-objcopy -O binary demo_xip.elf demo_xip.bin + +clean-for-commit: + rm -f *.o + rm -f *.elf + rm -f *.asm + rm -f *.map + rm -f *.d + rm demo_rom.bin demo.bin crt_ram.bin + +clean: clean-for-commit + rm -f *.bin diff --git a/VexRiscv/src/main/c/murax/xipBootloader/mapping.ld b/VexRiscv/src/main/c/murax/xipBootloader/mapping.ld new file mode 100644 index 0000000..cc1b070 --- /dev/null +++ b/VexRiscv/src/main/c/murax/xipBootloader/mapping.ld @@ -0,0 +1,96 @@ +/* +This is free and unencumbered software released into the public domain. + +Anyone is free to copy, modify, publish, use, compile, sell, or +distribute this software, either in source code form or as a compiled +binary, for any purpose, commercial or non-commercial, and by any +means. +*/ +OUTPUT_FORMAT("elf32-littleriscv", "elf32-littleriscv", "elf32-littleriscv") +OUTPUT_ARCH(riscv) +ENTRY(crtStart) + +MEMORY { + mem : ORIGIN = 0x80000000, LENGTH = 0x00000400 +} + +_stack_size = DEFINED(_stack_size) ? _stack_size : 0; + +SECTIONS { + + .vector : { + *crt.o(.text); + } > mem + + .memory : { + *(.text); + end = .; + } > mem + + .rodata : + { + *(.rdata) + *(.rodata .rodata.*) + *(.gnu.linkonce.r.*) + } > mem + + .ctors : + { + . = ALIGN(4); + _ctors_start = .; + KEEP(*(.init_array*)) + KEEP (*(SORT(.ctors.*))) + KEEP (*(.ctors)) + . = ALIGN(4); + _ctors_end = .; + } > mem + + .data : + { + *(.rdata) + *(.rodata .rodata.*) + *(.gnu.linkonce.r.*) + *(.data .data.*) + *(.gnu.linkonce.d.*) + . = ALIGN(8); + PROVIDE( __global_pointer$ = . + 0x800 ); + *(.sdata .sdata.*) + *(.gnu.linkonce.s.*) + . = ALIGN(8); + *(.srodata.cst16) + *(.srodata.cst8) + *(.srodata.cst4) + *(.srodata.cst2) + *(.srodata .srodata.*) + } > mem + + .bss (NOLOAD) : { + . = ALIGN(4); + /* This is used by the startup in order to initialize the .bss secion */ + _bss_start = .; + *(.sbss*) + *(.gnu.linkonce.sb.*) + *(.bss .bss.*) + *(.gnu.linkonce.b.*) + *(COMMON) + . = ALIGN(4); + _bss_end = .; + } > mem + + .noinit (NOLOAD) : { + . = ALIGN(4); + *(.noinit .noinit.*) + . = ALIGN(4); + } > mem + + ._stack (NOLOAD): + { + . = ALIGN(16); + PROVIDE (_stack_end = .); + . = . + _stack_size; + . = ALIGN(16); + PROVIDE (_stack_start = .); + } > mem + +} + diff --git a/VexRiscv/src/main/c/murax/xipBootloader/mapping_rom.ld b/VexRiscv/src/main/c/murax/xipBootloader/mapping_rom.ld new file mode 100644 index 0000000..aaa0c3c --- /dev/null +++ b/VexRiscv/src/main/c/murax/xipBootloader/mapping_rom.ld @@ -0,0 +1,96 @@ +/* +This is free and unencumbered software released into the public domain. + +Anyone is free to copy, modify, publish, use, compile, sell, or +distribute this software, either in source code form or as a compiled +binary, for any purpose, commercial or non-commercial, and by any +means. +*/ +OUTPUT_FORMAT("elf32-littleriscv", "elf32-littleriscv", "elf32-littleriscv") +OUTPUT_ARCH(riscv) +ENTRY(crtStart) + +MEMORY { + mem : ORIGIN = 0x80000000, LENGTH = 0x00000400 + rom : ORIGIN = 0xF001E000, LENGTH = 0x00000400 +} + +_stack_size = DEFINED(_stack_size) ? _stack_size : 0; + +SECTIONS { + + .vector : { + *crt.o(.text); + } > rom + + .memory : { + *(.text); + end = .; + } > rom + + .rodata : + { + *(.rdata) + *(.rodata .rodata.*) + *(.gnu.linkonce.r.*) + } > rom + + .ctors : + { + . = ALIGN(4); + _ctors_start = .; + KEEP(*(.init_array*)) + KEEP (*(SORT(.ctors.*))) + KEEP (*(.ctors)) + . = ALIGN(4); + _ctors_end = .; + } > rom + + .data : + { + *(.rdata) + *(.rodata .rodata.*) + *(.gnu.linkonce.r.*) + *(.data .data.*) + *(.gnu.linkonce.d.*) + . = ALIGN(8); + PROVIDE( __global_pointer$ = . + 0x800 ); + *(.sdata .sdata.*) + *(.gnu.linkonce.s.*) + . = ALIGN(8); + *(.srodata.cst16) + *(.srodata.cst8) + *(.srodata.cst4) + *(.srodata.cst2) + *(.srodata .srodata.*) + } > rom + + .bss (NOLOAD) : { + . = ALIGN(4); + /* This is used by the startup in order to initialize the .bss secion */ + _bss_start = .; + *(.sbss*) + *(.gnu.linkonce.sb.*) + *(.bss .bss.*) + *(.gnu.linkonce.b.*) + *(COMMON) + . = ALIGN(4); + _bss_end = .; + } > mem + + .noinit (NOLOAD) : { + . = ALIGN(4); + *(.noinit .noinit.*) + . = ALIGN(4); + } > mem + + ._stack (NOLOAD): + { + . = ALIGN(16); + PROVIDE (_stack_end = .); + . = . + _stack_size; + . = ALIGN(16); + PROVIDE (_stack_start = .); + } > mem + +} diff --git a/VexRiscv/src/main/c/murax/xipBootloader/mapping_xip.ld b/VexRiscv/src/main/c/murax/xipBootloader/mapping_xip.ld new file mode 100644 index 0000000..ed56400 --- /dev/null +++ b/VexRiscv/src/main/c/murax/xipBootloader/mapping_xip.ld @@ -0,0 +1,96 @@ +/* +This is free and unencumbered software released into the public domain. + +Anyone is free to copy, modify, publish, use, compile, sell, or +distribute this software, either in source code form or as a compiled +binary, for any purpose, commercial or non-commercial, and by any +means. +*/ +OUTPUT_FORMAT("elf32-littleriscv", "elf32-littleriscv", "elf32-littleriscv") +OUTPUT_ARCH(riscv) +ENTRY(crtStart) + +MEMORY { + mem : ORIGIN = 0x80000000, LENGTH = 0x00000400 + xip : ORIGIN = 0xE0040000, LENGTH = 0x00000400 +} + +_stack_size = DEFINED(_stack_size) ? _stack_size : 0; + +SECTIONS { + + .vector : { + *crt.o(.text); + } > xip + + .memory : { + *(.text); + end = .; + } > xip + + .rodata : + { + *(.rdata) + *(.rodata .rodata.*) + *(.gnu.linkonce.r.*) + } > xip + + .ctors : + { + . = ALIGN(4); + _ctors_start = .; + KEEP(*(.init_array*)) + KEEP (*(SORT(.ctors.*))) + KEEP (*(.ctors)) + . = ALIGN(4); + _ctors_end = .; + } > xip + + .data : + { + *(.rdata) + *(.rodata .rodata.*) + *(.gnu.linkonce.r.*) + *(.data .data.*) + *(.gnu.linkonce.d.*) + . = ALIGN(8); + PROVIDE( __global_pointer$ = . + 0x800 ); + *(.sdata .sdata.*) + *(.gnu.linkonce.s.*) + . = ALIGN(8); + *(.srodata.cst16) + *(.srodata.cst8) + *(.srodata.cst4) + *(.srodata.cst2) + *(.srodata .srodata.*) + } > xip + + .bss (NOLOAD) : { + . = ALIGN(4); + /* This is used by the startup in order to initialize the .bss secion */ + _bss_start = .; + *(.sbss*) + *(.gnu.linkonce.sb.*) + *(.bss .bss.*) + *(.gnu.linkonce.b.*) + *(COMMON) + . = ALIGN(4); + _bss_end = .; + } > mem + + .noinit (NOLOAD) : { + . = ALIGN(4); + *(.noinit .noinit.*) + . = ALIGN(4); + } > mem + + ._stack (NOLOAD): + { + . = ALIGN(16); + PROVIDE (_stack_end = .); + . = . + _stack_size; + . = ALIGN(16); + PROVIDE (_stack_start = .); + } > mem + +} diff --git a/VexRiscv/src/main/ressource/hex/muraxDemo.elf b/VexRiscv/src/main/ressource/hex/muraxDemo.elf new file mode 100755 index 0000000..c26c3c3 Binary files /dev/null and b/VexRiscv/src/main/ressource/hex/muraxDemo.elf differ diff --git a/VexRiscv/src/main/ressource/hex/muraxDemo.hex b/VexRiscv/src/main/ressource/hex/muraxDemo.hex new file mode 100644 index 0000000..8872b29 --- /dev/null +++ b/VexRiscv/src/main/ressource/hex/muraxDemo.hex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diff --git a/VexRiscv/src/main/scala/spinal/lib/eda/icestorm/IcestormFlow.scala b/VexRiscv/src/main/scala/spinal/lib/eda/icestorm/IcestormFlow.scala new file mode 100644 index 0000000..6ef8d08 --- /dev/null +++ b/VexRiscv/src/main/scala/spinal/lib/eda/icestorm/IcestormFlow.scala @@ -0,0 +1,213 @@ +package spinal.lib.eda.icestorm +import spinal.lib.eda._ +import spinal.lib.eda.bench.{Report, Rtl, Target} + +import scala.collection.mutable.ArrayBuffer +import java.io.File +import java.nio.file.Paths + +import org.apache.commons.io.FileUtils +import spinal.core._ +import spinal.lib.StreamFifo +import spinal.lib.eda.bench.Report + +import scala.sys.process._ + +import scala.collection.Seq + +object IcestormFlow { + def doCmd(cmd : Seq[String], path : String): String ={ + println(cmd) + val str = new StringBuilder() + val log = new ProcessLogger { + override def err(s: => String): Unit = { + str ++= s + stderr.println(s) + } + + override def out(s: => String): Unit = { + str ++= s + stdout.println(s) + } + + override def buffer[T](f: => T) = f + } + if(isWindows) + Process("cmd /C " + cmd, new java.io.File(path)) !(log) + else + Process.apply(cmd, new java.io.File(path)) !(log) + + return str.toString() + } + + val isWindows = System.getProperty("os.name").toLowerCase().contains("win") + + def apply(workspacePath : String,toplevelPath : String,family : String,device : String, pack : String) : Report = { + val projectName = toplevelPath.split("/").last.split("[.]").head + +//ifeq ($(NEXTPNR),yes) +//%.json: ${VERILOGS} +// rm -f ${TOPLEVEL}.v*.bin +// cp -f ${ROOT}/hardware/netlist/${TOPLEVEL}.v*.bin . | true +// yosys -p 'synth_ice40 -top $(TOPLEVEL) -json $@' $< +// +//%.asc: $(PIN_DEF) %.json constraint.py +// nextpnr-ice40 --$(DEVICE) --json $(TOPLEVEL).json --pcf $(PIN_DEF) --asc $(TOPLEVEL).asc --pre-pack constraint.py $(NEXTPNR_ARG) +//else +//%.blif: ${VERILOGS} +// rm -f ${TOPLEVEL}.v*.bin +// cp -f ${ROOT}/hardware/netlist/${TOPLEVEL}.v*.bin . | true +// yosys -p 'synth_ice40 -top ${TOPLEVEL} -blif $@' $< +// +//%.asc: $(PIN_DEF) %.blif +// arachne-pnr -d $(subst up,,$(subst hx,,$(subst lp,,$(DEVICE)))) -o $@ -p $^ +//endif + + val workspacePathFile = new File(workspacePath) + FileUtils.deleteDirectory(workspacePathFile) + workspacePathFile.mkdir() + FileUtils.copyFileToDirectory(new File(toplevelPath), workspacePathFile) + doCmd(List("yosys", "-v3", "-p", s"synth_ice40 -top $projectName -json ${projectName}.json", s"$projectName.v" ), workspacePath) + val arachne = doCmd(List("nextpnr-ice40", s"--$device", "--json", s"${projectName}.json","--asc", s"$projectName.asc"), workspacePath) + doCmd(List("icepack", s"$projectName.asc", s"$projectName.bin"), workspacePath) + val icetime = doCmd(List("icetime", "-tmd", device, s"${projectName}.asc"), workspacePath) + new Report{ + val intFind = "(\\d+,?)+".r + val fMaxReg = """[-+]?(\d*[.])?\d+""".r + override def getFMax() = { + try { + fMaxReg.findAllMatchIn("Total path delay: [^\\n]* MHz".r.findFirstIn(icetime).get).drop(1).next.toString().toDouble * 1e6 + } catch { + case e : Throwable => -1 + } + } + override def getArea() = { + try { + intFind.findFirstIn("ICESTORM_LC\\:[^\\n]*\\/".r.findFirstIn(arachne).get).get.toString() + " LC" + } catch { + case e : Throwable => "error" + } + } + } +// mkdir -p bin +// rm -f Murax.v*.bin +// cp ../../../Murax.v*.bin . | true +// yosys -v3 -p "synth_ice40 -top toplevel -blif bin/toplevel.blif" ${VERILOG} +// +// val isVhdl = toplevelPath.endsWith(".vhd") || toplevelPath.endsWith(".vhdl") +// +// val tcl = new java.io.FileWriter(Paths.get(workspacePath,"doit.tcl").toFile) +// tcl.write( +// s"""read_${if(isVhdl) "vhdl" else "verilog"} $toplevelPath +//read_xdc doit.xdc +// +//synth_design -part $device -top ${toplevelPath.split("\\.").head} +//opt_design +//place_design +//route_design +// +//report_utilization +//report_timing""" +// ) +// +// tcl.flush(); +// tcl.close(); +// +// +// val xdc = new java.io.FileWriter(Paths.get(workspacePath,"doit.xdc").toFile) +// xdc.write(s"""create_clock -period ${(targetPeriod*1e9) toBigDecimal} [get_ports clk]""") +// +// xdc.flush(); +// xdc.close(); +// +// doCmd(s"$vivadoPath/vivado -nojournal -log doit.log -mode batch -source doit.tcl", workspacePath) +// +// new Report{ +// override def getFMax(): Double = { +// import scala.io.Source +// val report = Source.fromFile(Paths.get(workspacePath,"doit.log").toFile).getLines.mkString +// val intFind = "-?(\\d+\\.?)+".r +// val slack = try { +// (family match { +// case "Artix 7" => +// intFind.findFirstIn("-?(\\d+.?)+ns \\(required time - arrival time\\)".r.findFirstIn(report).get).get +// }).toDouble +// }catch{ +// case e : Exception => -1.0 +// } +// return 1.0/(targetPeriod.toDouble-slack*1e-9) +// } +// override def getArea(): String = { +// import scala.io.Source +// val report = Source.fromFile(Paths.get(workspacePath,"doit.log").toFile).getLines.mkString +// val intFind = "(\\d+,?)+".r +// val leArea = try { +// family match { +// case "Artix 7" => +// intFind.findFirstIn("Slice LUTs[ ]*\\|[ ]*(\\d+,?)+".r.findFirstIn(report).get).get + " LUT " + +// intFind.findFirstIn("Slice Registers[ ]*\\|[ ]*(\\d+,?)+".r.findFirstIn(report).get).get + " FF " +// } +// }catch{ +// case e : Exception => "???" +// } +// return leArea +// } +// } + } + + def main(args: Array[String]) { +// SpinalVerilog(StreamFifo(Bits(8 bits), 64)) +// val report = IcestormFlow( +// workspacePath="/home/spinalvm/tmp", +// toplevelPath="StreamFifo.v", +// family="iCE40", +// device="hx8k", +// pack = "ct256" +// ) +// println(report.getArea()) +// println(report.getFMax()) +// } + SpinalVerilog(StreamFifo(Bits(8 bits), 64)) + val report = IcestormFlow( + workspacePath="/media/miaou/HD/linux/tmp", + toplevelPath="StreamFifo.v", + family="iCE40", + device="up5k", + pack = "sg48" + ) + println(report.getArea()) + println(report.getFMax()) + } +} + +object IcestormStdTargets { + def apply(): Seq[Target] = { + val targets = ArrayBuffer[Target]() + targets += new Target { + override def getFamilyName(): String = "iCE40" + override def synthesise(rtl: Rtl, workspace: String): Report = { + IcestormFlow( + workspacePath=workspace, + toplevelPath=rtl.getRtlPath(), + family=getFamilyName(), + device="hx8k", + pack = "ct256" + ) + } + } + + targets += new Target { + override def getFamilyName(): String = "iCE40Ultra" + override def synthesise(rtl: Rtl, workspace: String): Report = { + IcestormFlow( + workspacePath=workspace, + toplevelPath=rtl.getRtlPath(), + family=getFamilyName(), + device="up5k", + pack = "sg48" + ) + } + } + targets + } +} \ No newline at end of file diff --git a/VexRiscv/src/main/scala/vexriscv/Pipeline.scala b/VexRiscv/src/main/scala/vexriscv/Pipeline.scala new file mode 100644 index 0000000..e9d93c2 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/Pipeline.scala @@ -0,0 +1,162 @@ +package vexriscv + +import vexriscv.plugin._ +import spinal.core._ +import spinal.lib._ + +import scala.collection.mutable +import scala.collection.mutable.ArrayBuffer + +trait PipelineThing[T] + +trait Pipeline { + type T <: Pipeline + val plugins = ArrayBuffer[Plugin[T]]() + var stages = ArrayBuffer[Stage]() + var unremovableStages = mutable.Set[Stage]() + val things = mutable.LinkedHashMap[PipelineThing[_], Any]() +// val services = ArrayBuffer[Any]() + + def stageBefore(stage : Stage) = stages(indexOf(stage)-1) + + def indexOf(stage : Stage) = stages.indexOf(stage) + + def service[T](clazz : Class[T]) = { + val filtered = plugins.filter(o => clazz.isAssignableFrom(o.getClass)) + assert(filtered.length == 1, s"??? ${clazz.getName}") + filtered.head.asInstanceOf[T] + } + + def serviceExist[T](clazz : Class[T]) = { + val filtered = plugins.filter(o => clazz.isAssignableFrom(o.getClass)) + filtered.length != 0 + } + + def serviceElse[T](clazz : Class[T], default : => T) : T = { + if(!serviceExist(clazz)) return default + val filtered = plugins.filter(o => clazz.isAssignableFrom(o.getClass)) + assert(filtered.length == 1) + filtered.head.asInstanceOf[T] + } + + def update[T](that : PipelineThing[T], value : T) : Unit = things(that) = value + def apply[T](that : PipelineThing[T]) : T = things(that).asInstanceOf[T] + + def build(): Unit ={ + plugins.foreach(_.pipeline = this.asInstanceOf[T]) + plugins.foreach(_.setup(this.asInstanceOf[T])) + + plugins.foreach{ p => + p.parentScope = Component.current.dslBody //Put the given plugin as a child of the current component + p.reflectNames() + } + + //Build plugins + plugins.foreach(_.build(this.asInstanceOf[T])) + + + + //Interconnect stages + class KeyInfo{ + var insertStageId = Int.MaxValue + var lastInputStageId = Int.MinValue + var lastOutputStageId = Int.MinValue + + def addInputStageIndex(stageId : Int): Unit = { + require(stageId >= insertStageId) + lastInputStageId = Math.max(lastInputStageId,stageId) + lastOutputStageId = Math.max(lastOutputStageId,stageId-1) + } + + + def addOutputStageIndex(stageId : Int): Unit = { + require(stageId >= insertStageId) + lastInputStageId = Math.max(lastInputStageId,stageId) + lastOutputStageId = Math.max(lastOutputStageId,stageId) + } + + def setInsertStageId(stageId : Int) = insertStageId = stageId + } + + val inputOutputKeys = mutable.LinkedHashMap[Stageable[Data],KeyInfo]() + val insertedStageable = mutable.Set[Stageable[Data]]() + for(stageIndex <- 0 until stages.length; stage = stages(stageIndex)){ + stage.inserts.keysIterator.foreach(signal => inputOutputKeys.getOrElseUpdate(signal,new KeyInfo).setInsertStageId(stageIndex)) + stage.inserts.keysIterator.foreach(insertedStageable += _) + } + + val missingInserts = mutable.Set[Stageable[Data]]() + for(stageIndex <- 0 until stages.length; stage = stages(stageIndex)){ + stage.inputs.keysIterator.foreach(key => if(!insertedStageable.contains(key)) missingInserts += key) + stage.outputs.keysIterator.foreach(key => if(!insertedStageable.contains(key)) missingInserts += key) + } + + if(missingInserts.nonEmpty){ + throw new Exception("Missing inserts : " + missingInserts.map(_.getName()).mkString(", ")) + } + + for(stageIndex <- 0 until stages.length; stage = stages(stageIndex)){ + stage.inputs.keysIterator.foreach(key => inputOutputKeys.getOrElseUpdate(key,new KeyInfo).addInputStageIndex(stageIndex)) + stage.outputs.keysIterator.foreach(key => inputOutputKeys.getOrElseUpdate(key,new KeyInfo).addOutputStageIndex(stageIndex)) + } + + for((key,info) <- inputOutputKeys) { + //Interconnect inputs -> outputs + for (stageIndex <- info.insertStageId to info.lastOutputStageId; + stage = stages(stageIndex)) { + stage.output(key) + val outputDefault = stage.outputsDefault.getOrElse(key, null) + if (outputDefault != null) { + outputDefault := stage.input(key) + } + } + + //Interconnect outputs -> inputs + for (stageIndex <- info.insertStageId to info.lastInputStageId) { + val stage = stages(stageIndex) + stage.input(key) + val inputDefault = stage.inputsDefault.getOrElse(key, null) + if (inputDefault != null) { + if (stageIndex == info.insertStageId) { + inputDefault := stage.inserts(key) + } else { + val stageBefore = stages(stageIndex - 1) + inputDefault := RegNextWhen(stageBefore.output(key), stage.dontSample.getOrElse(key, Nil).foldLeft(!stage.arbitration.isStuck)(_ && !_)).setName(s"${stageBefore.getName()}_to_${stage.getName()}_${key.getName()}") + } + } + } + } + + //Arbitration + for(stageIndex <- 0 until stages.length; stage = stages(stageIndex)) { + stage.arbitration.isFlushed := stages.drop(stageIndex+1).map(_.arbitration.flushNext).orR || stages.drop(stageIndex).map(_.arbitration.flushIt).orR + if(!unremovableStages.contains(stage)) + stage.arbitration.removeIt setWhen stage.arbitration.isFlushed + else + assert(stage.arbitration.removeIt === False,"removeIt should never be asserted on this stage") + + } + + for(stageIndex <- 0 until stages.length; stage = stages(stageIndex)){ + stage.arbitration.isStuckByOthers := stage.arbitration.haltByOther || stages.takeRight(stages.length - stageIndex - 1).map(s => s.arbitration.isStuck/* && !s.arbitration.removeIt*/).foldLeft(False)(_ || _) + stage.arbitration.isStuck := stage.arbitration.haltItself || stage.arbitration.isStuckByOthers + stage.arbitration.isMoving := !stage.arbitration.isStuck && !stage.arbitration.removeIt + stage.arbitration.isFiring := stage.arbitration.isValid && !stage.arbitration.isStuck && !stage.arbitration.removeIt + } + + for(stageIndex <- 1 until stages.length){ + val stageBefore = stages(stageIndex - 1) + val stage = stages(stageIndex) + stage.arbitration.isValid.setAsReg() init(False) + when(!stage.arbitration.isStuck || stage.arbitration.removeIt) { + stage.arbitration.isValid := False + } + when(!stageBefore.arbitration.isStuck && !stageBefore.arbitration.removeIt) { + stage.arbitration.isValid := stageBefore.arbitration.isValid + } + } + } + + + Component.current.addPrePopTask(() => build()) +} diff --git a/VexRiscv/src/main/scala/vexriscv/Riscv.scala b/VexRiscv/src/main/scala/vexriscv/Riscv.scala new file mode 100644 index 0000000..9e45e7a --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/Riscv.scala @@ -0,0 +1,242 @@ +package vexriscv + +import spinal.core._ + + +object Riscv{ + def misaToInt(values : String) = values.toLowerCase.map(e => 1 << (e-'a')).reduce(_ | _) + + def funct7Range = 31 downto 25 + def rdRange = 11 downto 7 + def funct3Range = 14 downto 12 + def rs1Range = 19 downto 15 + def rs2Range = 24 downto 20 + def rs3Range = 31 downto 27 + def csrRange = 31 downto 20 + + case class IMM(instruction : Bits) extends Area{ + // immediates + def i = instruction(31 downto 20) + def h = instruction(31 downto 24) + def s = instruction(31 downto 25) ## instruction(11 downto 7) + def b = instruction(31) ## instruction(7) ## instruction(30 downto 25) ## instruction(11 downto 8) + def u = instruction(31 downto 12) ## U"x000" + def j = instruction(31) ## instruction(19 downto 12) ## instruction(20) ## instruction(30 downto 21) + def z = instruction(19 downto 15) + + // sign-extend immediates + def i_sext = B((19 downto 0) -> i(11)) ## i + def h_sext = B((23 downto 0) -> h(7)) ## h + def s_sext = B((19 downto 0) -> s(11)) ## s + def b_sext = B((18 downto 0) -> b(11)) ## b ## False + def j_sext = B((10 downto 0) -> j(19)) ## j ## False + } + + + def ADD = M"0000000----------000-----0110011" + def SUB = M"0100000----------000-----0110011" + def SLL = M"0000000----------001-----0110011" + def SLT = M"0000000----------010-----0110011" + def SLTU = M"0000000----------011-----0110011" + def XOR = M"0000000----------100-----0110011" + def SRL = M"0000000----------101-----0110011" + def SRA = M"0100000----------101-----0110011" + def OR = M"0000000----------110-----0110011" + def AND = M"0000000----------111-----0110011" + + def ADDI = M"-----------------000-----0010011" + def SLLI = M"000000-----------001-----0010011" + def SLTI = M"-----------------010-----0010011" + def SLTIU = M"-----------------011-----0010011" + def XORI = M"-----------------100-----0010011" + def SRLI = M"000000-----------101-----0010011" + def SRAI = M"010000-----------101-----0010011" + def ORI = M"-----------------110-----0010011" + def ANDI = M"-----------------111-----0010011" + + def LB = M"-----------------000-----0000011" + def LH = M"-----------------001-----0000011" + def LW = M"-----------------010-----0000011" + def LBU = M"-----------------100-----0000011" + def LHU = M"-----------------101-----0000011" + def LWU = M"-----------------110-----0000011" + def SB = M"-----------------000-----0100011" + def SH = M"-----------------001-----0100011" + def SW = M"-----------------010-----0100011" + + def LR = M"00010--00000-----010-----0101111" + def SC = M"00011------------010-----0101111" + + def AMOSWAP = M"00001------------010-----0101111" + def AMOADD = M"00000------------010-----0101111" + def AMOXOR = M"00100------------010-----0101111" + def AMOAND = M"01100------------010-----0101111" + def AMOOR = M"01000------------010-----0101111" + def AMOMIN = M"10000------------010-----0101111" + def AMOMAX = M"10100------------010-----0101111" + def AMOMINU = M"11000------------010-----0101111" + def AMOMAXU = M"11100------------010-----0101111" + + def BEQ (rvc : Boolean) = if(rvc) M"-----------------000-----1100011" else M"-----------------000---0-1100011" + def BNE (rvc : Boolean) = if(rvc) M"-----------------001-----1100011" else M"-----------------001---0-1100011" + def BLT (rvc : Boolean) = if(rvc) M"-----------------100-----1100011" else M"-----------------100---0-1100011" + def BGE (rvc : Boolean) = if(rvc) M"-----------------101-----1100011" else M"-----------------101---0-1100011" + def BLTU(rvc : Boolean) = if(rvc) M"-----------------110-----1100011" else M"-----------------110---0-1100011" + def BGEU(rvc : Boolean) = if(rvc) M"-----------------111-----1100011" else M"-----------------111---0-1100011" + def JALR = M"-----------------000-----1100111" + def JAL(rvc : Boolean) = if(rvc) M"-------------------------1101111" else M"----------0--------------1101111" + def LUI = M"-------------------------0110111" + def AUIPC = M"-------------------------0010111" + + def MULX = M"0000001----------0-------0110011" + def DIVX = M"0000001----------1-------0110011" + + def MUL = M"0000001----------000-----0110011" + def MULH = M"0000001----------001-----0110011" + def MULHSU = M"0000001----------010-----0110011" + def MULHU = M"0000001----------011-----0110011" + + + def DIV = M"0000001----------100-----0110011" + def DIVU = M"0000001----------101-----0110011" + def REM = M"0000001----------110-----0110011" + def REMU = M"0000001----------111-----0110011" + + + + def CSRRW = M"-----------------001-----1110011" + def CSRRS = M"-----------------010-----1110011" + def CSRRC = M"-----------------011-----1110011" + def CSRRWI = M"-----------------101-----1110011" + def CSRRSI = M"-----------------110-----1110011" + def CSRRCI = M"-----------------111-----1110011" + + def ECALL = M"00000000000000000000000001110011" + def EBREAK = M"00000000000100000000000001110011" + def FENCEI = M"00000000000000000001000000001111" + def MRET = M"00110000001000000000000001110011" + def SRET = M"00010000001000000000000001110011" + def WFI = M"00010000010100000000000001110011" + + def FENCE = M"-----------------000-----0001111" + def FENCE_I = M"-----------------001-----0001111" + def SFENCE_VMA = M"0001001----------000000001110011" + + def FMV_W_X = M"111100000000-----000-----1010011" + def FADD_S = M"0000000------------------1010011" + def FSUB_S = M"0000100------------------1010011" + def FMUL_S = M"0001000------------------1010011" + def FDIV_S = M"0001100------------------1010011" + def FSGNJ_S = M"0010000----------000-----1010011" + def FSGNJN_S = M"0010000----------001-----1010011" + def FSGNJX_S = M"0010000----------010-----1010011" + def FMIN_S = M"0010100----------000-----1010011" + def FMAX_S = M"0010100----------001-----1010011" + def FSQRT_S = M"010110000000-------------1010011" + def FCVT_S_W = M"110100000000-------------1010011" + def FCVT_S_WU = M"110100000001-------------1010011" + def FCVT_S_L = M"110100000010-------------1010011" + def FCVT_S_LU = M"110100000011-------------1010011" + def FCVT_W_S = M"110000000000-------------1010011" + def FCVT_WU_S = M"110000000001-------------1010011" + def FCVT_L_S = M"110000000010-------------1010011" + def FCVT_LU_S = M"110000000011-------------1010011" + def FCLASS_S = M"111000000000-----001-----1010011" + def FMADD_S = M"-----00------------------1000011" + def FMSUB_S = M"-----00------------------1000111" + def FNMSUB_S = M"-----00------------------1001011" + def FNMADD_S = M"-----00------------------1001111" + + def FLE_S = M"1010000----------000-----1010011" + def FLT_S = M"1010000----------001-----1010011" + def FEQ_S = M"1010000----------010-----1010011" + def FADD_D = M"0000001------------------1010011" + def FSUB_D = M"0000101------------------1010011" + def FMUL_D = M"0001001------------------1010011" + def FDIV_D = M"0001101------------------1010011" + def FSGNJ_D = M"0010001----------000-----1010011" + def FSGNJN_D = M"0010001----------001-----1010011" + def FSGNJX_D = M"0010001----------010-----1010011" + def FMIN_D = M"0010101----------000-----1010011" + def FMAX_D = M"0010101----------001-----1010011" + def FSQRT_D = M"010110100000-------------1010011" + def FMV_X_W = M"111000000000-----000-----1010011" + def FCVT_W_D = M"110000100000-------------1010011" + def FCVT_WU_D = M"110000100001-------------1010011" + def FCVT_L_D = M"110000100010-------------1010011" + def FCVT_LU_D = M"110000100011-------------1010011" + def FMV_X_D = M"111000100000-----000-----1010011" + def FCLASS_D = M"111000100000-----001-----1010011" + def FCVT_D_W = M"110100100000-------------1010011" + def FCVT_D_WU = M"110100100001-------------1010011" + def FCVT_D_L = M"110100100010-------------1010011" + def FCVT_D_LU = M"110100100011-------------1010011" + def FMV_D_X = M"111100100000-----000-----1010011" + def FMADD_D = M"-----01------------------1000011" + def FMSUB_D = M"-----01------------------1000111" + def FNMSUB_D = M"-----01------------------1001011" + def FNMADD_D = M"-----01------------------1001111" + def FLE_D = M"1010001----------000-----1010011" + def FLT_D = M"1010001----------001-----1010011" + def FEQ_D = M"1010001----------010-----1010011" + + def FCVT_S_D = M"010000000001-------------1010011" + def FCVT_D_S = M"010000100000-------------1010011" + + def FLW = M"-----------------010-----0000111" + def FLD = M"-----------------011-----0000111" + def FSW = M"-----------------010-----0100111" + def FSD = M"-----------------011-----0100111" + + + + object CSR{ + def MVENDORID = 0xF11 // MRO Vendor ID. + def MARCHID = 0xF12 // MRO Architecture ID. + def MIMPID = 0xF13 // MRO Implementation ID. + def MHARTID = 0xF14 // MRO Hardware thread ID.Machine Trap Setup + def MSTATUS = 0x300 // MRW Machine status register. + def MISA = 0x301 // MRW ISA and extensions + def MEDELEG = 0x302 // MRW Machine exception delegation register. + def MIDELEG = 0x303 // MRW Machine interrupt delegation register. + def MIE = 0x304 // MRW Machine interrupt-enable register. + def MTVEC = 0x305 // MRW Machine trap-handler base address. Machine Trap Handling + def MSCRATCH = 0x340 // MRW Scratch register for machine trap handlers. + def MEPC = 0x341 // MRW Machine exception program counter. + def MCAUSE = 0x342 // MRW Machine trap cause. + def MBADADDR = 0x343 // MRW Machine bad address. + def MIP = 0x344 // MRW Machine interrupt pending. + def MBASE = 0x380 // MRW Base register. + def MBOUND = 0x381 // MRW Bound register. + def MIBASE = 0x382 // MRW Instruction base register. + def MIBOUND = 0x383 // MRW Instruction bound register. + def MDBASE = 0x384 // MRW Data base register. + def MDBOUND = 0x385 // MRW Data bound register. + def MCYCLE = 0xB00 // MRW Machine cycle counter. + def MINSTRET = 0xB02 // MRW Machine instructions-retired counter. + def MCYCLEH = 0xB80 // MRW Upper 32 bits of mcycle, RV32I only. + def MINSTRETH = 0xB82 // MRW Upper 32 bits of minstret, RV32I only. + + val SSTATUS = 0x100 + val SIE = 0x104 + val STVEC = 0x105 + val SCOUNTEREN = 0x106 + val SSCRATCH = 0x140 + val SEPC = 0x141 + val SCAUSE = 0x142 + val SBADADDR = 0x143 + val SIP = 0x144 + val SATP = 0x180 + + def UCYCLE = 0xC00 // UR Machine ucycle counter. + def UCYCLEH = 0xC80 + def UTIME = 0xC01 // rdtime + def UTIMEH = 0xC81 + def UINSTRET = 0xC02 // UR Machine instructions-retired counter. + def UINSTRETH = 0xC82 // UR Upper 32 bits of minstret, RV32I only. + + val FFLAGS = 0x1 + val FRM = 0x2 + val FCSR = 0x3 + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/Services.scala b/VexRiscv/src/main/scala/vexriscv/Services.scala new file mode 100644 index 0000000..140c69b --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/Services.scala @@ -0,0 +1,132 @@ +package vexriscv + +import java.util + +import spinal.core._ +import spinal.lib._ + +import scala.beans.BeanProperty + +trait JumpService{ + def createJumpInterface(stage : Stage, priority : Int = 0) : Flow[UInt] //High priority win +} + +trait IBusFetcher{ + def haltIt() : Unit + def incoming() : Bool + def pcValid(stage : Stage) : Bool + def getInjectionPort() : Stream[Bits] + def withRvc() : Boolean + def forceNoDecode() : Unit +} + + +trait DecoderService{ + def add(key : MaskedLiteral,values : Seq[(Stageable[_ <: BaseType],Any)]) + def add(encoding :Seq[(MaskedLiteral,Seq[(Stageable[_ <: BaseType],Any)])]) + def addDefault(key : Stageable[_ <: BaseType], value : Any) + def forceIllegal() : Unit +} + +case class ExceptionCause(codeWidth : Int) extends Bundle{ + val code = UInt(codeWidth bits) + val badAddr = UInt(32 bits) + + def resizeCode(width : Int): ExceptionCause ={ + val ret = ExceptionCause(width) + ret.badAddr := badAddr + ret.code := code.resized + ret + } +} + +trait ExceptionService{ + def newExceptionPort(stage : Stage, priority : Int = 0, codeWidth : Int = 4) : Flow[ExceptionCause] + def isExceptionPending(stage : Stage) : Bool +} + +trait PrivilegeService{ + def isUser() : Bool + def isSupervisor() : Bool + def isMachine() : Bool + def forceMachine() : Unit +} + +case class PrivilegeServiceDefault() extends PrivilegeService{ + override def isUser(): Bool = False + override def isSupervisor(): Bool = False + override def isMachine(): Bool = True + override def forceMachine(): Unit = {} +} + +trait InterruptionInhibitor{ + def inhibateInterrupts() : Unit +} + +trait ExceptionInhibitor{ + def inhibateException() : Unit + def inhibateEbreakException() : Unit +} + + +trait RegFileService{ + def readStage() : Stage +} + + +case class MemoryTranslatorCmd() extends Bundle{ + val isValid = Bool + val isStuck = Bool + val virtualAddress = UInt(32 bits) + val bypassTranslation = Bool +} +case class MemoryTranslatorRsp(p : MemoryTranslatorBusParameter) extends Bundle{ + val physicalAddress = UInt(32 bits) + val isIoAccess = Bool + val isPaging = Bool + val allowRead, allowWrite, allowExecute = Bool + val exception = Bool + val refilling = Bool + val bypassTranslation = Bool + val ways = Vec(MemoryTranslatorRspWay(), p.wayCount) +} +case class MemoryTranslatorRspWay() extends Bundle{ + val sel = Bool() + val physical = UInt(32 bits) +} + +case class MemoryTranslatorBusParameter(wayCount : Int = 0, latency : Int = 0) +case class MemoryTranslatorBus(p : MemoryTranslatorBusParameter) extends Bundle with IMasterSlave{ + val cmd = Vec(MemoryTranslatorCmd(), p.latency + 1) + val rsp = MemoryTranslatorRsp(p) + val end = Bool + val busy = Bool + + override def asMaster() : Unit = { + out(cmd, end) + in(rsp, busy) + } +} + +trait MemoryTranslator{ + def newTranslationPort(priority : Int, args : Any) : MemoryTranslatorBus +} + + +trait ReportService{ + def add(that : (String,Object)) : Unit +} + +class BusReport{ + @BeanProperty var kind = "" + @BeanProperty var flushInstructions = new util.LinkedList[Int]() + @BeanProperty var info : Object = null +} +class CacheReport { + @BeanProperty var size = 0 + @BeanProperty var bytePerLine = 0 +} + +class DebugReport { + @BeanProperty var hardwareBreakpointCount = 0 +} \ No newline at end of file diff --git a/VexRiscv/src/main/scala/vexriscv/Stage.scala b/VexRiscv/src/main/scala/vexriscv/Stage.scala new file mode 100644 index 0000000..fe20d19 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/Stage.scala @@ -0,0 +1,79 @@ +package vexriscv + +import spinal.core._ +import spinal.lib._ + +import scala.collection.mutable +import scala.collection.mutable.ArrayBuffer + + +class Stageable[T <: Data](_dataType : => T) extends HardType[T](_dataType) with Nameable{ + def dataType = apply() + setWeakName(this.getClass.getSimpleName.replace("$","")) +} + +class Stage() extends Area{ + def outsideCondScope[T](that : => T) : T = { + val body = Component.current.dslBody // Get the head of the current component symboles tree (AST in other words) + val ctx = body.push() // Now all access to the SpinalHDL API will be append to it (instead of the current context) + val swapContext = body.swap() // Empty the symbole tree (but keep a reference to the old content) + val ret = that // Execute the block of code (will be added to the recently empty body) + ctx.restore() // Restore the original context in which this function was called + swapContext.appendBack() // append the original symboles tree to the modified body + ret // return the value returned by that + } + + def input[T <: Data](key : Stageable[T]) : T = { + inputs.getOrElseUpdate(key.asInstanceOf[Stageable[Data]],outsideCondScope{ + val input,inputDefault = key() + inputsDefault(key.asInstanceOf[Stageable[Data]]) = inputDefault + input := inputDefault + input.setPartialName(this, key.getName()) + }).asInstanceOf[T] + } + + def output[T <: Data](key : Stageable[T]) : T = { + outputs.getOrElseUpdate(key.asInstanceOf[Stageable[Data]],outsideCondScope{ + val output,outputDefault = key() + outputsDefault(key.asInstanceOf[Stageable[Data]]) = outputDefault + output := outputDefault + output //.setPartialName(this,"output_" + key.getName()) + }).asInstanceOf[T] + } + + def insert[T <: Data](key : Stageable[T]) : T = inserts.getOrElseUpdate(key.asInstanceOf[Stageable[Data]],outsideCondScope(key())).asInstanceOf[T] //.setPartialName(this,key.getName()) +// def apply[T <: Data](key : Stageable[T]) : T = ??? + + + val arbitration = new Area{ + val haltItself = False //user settable, stuck the instruction, should only be set by the instruction itself + val haltByOther = False //When settable, stuck the instruction, should only be set by something else than the stucked instruction + val removeIt = False //When settable, unschedule the instruction as if it was never executed (no side effect) + val flushIt = False //When settable, unschedule the current instruction + val flushNext = False //When settable, unschedule instruction above in the pipeline + val isValid = Bool //Inform if a instruction is in the current stage + val isStuck = Bool //Inform if the instruction is stuck (haltItself || haltByOther) + val isStuckByOthers = Bool //Inform if the instruction is stuck by sombody else + def isRemoved = removeIt //Inform if the instruction is going to be unschedule the current cycle + val isFlushed = Bool //Inform if the instruction is flushed (flushAll set in the current or subsequents stages) + val isMoving = Bool //Inform if the instruction is going somewere else (next stage or unscheduled) + val isFiring = Bool //Inform if the current instruction will go to the next stage the next cycle (isValid && !isStuck && !removeIt) + } + + + val inputs = mutable.LinkedHashMap[Stageable[Data],Data]() + val outputs = mutable.LinkedHashMap[Stageable[Data],Data]() + val signals = mutable.LinkedHashMap[Stageable[Data],Data]() + val inserts = mutable.LinkedHashMap[Stageable[Data],Data]() + + val inputsDefault = mutable.LinkedHashMap[Stageable[Data],Data]() + val outputsDefault = mutable.LinkedHashMap[Stageable[Data],Data]() + + val dontSample = mutable.LinkedHashMap[Stageable[_], ArrayBuffer[Bool]]() + + def dontSampleStageable(s : Stageable[_], cond : Bool): Unit ={ + dontSample.getOrElseUpdate(s, ArrayBuffer[Bool]()) += cond + } + def inputInit[T <: BaseType](stageable : Stageable[T],initValue : T) = + Component.current.addPrePopTask(() => inputsDefault(stageable.asInstanceOf[Stageable[Data]]).asInstanceOf[T].getDrivingReg.init(initValue)) +} \ No newline at end of file diff --git a/VexRiscv/src/main/scala/vexriscv/TestsWorkspace.scala b/VexRiscv/src/main/scala/vexriscv/TestsWorkspace.scala new file mode 100644 index 0000000..c961f05 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/TestsWorkspace.scala @@ -0,0 +1,211 @@ +/* + * SpinalHDL + * Copyright (c) Dolu, All rights reserved. + * + * This library is free software; you can redistribute it and/or + * modify it under the terms of the GNU Lesser General Public + * License as published by the Free Software Foundation; either + * version 3.0 of the License, or (at your option) any later version. + * + * This library is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU + * Lesser General Public License for more details. + * + * You should have received a copy of the GNU Lesser General Public + * License along with this library. + */ + +package vexriscv + +import vexriscv.plugin._ +import vexriscv.demo.{GenFull, SimdAddPlugin} +import spinal.core._ +import spinal.lib._ +import vexriscv.ip._ +import spinal.lib.bus.avalon.AvalonMM +import spinal.lib.eda.altera.{InterruptReceiverTag, ResetEmitterTag} +import vexriscv.demo.smp.VexRiscvSmpClusterGen +import vexriscv.ip.fpu.FpuParameter + + +// make clean all SEED=42 MMU=no STOP_ON_ERROR=yes DBUS_EXCLUSIVE=yes DBUS_INVALIDATE=yes SUPERVISOR=yes REDO=1 DHRYSTONE=yes LRSC=yes AMO=yes LINUX_REGRESSION=yes TRACE=yes TRACE_START=1000000000 FLOW_INFO=ye IBUS_DATA_WIDTH=128 DBUS_DATA_WIDTH=128 +// make clean all IBUS=CACHED IBUS_DATA_WIDTH=64 COMPRESSED=no DBUS=CACHED DBUS_LOAD_DATA_WIDTH=64 DBUS_STORE_DATA_WIDTH=64 LRSC=yes AMO=yes SUPERVISOR=yes DBUS_EXCLUSIVE=yes DBUS_INVALIDATE=yes MUL=yes DIV=yes RVF=yes RVD=yes DEBUG_PLUGIN=no LINUX_SOC_SMP=yes EMULATOR=$IMAGES/fw_jump.bin VMLINUX=$IMAGES/Image DTB=$IMAGES/linux.dtb RAMDISK=$IMAGES/rootfs.cpio TRACE=ye REDO=1 DEBUG=ye WITH_USER_IO=yes SEED=42 +object TestsWorkspace { + def main(args: Array[String]) { + SpinalConfig().generateVerilog { + + // make clean all REDO=10 CSR=no MMU=no COREMARK=no RVF=yes RVD=yes REDO=1 DBUS_LOAD_DATA_WIDTH=64 DBUS_STORE_DATA_WIDTH=64 DEBUG=ye TRACE=ye +// val config = VexRiscvConfig( +// plugins = List( +// new IBusCachedPlugin( +// prediction = DYNAMIC, +// config = InstructionCacheConfig( +// cacheSize = 4096, +// bytePerLine =32, +// wayCount = 1, +// addressWidth = 32, +// cpuDataWidth = 32, +// memDataWidth = 32, +// catchIllegalAccess = true, +// catchAccessFault = true, +// asyncTagMemory = false, +// twoCycleRam = true, +// twoCycleCache = true +// ), +// memoryTranslatorPortConfig = MmuPortConfig( +// portTlbSize = 4 +// ) +// ), +// new DBusCachedPlugin( +// config = new DataCacheConfig( +// cacheSize = 4096, +// bytePerLine = 32, +// wayCount = 1, +// addressWidth = 32, +// cpuDataWidth = 64, +// memDataWidth = 64, +// catchAccessError = true, +// catchIllegal = true, +// catchUnaligned = true +// ), +// memoryTranslatorPortConfig = MmuPortConfig( +// portTlbSize = 6 +// ) +// ), +// new MmuPlugin( +// virtualRange = _(31 downto 28) === 0xC, +// ioRange = _(31 downto 28) === 0xF +// ), +// new DecoderSimplePlugin( +// catchIllegalInstruction = true +// ), +// new RegFilePlugin( +// regFileReadyKind = plugin.SYNC, +// zeroBoot = false +// ), +// new IntAluPlugin, +// new SrcPlugin( +// separatedAddSub = false, +// executeInsertion = true +// ), +// new FullBarrelShifterPlugin, +// new HazardSimplePlugin( +// bypassExecute = true, +// bypassMemory = true, +// bypassWriteBack = true, +// bypassWriteBackBuffer = true, +// pessimisticUseSrc = false, +// pessimisticWriteRegFile = false, +// pessimisticAddressMatch = false +// ), +// new MulPlugin, +// new DivPlugin, +// new CsrPlugin(CsrPluginConfig.small(0x80000020l)), +// new DebugPlugin(ClockDomain.current.clone(reset = Bool().setName("debugReset"))), +// new BranchPlugin( +// earlyBranch = false, +// catchAddressMisaligned = true +// ), +// new YamlPlugin("cpu0.yaml") +// ) +// ) +// config.plugins += new FpuPlugin( +// externalFpu = false, +// p = FpuParameter( +// withDouble = true +// ) +// ) + +// mkdir buildroot-build +// cd buildroot-build/ +// make O=$PWD BR2_EXTERNAL=../buildroot-spinal-saxon -C ../buildroot saxon_regression_defconfig + + //make clean all IBUS=CACHED IBUS_DATA_WIDTH=64 COMPRESSED=no DBUS=CACHED DBUS_LOAD_DATA_WIDTH=64 DBUS_STORE_DATA_WIDTH=64 LRSC=yes AMO=yes SUPERVISOR=yes DBUS_EXCLUSIVE=yes DBUS_INVALIDATE=yes MUL=yes DIV=yes RVF=yes RVD=yes DEBUG_PLUGIN=no TRACE=yes REDO=1 DEBUG=ye WITH_USER_IO=no FLOW_INFO=no TRACE_START=565000000000ll SEED=45 + + //make clean all IBUS=CACHED IBUS_DATA_WIDTH=64 COMPRESSED=no DBUS=CACHED DBUS_LOAD_DATA_WIDTH=64 DBUS_STORE_DATA_WIDTH=64 LRSC=yes AMO=yes SUPERVISOR=yes DBUS_EXCLUSIVE=yes DBUS_INVALIDATE=yes MUL=yes DIV=yes RVF=yes RVD=yes DEBUG_PLUGIN=no TRACE=yes REDO=100 DEBUG=ye WITH_USER_IO=no FLOW_INFO=no TRACE_START=5600000000000ll SEED=45 STOP_ON_ERROR=ye + + // export IMAGES=/media/data/open/SaxonSoc/artyA7SmpUpdate/buildroot-regression/buildroot-build/images + // make clean all IBUS=CACHED IBUS_DATA_WIDTH=64 COMPRESSED=no DBUS=CACHED DBUS_LOAD_DATA_WIDTH=64 DBUS_STORE_DATA_WIDTH=64 LRSC=yes AMO=yes SUPERVISOR=yes DBUS_EXCLUSIVE=yes DBUS_INVALIDATE=yes MUL=yes DIV=yes RVF=yes RVD=yes DEBUG_PLUGIN=no LINUX_SOC_SMP=yes EMULATOR=$IMAGES/fw_jump.bin VMLINUX=$IMAGES/Image DTB=$IMAGES/linux.dtb RAMDISK=$IMAGES/rootfs.cpio TRACE=yes REDO=1 DEBUG=ye WITH_USER_IO=no FLOW_INFO=no TRACE_START=565000000000ll SEED=45 + val config = VexRiscvSmpClusterGen.vexRiscvConfig( + hartId = 0, + ioRange = _ (31 downto 28) === 0xF, + resetVector = 0x80000000l, + iBusWidth = 64, + dBusWidth = 64, + loadStoreWidth = 64, + iCacheSize = 4096*2, + dCacheSize = 4096*2, + iCacheWays = 2, + dCacheWays = 2, + withFloat = true, + withDouble = true, + externalFpu = false, + simHalt = true + ) + + + println("Args :") + println(config.getRegressionArgs().mkString(" ")) + + + val toplevel = new VexRiscv(config) +// val toplevel = new VexRiscv(configLight) +// val toplevel = new VexRiscv(configTest) + + /*toplevel.rework { + var iBus : AvalonMM = null + for (plugin <- toplevel.config.plugins) plugin match { + case plugin: IBusSimplePlugin => { + plugin.iBus.asDirectionLess() //Unset IO properties of iBus + iBus = master(plugin.iBus.toAvalon()) + .setName("iBusAvalon") + .addTag(ClockDomainTag(ClockDomain.current)) //Specify a clock domain to the iBus (used by QSysify) + } + case plugin: IBusCachedPlugin => { + plugin.iBus.asDirectionLess() //Unset IO properties of iBus + iBus = master(plugin.iBus.toAvalon()) + .setName("iBusAvalon") + .addTag(ClockDomainTag(ClockDomain.current)) //Specify a clock domain to the iBus (used by QSysify) + } + case plugin: DBusSimplePlugin => { + plugin.dBus.asDirectionLess() + master(plugin.dBus.toAvalon()) + .setName("dBusAvalon") + .addTag(ClockDomainTag(ClockDomain.current)) + } + case plugin: DBusCachedPlugin => { + plugin.dBus.asDirectionLess() + master(plugin.dBus.toAvalon()) + .setName("dBusAvalon") + .addTag(ClockDomainTag(ClockDomain.current)) + } + case plugin: DebugPlugin => { + plugin.io.bus.asDirectionLess() + slave(plugin.io.bus.fromAvalon()) + .setName("debugBusAvalon") + .addTag(ClockDomainTag(plugin.debugClockDomain)) + .parent = null //Avoid the io bundle to be interpreted as a QSys conduit + plugin.io.resetOut + .addTag(ResetEmitterTag(plugin.debugClockDomain)) + .parent = null //Avoid the io bundle to be interpreted as a QSys conduit + } + case _ => + } + for (plugin <- toplevel.config.plugins) plugin match { + case plugin: CsrPlugin => { + plugin.externalInterrupt + .addTag(InterruptReceiverTag(iBus, ClockDomain.current)) + plugin.timerInterrupt + .addTag(InterruptReceiverTag(iBus, ClockDomain.current)) + } + case _ => + } + }*/ +// toplevel.writeBack.input(config.PC).addAttribute(Verilator.public) +// toplevel.service(classOf[DecoderSimplePlugin]).bench(toplevel) + // toplevel.children.find(_.isInstanceOf[DataCache]).get.asInstanceOf[DataCache].io.cpu.execute.addAttribute(Verilator.public) + toplevel + } + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/VexRiscv.scala b/VexRiscv/src/main/scala/vexriscv/VexRiscv.scala new file mode 100644 index 0000000..ed7e37e --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/VexRiscv.scala @@ -0,0 +1,152 @@ +package vexriscv + +import vexriscv.plugin._ +import spinal.core._ + +import scala.collection.mutable.ArrayBuffer +import scala.collection.Seq + +object VexRiscvConfig{ + def apply(withMemoryStage : Boolean, withWriteBackStage : Boolean, plugins : Seq[Plugin[VexRiscv]]): VexRiscvConfig = { + val config = VexRiscvConfig() + config.plugins ++= plugins + config.withMemoryStage = withMemoryStage + config.withWriteBackStage = withWriteBackStage + config + } + + def apply(plugins : Seq[Plugin[VexRiscv]] = ArrayBuffer()) : VexRiscvConfig = apply(true,true,plugins) +} +trait VexRiscvRegressionArg{ + def getVexRiscvRegressionArgs() : Seq[String] +} +case class VexRiscvConfig(){ + var withMemoryStage = true + var withWriteBackStage = true + val plugins = ArrayBuffer[Plugin[VexRiscv]]() + + def add(that : Plugin[VexRiscv]) : this.type = {plugins += that;this} + def find[T](clazz: Class[T]): Option[T] = { + plugins.find(_.getClass == clazz) match { + case Some(x) => Some(x.asInstanceOf[T]) + case None => None + } + } + def get[T](clazz: Class[T]): T = { + plugins.find(_.getClass == clazz) match { + case Some(x) => x.asInstanceOf[T] + } + } + + def withRvc = plugins.find(_.isInstanceOf[IBusFetcher]) match { + case Some(x) => x.asInstanceOf[IBusFetcher].withRvc + case None => false + } + + def withRvf = find(classOf[FpuPlugin]) match { + case Some(x) => true + case None => false + } + + def withRvd = find(classOf[FpuPlugin]) match { + case Some(x) => x.p.withDouble + case None => false + } + + //Default Stageables + object IS_RVC extends Stageable(Bool) + object BYPASSABLE_EXECUTE_STAGE extends Stageable(Bool) + object BYPASSABLE_MEMORY_STAGE extends Stageable(Bool) + object RS1 extends Stageable(Bits(32 bits)) + object RS2 extends Stageable(Bits(32 bits)) + object RS1_USE extends Stageable(Bool) + object RS2_USE extends Stageable(Bool) + object RESULT extends Stageable(UInt(32 bits)) + object PC extends Stageable(UInt(32 bits)) + object PC_CALC_WITHOUT_JUMP extends Stageable(UInt(32 bits)) + object INSTRUCTION extends Stageable(Bits(32 bits)) + object INSTRUCTION_ANTICIPATED extends Stageable(Bits(32 bits)) + object LEGAL_INSTRUCTION extends Stageable(Bool) + object REGFILE_WRITE_VALID extends Stageable(Bool) + object REGFILE_WRITE_DATA extends Stageable(Bits(32 bits)) + + object MPP extends PipelineThing[UInt] + object DEBUG_BYPASS_CACHE extends PipelineThing[Bool] + + object SRC1 extends Stageable(Bits(32 bits)) + object SRC2 extends Stageable(Bits(32 bits)) + object SRC_ADD_SUB extends Stageable(Bits(32 bits)) + object SRC_ADD extends Stageable(Bits(32 bits)) + object SRC_SUB extends Stageable(Bits(32 bits)) + object SRC_LESS extends Stageable(Bool) + object SRC_USE_SUB_LESS extends Stageable(Bool) + object SRC_LESS_UNSIGNED extends Stageable(Bool) + object SRC_ADD_ZERO extends Stageable(Bool) + + + object HAS_SIDE_EFFECT extends Stageable(Bool) + + //Formal verification purposes + object FORMAL_HALT extends Stageable(Bool) + object FORMAL_PC_NEXT extends Stageable(UInt(32 bits)) + object FORMAL_MEM_ADDR extends Stageable(UInt(32 bits)) + object FORMAL_MEM_RMASK extends Stageable(Bits(4 bits)) + object FORMAL_MEM_WMASK extends Stageable(Bits(4 bits)) + object FORMAL_MEM_RDATA extends Stageable(Bits(32 bits)) + object FORMAL_MEM_WDATA extends Stageable(Bits(32 bits)) + object FORMAL_INSTRUCTION extends Stageable(Bits(32 bits)) + + + object Src1CtrlEnum extends SpinalEnum(binarySequential){ + val RS, IMU, PC_INCREMENT, URS1 = newElement() //IMU, IMZ IMJB + } + + object Src2CtrlEnum extends SpinalEnum(binarySequential){ + val RS, IMI, IMS, PC = newElement() //TODO remplacing ZERO could avoid 32 muxes if SRC_ADD can be disabled + } + object SRC1_CTRL extends Stageable(Src1CtrlEnum()) + object SRC2_CTRL extends Stageable(Src2CtrlEnum()) + + def getRegressionArgs() : Seq[String] = { + val str = ArrayBuffer[String]() + plugins.foreach{ + case e : VexRiscvRegressionArg => str ++= e.getVexRiscvRegressionArgs() + case _ => + } + str + } +} + + + + +class VexRiscv(val config : VexRiscvConfig) extends Component with Pipeline{ + type T = VexRiscv + import config._ + + //Define stages + def newStage(): Stage = { val s = new Stage; stages += s; s } + val decode = newStage() + val execute = newStage() + val memory = ifGen(config.withMemoryStage) (newStage()) + val writeBack = ifGen(config.withWriteBackStage) (newStage()) + + def stagesFromExecute = stages.dropWhile(_ != execute) + + plugins ++= config.plugins + + //regression usage + val lastStageInstruction = CombInit(stages.last.input(config.INSTRUCTION)).dontSimplifyIt().addAttribute (Verilator.public) + val lastStagePc = CombInit(stages.last.input(config.PC)).dontSimplifyIt().addAttribute(Verilator.public) + val lastStageIsValid = CombInit(stages.last.arbitration.isValid).dontSimplifyIt().addAttribute(Verilator.public) + val lastStageIsFiring = CombInit(stages.last.arbitration.isFiring).dontSimplifyIt().addAttribute(Verilator.public) + + //Verilator perf + decode.arbitration.removeIt.noBackendCombMerge + if(withMemoryStage){ + memory.arbitration.removeIt.noBackendCombMerge + } + execute.arbitration.flushNext.noBackendCombMerge +} + + diff --git a/VexRiscv/src/main/scala/vexriscv/VexRiscvBmbGenerator.scala b/VexRiscv/src/main/scala/vexriscv/VexRiscvBmbGenerator.scala new file mode 100644 index 0000000..9b08f68 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/VexRiscvBmbGenerator.scala @@ -0,0 +1,179 @@ +package vexriscv + +import spinal.core._ +import spinal.lib.bus.bmb.{Bmb, BmbAccessCapabilities, BmbAccessParameter, BmbImplicitDebugDecoder, BmbInterconnectGenerator, BmbInvalidationParameter, BmbParameter} +import spinal.lib.bus.misc.AddressMapping +import spinal.lib.com.jtag.{Jtag, JtagTapInstructionCtrl} +import spinal.lib.generator._ +import spinal.lib.{sexport, slave} +import vexriscv.plugin._ +import spinal.core.fiber._ + +object VexRiscvBmbGenerator{ + val DEBUG_NONE = 0 + val DEBUG_JTAG = 1 + val DEBUG_JTAG_CTRL = 2 + val DEBUG_BUS = 3 + val DEBUG_BMB = 4 +} + +case class VexRiscvBmbGenerator()(implicit interconnectSmp: BmbInterconnectGenerator = null) extends Area { + import VexRiscvBmbGenerator._ + + val config = Handle[VexRiscvConfig] + val withDebug = Handle[Int] + val debugClockDomain = Handle[ClockDomain] + val debugReset = Handle[Bool] + val debugAskReset = Handle[() => Unit] + val hardwareBreakpointCount = Handle.sync(0) + + val iBus, dBus = Handle[Bmb] + + val externalInterrupt = Handle[Bool] + val externalSupervisorInterrupt = Handle[Bool] + val timerInterrupt = Handle[Bool] + val softwareInterrupt = Handle[Bool] + + def setTimerInterrupt(that: Handle[Bool]) = Dependable(that, timerInterrupt){timerInterrupt := that} + def setSoftwareInterrupt(that: Handle[Bool]) = Dependable(that, softwareInterrupt){softwareInterrupt := that} + + + def disableDebug() = { + withDebug.load(DEBUG_NONE) + } + + def enableJtag(debugCd : ClockDomainResetGenerator, resetCd : ClockDomainResetGenerator) : Unit = debugCd.rework{ + this.debugClockDomain.load(debugCd.outputClockDomain) + val resetBridge = resetCd.asyncReset(debugReset, ResetSensitivity.HIGH) + debugAskReset.loadNothing() + withDebug.load(DEBUG_JTAG) + } + + def enableJtagInstructionCtrl(debugCd : ClockDomainResetGenerator, resetCd : ClockDomainResetGenerator) : Unit = debugCd.rework{ + this.debugClockDomain.load(debugCd.outputClockDomain) + val resetBridge = resetCd.asyncReset(debugReset, ResetSensitivity.HIGH) + debugAskReset.loadNothing() + withDebug.load(DEBUG_JTAG_CTRL) + } + + def enableDebugBus(debugCd : ClockDomainResetGenerator, resetCd : ClockDomainResetGenerator) : Unit = debugCd.rework{ + this.debugClockDomain.load(debugCd.outputClockDomain) + val resetBridge = resetCd.asyncReset(debugReset, ResetSensitivity.HIGH) + debugAskReset.loadNothing() + withDebug.load(DEBUG_BUS) + } + + val debugBmbAccessSource = Handle[BmbAccessCapabilities] + val debugBmbAccessRequirements = Handle[BmbAccessParameter] + def enableDebugBmb(debugCd : Handle[ClockDomain], resetCd : ClockDomainResetGenerator, mapping : AddressMapping)(implicit debugMaster : BmbImplicitDebugDecoder = null) : Unit = debugCd.on{ + this.debugClockDomain.load(debugCd) + val resetBridge = resetCd.asyncReset(debugReset, ResetSensitivity.HIGH) + debugAskReset.loadNothing() + withDebug.load(DEBUG_BMB) + val slaveModel = debugCd on interconnectSmp.addSlave( + accessSource = debugBmbAccessSource, + accessCapabilities = debugBmbAccessSource.derivate(DebugExtensionBus.getBmbAccessParameter(_)), + accessRequirements = debugBmbAccessRequirements, + bus = debugBmb, + mapping = mapping + ) + debugBmb.derivatedFrom(debugBmbAccessRequirements)(Bmb(_)) + if(debugMaster != null) interconnectSmp.addConnection(debugMaster.bus, debugBmb) + } + + val jtag = Handle(withDebug.get == DEBUG_JTAG generate slave(Jtag())) + val jtagInstructionCtrl = withDebug.produce(withDebug.get == DEBUG_JTAG_CTRL generate JtagTapInstructionCtrl()) + val debugBus = withDebug.produce(withDebug.get == DEBUG_BUS generate DebugExtensionBus()) + val debugBmb = Handle[Bmb] + val jtagClockDomain = Handle[ClockDomain] + + val logic = Handle(new Area { + withDebug.get != DEBUG_NONE generate new Area { + config.add(new DebugPlugin(debugClockDomain, hardwareBreakpointCount)) + } + + val cpu = new VexRiscv(config) + def doExport(value : => Any, postfix : String) = { + sexport(Handle(value).setCompositeName(VexRiscvBmbGenerator.this, postfix)) + } + + doExport(cpu.plugins.exists(_.isInstanceOf[CfuPlugin]), "cfu") + doExport(cpu.plugins.exists(_.isInstanceOf[FpuPlugin]), "fpu") + for (plugin <- cpu.plugins) plugin match { + case plugin: IBusSimplePlugin => iBus.load(plugin.iBus.toBmb()) + case plugin: DBusSimplePlugin => dBus.load(plugin.dBus.toBmb()) + case plugin: IBusCachedPlugin => { + iBus.load(plugin.iBus.toBmb()) + doExport(plugin.config.wayCount, "icacheWays") + doExport(plugin.config.cacheSize, "icacheSize") + doExport(plugin.config.bytePerLine, "bytesPerLine") + } + case plugin: DBusCachedPlugin => { + dBus.load(plugin.dBus.toBmb()) + doExport(plugin.config.wayCount, "dcacheWays") + doExport(plugin.config.cacheSize, "dcacheSize") + doExport(plugin.config.bytePerLine, "bytesPerLine") + } + case plugin: MmuPlugin => { + doExport(true, "mmu") + } + case plugin: StaticMemoryTranslatorPlugin => { + doExport(false, "mmu") + } + case plugin: CsrPlugin => { + doExport(plugin.config.supervisorGen, "supervisor") + externalInterrupt load plugin.externalInterrupt + timerInterrupt load plugin.timerInterrupt + softwareInterrupt load plugin.softwareInterrupt + if (plugin.config.supervisorGen) externalSupervisorInterrupt load plugin.externalInterruptS + } + case plugin: DebugPlugin => plugin.debugClockDomain { + if(debugAskReset.get != null) when(RegNext(plugin.io.resetOut)) { + debugAskReset.get() + } else { + debugReset.load(RegNext(plugin.io.resetOut)) + } + + withDebug.get match { + case DEBUG_JTAG => jtag <> plugin.io.bus.fromJtag() + case DEBUG_JTAG_CTRL => jtagInstructionCtrl <> plugin.io.bus.fromJtagInstructionCtrl(jtagClockDomain, 0) + case DEBUG_BUS => debugBus <> plugin.io.bus + case DEBUG_BMB => debugBmb >> plugin.io.bus.fromBmb() + } + } + case _ => + } + }) + + + logic.soon(debugReset) + + val parameterGenerator = new Generator { + val iBusParameter, dBusParameter = product[BmbParameter] + dependencies += config + + add task { + for (plugin <- config.plugins) plugin match { + case plugin: IBusSimplePlugin => iBusParameter.load(IBusSimpleBus.getBmbParameter()) + case plugin: DBusSimplePlugin => dBusParameter.load(DBusSimpleBus.getBmbParameter()) + case plugin: IBusCachedPlugin => iBusParameter.load(plugin.config.getBmbParameter()) + case plugin: DBusCachedPlugin => dBusParameter.load(plugin.config.getBmbParameter()) + case _ => + } + } + } + + val invalidationSource = Handle[BmbInvalidationParameter] + val invalidationRequirements = Handle[BmbInvalidationParameter] + if(interconnectSmp != null){ + interconnectSmp.addMaster(accessRequirements = parameterGenerator.iBusParameter.derivate(_.access), bus = iBus) + interconnectSmp.addMaster( + accessRequirements = parameterGenerator.dBusParameter.derivate(_.access), + invalidationSource = invalidationSource, + invalidationCapabilities = invalidationSource, + invalidationRequirements = invalidationRequirements, + bus = dBus + ) + } + +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/Briey.scala b/VexRiscv/src/main/scala/vexriscv/demo/Briey.scala new file mode 100644 index 0000000..32e6d62 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/Briey.scala @@ -0,0 +1,490 @@ +package vexriscv.demo + + +import vexriscv.plugin._ +import vexriscv._ +import vexriscv.ip.{DataCacheConfig, InstructionCacheConfig} +import spinal.core._ +import spinal.lib._ +import spinal.lib.bus.amba3.apb._ +import spinal.lib.bus.amba4.axi._ +import spinal.lib.com.jtag.Jtag +import spinal.lib.com.jtag.sim.JtagTcp +import spinal.lib.com.uart.sim.{UartDecoder, UartEncoder} +import spinal.lib.com.uart.{Apb3UartCtrl, Uart, UartCtrlGenerics, UartCtrlMemoryMappedConfig} +import spinal.lib.graphic.RgbConfig +import spinal.lib.graphic.vga.{Axi4VgaCtrl, Axi4VgaCtrlGenerics, Vga} +import spinal.lib.io.TriStateArray +import spinal.lib.memory.sdram.SdramGeneration.SDR +import spinal.lib.memory.sdram._ +import spinal.lib.memory.sdram.sdr.sim.SdramModel +import spinal.lib.memory.sdram.sdr.{Axi4SharedSdramCtrl, IS42x320D, SdramInterface, SdramTimings} +import spinal.lib.misc.HexTools +import spinal.lib.soc.pinsec.{PinsecTimerCtrl, PinsecTimerCtrlExternal} +import spinal.lib.system.debugger.{JtagAxi4SharedDebugger, JtagBridge, SystemDebugger, SystemDebuggerConfig} + +import scala.collection.mutable.ArrayBuffer +import scala.collection.Seq + +case class BrieyConfig(axiFrequency : HertzNumber, + onChipRamSize : BigInt, + sdramLayout: SdramLayout, + sdramTimings: SdramTimings, + cpuPlugins : ArrayBuffer[Plugin[VexRiscv]], + uartCtrlConfig : UartCtrlMemoryMappedConfig) + +object BrieyConfig{ + + def default = { + val config = BrieyConfig( + axiFrequency = 50 MHz, + onChipRamSize = 4 kB, + sdramLayout = IS42x320D.layout, + sdramTimings = IS42x320D.timingGrade7, + uartCtrlConfig = UartCtrlMemoryMappedConfig( + uartCtrlConfig = UartCtrlGenerics( + dataWidthMax = 8, + clockDividerWidth = 20, + preSamplingSize = 1, + samplingSize = 5, + postSamplingSize = 2 + ), + txFifoDepth = 16, + rxFifoDepth = 16 + ), + cpuPlugins = ArrayBuffer( + new PcManagerSimplePlugin(0x80000000l, false), + // new IBusSimplePlugin( + // interfaceKeepData = false, + // catchAccessFault = true + // ), + new IBusCachedPlugin( + resetVector = 0x80000000l, + prediction = STATIC, + config = InstructionCacheConfig( + cacheSize = 4096, + bytePerLine =32, + wayCount = 1, + addressWidth = 32, + cpuDataWidth = 32, + memDataWidth = 32, + catchIllegalAccess = true, + catchAccessFault = true, + asyncTagMemory = false, + twoCycleRam = true, + twoCycleCache = true + ) + // askMemoryTranslation = true, + // memoryTranslatorPortConfig = MemoryTranslatorPortConfig( + // portTlbSize = 4 + // ) + ), + // new DBusSimplePlugin( + // catchAddressMisaligned = true, + // catchAccessFault = true + // ), + new DBusCachedPlugin( + config = new DataCacheConfig( + cacheSize = 4096, + bytePerLine = 32, + wayCount = 1, + addressWidth = 32, + cpuDataWidth = 32, + memDataWidth = 32, + catchAccessError = true, + catchIllegal = true, + catchUnaligned = true + ), + memoryTranslatorPortConfig = null + // memoryTranslatorPortConfig = MemoryTranslatorPortConfig( + // portTlbSize = 6 + // ) + ), + new StaticMemoryTranslatorPlugin( + ioRange = _(31 downto 28) === 0xF + ), + new DecoderSimplePlugin( + catchIllegalInstruction = true + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = true + ), + new FullBarrelShifterPlugin, + new MulPlugin, + new DivPlugin, + new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = true + ), + new CsrPlugin( + config = CsrPluginConfig( + catchIllegalAccess = false, + mvendorid = null, + marchid = null, + mimpid = null, + mhartid = null, + misaExtensionsInit = 66, + misaAccess = CsrAccess.NONE, + mtvecAccess = CsrAccess.NONE, + mtvecInit = 0x80000020l, + mepcAccess = CsrAccess.READ_WRITE, + mscratchGen = false, + mcauseAccess = CsrAccess.READ_ONLY, + mbadaddrAccess = CsrAccess.READ_ONLY, + mcycleAccess = CsrAccess.NONE, + minstretAccess = CsrAccess.NONE, + ecallGen = false, + wfiGenAsWait = false, + ucycleAccess = CsrAccess.NONE, + uinstretAccess = CsrAccess.NONE + ) + ), + new YamlPlugin("cpu0.yaml") + ) + ) + config + } +} + + + +class Briey(val config: BrieyConfig) extends Component{ + + //Legacy constructor + def this(axiFrequency: HertzNumber) { + this(BrieyConfig.default.copy(axiFrequency = axiFrequency)) + } + + import config._ + val debug = true + val interruptCount = 4 + def vgaRgbConfig = RgbConfig(5,6,5) + + val io = new Bundle{ + //Clocks / reset + val asyncReset = in Bool() + val axiClk = in Bool() + val vgaClk = in Bool() + + //Main components IO + val jtag = slave(Jtag()) + val sdram = master(SdramInterface(sdramLayout)) + + //Peripherals IO + val gpioA = master(TriStateArray(32 bits)) + val gpioB = master(TriStateArray(32 bits)) + val uart = master(Uart()) + val vga = master(Vga(vgaRgbConfig)) + val timerExternal = in(PinsecTimerCtrlExternal()) + val coreInterrupt = in Bool() + } + + val resetCtrlClockDomain = ClockDomain( + clock = io.axiClk, + config = ClockDomainConfig( + resetKind = BOOT + ) + ) + + val resetCtrl = new ClockingArea(resetCtrlClockDomain) { + val systemResetUnbuffered = False + // val coreResetUnbuffered = False + + //Implement an counter to keep the reset axiResetOrder high 64 cycles + // Also this counter will automaticly do a reset when the system boot. + val systemResetCounter = Reg(UInt(6 bits)) init(0) + when(systemResetCounter =/= U(systemResetCounter.range -> true)){ + systemResetCounter := systemResetCounter + 1 + systemResetUnbuffered := True + } + when(BufferCC(io.asyncReset)){ + systemResetCounter := 0 + } + + //Create all reset used later in the design + val systemReset = RegNext(systemResetUnbuffered) + val axiReset = RegNext(systemResetUnbuffered) + val vgaReset = BufferCC(axiReset) + } + + val axiClockDomain = ClockDomain( + clock = io.axiClk, + reset = resetCtrl.axiReset, + frequency = FixedFrequency(axiFrequency) //The frequency information is used by the SDRAM controller + ) + + val debugClockDomain = ClockDomain( + clock = io.axiClk, + reset = resetCtrl.systemReset, + frequency = FixedFrequency(axiFrequency) + ) + + val vgaClockDomain = ClockDomain( + clock = io.vgaClk, + reset = resetCtrl.vgaReset + ) + + val axi = new ClockingArea(axiClockDomain) { + val ram = Axi4SharedOnChipRam( + dataWidth = 32, + byteCount = onChipRamSize, + idWidth = 4 + ) + + val sdramCtrl = Axi4SharedSdramCtrl( + axiDataWidth = 32, + axiIdWidth = 4, + layout = sdramLayout, + timing = sdramTimings, + CAS = 3 + ) + + + val apbBridge = Axi4SharedToApb3Bridge( + addressWidth = 20, + dataWidth = 32, + idWidth = 4 + ) + + val gpioACtrl = Apb3Gpio( + gpioWidth = 32, + withReadSync = true + ) + val gpioBCtrl = Apb3Gpio( + gpioWidth = 32, + withReadSync = true + ) + val timerCtrl = PinsecTimerCtrl() + + + val uartCtrl = Apb3UartCtrl(uartCtrlConfig) + uartCtrl.io.apb.addAttribute(Verilator.public) + + + val vgaCtrlConfig = Axi4VgaCtrlGenerics( + axiAddressWidth = 32, + axiDataWidth = 32, + burstLength = 8, + frameSizeMax = 2048*1512*2, + fifoSize = 512, + rgbConfig = vgaRgbConfig, + vgaClock = vgaClockDomain + ) + val vgaCtrl = Axi4VgaCtrl(vgaCtrlConfig) + + + + val core = new Area{ + val config = VexRiscvConfig( + plugins = cpuPlugins += new DebugPlugin(debugClockDomain) + ) + + val cpu = new VexRiscv(config) + var iBus : Axi4ReadOnly = null + var dBus : Axi4Shared = null + for(plugin <- config.plugins) plugin match{ + case plugin : IBusSimplePlugin => iBus = plugin.iBus.toAxi4ReadOnly() + case plugin : IBusCachedPlugin => iBus = plugin.iBus.toAxi4ReadOnly() + case plugin : DBusSimplePlugin => dBus = plugin.dBus.toAxi4Shared() + case plugin : DBusCachedPlugin => dBus = plugin.dBus.toAxi4Shared(true) + case plugin : CsrPlugin => { + plugin.externalInterrupt := BufferCC(io.coreInterrupt) + plugin.timerInterrupt := timerCtrl.io.interrupt + } + case plugin : DebugPlugin => debugClockDomain{ + resetCtrl.axiReset setWhen(RegNext(plugin.io.resetOut)) + io.jtag <> plugin.io.bus.fromJtag() + } + case _ => + } + } + + + val axiCrossbar = Axi4CrossbarFactory() + + axiCrossbar.addSlaves( + ram.io.axi -> (0x80000000L, onChipRamSize), + sdramCtrl.io.axi -> (0x40000000L, sdramLayout.capacity), + apbBridge.io.axi -> (0xF0000000L, 1 MB) + ) + + axiCrossbar.addConnections( + core.iBus -> List(ram.io.axi, sdramCtrl.io.axi), + core.dBus -> List(ram.io.axi, sdramCtrl.io.axi, apbBridge.io.axi), + vgaCtrl.io.axi -> List( sdramCtrl.io.axi) + ) + + + axiCrossbar.addPipelining(apbBridge.io.axi)((crossbar,bridge) => { + crossbar.sharedCmd.halfPipe() >> bridge.sharedCmd + crossbar.writeData.halfPipe() >> bridge.writeData + crossbar.writeRsp << bridge.writeRsp + crossbar.readRsp << bridge.readRsp + }) + + axiCrossbar.addPipelining(sdramCtrl.io.axi)((crossbar,ctrl) => { + crossbar.sharedCmd.halfPipe() >> ctrl.sharedCmd + crossbar.writeData >/-> ctrl.writeData + crossbar.writeRsp << ctrl.writeRsp + crossbar.readRsp << ctrl.readRsp + }) + + axiCrossbar.addPipelining(ram.io.axi)((crossbar,ctrl) => { + crossbar.sharedCmd.halfPipe() >> ctrl.sharedCmd + crossbar.writeData >/-> ctrl.writeData + crossbar.writeRsp << ctrl.writeRsp + crossbar.readRsp << ctrl.readRsp + }) + + axiCrossbar.addPipelining(vgaCtrl.io.axi)((ctrl,crossbar) => { + ctrl.readCmd.halfPipe() >> crossbar.readCmd + ctrl.readRsp << crossbar.readRsp + }) + + axiCrossbar.addPipelining(core.dBus)((cpu,crossbar) => { + cpu.sharedCmd >> crossbar.sharedCmd + cpu.writeData >> crossbar.writeData + cpu.writeRsp << crossbar.writeRsp + cpu.readRsp <-< crossbar.readRsp //Data cache directly use read responses without buffering, so pipeline it for FMax + }) + + axiCrossbar.build() + + + val apbDecoder = Apb3Decoder( + master = apbBridge.io.apb, + slaves = List( + gpioACtrl.io.apb -> (0x00000, 4 kB), + gpioBCtrl.io.apb -> (0x01000, 4 kB), + uartCtrl.io.apb -> (0x10000, 4 kB), + timerCtrl.io.apb -> (0x20000, 4 kB), + vgaCtrl.io.apb -> (0x30000, 4 kB) + ) + ) + } + + io.gpioA <> axi.gpioACtrl.io.gpio + io.gpioB <> axi.gpioBCtrl.io.gpio + io.timerExternal <> axi.timerCtrl.io.external + io.uart <> axi.uartCtrl.io.uart + io.sdram <> axi.sdramCtrl.io.sdram + io.vga <> axi.vgaCtrl.io.vga +} + +//DE1-SoC +object Briey{ + def main(args: Array[String]) { + val config = SpinalConfig() + config.generateVerilog({ + val toplevel = new Briey(BrieyConfig.default) + toplevel.axi.vgaCtrl.vga.ctrl.io.error.addAttribute(Verilator.public) + toplevel.axi.vgaCtrl.vga.ctrl.io.frameStart.addAttribute(Verilator.public) + toplevel + }) + } +} + +//DE1-SoC with memory init +object BrieyWithMemoryInit{ + def main(args: Array[String]) { + val config = SpinalConfig() + config.generateVerilog({ + val toplevel = new Briey(BrieyConfig.default) + toplevel.axi.vgaCtrl.vga.ctrl.io.error.addAttribute(Verilator.public) + toplevel.axi.vgaCtrl.vga.ctrl.io.frameStart.addAttribute(Verilator.public) + HexTools.initRam(toplevel.axi.ram.ram, "src/main/ressource/hex/muraxDemo.hex", 0x80000000l) + toplevel + }) + } +} + + +//DE0-Nano +object BrieyDe0Nano{ + def main(args: Array[String]) { + object IS42x160G { + def layout = SdramLayout( + generation = SDR, + bankWidth = 2, + columnWidth = 9, + rowWidth = 13, + dataWidth = 16 + ) + + def timingGrade7 = SdramTimings( + bootRefreshCount = 8, + tPOW = 100 us, + tREF = 64 ms, + tRC = 60 ns, + tRFC = 60 ns, + tRAS = 37 ns, + tRP = 15 ns, + tRCD = 15 ns, + cMRD = 2, + tWR = 10 ns, + cWR = 1 + ) + } + val config = SpinalConfig() + config.generateVerilog({ + val toplevel = new Briey(BrieyConfig.default.copy(sdramLayout = IS42x160G.layout)) + toplevel + }) + } +} + + + +import spinal.core.sim._ +object BrieySim { + def main(args: Array[String]): Unit = { + val simSlowDown = false + SimConfig.allOptimisation.compile(new Briey(BrieyConfig.default)).doSimUntilVoid{dut => + val mainClkPeriod = (1e12/dut.config.axiFrequency.toDouble).toLong + val jtagClkPeriod = mainClkPeriod*4 + val uartBaudRate = 115200 + val uartBaudPeriod = (1e12/uartBaudRate).toLong + + val clockDomain = ClockDomain(dut.io.axiClk, dut.io.asyncReset) + clockDomain.forkStimulus(mainClkPeriod) + + val tcpJtag = JtagTcp( + jtag = dut.io.jtag, + jtagClkPeriod = jtagClkPeriod + ) + + val uartTx = UartDecoder( + uartPin = dut.io.uart.txd, + baudPeriod = uartBaudPeriod + ) + + val uartRx = UartEncoder( + uartPin = dut.io.uart.rxd, + baudPeriod = uartBaudPeriod + ) + + val sdram = SdramModel( + dut.io.sdram, + dut.config.sdramLayout, + clockDomain + ) + + dut.io.coreInterrupt #= false + } + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/CustomCsrDemoPlugin.scala b/VexRiscv/src/main/scala/vexriscv/demo/CustomCsrDemoPlugin.scala new file mode 100644 index 0000000..a763c83 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/CustomCsrDemoPlugin.scala @@ -0,0 +1,63 @@ +package vexriscv.demo + +import spinal.core._ +import spinal.lib.io.TriStateArray +import spinal.lib.{Flow, master} +import vexriscv.plugin.{CsrInterface, Plugin} +import vexriscv.{DecoderService, Stageable, VexRiscv} + + + +class CustomCsrDemoPlugin extends Plugin[VexRiscv]{ + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + + pipeline plug new Area{ + val instructionCounter = Reg(UInt(32 bits)) + val cycleCounter = Reg(UInt(32 bits)) + + cycleCounter := cycleCounter + 1 + when(writeBack.arbitration.isFiring) { + instructionCounter := instructionCounter + 1 + } + + val csrService = pipeline.service(classOf[CsrInterface]) + csrService.rw(0xB04, instructionCounter) + csrService.r(0xB05, cycleCounter) + csrService.onWrite(0xB06){ + instructionCounter := 0 + } + csrService.onRead(0xB07){ + instructionCounter := 0x40000000 + } + } + } +} + + +class CustomCsrDemoGpioPlugin extends Plugin[VexRiscv]{ + var gpio : TriStateArray = null + + + override def setup(pipeline: VexRiscv): Unit = { + gpio = master(TriStateArray(32 bits)).setName("gpio") + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + + pipeline plug new Area{ + val writeReg, writeEnableReg = Reg(Bits(32 bits)) + + val csrService = pipeline.service(classOf[CsrInterface]) + csrService.rw(0xB08, writeReg) + csrService.rw(0xB09, writeEnableReg) + csrService.r(0xB0A, gpio.read) + + gpio.writeEnable := writeEnableReg + gpio.write := writeReg + } + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/CustomInstruction.scala b/VexRiscv/src/main/scala/vexriscv/demo/CustomInstruction.scala new file mode 100644 index 0000000..dc35997 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/CustomInstruction.scala @@ -0,0 +1,75 @@ +package vexriscv.demo + +import spinal.core._ +import vexriscv.plugin.Plugin +import vexriscv.{Stageable, DecoderService, VexRiscv} + +//This plugin example will add a new instruction named SIMD_ADD which do the following : +// +//RD : Regfile Destination, RS : Regfile Source +//RD( 7 downto 0) = RS1( 7 downto 0) + RS2( 7 downto 0) +//RD(16 downto 8) = RS1(16 downto 8) + RS2(16 downto 8) +//RD(23 downto 16) = RS1(23 downto 16) + RS2(23 downto 16) +//RD(31 downto 24) = RS1(31 downto 24) + RS2(31 downto 24) +// +//Instruction encoding : +//0000011----------000-----0110011 +// |RS2||RS1| |RD | +// +//Note : RS1, RS2, RD positions follow the RISC-V spec and are common for all instruction of the ISA + +class SimdAddPlugin extends Plugin[VexRiscv]{ + //Define the concept of IS_SIMD_ADD signals, which specify if the current instruction is destined for ths plugin + object IS_SIMD_ADD extends Stageable(Bool) + + //Callback to setup the plugin and ask for different services + override def setup(pipeline: VexRiscv): Unit = { + import pipeline.config._ + + //Retrieve the DecoderService instance + val decoderService = pipeline.service(classOf[DecoderService]) + + //Specify the IS_SIMD_ADD default value when instruction are decoded + decoderService.addDefault(IS_SIMD_ADD, False) + + //Specify the instruction decoding which should be applied when the instruction match the 'key' parttern + decoderService.add( + //Bit pattern of the new SIMD_ADD instruction + key = M"0000011----------000-----0110011", + + //Decoding specification when the 'key' pattern is recognized in the instruction + List( + IS_SIMD_ADD -> True, + REGFILE_WRITE_VALID -> True, //Enable the register file write + BYPASSABLE_EXECUTE_STAGE -> True, //Notify the hazard management unit that the instruction result is already accessible in the EXECUTE stage (Bypass ready) + BYPASSABLE_MEMORY_STAGE -> True, //Same as above but for the memory stage + RS1_USE -> True, //Notify the hazard management unit that this instruction use the RS1 value + RS2_USE -> True //Same than above but for RS2. + ) + ) + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + + //Add a new scope on the execute stage (used to give a name to signals) + execute plug new Area { + //Define some signals used internally to the plugin + val rs1 = execute.input(RS1).asUInt //32 bits UInt value of the regfile[RS1] + val rs2 = execute.input(RS2).asUInt + val rd = UInt(32 bits) + + //Do some computation + rd(7 downto 0) := rs1(7 downto 0) + rs2(7 downto 0) + rd(16 downto 8) := rs1(16 downto 8) + rs2(16 downto 8) + rd(23 downto 16) := rs1(23 downto 16) + rs2(23 downto 16) + rd(31 downto 24) := rs1(31 downto 24) + rs2(31 downto 24) + + //When the instruction is a SIMD_ADD one, then write the result into the register file data path. + when(execute.input(IS_SIMD_ADD)) { + execute.output(REGFILE_WRITE_DATA) := rd.asBits + } + } + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/FormalSimple.scala b/VexRiscv/src/main/scala/vexriscv/demo/FormalSimple.scala new file mode 100644 index 0000000..9a4167e --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/FormalSimple.scala @@ -0,0 +1,65 @@ +package vexriscv.demo + +import vexriscv.plugin._ +import vexriscv.{plugin, VexRiscv, VexRiscvConfig} +import spinal.core._ + +/** + * Created by spinalvm on 15.06.17. + */ +object FormalSimple extends App{ + def cpu() = new VexRiscv( + config = VexRiscvConfig( + plugins = List( + new FormalPlugin, + new HaltOnExceptionPlugin, + new IBusSimplePlugin( + resetVector = 0x00000000l, + cmdForkOnSecondStage = false, + cmdForkPersistence = false, + prediction = DYNAMIC_TARGET, + catchAccessFault = false, + compressedGen = true + ), + new DBusSimplePlugin( + catchAddressMisaligned = true, + catchAccessFault = false + ), + new DecoderSimplePlugin( + catchIllegalInstruction = true, + forceLegalInstructionComputation = true + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = false + ), + new FullBarrelShifterPlugin, + new HazardSimplePlugin( + bypassExecute = false, + bypassMemory = false, + bypassWriteBack = false, + bypassWriteBackBuffer = false, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = true + ), + new YamlPlugin("cpu0.yaml") + ) + ) + ) + SpinalConfig( + defaultConfigForClockDomains = ClockDomainConfig( + resetKind = spinal.core.SYNC, + resetActiveLevel = spinal.core.HIGH + ) + ).generateVerilog(cpu()) +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/GenCustomCsr.scala b/VexRiscv/src/main/scala/vexriscv/demo/GenCustomCsr.scala new file mode 100644 index 0000000..11db86d --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/GenCustomCsr.scala @@ -0,0 +1,62 @@ +package vexriscv.demo + +import spinal.core._ +import vexriscv.plugin._ +import vexriscv.{VexRiscv, VexRiscvConfig, plugin} + +/** + * Created by spinalvm on 15.06.17. + */ + +//make clean run DBUS=SIMPLE IBUS=SIMPLE CSR=no MMU=no DEBUG_PLUGIN=no MUL=no DIV=no CUSTOM_CSR=yes +object GenCustomCsr extends App{ + def cpu() = new VexRiscv( + config = VexRiscvConfig( + plugins = List( + new CustomCsrDemoPlugin, + new CsrPlugin(CsrPluginConfig.small), + new CustomCsrDemoGpioPlugin, + new IBusSimplePlugin( + resetVector = 0x00000000l, + cmdForkOnSecondStage = false, + cmdForkPersistence = false, + prediction = NONE, + catchAccessFault = false, + compressedGen = false + ), + new DBusSimplePlugin( + catchAddressMisaligned = false, + catchAccessFault = false + ), + new DecoderSimplePlugin( + catchIllegalInstruction = false + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = false + ), + new FullBarrelShifterPlugin, + new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = false + ), + new YamlPlugin("cpu0.yaml") + ) + ) + ) + SpinalVerilog(cpu()) +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/GenCustomInterrupt.scala b/VexRiscv/src/main/scala/vexriscv/demo/GenCustomInterrupt.scala new file mode 100644 index 0000000..d0d9e48 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/GenCustomInterrupt.scala @@ -0,0 +1,72 @@ +package vexriscv.demo + +import spinal.core._ +import vexriscv.plugin._ +import vexriscv.{VexRiscv, VexRiscvConfig, plugin} + +/** + * Created by spinalvm on 15.06.17. + */ +object GenCustomInterrupt extends App{ + def cpu() = new VexRiscv( + config = VexRiscvConfig( + plugins = List( + new UserInterruptPlugin( + interruptName = "miaou", + code = 20 + ), + new UserInterruptPlugin( + interruptName = "rawrrr", + code = 24 + ), + new CsrPlugin( + CsrPluginConfig.smallest.copy( + xtvecModeGen = true, + mtvecAccess = CsrAccess.WRITE_ONLY + ) + ), + new IBusSimplePlugin( + resetVector = 0x80000000l, + cmdForkOnSecondStage = false, + cmdForkPersistence = false, + prediction = NONE, + catchAccessFault = false, + compressedGen = false + ), + new DBusSimplePlugin( + catchAddressMisaligned = false, + catchAccessFault = false + ), + new DecoderSimplePlugin( + catchIllegalInstruction = false + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = true + ), + new LightShifterPlugin, + new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = false + ), + new YamlPlugin("cpu0.yaml") + ) + ) + ) + + SpinalVerilog(cpu()) +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/GenCustomSimdAdd.scala b/VexRiscv/src/main/scala/vexriscv/demo/GenCustomSimdAdd.scala new file mode 100644 index 0000000..8d9d6be --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/GenCustomSimdAdd.scala @@ -0,0 +1,58 @@ +package vexriscv.demo + +import spinal.core._ +import vexriscv.plugin._ +import vexriscv.{VexRiscv, VexRiscvConfig, plugin} + +/** + * Created by spinalvm on 15.06.17. + */ +object GenCustomSimdAdd extends App{ + def cpu() = new VexRiscv( + config = VexRiscvConfig( + plugins = List( + new SimdAddPlugin, + new IBusSimplePlugin( + resetVector = 0x80000000l, + cmdForkOnSecondStage = false, + cmdForkPersistence = false, + prediction = NONE, + catchAccessFault = false, + compressedGen = false + ), + new DBusSimplePlugin( + catchAddressMisaligned = false, + catchAccessFault = false + ), + new DecoderSimplePlugin( + catchIllegalInstruction = false + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = false + ), + new FullBarrelShifterPlugin, + new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = false + ), + new YamlPlugin("cpu0.yaml") + ) + ) + ) + SpinalVerilog(cpu()) +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/GenDeterministicVex.scala b/VexRiscv/src/main/scala/vexriscv/demo/GenDeterministicVex.scala new file mode 100644 index 0000000..943ba16 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/GenDeterministicVex.scala @@ -0,0 +1,66 @@ +package vexriscv.demo + +import spinal.core._ +import vexriscv.plugin._ +import vexriscv.{VexRiscv, VexRiscvConfig, plugin} + +/** + * Created by spinalvm on 15.06.17. + */ +object GenDeterministicVex extends App{ + def cpu() = new VexRiscv( + config = VexRiscvConfig( + plugins = List( + new IBusSimplePlugin( + resetVector = 0x80000000l, + cmdForkOnSecondStage = false, + cmdForkPersistence = false, + prediction = STATIC, + catchAccessFault = true, + compressedGen = false + ), + new DBusSimplePlugin( + catchAddressMisaligned = true, + catchAccessFault = true, + earlyInjection = false + ), + new StaticMemoryTranslatorPlugin( + ioRange = _(31 downto 28) === 0xF + ), + new DecoderSimplePlugin( + catchIllegalInstruction = true + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = true + ), + new FullBarrelShifterPlugin(earlyInjection = true), + new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new MulPlugin, + new DivPlugin, + new CsrPlugin(CsrPluginConfig.small), + new DebugPlugin(ClockDomain.current.clone(reset = Bool().setName("debugReset"))), + new BranchPlugin( + earlyBranch = true, + catchAddressMisaligned = true + ), + new YamlPlugin("cpu0.yaml") + ) + ) + ) + + SpinalVerilog(cpu()) +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/GenFull.scala b/VexRiscv/src/main/scala/vexriscv/demo/GenFull.scala new file mode 100644 index 0000000..eb1dba3 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/GenFull.scala @@ -0,0 +1,92 @@ +package vexriscv.demo + +import vexriscv.plugin._ +import vexriscv.ip.{DataCacheConfig, InstructionCacheConfig} +import vexriscv.{plugin, VexRiscv, VexRiscvConfig} +import spinal.core._ + +/** + * Created by spinalvm on 15.06.17. + */ +object GenFull extends App{ + def config = VexRiscvConfig( + plugins = List( + new IBusCachedPlugin( + prediction = DYNAMIC, + config = InstructionCacheConfig( + cacheSize = 4096, + bytePerLine =32, + wayCount = 1, + addressWidth = 32, + cpuDataWidth = 32, + memDataWidth = 32, + catchIllegalAccess = true, + catchAccessFault = true, + asyncTagMemory = false, + twoCycleRam = true, + twoCycleCache = true + ), + memoryTranslatorPortConfig = MmuPortConfig( + portTlbSize = 4 + ) + ), + new DBusCachedPlugin( + config = new DataCacheConfig( + cacheSize = 4096, + bytePerLine = 32, + wayCount = 1, + addressWidth = 32, + cpuDataWidth = 32, + memDataWidth = 32, + catchAccessError = true, + catchIllegal = true, + catchUnaligned = true + ), + memoryTranslatorPortConfig = MmuPortConfig( + portTlbSize = 6 + ) + ), + new MmuPlugin( + virtualRange = _(31 downto 28) === 0xC, + ioRange = _(31 downto 28) === 0xF + ), + new DecoderSimplePlugin( + catchIllegalInstruction = true + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = true + ), + new FullBarrelShifterPlugin, + new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new MulPlugin, + new DivPlugin, + new CsrPlugin(CsrPluginConfig.small(0x80000020l)), + new DebugPlugin(ClockDomain.current.clone(reset = Bool().setName("debugReset"))), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = true + ), + new YamlPlugin("cpu0.yaml") + ) + ) + + def cpu() = new VexRiscv( + config + ) + + SpinalVerilog(cpu()) +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/GenFullNoMmu.scala b/VexRiscv/src/main/scala/vexriscv/demo/GenFullNoMmu.scala new file mode 100644 index 0000000..00ba8c9 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/GenFullNoMmu.scala @@ -0,0 +1,87 @@ +package vexriscv.demo + +import vexriscv.plugin._ +import vexriscv.ip.{DataCacheConfig, InstructionCacheConfig} +import vexriscv.{plugin, VexRiscv, VexRiscvConfig} +import spinal.core._ + +/** + * Created by spinalvm on 15.06.17. + */ +object GenFullNoMmu extends App{ + def cpu() = new VexRiscv( + config = VexRiscvConfig( + plugins = List( + new PcManagerSimplePlugin( + resetVector = 0x80000000l, + relaxedPcCalculation = false + ), + new IBusCachedPlugin( + prediction = STATIC, + config = InstructionCacheConfig( + cacheSize = 4096, + bytePerLine =32, + wayCount = 1, + addressWidth = 32, + cpuDataWidth = 32, + memDataWidth = 32, + catchIllegalAccess = true, + catchAccessFault = true, + asyncTagMemory = false, + twoCycleRam = true, + twoCycleCache = true + ) + ), + new DBusCachedPlugin( + config = new DataCacheConfig( + cacheSize = 4096, + bytePerLine = 32, + wayCount = 1, + addressWidth = 32, + cpuDataWidth = 32, + memDataWidth = 32, + catchAccessError = true, + catchIllegal = true, + catchUnaligned = true + ) + ), + new StaticMemoryTranslatorPlugin( + ioRange = _(31 downto 28) === 0xF + ), + new DecoderSimplePlugin( + catchIllegalInstruction = true + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = true + ), + new FullBarrelShifterPlugin, + new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new MulPlugin, + new DivPlugin, + new CsrPlugin(CsrPluginConfig.small), + new DebugPlugin(ClockDomain.current.clone(reset = Bool().setName("debugReset"))), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = true + ), + new YamlPlugin("cpu0.yaml") + ) + ) + ) + + SpinalVerilog(cpu()) +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/GenFullNoMmuMaxPerf.scala b/VexRiscv/src/main/scala/vexriscv/demo/GenFullNoMmuMaxPerf.scala new file mode 100644 index 0000000..6c892f0 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/GenFullNoMmuMaxPerf.scala @@ -0,0 +1,88 @@ +package vexriscv.demo + +import spinal.core._ +import vexriscv.ip.{DataCacheConfig, InstructionCacheConfig} +import vexriscv.plugin._ +import vexriscv.{VexRiscv, VexRiscvConfig, plugin} + +/** + * Created by spinalvm on 15.06.17. + */ +object GenFullNoMmuMaxPerf extends App{ + def cpu() = new VexRiscv( + config = VexRiscvConfig( + plugins = List( + new PcManagerSimplePlugin( + resetVector = 0x80000000l, + relaxedPcCalculation = false + ), + new IBusCachedPlugin( + prediction = DYNAMIC_TARGET, + historyRamSizeLog2 = 8, + config = InstructionCacheConfig( + cacheSize = 4096*2, + bytePerLine =32, + wayCount = 1, + addressWidth = 32, + cpuDataWidth = 32, + memDataWidth = 32, + catchIllegalAccess = true, + catchAccessFault = true, + asyncTagMemory = false, + twoCycleRam = false, + twoCycleCache = true + ) + ), + new DBusCachedPlugin( + config = new DataCacheConfig( + cacheSize = 4096*2, + bytePerLine = 32, + wayCount = 1, + addressWidth = 32, + cpuDataWidth = 32, + memDataWidth = 32, + catchAccessError = true, + catchIllegal = true, + catchUnaligned = true + ) + ), + new StaticMemoryTranslatorPlugin( + ioRange = _(31 downto 28) === 0xF + ), + new DecoderSimplePlugin( + catchIllegalInstruction = true + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = true + ), + new FullBarrelShifterPlugin(earlyInjection = true), + new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new MulPlugin, + new DivPlugin, + new CsrPlugin(CsrPluginConfig.small), + new DebugPlugin(ClockDomain.current.clone(reset = Bool().setName("debugReset"))), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = true + ), + new YamlPlugin("cpu0.yaml") + ) + ) + ) + + SpinalVerilog(cpu()) +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/GenFullNoMmuNoCache.scala b/VexRiscv/src/main/scala/vexriscv/demo/GenFullNoMmuNoCache.scala new file mode 100644 index 0000000..77ed87a --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/GenFullNoMmuNoCache.scala @@ -0,0 +1,63 @@ +package vexriscv.demo + +import vexriscv.plugin._ +import vexriscv.ip.{DataCacheConfig, InstructionCacheConfig} +import vexriscv.{plugin, VexRiscv, VexRiscvConfig} +import spinal.core._ + +/** + * Created by spinalvm on 15.06.17. + */ +object GenFullNoMmuNoCache extends App{ + def cpu() = new VexRiscv( + config = VexRiscvConfig( + plugins = List( + new IBusSimplePlugin( + resetVector = 0x80000000l, + cmdForkOnSecondStage = false, + cmdForkPersistence = false, + prediction = STATIC, + catchAccessFault = false, + compressedGen = false + ), + new DBusSimplePlugin( + catchAddressMisaligned = false, + catchAccessFault = false + ), + new DecoderSimplePlugin( + catchIllegalInstruction = true + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = true + ), + new FullBarrelShifterPlugin, + new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new MulPlugin, + new DivPlugin, + new CsrPlugin(CsrPluginConfig.small), + new DebugPlugin(ClockDomain.current.clone(reset = Bool().setName("debugReset"))), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = true + ), + new YamlPlugin("cpu0.yaml") + ) + ) + ) + + SpinalVerilog(cpu()) +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/GenFullNoMmuNoCacheSimpleMul.scala b/VexRiscv/src/main/scala/vexriscv/demo/GenFullNoMmuNoCacheSimpleMul.scala new file mode 100644 index 0000000..f1e9874 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/GenFullNoMmuNoCacheSimpleMul.scala @@ -0,0 +1,63 @@ +package vexriscv.demo + +import vexriscv.plugin._ +import vexriscv.ip.{DataCacheConfig, InstructionCacheConfig} +import vexriscv.{plugin, VexRiscv, VexRiscvConfig} +import spinal.core._ + +/** + * Created by spinalvm on 15.06.17. + */ +object GenFullNoMmuNoCacheSimpleMul extends App{ + def cpu() = new VexRiscv( + config = VexRiscvConfig( + plugins = List( + new IBusSimplePlugin( + resetVector = 0x80000000l, + cmdForkOnSecondStage = false, + cmdForkPersistence = false, + prediction = STATIC, + catchAccessFault = false, + compressedGen = false + ), + new DBusSimplePlugin( + catchAddressMisaligned = false, + catchAccessFault = false + ), + new DecoderSimplePlugin( + catchIllegalInstruction = true + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = true + ), + new FullBarrelShifterPlugin, + new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new MulSimplePlugin, + new DivPlugin, + new CsrPlugin(CsrPluginConfig.small), + new DebugPlugin(ClockDomain.current.clone(reset = Bool().setName("debugReset"))), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = true + ), + new YamlPlugin("cpu0.yaml") + ) + ) + ) + + SpinalVerilog(cpu()) +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/GenMicroNoCsr.scala b/VexRiscv/src/main/scala/vexriscv/demo/GenMicroNoCsr.scala new file mode 100644 index 0000000..bcd1b77 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/GenMicroNoCsr.scala @@ -0,0 +1,61 @@ +package vexriscv.demo + +import vexriscv.plugin._ +import vexriscv.{plugin, VexRiscv, VexRiscvConfig} +import spinal.core._ + +/** + * Created by spinalvm on 15.06.17. + */ +object GenMicroNoCsr extends App{ + def cpu() = new VexRiscv( + config = VexRiscvConfig( + withMemoryStage = false, + withWriteBackStage = false, + plugins = List( + new IBusSimplePlugin( + resetVector = 0x80000000l, + cmdForkOnSecondStage = false, + cmdForkPersistence = false, + prediction = NONE, + catchAccessFault = false, + compressedGen = false + ), + new DBusSimplePlugin( + catchAddressMisaligned = false, + catchAccessFault = false, + earlyInjection = false + ), + new DecoderSimplePlugin( + catchIllegalInstruction = false + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false, + writeRfInMemoryStage = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = false + ), + new LightShifterPlugin, + new HazardSimplePlugin( + bypassExecute = false, + bypassMemory = false, + bypassWriteBack = false, + bypassWriteBackBuffer = false, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new BranchPlugin( + earlyBranch = true, + catchAddressMisaligned = false + ), + new YamlPlugin("cpu0.yaml") + ) + ) + ) + SpinalConfig(mergeAsyncProcess = false).generateVerilog(cpu()) +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/GenNoCacheNoMmuMaxPerf.scala b/VexRiscv/src/main/scala/vexriscv/demo/GenNoCacheNoMmuMaxPerf.scala new file mode 100644 index 0000000..9bca107 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/GenNoCacheNoMmuMaxPerf.scala @@ -0,0 +1,68 @@ +package vexriscv.demo + +import spinal.core._ +import vexriscv.ip.{DataCacheConfig, InstructionCacheConfig} +import vexriscv.plugin._ +import vexriscv.{VexRiscv, VexRiscvConfig, plugin} + +/** + * Created by spinalvm on 15.06.17. + */ +object GenNoCacheNoMmuMaxPerf extends App{ + def cpu() = new VexRiscv( + config = VexRiscvConfig( + plugins = List( + new IBusSimplePlugin( + resetVector = 0x80000000l, + cmdForkOnSecondStage = false, + cmdForkPersistence = false, + prediction = DYNAMIC_TARGET, + historyRamSizeLog2 = 8, + catchAccessFault = true, + compressedGen = false + ), + new DBusSimplePlugin( + catchAddressMisaligned = true, + catchAccessFault = true, + earlyInjection = false + ), + new StaticMemoryTranslatorPlugin( + ioRange = _(31 downto 28) === 0xF + ), + new DecoderSimplePlugin( + catchIllegalInstruction = true + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = true + ), + new FullBarrelShifterPlugin(earlyInjection = true), + new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new MulPlugin, + new MulDivIterativePlugin(genMul = false, genDiv = true, mulUnrollFactor = 1, divUnrollFactor = 1,dhrystoneOpt = false), + new CsrPlugin(CsrPluginConfig.small), + new DebugPlugin(ClockDomain.current.clone(reset = Bool().setName("debugReset"))), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = true + ), + new YamlPlugin("cpu0.yaml") + ) + ) + ) + + SpinalVerilog(cpu()) +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/GenSecure.scala b/VexRiscv/src/main/scala/vexriscv/demo/GenSecure.scala new file mode 100644 index 0000000..8b2cd55 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/GenSecure.scala @@ -0,0 +1,87 @@ +package vexriscv.demo + +import vexriscv.plugin._ +import vexriscv.ip.{DataCacheConfig, InstructionCacheConfig} +import vexriscv.{plugin, VexRiscv, VexRiscvConfig} +import spinal.core._ + +object GenSecure extends App { + def cpu() = new VexRiscv( + config = VexRiscvConfig( + plugins = List( + new IBusCachedPlugin( + resetVector = 0x80000000l, + prediction = STATIC, + config = InstructionCacheConfig( + cacheSize = 4096, + bytePerLine = 32, + wayCount = 1, + addressWidth = 32, + cpuDataWidth = 32, + memDataWidth = 32, + catchIllegalAccess = true, + catchAccessFault = true, + asyncTagMemory = false, + twoCycleRam = true, + twoCycleCache = true + ) + ), + new DBusCachedPlugin( + config = new DataCacheConfig( + cacheSize = 4096, + bytePerLine = 32, + wayCount = 1, + addressWidth = 32, + cpuDataWidth = 32, + memDataWidth = 32, + catchAccessError = true, + catchIllegal = true, + catchUnaligned = true + ) + ), + new PmpPlugin( + regions = 16, + granularity = 32, + ioRange = _(31 downto 28) === 0xf + ), + new DecoderSimplePlugin( + catchIllegalInstruction = true + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = true + ), + new FullBarrelShifterPlugin, + new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new MulDivIterativePlugin( + genMul = true, + genDiv = true, + mulUnrollFactor = 1, + divUnrollFactor = 1 + ), + new CsrPlugin(CsrPluginConfig.secure(0x00000020l)), + new DebugPlugin(ClockDomain.current.clone(reset = Bool().setName("debugReset"))), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = true + ), + new YamlPlugin("cpu0.yaml") + ) + ) + ) + + SpinalVerilog(cpu()) +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/GenSmallAndProductive.scala b/VexRiscv/src/main/scala/vexriscv/demo/GenSmallAndProductive.scala new file mode 100644 index 0000000..9bd6f72 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/GenSmallAndProductive.scala @@ -0,0 +1,59 @@ +package vexriscv.demo + +import vexriscv.plugin._ +import vexriscv.{plugin, VexRiscv, VexRiscvConfig} +import spinal.core._ + +/** + * Created by spinalvm on 15.06.17. + */ +object GenSmallAndProductive extends App{ + def cpu() = new VexRiscv( + config = VexRiscvConfig( + plugins = List( + new IBusSimplePlugin( + resetVector = 0x80000000l, + cmdForkOnSecondStage = false, + cmdForkPersistence = false, + prediction = NONE, + catchAccessFault = false, + compressedGen = false + ), + new DBusSimplePlugin( + catchAddressMisaligned = false, + catchAccessFault = false + ), + new CsrPlugin(CsrPluginConfig.smallest), + new DecoderSimplePlugin( + catchIllegalInstruction = false + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = true + ), + new LightShifterPlugin, + new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = false + ), + new YamlPlugin("cpu0.yaml") + ) + ) + ) + + SpinalVerilog(cpu()) +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/GenSmallAndProductiveCfu.scala b/VexRiscv/src/main/scala/vexriscv/demo/GenSmallAndProductiveCfu.scala new file mode 100644 index 0000000..d28e318 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/GenSmallAndProductiveCfu.scala @@ -0,0 +1,87 @@ +package vexriscv.demo + +import spinal.core._ +import vexriscv.plugin._ +import vexriscv.{VexRiscv, VexRiscvConfig, plugin} + +/** + * Created by spinalvm on 15.06.17. + */ +object GenSmallAndProductiveCfu extends App{ + def cpu() = new VexRiscv( + config = VexRiscvConfig( + plugins = List( + new IBusSimplePlugin( + resetVector = 0x80000000l, + cmdForkOnSecondStage = false, + cmdForkPersistence = false, + prediction = NONE, + catchAccessFault = false, + compressedGen = false + ), + new DBusSimplePlugin( + catchAddressMisaligned = false, + catchAccessFault = false + ), + new CsrPlugin(CsrPluginConfig.smallest), + new DecoderSimplePlugin( + catchIllegalInstruction = false + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = true + ), + new LightShifterPlugin, + new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = false + ), + new CfuPlugin( + stageCount = 1, + allowZeroLatency = true, + encodings = List( + CfuPluginEncoding ( + instruction = M"-------------------------0001011", + functionId = List(14 downto 12), + input2Kind = CfuPlugin.Input2Kind.RS + ) + ), + busParameter = CfuBusParameter( + CFU_VERSION = 0, + CFU_INTERFACE_ID_W = 0, + CFU_FUNCTION_ID_W = 3, + CFU_REORDER_ID_W = 0, + CFU_REQ_RESP_ID_W = 0, + CFU_INPUTS = 2, + CFU_INPUT_DATA_W = 32, + CFU_OUTPUTS = 1, + CFU_OUTPUT_DATA_W = 32, + CFU_FLOW_REQ_READY_ALWAYS = false, + CFU_FLOW_RESP_READY_ALWAYS = false, + CFU_WITH_STATUS = true, + CFU_RAW_INSN_W = 32, + CFU_CFU_ID_W = 4, + CFU_STATE_INDEX_NUM = 5 + ) + ), + new YamlPlugin("cpu0.yaml") + ) + ) + ) + + SpinalVerilog(cpu()) +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/GenSmallAndProductiveICache.scala b/VexRiscv/src/main/scala/vexriscv/demo/GenSmallAndProductiveICache.scala new file mode 100644 index 0000000..9cad30d --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/GenSmallAndProductiveICache.scala @@ -0,0 +1,71 @@ +package vexriscv.demo + +import vexriscv.plugin._ +import vexriscv.{VexRiscv, VexRiscvConfig, plugin} +import spinal.core._ +import vexriscv.ip.InstructionCacheConfig + +/** + * Created by spinalvm on 15.06.17. + */ +object GenSmallAndProductiveICache extends App{ + def cpu() = new VexRiscv( + config = VexRiscvConfig( + plugins = List( + new PcManagerSimplePlugin( + resetVector = 0x80000000l, + relaxedPcCalculation = false + ), + new IBusCachedPlugin( + config = InstructionCacheConfig( + cacheSize = 4096, + bytePerLine = 32, + wayCount = 1, + addressWidth = 32, + cpuDataWidth = 32, + memDataWidth = 32, + catchIllegalAccess = false, + catchAccessFault = false, + asyncTagMemory = false, + twoCycleRam = false, + twoCycleCache = true + ) + ), + new DBusSimplePlugin( + catchAddressMisaligned = false, + catchAccessFault = false + ), + new CsrPlugin(CsrPluginConfig.smallest), + new DecoderSimplePlugin( + catchIllegalInstruction = false + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = true + ), + new LightShifterPlugin, + new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = false + ), + new YamlPlugin("cpu0.yaml") + ) + ) + ) + + SpinalVerilog(cpu()) +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/GenSmallAndProductiveVfu.scala b/VexRiscv/src/main/scala/vexriscv/demo/GenSmallAndProductiveVfu.scala new file mode 100644 index 0000000..81ca61b --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/GenSmallAndProductiveVfu.scala @@ -0,0 +1,64 @@ +package vexriscv.demo + +import spinal.core._ +import vexriscv.plugin._ +import vexriscv.{VexRiscv, VexRiscvConfig, plugin} + +/** + * Created by spinalvm on 15.06.17. + */ +object GenSmallAndProductiveVfu extends App{ + def cpu() = new VexRiscv( + config = VexRiscvConfig( + plugins = List( + new IBusSimplePlugin( + resetVector = 0x80000000l, + cmdForkOnSecondStage = false, + cmdForkPersistence = false, + prediction = NONE, + catchAccessFault = false, + compressedGen = false + ), + new DBusSimplePlugin( + catchAddressMisaligned = false, + catchAccessFault = false + ), + new CsrPlugin(CsrPluginConfig.smallest), + new DecoderSimplePlugin( + catchIllegalInstruction = false + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = true + ), + new LightShifterPlugin, + new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = false + ), + new VfuPlugin( + stageCount = 2, + allowZeroLatency = false, + parameter = VfuParameter() + ), + new YamlPlugin("cpu0.yaml") + ) + ) + ) + + SpinalVerilog(cpu()) +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/GenSmallest.scala b/VexRiscv/src/main/scala/vexriscv/demo/GenSmallest.scala new file mode 100644 index 0000000..9813ccf --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/GenSmallest.scala @@ -0,0 +1,59 @@ +package vexriscv.demo + +import vexriscv.plugin._ +import vexriscv.{plugin, VexRiscv, VexRiscvConfig} +import spinal.core._ + +/** + * Created by spinalvm on 15.06.17. + */ +object GenSmallest extends App{ + def cpu() = new VexRiscv( + config = VexRiscvConfig( + plugins = List( + new IBusSimplePlugin( + resetVector = 0x80000000l, + cmdForkOnSecondStage = false, + cmdForkPersistence = false, + prediction = NONE, + catchAccessFault = false, + compressedGen = false + ), + new DBusSimplePlugin( + catchAddressMisaligned = false, + catchAccessFault = false + ), + new CsrPlugin(CsrPluginConfig.smallest), + new DecoderSimplePlugin( + catchIllegalInstruction = false + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = false + ), + new LightShifterPlugin, + new HazardSimplePlugin( + bypassExecute = false, + bypassMemory = false, + bypassWriteBack = false, + bypassWriteBackBuffer = false, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = false + ), + new YamlPlugin("cpu0.yaml") + ) + ) + ) + + SpinalVerilog(cpu()) +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/GenSmallestNoCsr.scala b/VexRiscv/src/main/scala/vexriscv/demo/GenSmallestNoCsr.scala new file mode 100644 index 0000000..cd1ee31 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/GenSmallestNoCsr.scala @@ -0,0 +1,64 @@ +package vexriscv.demo + +import vexriscv.plugin._ +import vexriscv.{plugin, VexRiscv, VexRiscvConfig} +import spinal.core._ + +/** + * Created by spinalvm on 15.06.17. + */ +object GenSmallestNoCsr extends App{ + def cpu() = new VexRiscv( + config = VexRiscvConfig( + plugins = List( +// new PcManagerSimplePlugin( +// resetVector = 0x00000000l, +// relaxedPcCalculation = false +// ), + + new IBusSimplePlugin( + resetVector = 0x80000000l, + cmdForkOnSecondStage = false, + cmdForkPersistence = false, + prediction = NONE, + catchAccessFault = false, + compressedGen = false + ), + new DBusSimplePlugin( + catchAddressMisaligned = false, + catchAccessFault = false, + earlyInjection = false + ), + new DecoderSimplePlugin( + catchIllegalInstruction = false + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false, + writeRfInMemoryStage = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = false + ), + new LightShifterPlugin, + new HazardSimplePlugin( + bypassExecute = false, + bypassMemory = false, + bypassWriteBack = false, + bypassWriteBackBuffer = false, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = false + ), + new YamlPlugin("cpu0.yaml") + ) + ) + ) + SpinalConfig(mergeAsyncProcess = false).generateVerilog(cpu()) +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/GenTwoThreeStage.scala b/VexRiscv/src/main/scala/vexriscv/demo/GenTwoThreeStage.scala new file mode 100644 index 0000000..c3dd0db --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/GenTwoThreeStage.scala @@ -0,0 +1,72 @@ +package vexriscv.demo + +import spinal.core.SpinalVerilog +import vexriscv.{VexRiscv, VexRiscvConfig, plugin} +import vexriscv.plugin.{BranchPlugin, CsrPlugin, CsrPluginConfig, DBusSimplePlugin, DecoderSimplePlugin, DivPlugin, FullBarrelShifterPlugin, HazardSimplePlugin, IBusSimplePlugin, IntAluPlugin, LightShifterPlugin, MulPlugin, MulSimplePlugin, NONE, RegFilePlugin, SrcPlugin, YamlPlugin} + +object GenTwoThreeStage extends App{ + def cpu(withMulDiv : Boolean, + bypass : Boolean, + barrielShifter : Boolean, + withMemoryStage : Boolean) = new VexRiscv( + config = VexRiscvConfig( + withMemoryStage = withMemoryStage, + withWriteBackStage = false, + plugins = List( + new IBusSimplePlugin( + resetVector = 0x80000000l, + cmdForkOnSecondStage = false, + cmdForkPersistence = false, + prediction = NONE, + catchAccessFault = false, + compressedGen = false, + injectorStage = false + ), + new DBusSimplePlugin( + catchAddressMisaligned = false, + catchAccessFault = false + ), + new CsrPlugin(CsrPluginConfig.smallest), + new DecoderSimplePlugin( + catchIllegalInstruction = false + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + readInExecute = true, + zeroBoot = true, + x0Init = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = true + ), + new HazardSimplePlugin( + bypassExecute = bypass, + bypassMemory = bypass, + bypassWriteBack = bypass, + bypassWriteBackBuffer = bypass, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new BranchPlugin( + earlyBranch = true, + catchAddressMisaligned = false + ), + new YamlPlugin("cpu0.yaml") + ) ++ (if(!withMulDiv) Nil else List( + new MulSimplePlugin, + new DivPlugin + )) ++ List(if(!barrielShifter) + new LightShifterPlugin + else + new FullBarrelShifterPlugin( + earlyInjection = true + ) + ) + ) + ) + + SpinalVerilog(cpu(true,true,true,true)) +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/Linux.scala b/VexRiscv/src/main/scala/vexriscv/demo/Linux.scala new file mode 100644 index 0000000..8508a67 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/Linux.scala @@ -0,0 +1,514 @@ +/* + * SpinalHDL + * Copyright (c) Dolu, All rights reserved. + * + * This library is free software; you can redistribute it and/or + * modify it under the terms of the GNU Lesser General Public + * License as published by the Free Software Foundation; either + * version 3.0 of the License, or (at your option) any later version. + * + * This library is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU + * Lesser General Public License for more details. + * + * You should have received a copy of the GNU Lesser General Public + * License along with this library. + */ + +package vexriscv.demo + +import spinal.core._ +import spinal.lib.eda.bench.{AlteraStdTargets, Bench, Rtl, XilinxStdTargets} +import spinal.lib.eda.icestorm.IcestormStdTargets +import spinal.lib.master +import vexriscv._ +import vexriscv.ip._ +import vexriscv.plugin._ + +/* +prerequired stuff => +- JAVA JDK >= 8 +- SBT +- Verilator + +Setup things => +git clone https://github.com/SpinalHDL/SpinalHDL.git -b dev +git clone https://github.com/SpinalHDL/VexRiscv.git -b linux +cd VexRiscv + +Run regressions => +sbt "runMain vexriscv.demo.LinuxGen -r" +cd src/test/cpp/regression +make clean run IBUS=CACHED DBUS=CACHED DEBUG_PLUGIN=STD DHRYSTONE=yes SUPERVISOR=yes MMU=yes CSR=yes DEBUG_PLUGIN=no COMPRESSED=no MUL=yes DIV=yes LRSC=yes AMO=yes REDO=10 TRACE=no COREMARK=yes LINUX_REGRESSION=yes + +Run linux in simulation (Require the machine mode emulator compiled in SIM mode) => +sbt "runMain vexriscv.demo.LinuxGen" +cd src/test/cpp/regression +export BUILDROOT=/home/miaou/pro/riscv/buildrootSpinal +make clean run IBUS=CACHED DBUS=CACHED DEBUG_PLUGIN=STD SUPERVISOR=yes CSR=yes DEBUG_PLUGIN=no COMPRESSED=no LRSC=yes AMO=yes REDO=0 DHRYSTONE=no LINUX_SOC=yes EMULATOR=../../../main/c/emulator/build/emulator.bin VMLINUX=$BUILDROOT/output/images/Image DTB=$BUILDROOT/board/spinal/vexriscv_sim/rv32.dtb RAMDISK=$BUILDROOT/output/images/rootfs.cpio WITH_USER_IO=yes TRACE=no FLOW_INFO=no + +Run linux with QEMU (Require the machine mode emulator compiled in QEMU mode) +export BUILDROOT=/home/miaou/pro/riscv/buildrootSpinal +qemu-system-riscv32 -nographic -machine virt -m 1536M -device loader,file=src/main/c/emulator/build/emulator.bin,addr=0x80000000,cpu-num=0 -device loader,file=$BUILDROOT/board/spinal/vexriscv_sim/rv32.dtb,addr=0xC3000000 -device loader,file=$BUILDROOT/output/images/Image,addr=0xC0000000 -device loader,file=$BUILDROOT/output/images/rootfs.cpio,addr=0xc2000000 + + +Buildroot => +git clone https://github.com/SpinalHDL/buildroot.git -b vexriscv +cd buildroot +make spinal_vexriscv_sim_defconfig +make -j$(nproc) +output/host/bin/riscv32-linux-objcopy -O binary output/images/vmlinux output/images/Image + +After changing a kernel config into buildroot => +cd buildroot +make spinal_vexriscv_sim_defconfig +make linux-dirclean linux-rebuild -j8 +output/host/bin/riscv32-linux-objcopy -O binary output/images/vmlinux output/images/Image + +Compiling the machine mode emulator (check the config.h file to know the mode) => +cd src/main/c/emulator +make clean all + +Changing the emulator mode => +Edit the src/main/c/emulator/src/config.h file, and comment/uncomment the SIM/QEMU flags + +Other commands (Memo): +decompile file and split it +riscv64-unknown-elf-objdump -S -d vmlinux > vmlinux.asm; split -b 1M vmlinux.asm + +Kernel compilation command => +ARCH=riscv CROSS_COMPILE=riscv32-unknown-linux-gnu- make menuconfig +ARCH=riscv CROSS_COMPILE=riscv32-unknown-linux-gnu- make -j`nproc`; riscv32-unknown-linux-gnu-objcopy -O binary vmlinux vmlinux.bin + +Generate a DTB from a DTS => +dtc -O dtb -o rv32.dtb rv32.dts + +https://github.com/riscv/riscv-qemu/wiki#build-and-install + + +memo : +export DATA=/home/miaou/Downloads/Binaries-master +cd src/test/cpp/regression +rm VexRiscv.v +cp $DATA/VexRiscv.v ../../../.. +make run IBUS=CACHED DBUS=CACHED DEBUG_PLUGIN=STD SUPERVISOR=yes CSR=yes COMPRESSED=no LRSC=yes AMO=yes REDO=0 DHRYSTONE=no LINUX_SOC=yes EMULATOR=$DATA/emulator.bin VMLINUX=$DATA/vmlinux.bin DTB=$DATA/rv32.dtb RAMDISK=$DATA/rootfs.cpio TRACE=no FLOW_INFO=no + +make clean run IBUS=CACHED DBUS=CACHED DEBUG_PLUGIN=STD DHRYSTONE=no SUPERVISOR=yes CSR=yes COMPRESSED=no MUL=yes DIV=yes LRSC=yes AMO=yes MMU=yes REDO=1 TRACE=no LINUX_REGRESSION=yes + +qemu-system-riscv32 -nographic -machine virt -m 1536M -device loader,file=$DATA/emulator.bin,addr=0x80000000,cpu-num=0 -device loader,file=$DATA/rv32.dtb,addr=0xC3000000 -device loader,file=$DATA/vmlinux.bin,addr=0xC0000000 -device loader,file=$DATA/rootfs.cpio,addr=0xc2000000 + + +make run IBUS=CACHED DBUS=CACHED DEBUG_PLUGIN=STD DHRYSTONE=yess SUPERVISOR=yes CSR=yes COMPRESSED=yes MUL=yes DIV=yes LRSC=yes AMO=yes REDO=1 TRACE=no LINUX_REGRESSION=yes + +program ../../../main/c/emulator/build/emulator.bin 0x80000000 verify + soc.loadBin(EMULATOR, 0x80000000); + soc.loadBin(VMLINUX, 0xC0000000); + soc.loadBin(DTB, 0xC3000000); + soc.loadBin(RAMDISK, 0xC2000000); + +export BUILDROOT=/home/miaou/pro/riscv/buildrootSpinal +make run IBUS=CACHED DBUS=CACHED DEBUG_PLUGIN=STD SUPERVISOR=yes CSR=yes COMPRESSED=no LRSC=yes AMO=yes REDO=0 DHRYSTONE=no LINUX_SOC=yes +EMULATOR=../../../main/c/emulator/build/emulator.bin +VMLINUX=/home/miaou/pro/riscv/buildrootSpinal/output/images/Image +DTB=/home/miaou/pro/riscv/buildrootSpinal/board/spinal/vexriscv_sim/rv32.dtb +RAMDISK=/home/miaou/pro/riscv/buildrootSpinal/output/images/rootfs.cpio TRACE=no FLOW_INFO=no + +make run IBUS=CACHED DBUS=CACHED DEBUG_PLUGIN=STD SUPERVISOR=yes CSR=yes COMPRESSED=no LRSC=yes AMO=yes REDO=0 DHRYSTONE=no LINUX_SOC=yes DEBUG_PLUGIN_EXTERNAL=yes + +rm -rf cpio +mkdir cpio +cd cpio +sudo cpio -i < ../rootfs.cpio +cd .. + +rm rootfs.cpio +cd cpio +sudo find | sudo cpio -H newc -o > ../rootfs.cpio +cd .. + +make clean run IBUS=CACHED DBUS=CACHED DEBUG_PLUGIN=STD DHRYSTONE=yes SUPERVISOR=yes MMU=yes CSR=yes COMPRESSED=no MUL=yes DIV=yes LRSC=yes AMO=yes REDO=10 TRACE=no COREMARK=yes LINUX_REGRESSION=yes RUN_HEX=~/pro/riscv/zephyr/samples/synchronization/build/zephyr/zephyr.hex + + +*/ + + +object LinuxGen { + def configFull(litex : Boolean, withMmu : Boolean, withSmp : Boolean = false) = { + val config = VexRiscvConfig( + plugins = List( + //Uncomment the whole IBusSimplePlugin and comment IBusCachedPlugin if you want uncached iBus config +// new IBusSimplePlugin( +// resetVector = 0x80000000l, +// cmdForkOnSecondStage = false, +// cmdForkPersistence = false, +// prediction = DYNAMIC_TARGET, +// historyRamSizeLog2 = 10, +// catchAccessFault = true, +// compressedGen = true, +// busLatencyMin = 1, +// injectorStage = true, +// memoryTranslatorPortConfig = withMmu generate MmuPortConfig( +// portTlbSize = 4 +// ) +// ), + + //Uncomment the whole IBusCachedPlugin and comment IBusSimplePlugin if you want cached iBus config + new IBusCachedPlugin( + resetVector = 0x80000000l, + compressedGen = false, + prediction = STATIC, + injectorStage = false, + config = InstructionCacheConfig( + cacheSize = 4096*1, + bytePerLine = 32, + wayCount = 1, + addressWidth = 32, + cpuDataWidth = 32, + memDataWidth = 32, + catchIllegalAccess = true, + catchAccessFault = true, + asyncTagMemory = false, + twoCycleRam = false, + twoCycleCache = true +// ) + ), + memoryTranslatorPortConfig = withMmu generate MmuPortConfig( + portTlbSize = 4 + ) + ), + // ).newTightlyCoupledPort(TightlyCoupledPortParameter("iBusTc", a => a(30 downto 28) === 0x0 && a(5))), +// new DBusSimplePlugin( +// catchAddressMisaligned = true, +// catchAccessFault = true, +// earlyInjection = false, +// withLrSc = true, +// memoryTranslatorPortConfig = withMmu generate MmuPortConfig( +// portTlbSize = 4 +// ) +// ), + new DBusCachedPlugin( + dBusCmdMasterPipe = true, + dBusCmdSlavePipe = true, + dBusRspSlavePipe = true, + config = new DataCacheConfig( + cacheSize = 4096*1, + bytePerLine = 32, + wayCount = 1, + addressWidth = 32, + cpuDataWidth = 32, + memDataWidth = 32, + catchAccessError = true, + catchIllegal = true, + catchUnaligned = true, + withExclusive = withSmp, + withInvalidate = withSmp, + withLrSc = true, + withAmo = true +// ) + ), + memoryTranslatorPortConfig = withMmu generate MmuPortConfig( + portTlbSize = 4 + ) + ), + + // new MemoryTranslatorPlugin( + // tlbSize = 32, + // virtualRange = _(31 downto 28) === 0xC, + // ioRange = _(31 downto 28) === 0xF + // ), + + new DecoderSimplePlugin( + catchIllegalInstruction = true + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = true + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false + ), + new FullBarrelShifterPlugin(earlyInjection = false), + // new LightShifterPlugin, + new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + // new HazardSimplePlugin(false, true, false, true), + // new HazardSimplePlugin(false, false, false, false), + new MulPlugin, + new MulDivIterativePlugin( + genMul = false, + genDiv = true, + mulUnrollFactor = 32, + divUnrollFactor = 1 + ), + // new DivPlugin, + new CsrPlugin(CsrPluginConfig.linuxMinimal(0x80000020l).copy(ebreakGen = false)), + // new CsrPlugin(//CsrPluginConfig.all2(0x80000020l).copy(ebreakGen = true)/* + // CsrPluginConfig( + // catchIllegalAccess = false, + // mvendorid = null, + // marchid = null, + // mimpid = null, + // mhartid = null, + // misaExtensionsInit = 0, + // misaAccess = CsrAccess.READ_ONLY, + // mtvecAccess = CsrAccess.WRITE_ONLY, + // mtvecInit = 0x80000020l, + // mepcAccess = CsrAccess.READ_WRITE, + // mscratchGen = true, + // mcauseAccess = CsrAccess.READ_ONLY, + // mbadaddrAccess = CsrAccess.READ_ONLY, + // mcycleAccess = CsrAccess.NONE, + // minstretAccess = CsrAccess.NONE, + // ecallGen = true, + // ebreakGen = true, + // wfiGenAsWait = false, + // wfiGenAsNop = true, + // ucycleAccess = CsrAccess.NONE + // )), + new DebugPlugin(ClockDomain.current.clone(reset = Bool().setName("debugReset"))), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = true, + fenceiGenAsAJump = false + ), + new YamlPlugin("cpu0.yaml") + ) + ) + if(withMmu) config.plugins += new MmuPlugin( + ioRange = (x => if(litex) x(31 downto 28) === 0xB || x(31 downto 28) === 0xE || x(31 downto 28) === 0xF else x(31 downto 28) === 0xF) + ) else { + config.plugins += new StaticMemoryTranslatorPlugin( + ioRange = _(31 downto 28) === 0xF + ) + } + config + } + + + + def main(args: Array[String]) { +// import spinal.core.sim._ +// SimConfig.withConfig(SpinalConfig(mergeAsyncProcess = false, anonymSignalPrefix = "zz_")).allOptimisation.compile(new VexRiscv(configFull)).doSimUntilVoid{ dut => +// dut.clockDomain.forkStimulus(10) +// dut.clockDomain.forkSimSpeedPrinter(4) +// var iBus : InstructionCacheMemBus = null +// +// dut.plugins.foreach{ +// case plugin: IBusCachedPlugin => iBus = plugin.iBus +// case _ => +// } +// dut.clockDomain.onSamplings{ +//// iBus.cmd.ready.randomize() +// iBus.rsp.data #= 0x13 +// } +// } + + SpinalConfig(mergeAsyncProcess = false, anonymSignalPrefix = "_zz").generateVerilog { + + + val toplevel = new VexRiscv(configFull( + litex = !args.contains("-r"), + withMmu = true + )) +// val toplevel = new VexRiscv(configLight) +// val toplevel = new VexRiscv(configTest) + + /*toplevel.rework { + var iBus : AvalonMM = null + for (plugin <- toplevel.config.plugins) plugin match { + case plugin: IBusSimplePlugin => { + plugin.iBus.asDirectionLess() //Unset IO properties of iBus + iBus = master(plugin.iBus.toAvalon()) + .setName("iBusAvalon") + .addTag(ClockDomainTag(ClockDomain.current)) //Specify a clock domain to the iBus (used by QSysify) + } + case plugin: IBusCachedPlugin => { + plugin.iBus.asDirectionLess() //Unset IO properties of iBus + iBus = master(plugin.iBus.toAvalon()) + .setName("iBusAvalon") + .addTag(ClockDomainTag(ClockDomain.current)) //Specify a clock domain to the iBus (used by QSysify) + } + case plugin: DBusSimplePlugin => { + plugin.dBus.asDirectionLess() + master(plugin.dBus.toAvalon()) + .setName("dBusAvalon") + .addTag(ClockDomainTag(ClockDomain.current)) + } + case plugin: DBusCachedPlugin => { + plugin.dBus.asDirectionLess() + master(plugin.dBus.toAvalon()) + .setName("dBusAvalon") + .addTag(ClockDomainTag(ClockDomain.current)) + } + case plugin: DebugPlugin => { + plugin.io.bus.asDirectionLess() + slave(plugin.io.bus.fromAvalon()) + .setName("debugBusAvalon") + .addTag(ClockDomainTag(plugin.debugClockDomain)) + .parent = null //Avoid the io bundle to be interpreted as a QSys conduit + plugin.io.resetOut + .addTag(ResetEmitterTag(plugin.debugClockDomain)) + .parent = null //Avoid the io bundle to be interpreted as a QSys conduit + } + case _ => + } + for (plugin <- toplevel.config.plugins) plugin match { + case plugin: CsrPlugin => { + plugin.externalInterrupt + .addTag(InterruptReceiverTag(iBus, ClockDomain.current)) + plugin.timerInterrupt + .addTag(InterruptReceiverTag(iBus, ClockDomain.current)) + } + case _ => + } + }*/ +// toplevel.writeBack.input(config.PC).addAttribute(Verilator.public) +// toplevel.service(classOf[DecoderSimplePlugin]).bench(toplevel) + // toplevel.children.find(_.isInstanceOf[DataCache]).get.asInstanceOf[DataCache].io.cpu.execute.addAttribute(Verilator.public) + + +// toplevel.rework { +// for (plugin <- toplevel.config.plugins) plugin match { +// case plugin: IBusSimplePlugin => { +// plugin.iBus.setAsDirectionLess().unsetName() //Unset IO properties of iBus +// val iBus = master(IBusSimpleBus()).setName("iBus") +// +// iBus.cmd << plugin.iBus.cmd.halfPipe() +// iBus.rsp.stage >> plugin.iBus.rsp +// } +// case plugin: DBusSimplePlugin => { +// plugin.dBus.setAsDirectionLess().unsetName() +// val dBus = master(DBusSimpleBus()).setName("dBus") +// val pending = RegInit(False) setWhen(plugin.dBus.cmd.fire) clearWhen(plugin.dBus.rsp.ready) +// dBus.cmd << plugin.dBus.cmd.haltWhen(pending).halfPipe() +// plugin.dBus.rsp := RegNext(dBus.rsp) +// plugin.dBus.rsp.ready clearWhen(!pending) +// } +// +// case _ => +// } +// } + + toplevel + } + } +} + +object LinuxSyntesisBench extends App{ + val withoutMmu = new Rtl { + override def getName(): String = "VexRiscv Without Mmu" + override def getRtlPath(): String = "VexRiscvWithoutMmu.v" + SpinalConfig(inlineRom=true).generateVerilog(new VexRiscv(LinuxGen.configFull(litex = false, withMmu = false)).setDefinitionName(getRtlPath().split("\\.").head)) + } + + val withMmu = new Rtl { + override def getName(): String = "VexRiscv With Mmu" + override def getRtlPath(): String = "VexRiscvWithMmu.v" + SpinalConfig(inlineRom=true).generateVerilog(new VexRiscv(LinuxGen.configFull(litex = false, withMmu = true)).setDefinitionName(getRtlPath().split("\\.").head)) + } + + val rtls = List(withoutMmu,withMmu) + // val rtls = List(smallestNoCsr, smallest, smallAndProductive, smallAndProductiveWithICache) + // val rtls = List(smallAndProductive, smallAndProductiveWithICache, fullNoMmuMaxPerf, fullNoMmu, full) + // val rtls = List(fullNoMmu) + + val targets = XilinxStdTargets( + vivadoArtix7Path = "/media/miaou/HD/linux/Xilinx/Vivado/2018.3/bin" + ) ++ AlteraStdTargets( + quartusCycloneIVPath = "/media/miaou/HD/linux/intelFPGA_lite/18.1/quartus/bin", + quartusCycloneVPath = "/media/miaou/HD/linux/intelFPGA_lite/18.1/quartus/bin" + ) //++ IcestormStdTargets().take(1) + + Bench(rtls, targets, "/media/miaou/HD/linux/tmp") +} + +object LinuxSim extends App{ + import spinal.core.sim._ + + SimConfig.allOptimisation.compile(new VexRiscv(LinuxGen.configFull(litex = false, withMmu = true))).doSim{dut => +// dut.clockDomain.forkStimulus(10) +// dut.clockDomain.forkSimSpeedPrinter() +// dut.plugins.foreach{ +// case p : IBusSimplePlugin => dut.clockDomain.onRisingEdges{ +// p.iBus.cmd.ready #= ! p.iBus.cmd.ready.toBoolean +//// p.iBus.rsp.valid.randomize() +//// p.iBus.rsp.inst.randomize() +//// p.iBus.rsp.error.randomize() +// } +// case p : DBusSimplePlugin => dut.clockDomain.onRisingEdges{ +// p.dBus.cmd.ready #= ! p.dBus.cmd.ready.toBoolean +//// p.dBus.cmd.ready.randomize() +//// p.dBus.rsp.ready.randomize() +//// p.dBus.rsp.data.randomize() +//// p.dBus.rsp.error.randomize() +// } +// case _ => +// } +// sleep(10*10000000) + + + var cycleCounter = 0l + var lastTime = System.nanoTime() + + + + + var iBus : IBusSimpleBus = null + var dBus : DBusSimpleBus = null + dut.plugins.foreach{ + case p : IBusSimplePlugin => + iBus = p.iBus +// p.iBus.rsp.valid.randomize() +// p.iBus.rsp.inst.randomize() +// p.iBus.rsp.error.randomize() + case p : DBusSimplePlugin => + dBus = p.dBus +// p.dBus.cmd.ready.randomize() +// p.dBus.rsp.ready.randomize() +// p.dBus.rsp.data.randomize() +// p.dBus.rsp.error.randomize() + case _ => + } + + dut.clockDomain.resetSim #= false + dut.clockDomain.clockSim #= false + sleep(1) + dut.clockDomain.resetSim #= true + sleep(1) + + def f(): Unit ={ + cycleCounter += 1 + + if((cycleCounter & 8191) == 0){ + val currentTime = System.nanoTime() + val deltaTime = (currentTime - lastTime)*1e-9 + if(deltaTime > 2.0) { + println(f"[Info] Simulation speed : ${cycleCounter / deltaTime * 1e-3}%4.0f kcycles/s") + lastTime = currentTime + cycleCounter = 0 + } + } + dut.clockDomain.clockSim #= false + iBus.cmd.ready #= ! iBus.cmd.ready.toBoolean + dBus.cmd.ready #= ! dBus.cmd.ready.toBoolean + delayed(1)(f2) + } + def f2(): Unit ={ + dut.clockDomain.clockSim #= true + delayed(1)(f) + } + + delayed(1)(f) + + sleep(100000000) + } +} \ No newline at end of file diff --git a/VexRiscv/src/main/scala/vexriscv/demo/Murax.scala b/VexRiscv/src/main/scala/vexriscv/demo/Murax.scala new file mode 100644 index 0000000..dbff45b --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/Murax.scala @@ -0,0 +1,589 @@ +package vexriscv.demo + +import spinal.core._ +import spinal.lib._ +import spinal.lib.bus.amba3.apb._ +import spinal.lib.bus.misc.SizeMapping +import spinal.lib.bus.simple.PipelinedMemoryBus +import spinal.lib.com.jtag.Jtag +import spinal.lib.com.spi.ddr.SpiXdrMaster +import spinal.lib.com.uart._ +import spinal.lib.io.{InOutWrapper, TriStateArray} +import spinal.lib.misc.{InterruptCtrl, Prescaler, Timer} +import spinal.lib.soc.pinsec.{PinsecTimerCtrl, PinsecTimerCtrlExternal} +import vexriscv.plugin._ +import vexriscv.{VexRiscv, VexRiscvConfig, plugin} +import spinal.lib.com.spi.ddr._ +import spinal.lib.bus.simple._ +import scala.collection.mutable.ArrayBuffer +import scala.collection.Seq + +/** + * Created by PIC32F_USER on 28/07/2017. + * + * Murax is a very light SoC which could work without any external component. + * - ICE40-hx8k + icestorm => 53 Mhz, 2142 LC + * - 0.37 DMIPS/Mhz + * - 8 kB of on-chip ram + * - JTAG debugger (eclipse/GDB/openocd ready) + * - Interrupt support + * - APB bus for peripherals + * - 32 GPIO pin + * - one 16 bits prescaler, two 16 bits timers + * - one UART with tx/rx fifo + */ + + +case class MuraxConfig(coreFrequency : HertzNumber, + onChipRamSize : BigInt, + onChipRamHexFile : String, + pipelineDBus : Boolean, + pipelineMainBus : Boolean, + pipelineApbBridge : Boolean, + gpioWidth : Int, + uartCtrlConfig : UartCtrlMemoryMappedConfig, + xipConfig : SpiXdrMasterCtrl.MemoryMappingParameters, + hardwareBreakpointCount : Int, + cpuPlugins : ArrayBuffer[Plugin[VexRiscv]]){ + require(pipelineApbBridge || pipelineMainBus, "At least pipelineMainBus or pipelineApbBridge should be enable to avoid wipe transactions") + val genXip = xipConfig != null + +} + + + +object MuraxConfig{ + def default : MuraxConfig = default(false, false) + def default(withXip : Boolean = false, bigEndian : Boolean = false) = MuraxConfig( + coreFrequency = 12 MHz, + onChipRamSize = 8 kB, + onChipRamHexFile = null, + pipelineDBus = true, + pipelineMainBus = false, + pipelineApbBridge = true, + gpioWidth = 32, + xipConfig = ifGen(withXip) (SpiXdrMasterCtrl.MemoryMappingParameters( + SpiXdrMasterCtrl.Parameters(8, 12, SpiXdrParameter(2, 2, 1)).addFullDuplex(0,1,false), + cmdFifoDepth = 32, + rspFifoDepth = 32, + xip = SpiXdrMasterCtrl.XipBusParameters(addressWidth = 24, lengthWidth = 2) + )), + hardwareBreakpointCount = if(withXip) 3 else 0, + cpuPlugins = ArrayBuffer( //DebugPlugin added by the toplevel + new IBusSimplePlugin( + resetVector = if(withXip) 0xF001E000l else 0x80000000l, + cmdForkOnSecondStage = true, + cmdForkPersistence = withXip, //Required by the Xip controller + prediction = NONE, + catchAccessFault = false, + compressedGen = false, + bigEndian = bigEndian + ), + new DBusSimplePlugin( + catchAddressMisaligned = false, + catchAccessFault = false, + earlyInjection = false, + bigEndian = bigEndian + ), + new CsrPlugin(CsrPluginConfig.smallest(mtvecInit = if(withXip) 0xE0040020l else 0x80000020l)), + new DecoderSimplePlugin( + catchIllegalInstruction = false + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = false + ), + new LightShifterPlugin, + new HazardSimplePlugin( + bypassExecute = false, + bypassMemory = false, + bypassWriteBack = false, + bypassWriteBackBuffer = false, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = false + ), + new YamlPlugin("cpu0.yaml") + ), + uartCtrlConfig = UartCtrlMemoryMappedConfig( + uartCtrlConfig = UartCtrlGenerics( + dataWidthMax = 8, + clockDividerWidth = 20, + preSamplingSize = 1, + samplingSize = 3, + postSamplingSize = 1 + ), + initConfig = UartCtrlInitConfig( + baudrate = 115200, + dataLength = 7, //7 => 8 bits + parity = UartParityType.NONE, + stop = UartStopType.ONE + ), + busCanWriteClockDividerConfig = false, + busCanWriteFrameConfig = false, + txFifoDepth = 16, + rxFifoDepth = 16 + ) + + ) + + def fast = { + val config = default + + //Replace HazardSimplePlugin to get datapath bypass + config.cpuPlugins(config.cpuPlugins.indexWhere(_.isInstanceOf[HazardSimplePlugin])) = new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true + ) +// config.cpuPlugins(config.cpuPlugins.indexWhere(_.isInstanceOf[LightShifterPlugin])) = new FullBarrelShifterPlugin() + + config + } +} + + +case class Murax(config : MuraxConfig) extends Component{ + import config._ + + val io = new Bundle { + //Clocks / reset + val asyncReset = in Bool() + val mainClk = in Bool() + + //Main components IO + val jtag = slave(Jtag()) + + //Peripherals IO + val gpioA = master(TriStateArray(gpioWidth bits)) + val uart = master(Uart()) + + val xip = ifGen(genXip)(master(SpiXdrMaster(xipConfig.ctrl.spi))) + } + + + val resetCtrlClockDomain = ClockDomain( + clock = io.mainClk, + config = ClockDomainConfig( + resetKind = BOOT + ) + ) + + val resetCtrl = new ClockingArea(resetCtrlClockDomain) { + val mainClkResetUnbuffered = False + + //Implement an counter to keep the reset axiResetOrder high 64 cycles + // Also this counter will automatically do a reset when the system boot. + val systemClkResetCounter = Reg(UInt(6 bits)) init(0) + when(systemClkResetCounter =/= U(systemClkResetCounter.range -> true)){ + systemClkResetCounter := systemClkResetCounter + 1 + mainClkResetUnbuffered := True + } + when(BufferCC(io.asyncReset)){ + systemClkResetCounter := 0 + } + + //Create all reset used later in the design + val mainClkReset = RegNext(mainClkResetUnbuffered) + val systemReset = RegNext(mainClkResetUnbuffered) + } + + + val systemClockDomain = ClockDomain( + clock = io.mainClk, + reset = resetCtrl.systemReset, + frequency = FixedFrequency(coreFrequency) + ) + + val debugClockDomain = ClockDomain( + clock = io.mainClk, + reset = resetCtrl.mainClkReset, + frequency = FixedFrequency(coreFrequency) + ) + + val system = new ClockingArea(systemClockDomain) { + val pipelinedMemoryBusConfig = PipelinedMemoryBusConfig( + addressWidth = 32, + dataWidth = 32 + ) + + val bigEndianDBus = config.cpuPlugins.exists(_ match{ case plugin : DBusSimplePlugin => plugin.bigEndian case _ => false}) + + //Arbiter of the cpu dBus/iBus to drive the mainBus + //Priority to dBus, !! cmd transactions can change on the fly !! + val mainBusArbiter = new MuraxMasterArbiter(pipelinedMemoryBusConfig, bigEndianDBus) + + //Instanciate the CPU + val cpu = new VexRiscv( + config = VexRiscvConfig( + plugins = cpuPlugins += new DebugPlugin(debugClockDomain, hardwareBreakpointCount) + ) + ) + + //Checkout plugins used to instanciate the CPU to connect them to the SoC + val timerInterrupt = False + val externalInterrupt = False + for(plugin <- cpu.plugins) plugin match{ + case plugin : IBusSimplePlugin => + mainBusArbiter.io.iBus.cmd <> plugin.iBus.cmd + mainBusArbiter.io.iBus.rsp <> plugin.iBus.rsp + case plugin : DBusSimplePlugin => { + if(!pipelineDBus) + mainBusArbiter.io.dBus <> plugin.dBus + else { + mainBusArbiter.io.dBus.cmd << plugin.dBus.cmd.halfPipe() + mainBusArbiter.io.dBus.rsp <> plugin.dBus.rsp + } + } + case plugin : CsrPlugin => { + plugin.externalInterrupt := externalInterrupt + plugin.timerInterrupt := timerInterrupt + } + case plugin : DebugPlugin => plugin.debugClockDomain{ + resetCtrl.systemReset setWhen(RegNext(plugin.io.resetOut)) + io.jtag <> plugin.io.bus.fromJtag() + } + case _ => + } + + + + //****** MainBus slaves ******** + val mainBusMapping = ArrayBuffer[(PipelinedMemoryBus,SizeMapping)]() + val ram = new MuraxPipelinedMemoryBusRam( + onChipRamSize = onChipRamSize, + onChipRamHexFile = onChipRamHexFile, + pipelinedMemoryBusConfig = pipelinedMemoryBusConfig, + bigEndian = bigEndianDBus + ) + mainBusMapping += ram.io.bus -> (0x80000000l, onChipRamSize) + + val apbBridge = new PipelinedMemoryBusToApbBridge( + apb3Config = Apb3Config( + addressWidth = 20, + dataWidth = 32 + ), + pipelineBridge = pipelineApbBridge, + pipelinedMemoryBusConfig = pipelinedMemoryBusConfig + ) + mainBusMapping += apbBridge.io.pipelinedMemoryBus -> (0xF0000000l, 1 MB) + + + + //******** APB peripherals ********* + val apbMapping = ArrayBuffer[(Apb3, SizeMapping)]() + val gpioACtrl = Apb3Gpio(gpioWidth = gpioWidth, withReadSync = true) + io.gpioA <> gpioACtrl.io.gpio + apbMapping += gpioACtrl.io.apb -> (0x00000, 4 kB) + + val uartCtrl = Apb3UartCtrl(uartCtrlConfig) + uartCtrl.io.uart <> io.uart + externalInterrupt setWhen(uartCtrl.io.interrupt) + apbMapping += uartCtrl.io.apb -> (0x10000, 4 kB) + + val timer = new MuraxApb3Timer() + timerInterrupt setWhen(timer.io.interrupt) + apbMapping += timer.io.apb -> (0x20000, 4 kB) + + val xip = ifGen(genXip)(new Area{ + val ctrl = Apb3SpiXdrMasterCtrl(xipConfig) + ctrl.io.spi <> io.xip + externalInterrupt setWhen(ctrl.io.interrupt) + apbMapping += ctrl.io.apb -> (0x1F000, 4 kB) + + val accessBus = new PipelinedMemoryBus(PipelinedMemoryBusConfig(24,32)) + mainBusMapping += accessBus -> (0xE0000000l, 16 MB) + + ctrl.io.xip.fromPipelinedMemoryBus() << accessBus + val bootloader = Apb3Rom("src/main/c/murax/xipBootloader/crt.bin") + apbMapping += bootloader.io.apb -> (0x1E000, 4 kB) + }) + + + + //******** Memory mappings ********* + val apbDecoder = Apb3Decoder( + master = apbBridge.io.apb, + slaves = apbMapping.toSeq + ) + + val mainBusDecoder = new Area { + val logic = new MuraxPipelinedMemoryBusDecoder( + master = mainBusArbiter.io.masterBus, + specification = mainBusMapping.toSeq, + pipelineMaster = pipelineMainBus + ) + } + } +} + + + +object Murax{ + def main(args: Array[String]) { + SpinalVerilog(Murax(MuraxConfig.default)) + } +} + +object MuraxCfu{ + def main(args: Array[String]) { + SpinalVerilog{ + val config = MuraxConfig.default + config.cpuPlugins += new CfuPlugin( + stageCount = 1, + allowZeroLatency = true, + encodings = List( + CfuPluginEncoding ( + instruction = M"-------------------------0001011", + functionId = List(14 downto 12), + input2Kind = CfuPlugin.Input2Kind.RS + ) + ), + busParameter = CfuBusParameter( + CFU_VERSION = 0, + CFU_INTERFACE_ID_W = 0, + CFU_FUNCTION_ID_W = 3, + CFU_REORDER_ID_W = 0, + CFU_REQ_RESP_ID_W = 0, + CFU_INPUTS = 2, + CFU_INPUT_DATA_W = 32, + CFU_OUTPUTS = 1, + CFU_OUTPUT_DATA_W = 32, + CFU_FLOW_REQ_READY_ALWAYS = false, + CFU_FLOW_RESP_READY_ALWAYS = false, + CFU_WITH_STATUS = true, + CFU_RAW_INSN_W = 32, + CFU_CFU_ID_W = 4, + CFU_STATE_INDEX_NUM = 5 + ) + ) + + val toplevel = Murax(config) + + toplevel.rework { + for (plugin <- toplevel.system.cpu.plugins) plugin match { + case plugin: CfuPlugin => plugin.bus.toIo().setName("miaou") + case _ => + } + } + + toplevel + } + } +} + + +object Murax_iCE40_hx8k_breakout_board_xip{ + + case class SB_GB() extends BlackBox{ + val USER_SIGNAL_TO_GLOBAL_BUFFER = in Bool() + val GLOBAL_BUFFER_OUTPUT = out Bool() + } + + case class SB_IO_SCLK() extends BlackBox{ + addGeneric("PIN_TYPE", B"010000") + val PACKAGE_PIN = out Bool() + val OUTPUT_CLK = in Bool() + val CLOCK_ENABLE = in Bool() + val D_OUT_0 = in Bool() + val D_OUT_1 = in Bool() + setDefinitionName("SB_IO") + } + + case class SB_IO_DATA() extends BlackBox{ + addGeneric("PIN_TYPE", B"110000") + val PACKAGE_PIN = inout(Analog(Bool)) + val CLOCK_ENABLE = in Bool() + val INPUT_CLK = in Bool() + val OUTPUT_CLK = in Bool() + val OUTPUT_ENABLE = in Bool() + val D_OUT_0 = in Bool() + val D_OUT_1 = in Bool() + val D_IN_0 = out Bool() + val D_IN_1 = out Bool() + setDefinitionName("SB_IO") + } + + case class Murax_iCE40_hx8k_breakout_board_xip() extends Component{ + val io = new Bundle { + val mainClk = in Bool() + val jtag_tck = in Bool() + val jtag_tdi = in Bool() + val jtag_tdo = out Bool() + val jtag_tms = in Bool() + val uart_txd = out Bool() + val uart_rxd = in Bool() + + val mosi = inout(Analog(Bool)) + val miso = inout(Analog(Bool)) + val sclk = out Bool() + val spis = out Bool() + + val led = out Bits(8 bits) + } + val murax = Murax(MuraxConfig.default(withXip = true).copy(onChipRamSize = 8 kB)) + murax.io.asyncReset := False + + val mainClkBuffer = SB_GB() + mainClkBuffer.USER_SIGNAL_TO_GLOBAL_BUFFER <> io.mainClk + mainClkBuffer.GLOBAL_BUFFER_OUTPUT <> murax.io.mainClk + + val jtagClkBuffer = SB_GB() + jtagClkBuffer.USER_SIGNAL_TO_GLOBAL_BUFFER <> io.jtag_tck + jtagClkBuffer.GLOBAL_BUFFER_OUTPUT <> murax.io.jtag.tck + + io.led <> murax.io.gpioA.write(7 downto 0) + + murax.io.jtag.tdi <> io.jtag_tdi + murax.io.jtag.tdo <> io.jtag_tdo + murax.io.jtag.tms <> io.jtag_tms + murax.io.gpioA.read <> 0 + murax.io.uart.txd <> io.uart_txd + murax.io.uart.rxd <> io.uart_rxd + + + + val xip = new ClockingArea(murax.systemClockDomain) { + RegNext(murax.io.xip.ss.asBool) <> io.spis + + val sclkIo = SB_IO_SCLK() + sclkIo.PACKAGE_PIN <> io.sclk + sclkIo.CLOCK_ENABLE := True + + sclkIo.OUTPUT_CLK := ClockDomain.current.readClockWire + sclkIo.D_OUT_0 <> murax.io.xip.sclk.write(0) + sclkIo.D_OUT_1 <> RegNext(murax.io.xip.sclk.write(1)) + + val datas = for ((data, pin) <- (murax.io.xip.data, List(io.mosi, io.miso)).zipped) yield new Area { + val dataIo = SB_IO_DATA() + dataIo.PACKAGE_PIN := pin + dataIo.CLOCK_ENABLE := True + + dataIo.OUTPUT_CLK := ClockDomain.current.readClockWire + dataIo.OUTPUT_ENABLE <> data.writeEnable + dataIo.D_OUT_0 <> data.write(0) + dataIo.D_OUT_1 <> RegNext(data.write(1)) + + dataIo.INPUT_CLK := ClockDomain.current.readClockWire + data.read(0) := dataIo.D_IN_0 + data.read(1) := RegNext(dataIo.D_IN_1) + } + } + + } + + def main(args: Array[String]) { + SpinalVerilog(Murax_iCE40_hx8k_breakout_board_xip()) + } +} + +object MuraxDhrystoneReady{ + def main(args: Array[String]) { + SpinalVerilog(Murax(MuraxConfig.fast.copy(onChipRamSize = 256 kB))) + } +} + +object MuraxDhrystoneReadyMulDivStatic{ + def main(args: Array[String]) { + SpinalVerilog({ + val config = MuraxConfig.fast.copy(onChipRamSize = 256 kB) + config.cpuPlugins += new MulPlugin + config.cpuPlugins += new DivPlugin + config.cpuPlugins.remove(config.cpuPlugins.indexWhere(_.isInstanceOf[BranchPlugin])) + config.cpuPlugins +=new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = false + ) + config.cpuPlugins += new IBusSimplePlugin( + resetVector = 0x80000000l, + cmdForkOnSecondStage = true, + cmdForkPersistence = false, + prediction = STATIC, + catchAccessFault = false, + compressedGen = false + ) + config.cpuPlugins.remove(config.cpuPlugins.indexWhere(_.isInstanceOf[LightShifterPlugin])) + config.cpuPlugins += new FullBarrelShifterPlugin + Murax(config) + }) + } +} + +//Will blink led and echo UART RX to UART TX (in the verilator sim, type some text and press enter to send UART frame to the Murax RX pin) +object MuraxWithRamInit{ + def main(args: Array[String]) { + SpinalVhdl(Murax(MuraxConfig.default.copy(onChipRamSize = 4 kB, onChipRamHexFile = "src/main/ressource/hex/muraxDemo.hex"))) + } +} + +object Murax_arty{ + def main(args: Array[String]) { + val hex = "src/main/c/murax/hello_world/build/hello_world.hex" + SpinalVerilog(Murax(MuraxConfig.default(false).copy(coreFrequency = 100 MHz,onChipRamSize = 32 kB, onChipRamHexFile = hex))) + } +} + + +object MuraxAsicBlackBox extends App{ + println("Warning this soc do not has any rom to boot on.") + val config = SpinalConfig() + config.addStandardMemBlackboxing(blackboxAll) + config.generateVerilog(Murax(MuraxConfig.default())) +} + + +object de1_murax_franz{ + + case class de1_murax_franz() extends Component{ + val io = new Bundle { + val jtag_tck = in Bool() + val jtag_tdi = in Bool() + val jtag_tdo = out Bool() + val jtag_tms = in Bool() + val uart_txd = out Bool() + val uart_rxd = in Bool() + + val KEY0 = in Bool() + val CLOCK_50 = in Bool() + + val LEDR = out Bits(8 bits) + } + noIoPrefix() + + val murax = Murax(MuraxConfig.default.copy( + coreFrequency = 50 MHz, + onChipRamSize = 4 kB, + onChipRamHexFile = "src/main/ressource/hex/muraxDemo.hex")) + + io.LEDR <> murax.io.gpioA.write(7 downto 0) + + murax.io.jtag.tck <> io.jtag_tck + murax.io.jtag.tdi <> io.jtag_tdi + murax.io.jtag.tdo <> io.jtag_tdo + murax.io.jtag.tms <> io.jtag_tms + murax.io.gpioA.read <> 0 + murax.io.uart.txd <> io.uart_txd + murax.io.uart.rxd <> io.uart_rxd + murax.io.asyncReset <> ! io.KEY0 + murax.io.mainClk <> io.CLOCK_50 + + } + + def main(args: Array[String]) { + SpinalVhdl(de1_murax_franz()) + } +} + + + + diff --git a/VexRiscv/src/main/scala/vexriscv/demo/MuraxUtiles.scala b/VexRiscv/src/main/scala/vexriscv/demo/MuraxUtiles.scala new file mode 100644 index 0000000..22bc438 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/MuraxUtiles.scala @@ -0,0 +1,174 @@ +package vexriscv.demo + +import java.nio.{ByteBuffer, ByteOrder} + +import spinal.core._ +import spinal.lib.bus.amba3.apb.{Apb3, Apb3Config, Apb3SlaveFactory} +import spinal.lib.bus.misc.SizeMapping +import spinal.lib.misc.{HexTools, InterruptCtrl, Prescaler, Timer} +import spinal.lib._ +import spinal.lib.bus.simple._ +import vexriscv.plugin.{DBusSimpleBus, IBusSimpleBus} + +class MuraxMasterArbiter(pipelinedMemoryBusConfig : PipelinedMemoryBusConfig, bigEndian : Boolean = false) extends Component{ + val io = new Bundle{ + val iBus = slave(IBusSimpleBus(null)) + val dBus = slave(DBusSimpleBus(bigEndian)) + val masterBus = master(PipelinedMemoryBus(pipelinedMemoryBusConfig)) + } + + io.masterBus.cmd.valid := io.iBus.cmd.valid || io.dBus.cmd.valid + io.masterBus.cmd.write := io.dBus.cmd.valid && io.dBus.cmd.wr + io.masterBus.cmd.address := io.dBus.cmd.valid ? io.dBus.cmd.address | io.iBus.cmd.pc + io.masterBus.cmd.data := io.dBus.cmd.data + io.masterBus.cmd.mask := io.dBus.genMask(io.dBus.cmd) + io.iBus.cmd.ready := io.masterBus.cmd.ready && !io.dBus.cmd.valid + io.dBus.cmd.ready := io.masterBus.cmd.ready + + + val rspPending = RegInit(False) clearWhen(io.masterBus.rsp.valid) + val rspTarget = RegInit(False) + when(io.masterBus.cmd.fire && !io.masterBus.cmd.write){ + rspTarget := io.dBus.cmd.valid + rspPending := True + } + + when(rspPending && !io.masterBus.rsp.valid){ + io.iBus.cmd.ready := False + io.dBus.cmd.ready := False + io.masterBus.cmd.valid := False + } + + io.iBus.rsp.valid := io.masterBus.rsp.valid && !rspTarget + io.iBus.rsp.inst := io.masterBus.rsp.data + io.iBus.rsp.error := False + + io.dBus.rsp.ready := io.masterBus.rsp.valid && rspTarget + io.dBus.rsp.data := io.masterBus.rsp.data + io.dBus.rsp.error := False +} + + +case class MuraxPipelinedMemoryBusRam(onChipRamSize : BigInt, onChipRamHexFile : String, pipelinedMemoryBusConfig : PipelinedMemoryBusConfig, bigEndian : Boolean = false) extends Component{ + val io = new Bundle{ + val bus = slave(PipelinedMemoryBus(pipelinedMemoryBusConfig)) + } + + val ram = Mem(Bits(32 bits), onChipRamSize / 4) + io.bus.rsp.valid := RegNext(io.bus.cmd.fire && !io.bus.cmd.write) init(False) + io.bus.rsp.data := ram.readWriteSync( + address = (io.bus.cmd.address >> 2).resized, + data = io.bus.cmd.data, + enable = io.bus.cmd.valid, + write = io.bus.cmd.write, + mask = io.bus.cmd.mask + ) + io.bus.cmd.ready := True + + if(onChipRamHexFile != null){ + HexTools.initRam(ram, onChipRamHexFile, 0x80000000l) + if(bigEndian) + // HexTools.initRam (incorrectly) assumes little endian byte ordering + for((word, wordIndex) <- ram.initialContent.zipWithIndex) + ram.initialContent(wordIndex) = + ((word & 0xffl) << 24) | + ((word & 0xff00l) << 8) | + ((word & 0xff0000l) >> 8) | + ((word & 0xff000000l) >> 24) + } +} + + + +case class Apb3Rom(onChipRamBinFile : String) extends Component{ + import java.nio.file.{Files, Paths} + val byteArray = Files.readAllBytes(Paths.get(onChipRamBinFile)) + val wordCount = (byteArray.length+3)/4 + val buffer = ByteBuffer.wrap(Files.readAllBytes(Paths.get(onChipRamBinFile))).order(ByteOrder.LITTLE_ENDIAN); + val wordArray = (0 until wordCount).map(i => { + val v = buffer.getInt + if(v < 0) BigInt(v.toLong & 0xFFFFFFFFl) else BigInt(v) + }) + + val io = new Bundle{ + val apb = slave(Apb3(log2Up(wordCount*4),32)) + } + + val rom = Mem(Bits(32 bits), wordCount) initBigInt(wordArray) +// io.apb.PRDATA := rom.readSync(io.apb.PADDR >> 2) + io.apb.PRDATA := rom.readAsync(RegNext(io.apb.PADDR >> 2)) + io.apb.PREADY := True +} + + + +class MuraxPipelinedMemoryBusDecoder(master : PipelinedMemoryBus, val specification : Seq[(PipelinedMemoryBus,SizeMapping)], pipelineMaster : Boolean) extends Area{ + val masterPipelined = PipelinedMemoryBus(master.config) + if(!pipelineMaster) { + masterPipelined.cmd << master.cmd + masterPipelined.rsp >> master.rsp + } else { + masterPipelined.cmd <-< master.cmd + masterPipelined.rsp >> master.rsp + } + + val slaveBuses = specification.map(_._1) + val memorySpaces = specification.map(_._2) + + val hits = for((slaveBus, memorySpace) <- specification) yield { + val hit = memorySpace.hit(masterPipelined.cmd.address) + slaveBus.cmd.valid := masterPipelined.cmd.valid && hit + slaveBus.cmd.payload := masterPipelined.cmd.payload.resized + hit + } + val noHit = !hits.orR + masterPipelined.cmd.ready := (hits,slaveBuses).zipped.map(_ && _.cmd.ready).orR || noHit + + val rspPending = RegInit(False) clearWhen(masterPipelined.rsp.valid) setWhen(masterPipelined.cmd.fire && !masterPipelined.cmd.write) + val rspNoHit = RegNext(False) init(False) setWhen(noHit) + val rspSourceId = RegNextWhen(OHToUInt(hits), masterPipelined.cmd.fire) + masterPipelined.rsp.valid := slaveBuses.map(_.rsp.valid).orR || (rspPending && rspNoHit) + masterPipelined.rsp.payload := slaveBuses.map(_.rsp.payload).read(rspSourceId) + + when(rspPending && !masterPipelined.rsp.valid) { //Only one pending read request is allowed + masterPipelined.cmd.ready := False + slaveBuses.foreach(_.cmd.valid := False) + } +} + +class MuraxApb3Timer extends Component{ + val io = new Bundle { + val apb = slave(Apb3( + addressWidth = 8, + dataWidth = 32 + )) + val interrupt = out Bool() + } + + val prescaler = Prescaler(16) + val timerA,timerB = Timer(16) + + val busCtrl = Apb3SlaveFactory(io.apb) + val prescalerBridge = prescaler.driveFrom(busCtrl,0x00) + + val timerABridge = timerA.driveFrom(busCtrl,0x40)( + ticks = List(True, prescaler.io.overflow), + clears = List(timerA.io.full) + ) + + val timerBBridge = timerB.driveFrom(busCtrl,0x50)( + ticks = List(True, prescaler.io.overflow), + clears = List(timerB.io.full) + ) + + val interruptCtrl = InterruptCtrl(2) + val interruptCtrlBridge = interruptCtrl.driveFrom(busCtrl,0x10) + interruptCtrl.io.inputs(0) := timerA.io.full + interruptCtrl.io.inputs(1) := timerB.io.full + io.interrupt := interruptCtrl.io.pendings.orR +} + + +object MuraxApb3TimerGen extends App{ + SpinalVhdl(new MuraxApb3Timer()) +} \ No newline at end of file diff --git a/VexRiscv/src/main/scala/vexriscv/demo/OpenRoad.scala b/VexRiscv/src/main/scala/vexriscv/demo/OpenRoad.scala new file mode 100644 index 0000000..3938eff --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/OpenRoad.scala @@ -0,0 +1,103 @@ +package vexriscv.demo + +import spinal.core._ +import vexriscv.ip.{DataCacheConfig, InstructionCacheConfig} +import vexriscv.{Riscv, VexRiscv, VexRiscvConfig, plugin} +import vexriscv.plugin.{BranchPlugin, CsrAccess, CsrPlugin, CsrPluginConfig, DBusCachedPlugin, DecoderSimplePlugin, FullBarrelShifterPlugin, HazardSimplePlugin, IBusCachedPlugin, IntAluPlugin, MmuPlugin, MmuPortConfig, MulDivIterativePlugin, MulPlugin, RegFilePlugin, STATIC, SrcPlugin, YamlPlugin} + +object OpenRoad extends App{ + + def linuxConfig = VexRiscvConfig( + withMemoryStage = true, + withWriteBackStage = true, + List( + // new SingleInstructionLimiterPlugin(), + new IBusCachedPlugin( + resetVector = 0, + compressedGen = false, + prediction = vexriscv.plugin.NONE, + injectorStage = false, + config = InstructionCacheConfig( + cacheSize = 4096, + bytePerLine = 64, + wayCount = 1, + addressWidth = 32, + cpuDataWidth = 32, + memDataWidth = 32, + catchIllegalAccess = true, + catchAccessFault = true, + asyncTagMemory = true, + twoCycleRam = false, + twoCycleCache = true + ), + memoryTranslatorPortConfig = MmuPortConfig( + portTlbSize = 4 + ) + ), + new DBusCachedPlugin( + dBusCmdMasterPipe = true, + dBusCmdSlavePipe = true, + dBusRspSlavePipe = true, + config = new DataCacheConfig( + cacheSize = 4096, + bytePerLine = 64, + wayCount = 1, + addressWidth = 32, + cpuDataWidth = 32, + memDataWidth = 32, + catchAccessError = true, + catchIllegal = true, + catchUnaligned = true, + asyncTagMemory = true, + withLrSc = true, + withAmo = true + // ) + ), + memoryTranslatorPortConfig = MmuPortConfig( + portTlbSize = 4 + ) + ), + new DecoderSimplePlugin( + catchIllegalInstruction = true + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false, + x0Init = true + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false + ), + new FullBarrelShifterPlugin(earlyInjection = true), + new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new MulDivIterativePlugin( + genMul = true, + genDiv = true, + mulUnrollFactor = 32, + divUnrollFactor = 8 + ), + new CsrPlugin(CsrPluginConfig.openSbi(0,Riscv.misaToInt("imas")).copy(ebreakGen = false, mtvecAccess = CsrAccess.READ_WRITE)), //mtvecAccess read required by freertos + + new BranchPlugin( + earlyBranch = true, + catchAddressMisaligned = true, + fenceiGenAsAJump = false + ), + new MmuPlugin( + ioRange = (x => x(31)) + ), + new YamlPlugin("cpu0.yaml") + ) + ) + + SpinalConfig().addStandardMemBlackboxing(blackboxAllWhatsYouCan).generateVerilog(new VexRiscv(linuxConfig).setDefinitionName("VexRiscvMsuI4D4")) +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/SynthesisBench.scala b/VexRiscv/src/main/scala/vexriscv/demo/SynthesisBench.scala new file mode 100644 index 0000000..6a044ea --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/SynthesisBench.scala @@ -0,0 +1,500 @@ +package vexriscv.demo + +import spinal.core._ +import spinal.lib._ +import spinal.lib.eda.bench._ +import spinal.lib.eda.icestorm.IcestormStdTargets +import spinal.lib.eda.xilinx.VivadoFlow +import spinal.lib.io.InOutWrapper +import vexriscv.demo.smp.VexRiscvSmpClusterGen +import vexriscv.plugin.CsrAccess.{READ_ONLY, READ_WRITE, WRITE_ONLY} +import vexriscv.{VexRiscv, VexRiscvConfig, plugin} +import vexriscv.plugin.{BranchPlugin, CsrPlugin, CsrPluginConfig, DBusSimplePlugin, DecoderSimplePlugin, FullBarrelShifterPlugin, HazardSimplePlugin, IBusSimplePlugin, IntAluPlugin, LightShifterPlugin, NONE, RegFilePlugin, SrcPlugin, YamlPlugin} + +import scala.collection.mutable.ArrayBuffer +import scala.util.Random + +/** + * Created by PIC32F_USER on 16/07/2017. + */ +object VexRiscvSynthesisBench { + def main(args: Array[String]) { + + def wrap(that : => Component) : Component = that +// def wrap(that : => Component) : Component = { +// val c = that +// c.getAllIo.foreach(io => KeepAttribute(io.asDirectionLess())) +// c +// } +// Wrap with input/output registers +// def wrap(that : => Component) : Component = { +// //new WrapWithReg.Wrapper(that) +// val c = that +// c.rework { +// for (e <- c.getOrdredNodeIo) { +// if (e.isInput) { +// e.asDirectionLess() +// e := RegNext(RegNext(in(cloneOf(e)))) +// +// } else { +// e.asDirectionLess() +// out(cloneOf(e)) := RegNext(RegNext(e)) +// } +// } +// } +// c +// } + + // Wrap to do a decoding bench +// def wrap(that : => VexRiscv) : VexRiscv = { +// val top = that +// top.service(classOf[DecoderSimplePlugin]).bench(top) +// top +// } + + val twoStage = new Rtl { + override def getName(): String = "VexRiscv two stages" + override def getRtlPath(): String = "VexRiscvTwoStages.v" + SpinalVerilog(wrap(GenTwoThreeStage.cpu( + withMulDiv = false, + bypass = false, + barrielShifter = false, + withMemoryStage = false + )).setDefinitionName(getRtlPath().split("\\.").head)) + } + val twoStageBarell = new Rtl { + override def getName(): String = "VexRiscv two stages with barriel" + override def getRtlPath(): String = "VexRiscvTwoStagesBar.v" + SpinalVerilog(wrap(GenTwoThreeStage.cpu( + withMulDiv = false, + bypass = true, + barrielShifter = true, + withMemoryStage = false + )).setDefinitionName(getRtlPath().split("\\.").head)) + } + val twoStageMulDiv = new Rtl { + override def getName(): String = "VexRiscv two stages with Mul Div" + override def getRtlPath(): String = "VexRiscvTwoStagesMD.v" + SpinalVerilog(wrap(GenTwoThreeStage.cpu( + withMulDiv = true, + bypass = false, + barrielShifter = false, + withMemoryStage = false + )).setDefinitionName(getRtlPath().split("\\.").head)) + } + val twoStageAll = new Rtl { + override def getName(): String = "VexRiscv two stages with Mul Div fast" + override def getRtlPath(): String = "VexRiscvTwoStagesMDfast.v" + SpinalVerilog(wrap(GenTwoThreeStage.cpu( + withMulDiv = true, + bypass = true, + barrielShifter = true, + withMemoryStage = false + )).setDefinitionName(getRtlPath().split("\\.").head)) + } + + + val threeStage = new Rtl { + override def getName(): String = "VexRiscv three stages" + override def getRtlPath(): String = "VexRiscvThreeStages.v" + SpinalVerilog(wrap(GenTwoThreeStage.cpu( + withMulDiv = false, + bypass = false, + barrielShifter = false, + withMemoryStage = true + )).setDefinitionName(getRtlPath().split("\\.").head)) + } + val threeStageBarell = new Rtl { + override def getName(): String = "VexRiscv three stages with barriel" + override def getRtlPath(): String = "VexRiscvThreeStagesBar.v" + SpinalVerilog(wrap(GenTwoThreeStage.cpu( + withMulDiv = false, + bypass = true, + barrielShifter = true, + withMemoryStage = true + )).setDefinitionName(getRtlPath().split("\\.").head)) + } + val threeStageMulDiv = new Rtl { + override def getName(): String = "VexRiscv three stages with Mul Div" + override def getRtlPath(): String = "VexRiscvThreeStagesMD.v" + SpinalVerilog(wrap(GenTwoThreeStage.cpu( + withMulDiv = true, + bypass = false, + barrielShifter = false, + withMemoryStage = true + )).setDefinitionName(getRtlPath().split("\\.").head)) + } + val threeStageAll = new Rtl { + override def getName(): String = "VexRiscv three stages with Mul Div fast" + override def getRtlPath(): String = "VexRiscvThreeStagesMDfast.v" + SpinalVerilog(wrap(GenTwoThreeStage.cpu( + withMulDiv = true, + bypass = true, + barrielShifter = true, + withMemoryStage = true + )).setDefinitionName(getRtlPath().split("\\.").head)) + } + + val smallestNoCsr = new Rtl { + override def getName(): String = "VexRiscv smallest no CSR" + override def getRtlPath(): String = "VexRiscvSmallestNoCsr.v" + SpinalVerilog(wrap(GenSmallestNoCsr.cpu()).setDefinitionName(getRtlPath().split("\\.").head)) + } + + val smallest = new Rtl { + override def getName(): String = "VexRiscv smallest" + override def getRtlPath(): String = "VexRiscvSmallest.v" + SpinalVerilog(wrap(GenSmallest.cpu()).setDefinitionName(getRtlPath().split("\\.").head)) + } + + val smallAndProductive = new Rtl { + override def getName(): String = "VexRiscv small and productive" + override def getRtlPath(): String = "VexRiscvSmallAndProductive.v" + SpinalVerilog(wrap(GenSmallAndProductive.cpu()).setDefinitionName(getRtlPath().split("\\.").head)) + } + + val smallAndProductiveWithICache = new Rtl { + override def getName(): String = "VexRiscv small and productive with instruction cache" + override def getRtlPath(): String = "VexRiscvSmallAndProductiveICache.v" + SpinalVerilog(wrap(GenSmallAndProductiveICache.cpu()).setDefinitionName(getRtlPath().split("\\.").head)) + } + + val fullNoMmuNoCache = new Rtl { + override def getName(): String = "VexRiscv full no MMU no cache" + override def getRtlPath(): String = "VexRiscvFullNoMmuNoCache.v" + SpinalVerilog(wrap(GenFullNoMmuNoCache.cpu()).setDefinitionName(getRtlPath().split("\\.").head)) + } + val fullNoMmu = new Rtl { + override def getName(): String = "VexRiscv full no MMU" + override def getRtlPath(): String = "VexRiscvFullNoMmu.v" + SpinalVerilog(wrap(GenFullNoMmu.cpu()).setDefinitionName(getRtlPath().split("\\.").head)) + } + + val noCacheNoMmuMaxPerf= new Rtl { + override def getName(): String = "VexRiscv no cache no MMU max perf" + override def getRtlPath(): String = "VexRiscvNoCacheNoMmuMaxPerf.v" + SpinalVerilog(wrap(GenNoCacheNoMmuMaxPerf.cpu()).setDefinitionName(getRtlPath().split("\\.").head)) + } + + val fullNoMmuMaxPerf= new Rtl { + override def getName(): String = "VexRiscv full no MMU max perf" + override def getRtlPath(): String = "VexRiscvFullNoMmuMaxPerf.v" + SpinalVerilog(wrap(GenFullNoMmuMaxPerf.cpu()).setDefinitionName(getRtlPath().split("\\.").head)) + } + + val full = new Rtl { + override def getName(): String = "VexRiscv full with MMU" + override def getRtlPath(): String = "VexRiscvFull.v" + SpinalVerilog(wrap(GenFull.cpu()).setDefinitionName(getRtlPath().split("\\.").head)) + } + + + val linuxBalanced = new Rtl { + override def getName(): String = "VexRiscv linux balanced" + override def getRtlPath(): String = "VexRiscvLinuxBalanced.v" + SpinalConfig(inlineRom = true).generateVerilog(wrap(new VexRiscv(LinuxGen.configFull(false, true))).setDefinitionName(getRtlPath().split("\\.").head)) + } + + val linuxBalancedSmp = new Rtl { + override def getName(): String = "VexRiscv linux balanced SMP" + override def getRtlPath(): String = "VexRiscvLinuxBalancedSmp.v" + SpinalConfig(inlineRom = true).generateVerilog(wrap(new VexRiscv(LinuxGen.configFull(false, true, withSmp = true))).setDefinitionName(getRtlPath().split("\\.").head)) + } + + val linuxFpuSmp = new Rtl { + override def getName(): String = "VexRiscv linux Fpu SMP" + override def getRtlPath(): String = "VexRiscvLinuxFpuSmp.v" + SpinalConfig(inlineRom = true).generateVerilog(wrap(new VexRiscv( + VexRiscvSmpClusterGen.vexRiscvConfig( + hartId = 0, + ioRange = _ (31 downto 28) === 0xF, + resetVector = 0x80000000l, + iBusWidth = 64, + dBusWidth = 64, + loadStoreWidth = 64, + iCacheSize = 4096*2, + dCacheSize = 4096*2, + iCacheWays = 2, + dCacheWays = 2, + withFloat = true, + withDouble = true, + externalFpu = false, + simHalt = true + ))).setDefinitionName(getRtlPath().split("\\.").head)) + } + + val linuxFpuSmpNoDecoder = new Rtl { + override def getName(): String = "VexRiscv linux Fpu SMP without decoder" + override def getRtlPath(): String = "VexRiscvLinuxFpuSmpNoDecoder.v" + SpinalConfig(inlineRom = true).generateVerilog(wrap(new VexRiscv( + VexRiscvSmpClusterGen.vexRiscvConfig( + hartId = 0, + ioRange = _ (31 downto 28) === 0xF, + resetVector = 0x80000000l, + iBusWidth = 64, + dBusWidth = 64, + loadStoreWidth = 64, + iCacheSize = 4096*2, + dCacheSize = 4096*2, + iCacheWays = 2, + dCacheWays = 2, + withFloat = true, + withDouble = true, + externalFpu = false, + simHalt = true, + decoderIsolationBench = true + ))).setDefinitionName(getRtlPath().split("\\.").head)) + } + + val linuxFpuSmpStupidDecoder = new Rtl { + override def getName(): String = "VexRiscv linux Fpu SMP stupid decoder" + override def getRtlPath(): String = "VexRiscvLinuxFpuSmpStupidDecoder.v" + SpinalConfig(inlineRom = true).generateVerilog(wrap(new VexRiscv( + VexRiscvSmpClusterGen.vexRiscvConfig( + hartId = 0, + ioRange = _ (31 downto 28) === 0xF, + resetVector = 0x80000000l, + iBusWidth = 64, + dBusWidth = 64, + loadStoreWidth = 64, + iCacheSize = 4096*2, + dCacheSize = 4096*2, + iCacheWays = 2, + dCacheWays = 2, + withFloat = true, + withDouble = true, + externalFpu = false, + simHalt = true, + decoderStupid = true + ))).setDefinitionName(getRtlPath().split("\\.").head)) + } + + + + val rtls = List( +// linuxFpuSmp, linuxFpuSmpNoDecoder, linuxFpuSmpStupidDecoder + twoStage, twoStageBarell, twoStageMulDiv, twoStageAll, + threeStage, threeStageBarell, threeStageMulDiv, threeStageAll, + smallestNoCsr, smallest, smallAndProductive, smallAndProductiveWithICache, fullNoMmuNoCache, noCacheNoMmuMaxPerf, fullNoMmuMaxPerf, fullNoMmu, full, linuxBalanced, linuxBalancedSmp + ) +// val rtls = List(linuxBalanced, linuxBalancedSmp) +// val rtls = List(smallest) + val targets = XilinxStdTargets() ++ AlteraStdTargets() ++ IcestormStdTargets().take(1) ++ List( + new Target { + override def getFamilyName(): String = "Kintex UltraScale" + override def synthesise(rtl: Rtl, workspace: String): Report = { + VivadoFlow( + frequencyTarget = 50 MHz, + vivadoPath=sys.env.getOrElse("VIVADO_ARTIX_7_BIN", null), + workspacePath=workspace + "_area", + rtl=rtl, + family=getFamilyName(), + device="xcku035-fbva900-3-e" + ) + } + }, + new Target { + override def getFamilyName(): String = "Kintex UltraScale" + override def synthesise(rtl: Rtl, workspace: String): Report = { + VivadoFlow( + frequencyTarget = 800 MHz, + vivadoPath=sys.env.getOrElse("VIVADO_ARTIX_7_BIN", null), + workspacePath=workspace + "_fmax", + rtl=rtl, + family=getFamilyName(), + device="xcku035-fbva900-3-e" + ) + } + }, + new Target { + override def getFamilyName(): String = "Kintex UltraScale+" + override def synthesise(rtl: Rtl, workspace: String): Report = { + VivadoFlow( + frequencyTarget = 50 MHz, + vivadoPath=sys.env.getOrElse("VIVADO_ARTIX_7_BIN", null), + workspacePath=workspace + "_area", + rtl=rtl, + family=getFamilyName(), + device="xcku3p-ffvd900-3-e" + ) + } + }, + new Target { + override def getFamilyName(): String = "Kintex UltraScale+" + override def synthesise(rtl: Rtl, workspace: String): Report = { + VivadoFlow( + frequencyTarget = 800 MHz, + vivadoPath=sys.env.getOrElse("VIVADO_ARTIX_7_BIN", null), + workspacePath=workspace + "_fmax", + rtl=rtl, + family=getFamilyName(), + device="xcku3p-ffvd900-3-e" + ) + } + } + ) + // val targets = IcestormStdTargets() + Bench(rtls, targets) + } +} + + +object BrieySynthesisBench { + def main(args: Array[String]) { + val briey = new Rtl { + override def getName(): String = "Briey" + override def getRtlPath(): String = "Briey.v" + SpinalVerilog({ + val briey = InOutWrapper(new Briey(BrieyConfig.default).setDefinitionName(getRtlPath().split("\\.").head)) + briey.io.axiClk.setName("clk") + briey + }) + } + + + val rtls = List(briey) + + val targets = XilinxStdTargets() ++ AlteraStdTargets() ++ IcestormStdTargets().take(1) + + Bench(rtls, targets) + } +} + + + + +object MuraxSynthesisBench { + def main(args: Array[String]) { + val murax = new Rtl { + override def getName(): String = "Murax" + override def getRtlPath(): String = "Murax.v" + SpinalVerilog({ + val murax = InOutWrapper(new Murax(MuraxConfig.default.copy(gpioWidth = 8)).setDefinitionName(getRtlPath().split("\\.").head)) + murax.io.mainClk.setName("clk") + murax + }) + } + + + val muraxFast = new Rtl { + override def getName(): String = "MuraxFast" + override def getRtlPath(): String = "MuraxFast.v" + SpinalVerilog({ + val murax = InOutWrapper(new Murax(MuraxConfig.fast.copy(gpioWidth = 8)).setDefinitionName(getRtlPath().split("\\.").head)) + murax.io.mainClk.setName("clk") + murax + }) + } + + val rtls = List(murax, muraxFast) + + val targets = XilinxStdTargets() ++ AlteraStdTargets() ++ IcestormStdTargets().take(1) + + Bench(rtls, targets) + } +} + +object AllSynthesisBench { + def main(args: Array[String]): Unit = { + VexRiscvSynthesisBench.main(args) + BrieySynthesisBench.main(args) + MuraxSynthesisBench.main(args) + + } +} + + + +object VexRiscvCustomSynthesisBench { + def main(args: Array[String]) { + + + def gen(csr : CsrPlugin) = new VexRiscv( + config = VexRiscvConfig( + plugins = List( + new IBusSimplePlugin( + resetVector = 0x80000000l, + cmdForkOnSecondStage = false, + cmdForkPersistence = false, + prediction = NONE, + catchAccessFault = false, + compressedGen = false + ), + new DBusSimplePlugin( + catchAddressMisaligned = false, + catchAccessFault = false + ), + new DecoderSimplePlugin( + catchIllegalInstruction = false + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = true + ), + csr, + new FullBarrelShifterPlugin(), + new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = false + ), + new YamlPlugin("cpu0.yaml") + ) + ) + ) + + + val fixedMtvec = new Rtl { + override def getName(): String = "Fixed MTVEC" + override def getRtlPath(): String = "fixedMtvec.v" + SpinalVerilog(gen(new CsrPlugin(CsrPluginConfig.smallest(0x80000000l))).setDefinitionName(getRtlPath().split("\\.").head)) + } + + val writeOnlyMtvec = new Rtl { + override def getName(): String = "write only MTVEC" + override def getRtlPath(): String = "woMtvec.v" + SpinalVerilog(gen(new CsrPlugin(CsrPluginConfig.smallest(null).copy(mtvecAccess = WRITE_ONLY))).setDefinitionName(getRtlPath().split("\\.").head)) + } + + val readWriteMtvec = new Rtl { + override def getName(): String = "read write MTVEC" + override def getRtlPath(): String = "wrMtvec.v" + SpinalVerilog(gen(new CsrPlugin(CsrPluginConfig.smallest(null).copy(mtvecAccess = READ_WRITE))).setDefinitionName(getRtlPath().split("\\.").head)) + } + + val fixedMtvecRoCounter = new Rtl { + override def getName(): String = "Fixed MTVEC, read only mcycle/minstret" + override def getRtlPath(): String = "fixedMtvecRoCounter.v" + SpinalVerilog(gen(new CsrPlugin(CsrPluginConfig.smallest(0x80000000l).copy(mcycleAccess = READ_ONLY, minstretAccess = READ_ONLY))).setDefinitionName(getRtlPath().split("\\.").head)) + } + + + val rwMtvecRoCounter = new Rtl { + override def getName(): String = "read write MTVEC, read only mcycle/minstret" + override def getRtlPath(): String = "readWriteMtvecRoCounter.v" + SpinalVerilog(gen(new CsrPlugin(CsrPluginConfig.smallest(null).copy(mtvecAccess = READ_WRITE, mcycleAccess = READ_ONLY, minstretAccess = READ_ONLY))).setDefinitionName(getRtlPath().split("\\.").head)) + } + + + // val rtls = List(twoStage, twoStageBarell, twoStageMulDiv, twoStageAll, smallestNoCsr, smallest, smallAndProductive, smallAndProductiveWithICache, fullNoMmuNoCache, noCacheNoMmuMaxPerf, fullNoMmuMaxPerf, fullNoMmu, full, linuxBalanced, linuxBalancedSmp) + val rtls = List(fixedMtvec, writeOnlyMtvec, readWriteMtvec,fixedMtvecRoCounter, rwMtvecRoCounter) + // val rtls = List(smallest) + val targets = XilinxStdTargets() ++ AlteraStdTargets() ++ IcestormStdTargets().take(1) + + // val targets = IcestormStdTargets() + Bench(rtls, targets) + } +} \ No newline at end of file diff --git a/VexRiscv/src/main/scala/vexriscv/demo/VexRiscvAhbLite3.scala b/VexRiscv/src/main/scala/vexriscv/demo/VexRiscvAhbLite3.scala new file mode 100644 index 0000000..f817fb3 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/VexRiscvAhbLite3.scala @@ -0,0 +1,180 @@ +package vexriscv.demo + + +import spinal.core._ +import spinal.lib._ +import spinal.lib.bus.avalon.AvalonMM +import spinal.lib.com.jtag.{Jtag, JtagTapInstructionCtrl} +import spinal.lib.eda.altera.{InterruptReceiverTag, QSysify, ResetEmitterTag} +import vexriscv.ip.{DataCacheConfig, InstructionCacheConfig} +import vexriscv.plugin._ +import vexriscv.{VexRiscv, VexRiscvConfig, plugin} + +/** + * Created by spinalvm on 14.07.17. + */ +//class VexRiscvAvalon(debugClockDomain : ClockDomain) extends Component{ +// +//} + +//make clean run DBUS=SIMPLE_AHBLITE3 IBUS=SIMPLE_AHBLITE3 MMU=no CSR=no DEBUG_PLUGIN=STD + +object VexRiscvAhbLite3{ + def main(args: Array[String]) { + val report = SpinalConfig(mode = if(args.contains("--vhdl")) VHDL else Verilog).generate{ + + //CPU configuration + val cpuConfig = VexRiscvConfig( + plugins = List( + new IBusSimplePlugin( + resetVector = 0x80000000l, + cmdForkOnSecondStage = false, + cmdForkPersistence = true, + prediction = STATIC, + catchAccessFault = false, + compressedGen = false + ), + new DBusSimplePlugin( + catchAddressMisaligned = false, + catchAccessFault = false + ), +// new IBusCachedPlugin( +// config = InstructionCacheConfig( +// cacheSize = 4096, +// bytePerLine =32, +// wayCount = 1, +// addressWidth = 32, +// cpuDataWidth = 32, +// memDataWidth = 32, +// catchIllegalAccess = true, +// catchAccessFault = true, +// catchMemoryTranslationMiss = true, +// asyncTagMemory = false, +// twoCycleRam = true +// ) +// // askMemoryTranslation = true, +// // memoryTranslatorPortConfig = MemoryTranslatorPortConfig( +// // portTlbSize = 4 +// // ) +// ), +// new DBusCachedPlugin( +// config = new DataCacheConfig( +// cacheSize = 4096, +// bytePerLine = 32, +// wayCount = 1, +// addressWidth = 32, +// cpuDataWidth = 32, +// memDataWidth = 32, +// catchAccessError = true, +// catchIllegal = true, +// catchUnaligned = true, +// catchMemoryTranslationMiss = true +// ), +// memoryTranslatorPortConfig = null +// // memoryTranslatorPortConfig = MemoryTranslatorPortConfig( +// // portTlbSize = 6 +// // ) +// ), + new StaticMemoryTranslatorPlugin( + ioRange = _(31 downto 28) === 0xF + ), + new DecoderSimplePlugin( + catchIllegalInstruction = true + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = true + ), + new FullBarrelShifterPlugin, + new MulPlugin, + new DivPlugin, + new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new DebugPlugin(ClockDomain.current.clone(reset = Bool().setName("debugReset"))), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = true + ), + new CsrPlugin( + config = CsrPluginConfig( + catchIllegalAccess = false, + mvendorid = null, + marchid = null, + mimpid = null, + mhartid = null, + misaExtensionsInit = 66, + misaAccess = CsrAccess.NONE, + mtvecAccess = CsrAccess.NONE, + mtvecInit = 0x00000020l, + mepcAccess = CsrAccess.READ_WRITE, + mscratchGen = false, + mcauseAccess = CsrAccess.READ_ONLY, + mbadaddrAccess = CsrAccess.READ_ONLY, + mcycleAccess = CsrAccess.NONE, + minstretAccess = CsrAccess.NONE, + ecallGen = false, + wfiGenAsWait = false, + ucycleAccess = CsrAccess.NONE, + uinstretAccess = CsrAccess.NONE + ) + ), + new YamlPlugin("cpu0.yaml") + ) + ) + + //CPU instanciation + val cpu = new VexRiscv(cpuConfig) + + //CPU modifications to be an AhbLite3 one + cpu.rework { + for (plugin <- cpuConfig.plugins) plugin match { + case plugin: IBusSimplePlugin => { + plugin.iBus.setAsDirectionLess() //Unset IO properties of iBus + master(plugin.iBus.toAhbLite3Master()).setName("iBusAhbLite3") + } + case plugin: DBusSimplePlugin => { + plugin.dBus.setAsDirectionLess() + master(plugin.dBus.toAhbLite3Master(avoidWriteToReadHazard = true)).setName("dBusAhbLite3") + } +// case plugin: IBusCachedPlugin => { +// plugin.iBus.setAsDirectionLess() //Unset IO properties of iBus +// iBus = master(plugin.iBus.toAvalon()) +// .setName("iBusAvalon") +// .addTag(ClockDomainTag(ClockDomain.current)) //Specify a clock domain to the iBus (used by QSysify) +// } +// case plugin: DBusCachedPlugin => { +// plugin.dBus.setAsDirectionLess() +// master(plugin.dBus.toAvalon()) +// .setName("dBusAvalon") +// .addTag(ClockDomainTag(ClockDomain.current)) +// } + case plugin: DebugPlugin if args.contains("--jtag")=> plugin.debugClockDomain { + plugin.io.bus.setAsDirectionLess() + val jtag = slave(new Jtag()).setName("jtag") + jtag <> plugin.io.bus.fromJtag() + +// // On Artix FPGA jtag : +// val jtagCtrl = JtagTapInstructionCtrl() +// val tap = jtagCtrl.fromXilinxBscane2(userId = 1) +// jtagCtrl <> plugin.io.bus.fromJtagInstructionCtrl(ClockDomain(tap.TCK)) + } + case _ => + } + } + cpu + } + } +} + diff --git a/VexRiscv/src/main/scala/vexriscv/demo/VexRiscvAvalonForSim.scala b/VexRiscv/src/main/scala/vexriscv/demo/VexRiscvAvalonForSim.scala new file mode 100644 index 0000000..b2c3f69 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/VexRiscvAvalonForSim.scala @@ -0,0 +1,196 @@ +package vexriscv.demo + +import vexriscv.plugin._ +import vexriscv.{VexRiscv, plugin, VexRiscvConfig} +import vexriscv.ip.{DataCacheConfig, InstructionCacheConfig} +import spinal.core._ +import spinal.lib._ +import spinal.lib.bus.amba3.apb.Apb3 +import spinal.lib.bus.amba4.axi.{Axi4Shared, Axi4ReadOnly} +import spinal.lib.bus.avalon.AvalonMM +import spinal.lib.eda.altera.{ResetEmitterTag, InterruptReceiverTag, QSysify} + +/** + * Created by spinalvm on 14.07.17. + */ +//class VexRiscvAvalon(debugClockDomain : ClockDomain) extends Component{ +// +//} + +//make clean run DBUS=CACHED_AVALON IBUS=CACHED_AVALON MMU=no CSR=no DEBUG_PLUGIN=AVALON + +object VexRiscvAvalonForSim{ + def main(args: Array[String]) { + val report = SpinalVerilog{ + + //CPU configuration + val cpuConfig = VexRiscvConfig( + plugins = List( + /* new IBusSimplePlugin( + resetVector = 0x00000000l, + cmdForkOnSecondStage = false, + cmdForkPersistence = false, + prediction = STATIC, + catchAccessFault = false, + compressedGen = false + ), + new DBusSimplePlugin( + catchAddressMisaligned = false, + catchAccessFault = false + ),*/ + new IBusCachedPlugin( + config = InstructionCacheConfig( + cacheSize = 4096, + bytePerLine =32, + wayCount = 1, + addressWidth = 32, + cpuDataWidth = 32, + memDataWidth = 32, + catchIllegalAccess = true, + catchAccessFault = true, + asyncTagMemory = false, + twoCycleRam = true + ) + // askMemoryTranslation = true, + // memoryTranslatorPortConfig = MemoryTranslatorPortConfig( + // portTlbSize = 4 + // ) + ), + new DBusCachedPlugin( + config = new DataCacheConfig( + cacheSize = 4096, + bytePerLine = 32, + wayCount = 1, + addressWidth = 32, + cpuDataWidth = 32, + memDataWidth = 32, + catchAccessError = true, + catchIllegal = true, + catchUnaligned = true + ), + memoryTranslatorPortConfig = null + // memoryTranslatorPortConfig = MemoryTranslatorPortConfig( + // portTlbSize = 6 + // ) + ), + new StaticMemoryTranslatorPlugin( + ioRange = _(31 downto 28) === 0xF + ), + new DecoderSimplePlugin( + catchIllegalInstruction = true + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = true + ), + new FullBarrelShifterPlugin, + new MulPlugin, + new DivPlugin, + new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new DebugPlugin(ClockDomain.current.clone(reset = Bool().setName("debugReset"))), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = true + ), + new CsrPlugin( + config = CsrPluginConfig( + catchIllegalAccess = false, + mvendorid = null, + marchid = null, + mimpid = null, + mhartid = null, + misaExtensionsInit = 66, + misaAccess = CsrAccess.NONE, + mtvecAccess = CsrAccess.NONE, + mtvecInit = 0x00000020l, + mepcAccess = CsrAccess.READ_WRITE, + mscratchGen = false, + mcauseAccess = CsrAccess.READ_ONLY, + mbadaddrAccess = CsrAccess.READ_ONLY, + mcycleAccess = CsrAccess.NONE, + minstretAccess = CsrAccess.NONE, + ecallGen = false, + wfiGenAsWait = false, + ucycleAccess = CsrAccess.NONE, + uinstretAccess = CsrAccess.NONE + ) + ), + new YamlPlugin("cpu0.yaml") + ) + ) + + //CPU instanciation + val cpu = new VexRiscv(cpuConfig) + + //CPU modifications to be an Avalon one + //cpu.setDefinitionName("VexRiscvAvalon") + cpu.rework { + var iBus : AvalonMM = null + for (plugin <- cpuConfig.plugins) plugin match { + case plugin: IBusSimplePlugin => { + plugin.iBus.setAsDirectionLess() //Unset IO properties of iBus + iBus = master(plugin.iBus.toAvalon()) + .setName("iBusAvalon") + .addTag(ClockDomainTag(ClockDomain.current)) //Specify a clock domain to the iBus (used by QSysify) + } + case plugin: IBusCachedPlugin => { + plugin.iBus.setAsDirectionLess() //Unset IO properties of iBus + iBus = master(plugin.iBus.toAvalon()) + .setName("iBusAvalon") + .addTag(ClockDomainTag(ClockDomain.current)) //Specify a clock domain to the iBus (used by QSysify) + } + case plugin: DBusSimplePlugin => { + plugin.dBus.setAsDirectionLess() + master(plugin.dBus.toAvalon()) + .setName("dBusAvalon") + .addTag(ClockDomainTag(ClockDomain.current)) + } + case plugin: DBusCachedPlugin => { + plugin.dBus.setAsDirectionLess() + master(plugin.dBus.toAvalon()) + .setName("dBusAvalon") + .addTag(ClockDomainTag(ClockDomain.current)) + } + case plugin: DebugPlugin => plugin.debugClockDomain { + plugin.io.bus.setAsDirectionLess() + slave(plugin.io.bus.fromAvalon()) + .setName("debugBusAvalon") + .addTag(ClockDomainTag(plugin.debugClockDomain)) + .parent = null //Avoid the io bundle to be interpreted as a QSys conduit + plugin.io.resetOut + .addTag(ResetEmitterTag(plugin.debugClockDomain)) + .parent = null //Avoid the io bundle to be interpreted as a QSys conduit + } + case _ => + } + for (plugin <- cpuConfig.plugins) plugin match { + case plugin: CsrPlugin => { + plugin.externalInterrupt + .addTag(InterruptReceiverTag(iBus, ClockDomain.current)) + plugin.timerInterrupt + .addTag(InterruptReceiverTag(iBus, ClockDomain.current)) + } + case _ => + } + } + cpu + } + + //Generate the QSys TCL script to integrate the CPU + QSysify(report.toplevel) + } +} + diff --git a/VexRiscv/src/main/scala/vexriscv/demo/VexRiscvAvalonWithIntegratedJtag.scala b/VexRiscv/src/main/scala/vexriscv/demo/VexRiscvAvalonWithIntegratedJtag.scala new file mode 100644 index 0000000..063d945 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/VexRiscvAvalonWithIntegratedJtag.scala @@ -0,0 +1,191 @@ +package vexriscv.demo + +import spinal.core._ +import spinal.lib._ +import spinal.lib.bus.avalon.AvalonMM +import spinal.lib.com.jtag.Jtag +import spinal.lib.eda.altera.{InterruptReceiverTag, QSysify, ResetEmitterTag} +import vexriscv.ip.{DataCacheConfig, InstructionCacheConfig} +import vexriscv.plugin._ +import vexriscv.{VexRiscv, VexRiscvConfig, plugin} + +/** + * Created by spinalvm on 14.07.17. + */ +//class VexRiscvAvalon(debugClockDomain : ClockDomain) extends Component{ +// +//} + + +object VexRiscvAvalonWithIntegratedJtag{ + def main(args: Array[String]) { + val report = SpinalVerilog{ + + //CPU configuration + val cpuConfig = VexRiscvConfig( + plugins = List( + new PcManagerSimplePlugin(0x00000000l, false), +// new IBusSimplePlugin( +// interfaceKeepData = false, +// catchAccessFault = false +// ), +// new DBusSimplePlugin( +// catchAddressMisaligned = false, +// catchAccessFault = false +// ), + new IBusCachedPlugin( + prediction = STATIC, + config = InstructionCacheConfig( + cacheSize = 4096, + bytePerLine =32, + wayCount = 1, + addressWidth = 32, + cpuDataWidth = 32, + memDataWidth = 32, + catchIllegalAccess = true, + catchAccessFault = true, + asyncTagMemory = false, + twoCycleRam = true, + twoCycleCache = true + ) + // askMemoryTranslation = true, + // memoryTranslatorPortConfig = MemoryTranslatorPortConfig( + // portTlbSize = 4 + // ) + ), + new DBusCachedPlugin( + config = new DataCacheConfig( + cacheSize = 4096, + bytePerLine = 32, + wayCount = 1, + addressWidth = 32, + cpuDataWidth = 32, + memDataWidth = 32, + catchAccessError = true, + catchIllegal = true, + catchUnaligned = true + ), + memoryTranslatorPortConfig = null + // memoryTranslatorPortConfig = MemoryTranslatorPortConfig( + // portTlbSize = 6 + // ) + ), + new StaticMemoryTranslatorPlugin( + ioRange = _(31 downto 28) === 0xF + ), + new DecoderSimplePlugin( + catchIllegalInstruction = true + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = true + ), + new FullBarrelShifterPlugin, + new MulPlugin, + new DivPlugin, + new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new DebugPlugin(ClockDomain.current.clone(reset = Bool().setName("debugReset"))), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = true + ), + new CsrPlugin( + config = CsrPluginConfig( + catchIllegalAccess = false, + mvendorid = null, + marchid = null, + mimpid = null, + mhartid = null, + misaExtensionsInit = 66, + misaAccess = CsrAccess.NONE, + mtvecAccess = CsrAccess.NONE, + mtvecInit = 0x00000020l, + mepcAccess = CsrAccess.READ_WRITE, + mscratchGen = false, + mcauseAccess = CsrAccess.READ_ONLY, + mbadaddrAccess = CsrAccess.READ_ONLY, + mcycleAccess = CsrAccess.NONE, + minstretAccess = CsrAccess.NONE, + ecallGen = false, + wfiGenAsWait = false, + ucycleAccess = CsrAccess.NONE, + uinstretAccess = CsrAccess.NONE + ) + ), + new YamlPlugin("cpu0.yaml") + ) + ) + + //CPU instanciation + val cpu = new VexRiscv(cpuConfig) + + //CPU modifications to be an Avalon one + cpu.setDefinitionName("VexRiscvAvalon") + cpu.rework { + var iBus : AvalonMM = null + for (plugin <- cpuConfig.plugins) plugin match { + case plugin: IBusSimplePlugin => { + plugin.iBus.setAsDirectionLess() //Unset IO properties of iBus + iBus = master(plugin.iBus.toAvalon()) + .setName("iBusAvalon") + .addTag(ClockDomainTag(ClockDomain.current)) //Specify a clock domain to the iBus (used by QSysify) + } + case plugin: IBusCachedPlugin => { + plugin.iBus.setAsDirectionLess() //Unset IO properties of iBus + iBus = master(plugin.iBus.toAvalon()) + .setName("iBusAvalon") + .addTag(ClockDomainTag(ClockDomain.current)) //Specify a clock domain to the iBus (used by QSysify) + } + case plugin: DBusSimplePlugin => { + plugin.dBus.setAsDirectionLess() + master(plugin.dBus.toAvalon()) + .setName("dBusAvalon") + .addTag(ClockDomainTag(ClockDomain.current)) + } + case plugin: DBusCachedPlugin => { + plugin.dBus.setAsDirectionLess() + master(plugin.dBus.toAvalon()) + .setName("dBusAvalon") + .addTag(ClockDomainTag(ClockDomain.current)) + } + case plugin: DebugPlugin => plugin.debugClockDomain { + plugin.io.bus.setAsDirectionLess() + val jtag = slave(new Jtag()) + .setName("jtag") + jtag <> plugin.io.bus.fromJtag() + plugin.io.resetOut + .addTag(ResetEmitterTag(plugin.debugClockDomain)) + .parent = null //Avoid the io bundle to be interpreted as a QSys conduit + } + case _ => + } + for (plugin <- cpuConfig.plugins) plugin match { + case plugin: CsrPlugin => { + plugin.externalInterrupt + .addTag(InterruptReceiverTag(iBus, ClockDomain.current)) + plugin.timerInterrupt + .addTag(InterruptReceiverTag(iBus, ClockDomain.current)) + } + case _ => + } + } + cpu + } + + //Generate the QSys TCL script to integrate the CPU + QSysify(report.toplevel) + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/VexRiscvAxi4WithIntegratedJtag.scala b/VexRiscv/src/main/scala/vexriscv/demo/VexRiscvAxi4WithIntegratedJtag.scala new file mode 100644 index 0000000..67556e9 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/VexRiscvAxi4WithIntegratedJtag.scala @@ -0,0 +1,189 @@ +package vexriscv.demo + +import spinal.core._ +import spinal.lib._ +import spinal.lib.bus.amba4.axi.Axi4ReadOnly +import spinal.lib.bus.avalon.AvalonMM +import spinal.lib.com.jtag.Jtag +import spinal.lib.eda.altera.{InterruptReceiverTag, QSysify, ResetEmitterTag} +import vexriscv.ip.{DataCacheConfig, InstructionCacheConfig} +import vexriscv.plugin._ +import vexriscv.{VexRiscv, VexRiscvConfig, plugin} + +/** + * Created by spinalvm on 14.07.17. + */ +//class VexRiscvAvalon(debugClockDomain : ClockDomain) extends Component{ +// +//} + + +object VexRiscvAxi4WithIntegratedJtag{ + def main(args: Array[String]) { + val report = SpinalVerilog{ + + //CPU configuration + val cpuConfig = VexRiscvConfig( + plugins = List( + new PcManagerSimplePlugin(0x00000000l, false), +// new IBusSimplePlugin( +// interfaceKeepData = false, +// catchAccessFault = false +// ), +// new DBusSimplePlugin( +// catchAddressMisaligned = false, +// catchAccessFault = false +// ), + new IBusCachedPlugin( + prediction = STATIC, + config = InstructionCacheConfig( + cacheSize = 4096, + bytePerLine =32, + wayCount = 1, + addressWidth = 32, + cpuDataWidth = 32, + memDataWidth = 32, + catchIllegalAccess = true, + catchAccessFault = true, + asyncTagMemory = false, + twoCycleRam = true, + twoCycleCache = true + ) + // askMemoryTranslation = true, + // memoryTranslatorPortConfig = MemoryTranslatorPortConfig( + // portTlbSize = 4 + // ) + ), + new DBusCachedPlugin( + config = new DataCacheConfig( + cacheSize = 4096, + bytePerLine = 32, + wayCount = 1, + addressWidth = 32, + cpuDataWidth = 32, + memDataWidth = 32, + catchAccessError = true, + catchIllegal = true, + catchUnaligned = true + ), + memoryTranslatorPortConfig = null + // memoryTranslatorPortConfig = MemoryTranslatorPortConfig( + // portTlbSize = 6 + // ) + ), + new StaticMemoryTranslatorPlugin( + ioRange = _(31 downto 28) === 0xF + ), + new DecoderSimplePlugin( + catchIllegalInstruction = true + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = true + ), + new FullBarrelShifterPlugin, + new MulPlugin, + new DivPlugin, + new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new DebugPlugin(ClockDomain.current.clone(reset = Bool().setName("debugReset"))), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = true + ), + new CsrPlugin( + config = CsrPluginConfig( + catchIllegalAccess = false, + mvendorid = null, + marchid = null, + mimpid = null, + mhartid = null, + misaExtensionsInit = 66, + misaAccess = CsrAccess.NONE, + mtvecAccess = CsrAccess.NONE, + mtvecInit = 0x00000020l, + mepcAccess = CsrAccess.READ_WRITE, + mscratchGen = false, + mcauseAccess = CsrAccess.READ_ONLY, + mbadaddrAccess = CsrAccess.READ_ONLY, + mcycleAccess = CsrAccess.NONE, + minstretAccess = CsrAccess.NONE, + ecallGen = false, + wfiGenAsWait = false, + ucycleAccess = CsrAccess.NONE, + uinstretAccess = CsrAccess.NONE + ) + ), + new YamlPlugin("cpu0.yaml") + ) + ) + + //CPU instanciation + val cpu = new VexRiscv(cpuConfig) + + //CPU modifications to be an Avalon one + cpu.setDefinitionName("VexRiscvAxi4") + cpu.rework { + var iBus : Axi4ReadOnly = null + for (plugin <- cpuConfig.plugins) plugin match { + case plugin: IBusSimplePlugin => { + plugin.iBus.setAsDirectionLess() //Unset IO properties of iBus + iBus = master(plugin.iBus.toAxi4ReadOnly().toFullConfig()) + .setName("iBusAxi") + .addTag(ClockDomainTag(ClockDomain.current)) //Specify a clock domain to the iBus (used by QSysify) + } + case plugin: IBusCachedPlugin => { + plugin.iBus.setAsDirectionLess() //Unset IO properties of iBus + iBus = master(plugin.iBus.toAxi4ReadOnly().toFullConfig()) + .setName("iBusAxi") + .addTag(ClockDomainTag(ClockDomain.current)) //Specify a clock domain to the iBus (used by QSysify) + } + case plugin: DBusSimplePlugin => { + plugin.dBus.setAsDirectionLess() + master(plugin.dBus.toAxi4Shared().toAxi4().toFullConfig()) + .setName("dBusAxi") + .addTag(ClockDomainTag(ClockDomain.current)) + } + case plugin: DBusCachedPlugin => { + plugin.dBus.setAsDirectionLess() + master(plugin.dBus.toAxi4Shared().toAxi4().toFullConfig()) + .setName("dBusAxi") + .addTag(ClockDomainTag(ClockDomain.current)) + } + case plugin: DebugPlugin => plugin.debugClockDomain { + plugin.io.bus.setAsDirectionLess() + val jtag = slave(new Jtag()) + .setName("jtag") + jtag <> plugin.io.bus.fromJtag() + plugin.io.resetOut + .addTag(ResetEmitterTag(plugin.debugClockDomain)) + .parent = null //Avoid the io bundle to be interpreted as a QSys conduit + } + case _ => + } + for (plugin <- cpuConfig.plugins) plugin match { + case plugin: CsrPlugin => { + plugin.externalInterrupt + .addTag(InterruptReceiverTag(iBus, ClockDomain.current)) + plugin.timerInterrupt + .addTag(InterruptReceiverTag(iBus, ClockDomain.current)) + } + case _ => + } + } + cpu + } + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/VexRiscvCachedWishboneForSim.scala b/VexRiscv/src/main/scala/vexriscv/demo/VexRiscvCachedWishboneForSim.scala new file mode 100644 index 0000000..88cad3d --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/VexRiscvCachedWishboneForSim.scala @@ -0,0 +1,144 @@ +package vexriscv.demo + +import spinal.core._ +import spinal.lib._ +import spinal.lib.bus.avalon.AvalonMM +import spinal.lib.eda.altera.{InterruptReceiverTag, QSysify, ResetEmitterTag} +import vexriscv.ip.{DataCacheConfig, InstructionCacheConfig} +import vexriscv.plugin._ +import vexriscv.{VexRiscv, VexRiscvConfig, plugin} + +/** + * Created by spinalvm on 14.07.17. + */ +//class VexRiscvAvalon(debugClockDomain : ClockDomain) extends Component{ +// +//} + + +// make clean run DBUS=CACHED_WISHBONE IBUS=CACHED_WISHBONE MMU=no CSR=no DEBUG_PLUGIN=no +object VexRiscvCachedWishboneForSim{ + def main(args: Array[String]) { + val report = SpinalVerilog{ + + //CPU configuration + val cpuConfig = VexRiscvConfig( + plugins = List( +// new IBusSimplePlugin( +// resetVector = 0x80000000l, +// prediction = STATIC +// ), +// new DBusSimplePlugin( +// catchAddressMisaligned = false, +// catchAccessFault = false +// ), + new IBusCachedPlugin( + resetVector = 0x80000000l, + prediction = STATIC, + config = InstructionCacheConfig( + cacheSize = 4096, + bytePerLine =32, + wayCount = 1, + addressWidth = 32, + cpuDataWidth = 32, + memDataWidth = 32, + catchIllegalAccess = true, + catchAccessFault = true, + asyncTagMemory = false, + twoCycleRam = true + ) + // askMemoryTranslation = true, + // memoryTranslatorPortConfig = MemoryTranslatorPortConfig( + // portTlbSize = 4 + // ) + ), + new DBusCachedPlugin( + config = new DataCacheConfig( + cacheSize = 4096, + bytePerLine = 32, + wayCount = 1, + addressWidth = 32, + cpuDataWidth = 32, + memDataWidth = 32, + catchAccessError = true, + catchIllegal = true, + catchUnaligned = true + ), + dBusCmdMasterPipe = true, //required for wishbone + memoryTranslatorPortConfig = null + // memoryTranslatorPortConfig = MemoryTranslatorPortConfig( + // portTlbSize = 6 + // ) + ), + new StaticMemoryTranslatorPlugin( + ioRange = _(31 downto 28) === 0xF + ), + new DecoderSimplePlugin( + catchIllegalInstruction = true + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = true + ), + new FullBarrelShifterPlugin, + new MulPlugin, + new DivPlugin, + new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), +// new DebugPlugin(ClockDomain.current.clone(reset = Bool().setName("debugReset"))), + new BranchPlugin( + earlyBranch = false, + catchAddressMisaligned = true + ), + new CsrPlugin( + config = CsrPluginConfig.small(mtvecInit = 0x80000020l) + ), + new YamlPlugin("cpu0.yaml") + ) + ) + + //CPU instanciation + val cpu = new VexRiscv(cpuConfig) + + //CPU modifications to be an Avalon one + //cpu.setDefinitionName("VexRiscvAvalon") + cpu.rework { + for (plugin <- cpuConfig.plugins) plugin match { + case plugin: IBusSimplePlugin => { + plugin.iBus.setAsDirectionLess() //Unset IO properties of iBus + master(plugin.iBus.toWishbone()).setName("iBusWishbone") + } + case plugin: IBusCachedPlugin => { + plugin.iBus.setAsDirectionLess() + master(plugin.iBus.toWishbone()).setName("iBusWishbone") + } + case plugin: DBusSimplePlugin => { + plugin.dBus.setAsDirectionLess() + master(plugin.dBus.toWishbone()).setName("dBusWishbone") + } + case plugin: DBusCachedPlugin => { + plugin.dBus.setAsDirectionLess() + master(plugin.dBus.toWishbone()).setName("dBusWishbone") + } + case _ => + } + } + cpu + } + + //Generate the QSys TCL script to integrate the CPU + QSysify(report.toplevel) + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/smp/Misc.scala b/VexRiscv/src/main/scala/vexriscv/demo/smp/Misc.scala new file mode 100644 index 0000000..58bad63 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/smp/Misc.scala @@ -0,0 +1,289 @@ +package vexriscv.demo.smp + + +import spinal.core._ +import spinal.core.fiber._ +import spinal.lib.bus.bmb._ +import spinal.lib.bus.wishbone.{Wishbone, WishboneConfig, WishboneSlaveFactory} +import spinal.lib.com.jtag.Jtag +import spinal.lib._ +import spinal.lib.bus.bmb.sim.{BmbMemoryMultiPort, BmbMemoryTester} +import spinal.lib.bus.misc.{AddressMapping, DefaultMapping, SizeMapping} +import spinal.lib.eda.bench.Bench +import spinal.lib.generator._ +import spinal.lib.misc.Clint +import spinal.lib.sim.{SimData, SparseMemory, StreamDriver, StreamMonitor, StreamReadyRandomizer} +import vexriscv.{VexRiscv, VexRiscvConfig} +import vexriscv.plugin.{CsrPlugin, DBusCachedPlugin, DebugPlugin, IBusCachedPlugin} + +import scala.collection.mutable +import scala.util.Random + +case class LiteDramNativeParameter(addressWidth : Int, dataWidth : Int) + +case class LiteDramNativeCmd(p : LiteDramNativeParameter) extends Bundle{ + val we = Bool() + val addr = UInt(p.addressWidth bits) +} + +case class LiteDramNativeWData(p : LiteDramNativeParameter) extends Bundle{ + val data = Bits(p.dataWidth bits) + val we = Bits(p.dataWidth/8 bits) +} + +case class LiteDramNativeRData(p : LiteDramNativeParameter) extends Bundle{ + val data = Bits(p.dataWidth bits) +} + + +case class LiteDramNative(p : LiteDramNativeParameter) extends Bundle with IMasterSlave { + val cmd = Stream(LiteDramNativeCmd(p)) + val wdata = Stream(LiteDramNativeWData(p)) + val rdata = Stream(LiteDramNativeRData(p)) + override def asMaster(): Unit = { + master(cmd, wdata) + slave(rdata) + } + + def fromBmb(bmb : Bmb, wdataFifoSize : Int, rdataFifoSize : Int) = { + val bridge = BmbToLiteDram( + bmbParameter = bmb.p, + liteDramParameter = this.p, + wdataFifoSize = wdataFifoSize, + rdataFifoSize = rdataFifoSize + ) + bridge.io.input << bmb + bridge.io.output <> this + bridge + } + + def simSlave(ram : SparseMemory,cd : ClockDomain, bmb : Bmb = null): Unit ={ + import spinal.core.sim._ + def bus = this + case class Cmd(address : Long, we : Boolean) + case class WData(data : BigInt, we : Long) + val cmdQueue = mutable.Queue[Cmd]() + val wdataQueue = mutable.Queue[WData]() + val rdataQueue = mutable.Queue[BigInt]() + + + case class Ref(address : Long, data : BigInt, we : Long, time : Long) + val ref = mutable.Queue[Ref]() + if(bmb != null) StreamMonitor(bmb.cmd, cd){p => + if(bmb.cmd.opcode.toInt == 1) ref.enqueue(Ref(p.fragment.address.toLong, p.fragment.data.toBigInt, p.fragment.mask.toLong, simTime())) + } + + var writeCmdCounter, writeDataCounter = 0 + StreamReadyRandomizer(bus.cmd, cd).factor = 0.5f + StreamMonitor(bus.cmd, cd) { t => + cmdQueue.enqueue(Cmd(t.addr.toLong * (p.dataWidth/8) , t.we.toBoolean)) + if(t.we.toBoolean) writeCmdCounter += 1 + } + + StreamReadyRandomizer(bus.wdata, cd).factor = 0.5f + StreamMonitor(bus.wdata, cd) { p => + writeDataCounter += 1 + // if(p.data.toBigInt == BigInt("00000002000000020000000200000002",16)){ + // println("ASD") + // } + wdataQueue.enqueue(WData(p.data.toBigInt, p.we.toLong)) + } + + // new SimStreamAssert(cmd,cd) + // new SimStreamAssert(wdata,cd) + // new SimStreamAssert(rdata,cd) + + cd.onSamplings{ + if(writeDataCounter-writeCmdCounter > 2){ + println("miaou") + } + if(cmdQueue.nonEmpty && Random.nextFloat() < 0.5){ + val cmd = cmdQueue.head + if(cmd.we){ + if(wdataQueue.nonEmpty){ + // if(cmd.address == 0xc02ae850l) { + // println(s"! $writeCmdCounter $writeDataCounter") + // } + cmdQueue.dequeue() + val wdata = wdataQueue.dequeue() + val raw = wdata.data.toByteArray + val left = wdata.data.toByteArray.size-1 + if(bmb != null){ + assert(ref.nonEmpty) + assert((ref.head.address & 0xFFFFFFF0l) == cmd.address) + assert(ref.head.data == wdata.data) + assert(ref.head.we == wdata.we) + ref.dequeue() + } + // if(cmd.address == 0xc02ae850l) { + // println(s"$cmd $wdata ${simTime()}") + // } + for(i <- 0 until p.dataWidth/8){ + + + if(((wdata.we >> i) & 1) != 0) { + // if(cmd.address == 0xc02ae850l) { + // println(s"W $i ${ if (left - i >= 0) raw(left - i) else 0}") + // } + ram.write(cmd.address + i, if (left - i >= 0) raw(left - i) else 0) + } + } + } + } else { + cmdQueue.dequeue() + val value = new Array[Byte](p.dataWidth/8+1) + val left = value.size-1 + for(i <- 0 until p.dataWidth/8) { + value(left-i) = ram.read(cmd.address+i) + } + rdataQueue.enqueue(BigInt(value)) + } + } + } + + StreamDriver(bus.rdata, cd){ p => + if(rdataQueue.isEmpty){ + false + } else { + p.data #= rdataQueue.dequeue() + true + } + } + } +} + + + +case class BmbToLiteDram(bmbParameter : BmbParameter, + liteDramParameter : LiteDramNativeParameter, + wdataFifoSize : Int, + rdataFifoSize : Int) extends Component{ + val io = new Bundle { + val input = slave(Bmb(bmbParameter)) + val output = master(LiteDramNative(liteDramParameter)) + } + + val resized = io.input.resize(liteDramParameter.dataWidth) + val unburstified = resized.unburstify() + case class Context() extends Bundle { + val context = Bits(unburstified.p.access.contextWidth bits) + val source = UInt(unburstified.p.access.sourceWidth bits) + val isWrite = Bool() + } + + assert(isPow2(rdataFifoSize)) + val pendingRead = Reg(UInt(log2Up(rdataFifoSize) + 1 bits)) init(0) + + val halt = Bool() + val (cmdFork, dataFork) = StreamFork2(unburstified.cmd.haltWhen(halt)) + val outputCmd = Stream(LiteDramNativeCmd(liteDramParameter)) + outputCmd.arbitrationFrom(cmdFork.haltWhen(pendingRead.msb)) + outputCmd.addr := (cmdFork.address >> log2Up(liteDramParameter.dataWidth/8)).resized + outputCmd.we := cmdFork.isWrite + + io.output.cmd <-< outputCmd + + if(bmbParameter.access.canWrite) { + val wData = Stream(LiteDramNativeWData(liteDramParameter)) + wData.arbitrationFrom(dataFork.throwWhen(dataFork.isRead)) + wData.data := dataFork.data + wData.we := dataFork.mask + io.output.wdata << wData.queueLowLatency(wdataFifoSize, latency = 1) + } else { + dataFork.ready := True + io.output.wdata.valid := False + io.output.wdata.data.assignDontCare() + io.output.wdata.we.assignDontCare() + } + + val cmdContext = Stream(Context()) + cmdContext.valid := unburstified.cmd.fire + cmdContext.context := unburstified.cmd.context + cmdContext.source := unburstified.cmd.source + cmdContext.isWrite := unburstified.cmd.isWrite + halt := !cmdContext.ready + + val rspContext = cmdContext.queue(rdataFifoSize) + val rdataFifo = io.output.rdata.queueLowLatency(rdataFifoSize, latency = 1) + val writeTocken = CounterUpDown( + stateCount = rdataFifoSize*2, + incWhen = io.output.wdata.fire, + decWhen = rspContext.fire && rspContext.isWrite + ) + val canRspWrite = writeTocken =/= 0 + val canRspRead = CombInit(rdataFifo.valid) + + rdataFifo.ready := unburstified.rsp.fire && !rspContext.isWrite + rspContext.ready := unburstified.rsp.fire + unburstified.rsp.valid := rspContext.valid && (rspContext.isWrite ? canRspWrite | canRspRead) + unburstified.rsp.setSuccess() + unburstified.rsp.last := True + unburstified.rsp.source := rspContext.source + unburstified.rsp.context := rspContext.context + unburstified.rsp.data := rdataFifo.data + + + pendingRead := pendingRead + U(outputCmd.fire && !outputCmd.we) - U(rdataFifo.fire) +} + +object BmbToLiteDramTester extends App{ + import spinal.core.sim._ + SimConfig.withWave.compile(BmbToLiteDram( + bmbParameter = BmbParameter( + addressWidth = 20, + dataWidth = 32, + lengthWidth = 6, + sourceWidth = 4, + contextWidth = 16 + ), + liteDramParameter = LiteDramNativeParameter( + addressWidth = 20, + dataWidth = 128 + ), + wdataFifoSize = 16, + rdataFifoSize = 16 + )).doSimUntilVoid(seed = 42){dut => + val tester = new BmbMemoryTester(dut.io.input, dut.clockDomain, rspCounterTarget = 3000) + dut.io.output.simSlave(tester.memory.memory, dut.clockDomain) + } +} + +case class BmbToLiteDramGenerator(mapping : AddressMapping)(implicit interconnect : BmbInterconnectGenerator) extends Area{ + val liteDramParameter = Handle[LiteDramNativeParameter] + val bmb = Handle(logic.io.input) + val dram = Handle(logic.io.output.toIo) + + val accessSource = Handle[BmbAccessCapabilities] + val accessRequirements = Handle[BmbAccessParameter] + interconnect.addSlave( + accessSource = accessSource, + accessCapabilities = accessSource, + accessRequirements = accessRequirements, + bus = bmb, + mapping = mapping + ) + val logic = Handle(BmbToLiteDram( + bmbParameter = accessRequirements.toBmbParameter(), + liteDramParameter = liteDramParameter, + wdataFifoSize = 32, + rdataFifoSize = 32 + )) +} + +case class BmbToWishboneGenerator(mapping : AddressMapping)(implicit interconnect : BmbInterconnectGenerator) extends Area{ + val bmb = Handle(logic.io.input) + val wishbone = Handle(logic.io.output) + + val accessSource = Handle[BmbAccessCapabilities] + val accessRequirements = Handle[BmbAccessParameter] + interconnect.addSlave( + accessSource = accessSource, + accessCapabilities = accessSource, + accessRequirements = accessRequirements, + bus = bmb, + mapping = mapping + ) + val logic = Handle(BmbToWishbone( + p = accessRequirements.toBmbParameter() + )) +} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/smp/VexRiscvSmpCluster.scala b/VexRiscv/src/main/scala/vexriscv/demo/smp/VexRiscvSmpCluster.scala new file mode 100644 index 0000000..ec2aa50 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/smp/VexRiscvSmpCluster.scala @@ -0,0 +1,748 @@ +package vexriscv.demo.smp + +import spinal.core +import spinal.core._ +import spinal.core.sim.{onSimEnd, simSuccess} +import spinal.lib._ +import spinal.lib.bus.bmb.sim.BmbMemoryAgent +import spinal.lib.bus.bmb._ +import spinal.lib.bus.misc.{DefaultMapping, SizeMapping} +import spinal.lib.bus.wishbone.{Wishbone, WishboneConfig, WishboneToBmb, WishboneToBmbGenerator} +import spinal.lib.com.jtag.{Jtag, JtagInstructionDebuggerGenerator, JtagTapInstructionCtrl} +import spinal.lib.com.jtag.sim.JtagTcp +import spinal.lib.com.jtag.xilinx.Bscane2BmbMasterGenerator +import spinal.lib.generator._ +import spinal.core.fiber._ +import spinal.idslplugin.PostInitCallback +import spinal.lib.misc.plic.PlicMapping +import spinal.lib.system.debugger.SystemDebuggerConfig +import vexriscv.ip.{DataCacheAck, DataCacheConfig, DataCacheMemBus, InstructionCache, InstructionCacheConfig} +import vexriscv.plugin._ +import vexriscv.{Riscv, VexRiscv, VexRiscvBmbGenerator, VexRiscvConfig, plugin} + +import scala.collection.mutable +import scala.collection.mutable.ArrayBuffer +import spinal.lib.generator._ +import vexriscv.ip.fpu.FpuParameter + +case class VexRiscvSmpClusterParameter(cpuConfigs : Seq[VexRiscvConfig], + jtagHeaderIgnoreWidth : Int, + withExclusiveAndInvalidation : Boolean, + forcePeripheralWidth : Boolean = true, + outOfOrderDecoder : Boolean = true, + fpu : Boolean = false) + +class VexRiscvSmpClusterBase(p : VexRiscvSmpClusterParameter) extends Area with PostInitCallback{ + val cpuCount = p.cpuConfigs.size + + val debugCd = ClockDomainResetGenerator() + debugCd.holdDuration.load(4095) + debugCd.makeExternal() + + val systemCd = ClockDomainResetGenerator() + systemCd.holdDuration.load(63) + systemCd.setInput(debugCd) + + + val ctx = systemCd.outputClockDomain.push() + override def postInitCallback(): VexRiscvSmpClusterBase.this.type = { + ctx.restore() + this + } + + implicit val interconnect = BmbInterconnectGenerator() + + val debugBridge = debugCd.outputClockDomain on JtagInstructionDebuggerGenerator(p.jtagHeaderIgnoreWidth) + debugBridge.jtagClockDomain.load(ClockDomain.external("jtag", withReset = false)) + + val debugPort = Handle(debugBridge.logic.jtagBridge.io.ctrl.toIo) + + val dBusCoherent = BmbBridgeGenerator() + val dBusNonCoherent = BmbBridgeGenerator() + + val smp = p.withExclusiveAndInvalidation generate new Area{ + val exclusiveMonitor = BmbExclusiveMonitorGenerator() + interconnect.addConnection(dBusCoherent.bmb, exclusiveMonitor.input) + + val invalidationMonitor = BmbInvalidateMonitorGenerator() + interconnect.addConnection(exclusiveMonitor.output, invalidationMonitor.input) + interconnect.addConnection(invalidationMonitor.output, dBusNonCoherent.bmb) + if(p.outOfOrderDecoder) interconnect.masters(invalidationMonitor.output).withOutOfOrderDecoder() + } + + val noSmp = !p.withExclusiveAndInvalidation generate new Area{ + interconnect.addConnection(dBusCoherent.bmb, dBusNonCoherent.bmb) + } + + val cores = for(cpuId <- 0 until cpuCount) yield new Area{ + val cpu = VexRiscvBmbGenerator() + cpu.config.load(p.cpuConfigs(cpuId)) + interconnect.addConnection( + cpu.dBus -> List(dBusCoherent.bmb) + ) + cpu.enableDebugBmb( + debugCd = debugCd.outputClockDomain, + resetCd = systemCd, + mapping = SizeMapping(cpuId*0x1000, 0x1000) + ) + interconnect.addConnection(debugBridge.bmb, cpu.debugBmb) + } +} + + +class VexRiscvSmpClusterWithPeripherals(p : VexRiscvSmpClusterParameter) extends VexRiscvSmpClusterBase(p) { + val peripheralBridge = BmbToWishboneGenerator(DefaultMapping) + val peripheral = Handle(peripheralBridge.logic.io.output.toIo) + if(p.forcePeripheralWidth) interconnect.slaves(peripheralBridge.bmb).forceAccessSourceDataWidth(32) + + val plic = BmbPlicGenerator()(interconnect = null) + plic.priorityWidth.load(2) + plic.mapping.load(PlicMapping.sifive) + + val plicWishboneBridge = new Generator{ + dependencies += plic.ctrl + + plic.accessRequirements.load(BmbAccessParameter( + addressWidth = 22, + dataWidth = 32 + ).addSources(1, BmbSourceParameter( + contextWidth = 0, + lengthWidth = 2, + alignment = BmbParameter.BurstAlignement.LENGTH + ))) + + val logic = add task new Area{ + val bridge = WishboneToBmb(WishboneConfig(20, 32)) + bridge.io.output >> plic.ctrl + } + } + val plicWishbone = plicWishboneBridge.produceIo(plicWishboneBridge.logic.bridge.io.input) + + val clint = BmbClintGenerator(0)(interconnect = null) + val clintWishboneBridge = new Generator{ + dependencies += clint.ctrl + + clint.accessRequirements.load(BmbAccessParameter( + addressWidth = 16, + dataWidth = 32 + ).addSources(1, BmbSourceParameter( + contextWidth = 0, + lengthWidth = 2, + alignment = BmbParameter.BurstAlignement.LENGTH + ))) + + val logic = add task new Area{ + val bridge = WishboneToBmb(WishboneConfig(14, 32)) + bridge.io.output >> clint.ctrl + } + } + val clintWishbone = clintWishboneBridge.produceIo(clintWishboneBridge.logic.bridge.io.input) + + val interrupts = in Bits(32 bits) + for(i <- 1 to 31) yield plic.addInterrupt(interrupts(i), i) + + for ((core, cpuId) <- cores.zipWithIndex) { + core.cpu.setTimerInterrupt(clint.timerInterrupt(cpuId)) + core.cpu.setSoftwareInterrupt(clint.softwareInterrupt(cpuId)) + plic.priorityWidth.load(2) + plic.mapping.load(PlicMapping.sifive) + plic.addTarget(core.cpu.externalInterrupt) + plic.addTarget(core.cpu.externalSupervisorInterrupt) + List(clint.logic, core.cpu.logic).produce { + for (plugin <- core.cpu.config.plugins) plugin match { + case plugin: CsrPlugin if plugin.utime != null => plugin.utime := clint.logic.io.time + case _ => + } + } + } + + clint.cpuCount.load(cpuCount) +} + + +object VexRiscvSmpClusterGen { + def vexRiscvConfig(hartId : Int, + ioRange : UInt => Bool = (x => x(31 downto 28) === 0xF), + resetVector : Long = 0x80000000l, + iBusWidth : Int = 128, + dBusWidth : Int = 64, + loadStoreWidth : Int = 32, + coherency : Boolean = true, + atomic : Boolean = true, + iCacheSize : Int = 8192, + dCacheSize : Int = 8192, + iCacheWays : Int = 2, + dCacheWays : Int = 2, + iBusRelax : Boolean = false, + injectorStage : Boolean = false, + earlyBranch : Boolean = false, + earlyShifterInjection : Boolean = true, + dBusCmdMasterPipe : Boolean = false, + withMmu : Boolean = true, + withSupervisor : Boolean = true, + withFloat : Boolean = false, + withDouble : Boolean = false, + externalFpu : Boolean = true, + simHalt : Boolean = false, + decoderIsolationBench : Boolean = false, + decoderStupid : Boolean = false, + regfileRead : RegFileReadKind = plugin.ASYNC, + rvc : Boolean = false, + iTlbSize : Int = 4, + dTlbSize : Int = 4, + prediction : BranchPrediction = vexriscv.plugin.NONE, + withDataCache : Boolean = true, + withInstructionCache : Boolean = true, + forceMisa : Boolean = false, + forceMscratch : Boolean = false + ) = { + assert(iCacheSize/iCacheWays <= 4096, "Instruction cache ways can't be bigger than 4096 bytes") + assert(dCacheSize/dCacheWays <= 4096, "Data cache ways can't be bigger than 4096 bytes") + assert(!(withDouble && !withFloat)) + + val csrConfig = if(withSupervisor){ + CsrPluginConfig.openSbi(mhartid = hartId, misa = Riscv.misaToInt(s"ima${if(withFloat) "f" else ""}${if(withDouble) "d" else ""}s")).copy(utimeAccess = CsrAccess.READ_ONLY) + } else { + CsrPluginConfig( + catchIllegalAccess = true, + mvendorid = null, + marchid = null, + mimpid = null, + mhartid = hartId, + misaExtensionsInit = Riscv.misaToInt(s"ima${if(withFloat) "f" else ""}${if(withDouble) "d" else ""}s"), + misaAccess = if(forceMisa) CsrAccess.WRITE_ONLY else CsrAccess.NONE, + mtvecAccess = CsrAccess.READ_WRITE, + mtvecInit = null, + mepcAccess = CsrAccess.READ_WRITE, + mscratchGen = forceMscratch, + mcauseAccess = CsrAccess.READ_ONLY, + mbadaddrAccess = CsrAccess.READ_ONLY, + mcycleAccess = CsrAccess.NONE, + minstretAccess = CsrAccess.NONE, + ecallGen = true, + ebreakGen = true, + wfiGenAsWait = false, + wfiGenAsNop = true, + ucycleAccess = CsrAccess.NONE + ) + } + val config = VexRiscvConfig( + plugins = List( + if(withMmu)new MmuPlugin( + ioRange = ioRange + )else new StaticMemoryTranslatorPlugin( + ioRange = ioRange + ), + //Uncomment the whole IBusCachedPlugin and comment IBusSimplePlugin if you want cached iBus config + if(withInstructionCache) new IBusCachedPlugin( + resetVector = resetVector, + compressedGen = rvc, + prediction = prediction, + historyRamSizeLog2 = 9, + relaxPredictorAddress = true, + injectorStage = injectorStage, + relaxedPcCalculation = iBusRelax, + config = InstructionCacheConfig( + cacheSize = iCacheSize, + bytePerLine = 64, + wayCount = iCacheWays, + addressWidth = 32, + cpuDataWidth = 32, + memDataWidth = iBusWidth, + catchIllegalAccess = true, + catchAccessFault = true, + asyncTagMemory = false, + twoCycleRam = false, + twoCycleCache = true, + reducedBankWidth = true + ), + memoryTranslatorPortConfig = MmuPortConfig( + portTlbSize = iTlbSize, + latency = 1, + earlyRequireMmuLockup = true, + earlyCacheHits = true + ) + ) else new IBusSimplePlugin( + resetVector = resetVector, + cmdForkOnSecondStage = false, + cmdForkPersistence = false, + prediction = NONE, + catchAccessFault = false, + compressedGen = rvc, + busLatencyMin = 2, + vecRspBuffer = true + ), + if(withDataCache) new DBusCachedPlugin( + dBusCmdMasterPipe = dBusCmdMasterPipe || dBusWidth == 32, + dBusCmdSlavePipe = true, + dBusRspSlavePipe = true, + relaxedMemoryTranslationRegister = true, + config = new DataCacheConfig( + cacheSize = dCacheSize, + bytePerLine = 64, + wayCount = dCacheWays, + addressWidth = 32, + cpuDataWidth = loadStoreWidth, + memDataWidth = dBusWidth, + catchAccessError = true, + catchIllegal = true, + catchUnaligned = true, + withLrSc = atomic, + withAmo = atomic, + withExclusive = coherency, + withInvalidate = coherency, + withWriteAggregation = dBusWidth > 32 + ), + memoryTranslatorPortConfig = MmuPortConfig( + portTlbSize = dTlbSize, + latency = 1, + earlyRequireMmuLockup = true, + earlyCacheHits = true + ) + ) else new DBusSimplePlugin( + catchAddressMisaligned = false, + catchAccessFault = false, + earlyInjection = false + ), + new DecoderSimplePlugin( + catchIllegalInstruction = true, + decoderIsolationBench = decoderIsolationBench, + stupidDecoder = decoderStupid + ), + new RegFilePlugin( + regFileReadyKind = regfileRead, + zeroBoot = false, + x0Init = true + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false + ), + new FullBarrelShifterPlugin(earlyInjection = earlyShifterInjection), + // new LightShifterPlugin, + new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ), + new MulPlugin, + new MulDivIterativePlugin( + genMul = false, + genDiv = true, + mulUnrollFactor = 32, + divUnrollFactor = 1 + ), + new CsrPlugin(csrConfig), + new BranchPlugin( + earlyBranch = earlyBranch, + catchAddressMisaligned = true, + fenceiGenAsAJump = false + ), + new YamlPlugin(s"cpu$hartId.yaml") + ) + ) + + if(withFloat) config.plugins += new FpuPlugin( + externalFpu = externalFpu, + simHalt = simHalt, + p = FpuParameter(withDouble = withDouble) + ) + config + } + + +// def vexRiscvCluster(cpuCount : Int, resetVector : Long = 0x80000000l) = VexRiscvSmpCluster( +// debugClockDomain = ClockDomain.current.copy(reset = Bool().setName("debugResetIn")), +// p = VexRiscvSmpClusterParameter( +// cpuConfigs = List.tabulate(cpuCount) { +// vexRiscvConfig(_, resetVector = resetVector) +// } +// ) +// ) +// def main(args: Array[String]): Unit = { +// SpinalVerilog { +// vexRiscvCluster(4) +// } +// } +} +// +// +// +//object VexRiscvSmpClusterTestInfrastructure{ +// val REPORT_OFFSET = 0xF8000000 +// val REPORT_THREAD_ID = 0x00 +// val REPORT_THREAD_COUNT = 0x04 +// val REPORT_END = 0x08 +// val REPORT_BARRIER_START = 0x0C +// val REPORT_BARRIER_END = 0x10 +// val REPORT_CONSISTENCY_VALUES = 0x14 +// +// val PUTC = 0x00 +// val GETC = 0x04 +// val CLINT_ADDR = 0x10000 +// val CLINT_IPI_ADDR = CLINT_ADDR+0x0000 +// val CLINT_CMP_ADDR = CLINT_ADDR+0x4000 +// val CLINT_TIME_ADDR = CLINT_ADDR+0xBFF8 +// +// def ram(dut : VexRiscvSmpCluster, withStall : Boolean) = { +// import spinal.core.sim._ +// val cpuCount = dut.cpus.size +// val ram = new BmbMemoryAgent(0x100000000l){ +// case class Report(hart : Int, code : Int, data : Int){ +// override def toString: String = { +// f"CPU:$hart%2d ${code}%3x -> $data%3d" +// } +// } +// val reports = ArrayBuffer.fill(cpuCount)(ArrayBuffer[Report]()) +// +// +// val writeTable = mutable.HashMap[Int, Int => Unit]() +// val readTable = mutable.HashMap[Int, () => Int]() +// def onWrite(address : Int)(body : Int => Unit) = writeTable(address) = body +// def onRead(address : Int)(body : => Int) = readTable(address) = () => body +// +// var writeData = 0 +// var readData = 0 +// var reportWatchdog = 0 +// val cpuEnd = Array.fill(cpuCount)(false) +// val barriers = mutable.HashMap[Int, Int]() +// var consistancyCounter = 0 +// var consistancyLast = 0 +// var consistancyA = 0 +// var consistancyB = 0 +// var consistancyAB = 0 +// var consistancyNone = 0 +// +// onSimEnd{ +// for((list, hart) <- reports.zipWithIndex){ +// println(f"\n\n**** CPU $hart%2d ****") +// for((report, reportId) <- list.zipWithIndex){ +// println(f" $reportId%3d : ${report.code}%3x -> ${report.data}%3d") +// } +// } +// +// println(s"consistancy NONE:$consistancyNone A:$consistancyA B:$consistancyB AB:$consistancyAB") +// } +// +// override def setByte(address: Long, value: Byte): Unit = { +// if((address & 0xF0000000l) != 0xF0000000l) return super.setByte(address, value) +// val byteId = address & 3 +// val mask = 0xFF << (byteId*8) +// writeData = (writeData & ~mask) | ((value.toInt << (byteId*8)) & mask) +// if(byteId != 3) return +// val offset = (address & ~0xF0000000l)-3 +// // println(s"W[0x${offset.toHexString}] = $writeData @${simTime()}") +// offset match { +// case _ if offset >= 0x8000000 && offset < 0x9000000 => { +// val report = Report( +// hart = ((offset & 0xFF0000) >> 16).toInt, +// code = (offset & 0x00FFFF).toInt, +// data = writeData +// ) +//// println(report) +// reports(report.hart) += report +// reportWatchdog += 1 +// import report._ +// code match { +// case REPORT_THREAD_ID => assert(data == hart) +// case REPORT_THREAD_COUNT => assert(data == cpuCount) +// case REPORT_END => assert(data == 0); assert(cpuEnd(hart) == false); cpuEnd(hart) = true; if(!cpuEnd.exists(_ == false)) simSuccess() +// case REPORT_BARRIER_START => { +// val counter = barriers.getOrElse(data, 0) +// assert(counter < cpuCount) +// barriers(data) = counter + 1 +// } +// case REPORT_BARRIER_END => { +// val counter = barriers.getOrElse(data, 0) +// assert(counter == cpuCount) +// } +// case REPORT_CONSISTENCY_VALUES => consistancyCounter match { +// case 0 => { +// consistancyCounter = 1 +// consistancyLast = data +// } +// case 1 => { +// consistancyCounter = 0 +// (data, consistancyLast) match { +// case (666, 0) => consistancyA += 1 +// case (0, 666) => consistancyB += 1 +// case (666, 666) => consistancyAB += 1 +// case (0,0) => consistancyNone += 1; simFailure("Consistancy issue :(") +// } +// } +// } +// } +// } +// case _ => writeTable.get(offset.toInt) match { +// case Some(x) => x(writeData) +// case _ => simFailure(f"\n\nWrite at ${address-3}%8x with $writeData%8x") +// } +// } +// } +// +// override def getByte(address: Long): Byte = { +// if((address & 0xF0000000l) != 0xF0000000l) return super.getByte(address) +// val byteId = address & 3 +// val offset = (address & ~0xF0000000l) +// if(byteId == 0) readData = readTable.get(offset.toInt) match { +// case Some(x) => x() +// case _ => simFailure(f"\n\nRead at $address%8x") +// } +// (readData >> (byteId*8)).toByte +// } +// +// val clint = new { +// val cmp = Array.fill(cpuCount)(0l) +// var time = 0l +// periodicaly(100){ +// time += 10 +// var timerInterrupts = 0l +// for(i <- 0 until cpuCount){ +// if(cmp(i) < time) timerInterrupts |= 1l << i +// } +// dut.io.timerInterrupts #= timerInterrupts +// } +// +//// delayed(200*1000000){ +//// dut.io.softwareInterrupts #= 0xE +//// enableSimWave() +//// println("force IPI") +//// } +// } +// +// onWrite(PUTC)(data => print(data.toChar)) +// onRead(GETC)( if(System.in.available() != 0) System.in.read() else -1) +// +// dut.io.softwareInterrupts #= 0 +// dut.io.timerInterrupts #= 0 +// dut.io.externalInterrupts #= 0 +// dut.io.externalSupervisorInterrupts #= 0 +// onRead(CLINT_TIME_ADDR)(clint.time.toInt) +// onRead(CLINT_TIME_ADDR+4)((clint.time >> 32).toInt) +// for(hartId <- 0 until cpuCount){ +// onWrite(CLINT_IPI_ADDR + hartId*4) {data => +// val mask = 1l << hartId +// val value = (dut.io.softwareInterrupts.toLong & ~mask) | (if(data == 1) mask else 0) +// dut.io.softwareInterrupts #= value +// } +//// onRead(CLINT_CMP_ADDR + hartId*8)(clint.cmp(hartId).toInt) +//// onRead(CLINT_CMP_ADDR + hartId*8+4)((clint.cmp(hartId) >> 32).toInt) +// onWrite(CLINT_CMP_ADDR + hartId*8){data => clint.cmp(hartId) = (clint.cmp(hartId) & 0xFFFFFFFF00000000l) | data} +// onWrite(CLINT_CMP_ADDR + hartId*8+4){data => clint.cmp(hartId) = (clint.cmp(hartId) & 0x00000000FFFFFFFFl) | (data.toLong << 32)} +// } +// +// +// +// } +// dut.io.iMems.foreach(ram.addPort(_,0,dut.clockDomain,true, withStall)) +// ram.addPort(dut.io.dMem,0,dut.clockDomain,true, withStall) +// ram +// } +// def init(dut : VexRiscvSmpCluster): Unit ={ +// import spinal.core.sim._ +// dut.clockDomain.forkStimulus(10) +// dut.debugClockDomain.forkStimulus(10) +// dut.io.debugBus.cmd.valid #= false +// } +//} +// +//object VexRiscvSmpClusterTest extends App{ +// import spinal.core.sim._ +// +// val simConfig = SimConfig +// simConfig.withWave +// simConfig.allOptimisation +// simConfig.addSimulatorFlag("--threads 1") +// +// val cpuCount = 4 +// val withStall = true +// +// simConfig.compile(VexRiscvSmpClusterGen.vexRiscvCluster(cpuCount)).doSimUntilVoid(seed = 42){dut => +// disableSimWave() +// SimTimeout(100000000l*10*cpuCount) +// dut.clockDomain.forkSimSpeedPrinter(1.0) +// VexRiscvSmpClusterTestInfrastructure.init(dut) +// val ram = VexRiscvSmpClusterTestInfrastructure.ram(dut, withStall) +// ram.memory.loadBin(0x80000000l, "src/test/cpp/raw/smp/build/smp.bin") +// periodicaly(20000*10){ +// assert(ram.reportWatchdog != 0) +// ram.reportWatchdog = 0 +// } +// } +//} +// +//// echo "echo 10000 | dhrystone >> log" > test +//// time sh test & +//// top -b -n 1 +// +//// TODO +//// MultiChannelFifo.toStream arbitration +//// BmbDecoderOutOfOrder arbitration +//// DataCache to bmb invalidation that are more than single line +//object VexRiscvSmpClusterOpenSbi extends App{ +// import spinal.core.sim._ +// +// val simConfig = SimConfig +// simConfig.withWave +// simConfig.allOptimisation +// simConfig.addSimulatorFlag("--threads 1") +// +// val cpuCount = 2 +// val withStall = false +// +// def gen = { +// val dut = VexRiscvSmpClusterGen.vexRiscvCluster(cpuCount, resetVector = 0x80000000l) +// dut.cpus.foreach{cpu => +// cpu.core.children.foreach{ +// case cache : InstructionCache => cache.io.cpu.decode.simPublic() +// case _ => +// } +// } +// dut +// } +// +// simConfig.workspaceName("rawr_4c").compile(gen).doSimUntilVoid(seed = 42){dut => +//// dut.clockDomain.forkSimSpeedPrinter(1.0) +// VexRiscvSmpClusterTestInfrastructure.init(dut) +// val ram = VexRiscvSmpClusterTestInfrastructure.ram(dut, withStall) +//// ram.memory.loadBin(0x80000000l, "../opensbi/build/platform/spinal/vexriscv/sim/smp/firmware/fw_payload.bin") +// +//// ram.memory.loadBin(0x40F00000l, "/media/data/open/litex_smp/litex_vexriscv_smp/images/fw_jump.bin") +//// ram.memory.loadBin(0x40000000l, "/media/data/open/litex_smp/litex_vexriscv_smp/images/Image") +//// ram.memory.loadBin(0x40EF0000l, "/media/data/open/litex_smp/litex_vexriscv_smp/images/dtb") +//// ram.memory.loadBin(0x41000000l, "/media/data/open/litex_smp/litex_vexriscv_smp/images/rootfs.cpio") +// +// ram.memory.loadBin(0x80000000l, "../opensbi/build/platform/spinal/vexriscv/sim/smp/firmware/fw_jump.bin") +// ram.memory.loadBin(0xC0000000l, "../buildroot/output/images/Image") +// ram.memory.loadBin(0xC1000000l, "../buildroot/output/images/dtb") +// ram.memory.loadBin(0xC2000000l, "../buildroot/output/images/rootfs.cpio") +// +// import spinal.core.sim._ +// var iMemReadBytes, dMemReadBytes, dMemWriteBytes, iMemSequencial,iMemRequests, iMemPrefetchHit = 0l +// var reportTimer = 0 +// var reportCycle = 0 +// val iMemFetchDelta = mutable.HashMap[Long, Long]() +// var iMemFetchDeltaSorted : Seq[(Long, Long)] = null +// var dMemWrites, dMemWritesCached = 0l +// val dMemWriteCacheCtx = List(4,8,16,32,64).map(bytes => new { +// var counter = 0l +// var address = 0l +// val mask = ~((1 << log2Up(bytes))-1) +// }) +// +// import java.io._ +// val csv = new PrintWriter(new File("bench.csv" )) +// val iMemCtx = Array.tabulate(cpuCount)(i => new { +// var sequencialPrediction = 0l +// val cache = dut.cpus(i).core.children.find(_.isInstanceOf[InstructionCache]).head.asInstanceOf[InstructionCache].io.cpu.decode +// var lastAddress = 0l +// }) +// dut.clockDomain.onSamplings{ +// dut.io.time #= simTime()/10 +// +// +// for(i <- 0 until cpuCount; iMem = dut.io.iMems(i); ctx = iMemCtx(i)){ +//// if(iMem.cmd.valid.toBoolean && iMem.cmd.ready.toBoolean){ +//// val length = iMem.cmd.length.toInt + 1 +//// val address = iMem.cmd.address.toLong +//// iMemReadBytes += length +//// iMemRequests += 1 +//// } +// if(ctx.cache.isValid.toBoolean && !ctx.cache.mmuRefilling.toBoolean && !ctx.cache.mmuException.toBoolean){ +// val address = ctx.cache.physicalAddress.toLong +// val length = ctx.cache.p.bytePerLine.toLong +// val mask = ~(length-1) +// if(ctx.cache.cacheMiss.toBoolean) { +// iMemReadBytes += length +// if ((address & mask) == (ctx.sequencialPrediction & mask)) { +// iMemSequencial += 1 +// } +// } +// if(!ctx.cache.isStuck.toBoolean) { +// ctx.sequencialPrediction = address + length +// } +// } +// +// if(iMem.cmd.valid.toBoolean && iMem.cmd.ready.toBoolean){ +// val address = iMem.cmd.address.toLong +// iMemRequests += 1 +// if(iMemCtx(i).lastAddress + ctx.cache.p.bytePerLine == address){ +// iMemPrefetchHit += 1 +// } +// val delta = address-iMemCtx(i).lastAddress +// iMemFetchDelta(delta) = iMemFetchDelta.getOrElse(delta, 0l) + 1l +// if(iMemRequests % 1000 == 999) iMemFetchDeltaSorted = iMemFetchDelta.toSeq.sortBy(_._1) +// iMemCtx(i).lastAddress = address +// } +// } +// if(dut.io.dMem.cmd.valid.toBoolean && dut.io.dMem.cmd.ready.toBoolean){ +// if(dut.io.dMem.cmd.opcode.toInt == Bmb.Cmd.Opcode.WRITE){ +// dMemWriteBytes += dut.io.dMem.cmd.length.toInt+1 +// val address = dut.io.dMem.cmd.address.toLong +// dMemWrites += 1 +// for(ctx <- dMemWriteCacheCtx){ +// if((address & ctx.mask) == (ctx.address & ctx.mask)){ +// ctx.counter += 1 +// } else { +// ctx.address = address +// } +// } +// }else { +// dMemReadBytes += dut.io.dMem.cmd.length.toInt+1 +// for(ctx <- dMemWriteCacheCtx) ctx.address = -1 +// } +// } +// reportTimer = reportTimer + 1 +// reportCycle = reportCycle + 1 +// if(reportTimer == 400000){ +// reportTimer = 0 +//// println(f"\n** c=${reportCycle} ir=${iMemReadBytes*1e-6}%5.2f dr=${dMemReadBytes*1e-6}%5.2f dw=${dMemWriteBytes*1e-6}%5.2f **\n") +// +// +// csv.write(s"$reportCycle,$iMemReadBytes,$dMemReadBytes,$dMemWriteBytes,$iMemRequests,$iMemSequencial,$dMemWrites,${dMemWriteCacheCtx.map(_.counter).mkString(",")},$iMemPrefetchHit\n") +// csv.flush() +// reportCycle = 0 +// iMemReadBytes = 0 +// dMemReadBytes = 0 +// dMemWriteBytes = 0 +// iMemRequests = 0 +// iMemSequencial = 0 +// dMemWrites = 0 +// iMemPrefetchHit = 0 +// for(ctx <- dMemWriteCacheCtx) ctx.counter = 0 +// } +// } +// +// +//// fork{ +//// disableSimWave() +//// val atMs = 3790 +//// val durationMs = 5 +//// sleep(atMs*1000000) +//// enableSimWave() +//// println("** enableSimWave **") +//// sleep(durationMs*1000000) +//// println("** disableSimWave **") +//// while(true) { +//// disableSimWave() +//// sleep(100000 * 10) +//// enableSimWave() +//// sleep( 100 * 10) +//// } +////// simSuccess() +//// } +// +// fork{ +// while(true) { +// disableSimWave() +// sleep(100000 * 10) +// enableSimWave() +// sleep( 100 * 10) +// } +// } +// } +//} diff --git a/VexRiscv/src/main/scala/vexriscv/demo/smp/VexRiscvSmpLitexCluster.scala b/VexRiscv/src/main/scala/vexriscv/demo/smp/VexRiscvSmpLitexCluster.scala new file mode 100644 index 0000000..4cd4917 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/smp/VexRiscvSmpLitexCluster.scala @@ -0,0 +1,322 @@ +package vexriscv.demo.smp + +import spinal.core._ +import spinal.core.fiber._ +import spinal.lib.bus.bmb._ +import spinal.lib.bus.misc.{AddressMapping, DefaultMapping, SizeMapping} +import spinal.lib.bus.wishbone.{WishboneConfig, WishboneToBmbGenerator} +import spinal.lib.generator.GeneratorComponent +import spinal.lib.sim.SparseMemory +import vexriscv.demo.smp.VexRiscvSmpClusterGen.vexRiscvConfig +import vexriscv.ip.fpu.{FpuCore, FpuParameter} +import vexriscv.plugin.{AesPlugin, DBusCachedPlugin, FpuPlugin} + + +case class VexRiscvLitexSmpClusterParameter( cluster : VexRiscvSmpClusterParameter, + liteDram : LiteDramNativeParameter, + liteDramMapping : AddressMapping, + coherentDma : Boolean, + wishboneMemory : Boolean, + cpuPerFpu : Int) + + +class VexRiscvLitexSmpCluster(p : VexRiscvLitexSmpClusterParameter) extends VexRiscvSmpClusterWithPeripherals(p.cluster) { + val iArbiter = BmbBridgeGenerator() + val iBridge = !p.wishboneMemory generate BmbToLiteDramGenerator(p.liteDramMapping) + val dBridge = !p.wishboneMemory generate BmbToLiteDramGenerator(p.liteDramMapping) + + for(core <- cores) interconnect.addConnection(core.cpu.iBus -> List(iArbiter.bmb)) + !p.wishboneMemory generate interconnect.addConnection( + iArbiter.bmb -> List(iBridge.bmb), + dBusNonCoherent.bmb -> List(dBridge.bmb) + ) + interconnect.addConnection( + iArbiter.bmb -> List(peripheralBridge.bmb), + dBusNonCoherent.bmb -> List(peripheralBridge.bmb) + ) + + val fpuGroups = (cores.reverse.grouped(p.cpuPerFpu)).toList.reverse + val fpu = p.cluster.fpu generate { for(group <- fpuGroups) yield new Area{ + val extraStage = group.size > 2 + + val logic = Handle{ + new FpuCore( + portCount = group.size, + p = FpuParameter( + withDouble = true, + asyncRegFile = false, + schedulerM2sPipe = extraStage + ) + ) + } + + val connect = Handle{ + for(i <- 0 until group.size; + vex = group(i).cpu.logic.cpu; + port = logic.io.port(i)) { + val plugin = vex.service(classOf[FpuPlugin]) + plugin.port.cmd.pipelined(m2s = false, s2m = false) >> port.cmd + plugin.port.commit.pipelined(m2s = extraStage, s2m = false) >> port.commit + plugin.port.completion := port.completion.m2sPipe() + plugin.port.rsp << port.rsp + } + } + }} + + if(p.cluster.withExclusiveAndInvalidation) interconnect.masters(dBusNonCoherent.bmb).withOutOfOrderDecoder() + + if(!p.wishboneMemory) { + dBridge.liteDramParameter.load(p.liteDram) + iBridge.liteDramParameter.load(p.liteDram) + } + + // Coherent DMA interface + val dma = p.coherentDma generate new Area { + val bridge = WishboneToBmbGenerator() + val wishbone = Handle(bridge.logic.io.input.toIo) + val dataWidth = p.cluster.cpuConfigs.head.find(classOf[DBusCachedPlugin]).get.config.memDataWidth + bridge.config.load(WishboneConfig( + addressWidth = 32 - log2Up(dataWidth / 8), + dataWidth = dataWidth, + useSTALL = true, + selWidth = dataWidth/8 + )) + interconnect.addConnection(bridge.bmb, dBusCoherent.bmb) + } + + // Interconnect pipelining (FMax) + for(core <- cores) { + interconnect.setPipelining(core.cpu.dBus)(cmdValid = true, cmdReady = true, rspValid = true, invValid = true, ackValid = true, syncValid = true) + interconnect.setPipelining(core.cpu.iBus)(cmdHalfRate = true, rspValid = true) + interconnect.setPipelining(iArbiter.bmb)(cmdHalfRate = true, rspValid = true) + } + interconnect.setPipelining(dBusCoherent.bmb)(cmdValid = true, cmdReady = true) + interconnect.setPipelining(dBusNonCoherent.bmb)(cmdValid = true, cmdReady = true, rspValid = true) + interconnect.setPipelining(peripheralBridge.bmb)(cmdHalfRate = !p.wishboneMemory, cmdValid = p.wishboneMemory, cmdReady = p.wishboneMemory, rspValid = true) + if(!p.wishboneMemory) { + interconnect.setPipelining(iBridge.bmb)(cmdHalfRate = true) + interconnect.setPipelining(dBridge.bmb)(cmdReady = true) + } +} + + +object VexRiscvLitexSmpClusterCmdGen extends App { + var cpuCount = 1 + var iBusWidth = 64 + var dBusWidth = 64 + var iCacheSize = 8192 + var dCacheSize = 8192 + var iCacheWays = 2 + var dCacheWays = 2 + var liteDramWidth = 128 + var coherentDma = false + var wishboneMemory = false + var outOfOrderDecoder = true + var aesInstruction = false + var fpu = false + var cpuPerFpu = 4 + var rvc = false + var netlistDirectory = "." + var netlistName = "VexRiscvLitexSmpCluster" + var iTlbSize = 4 + var dTlbSize = 4 + assert(new scopt.OptionParser[Unit]("VexRiscvLitexSmpClusterCmdGen") { + help("help").text("prints this usage text") + opt[Unit]("coherent-dma") action { (v, c) => coherentDma = true } + opt[String]("cpu-count") action { (v, c) => cpuCount = v.toInt } + opt[String]("ibus-width") action { (v, c) => iBusWidth = v.toInt } + opt[String]("dbus-width") action { (v, c) => dBusWidth = v.toInt } + opt[String]("icache-size") action { (v, c) => iCacheSize = v.toInt } + opt[String]("dcache-size") action { (v, c) => dCacheSize = v.toInt } + opt[String]("icache-ways") action { (v, c) => iCacheWays = v.toInt } + opt[String]("dcache-ways") action { (v, c) => dCacheWays = v.toInt } + opt[String]("litedram-width") action { (v, c) => liteDramWidth = v.toInt } + opt[String]("netlist-directory") action { (v, c) => netlistDirectory = v } + opt[String]("netlist-name") action { (v, c) => netlistName = v } + opt[String]("aes-instruction") action { (v, c) => aesInstruction = v.toBoolean } + opt[String]("out-of-order-decoder") action { (v, c) => outOfOrderDecoder = v.toBoolean } + opt[String]("wishbone-memory" ) action { (v, c) => wishboneMemory = v.toBoolean } + opt[String]("fpu" ) action { (v, c) => fpu = v.toBoolean } + opt[String]("cpu-per-fpu") action { (v, c) => cpuPerFpu = v.toInt } + opt[String]("rvc") action { (v, c) => rvc = v.toBoolean } + opt[String]("itlb-size") action { (v, c) => iTlbSize = v.toInt } + opt[String]("dtlb-size") action { (v, c) => dTlbSize = v.toInt } + }.parse(args)) + + val coherency = coherentDma || cpuCount > 1 + def parameter = VexRiscvLitexSmpClusterParameter( + cluster = VexRiscvSmpClusterParameter( + cpuConfigs = List.tabulate(cpuCount) { hartId => { + val c = vexRiscvConfig( + hartId = hartId, + ioRange = address => address.msb, + resetVector = 0, + iBusWidth = iBusWidth, + dBusWidth = dBusWidth, + iCacheSize = iCacheSize, + dCacheSize = dCacheSize, + iCacheWays = iCacheWays, + dCacheWays = dCacheWays, + coherency = coherency, + iBusRelax = true, + earlyBranch = true, + withFloat = fpu, + withDouble = fpu, + externalFpu = fpu, + loadStoreWidth = if(fpu) 64 else 32, + rvc = rvc, + injectorStage = rvc, + iTlbSize = iTlbSize, + dTlbSize = dTlbSize + ) + if(aesInstruction) c.add(new AesPlugin) + c + }}, + withExclusiveAndInvalidation = coherency, + forcePeripheralWidth = !wishboneMemory, + outOfOrderDecoder = outOfOrderDecoder, + fpu = fpu, + jtagHeaderIgnoreWidth = 0 + ), + liteDram = LiteDramNativeParameter(addressWidth = 32, dataWidth = liteDramWidth), + liteDramMapping = SizeMapping(0x40000000l, 0x40000000l), + coherentDma = coherentDma, + wishboneMemory = wishboneMemory, + cpuPerFpu = cpuPerFpu + ) + + def dutGen = { + val toplevel = new Component { + val body = new VexRiscvLitexSmpCluster( + p = parameter + ) + body.setName("") + } + toplevel + } + + val genConfig = SpinalConfig(targetDirectory = netlistDirectory, inlineRom = true).addStandardMemBlackboxing(blackboxByteEnables) + genConfig.generateVerilog(dutGen.setDefinitionName(netlistName)) + +} + + +//object VexRiscvLitexSmpClusterGen extends App { +// for(cpuCount <- List(1,2,4,8)) { +// def parameter = VexRiscvLitexSmpClusterParameter( +// cluster = VexRiscvSmpClusterParameter( +// cpuConfigs = List.tabulate(cpuCount) { hartId => +// vexRiscvConfig( +// hartId = hartId, +// ioRange = address => address.msb, +// resetVector = 0 +// ) +// }, +// withExclusiveAndInvalidation = true +// ), +// liteDram = LiteDramNativeParameter(addressWidth = 32, dataWidth = 128), +// liteDramMapping = SizeMapping(0x40000000l, 0x40000000l), +// coherentDma = false +// ) +// +// def dutGen = { +// val toplevel = new VexRiscvLitexSmpCluster( +// p = parameter +// ).toComponent() +// toplevel +// } +// +// val genConfig = SpinalConfig().addStandardMemBlackboxing(blackboxByteEnables) +// // genConfig.generateVerilog(Bench.compressIo(dutGen)) +// genConfig.generateVerilog(dutGen.setDefinitionName(s"VexRiscvLitexSmpCluster_${cpuCount}c")) +// } +//} + +////addAttribute("""mark_debug = "true"""") +object VexRiscvLitexSmpClusterOpenSbi extends App{ + import spinal.core.sim._ + + val simConfig = SimConfig + simConfig.withWave + simConfig.allOptimisation + + val cpuCount = 2 + + def parameter = VexRiscvLitexSmpClusterParameter( + cluster = VexRiscvSmpClusterParameter( + cpuConfigs = List.tabulate(cpuCount) { hartId => + vexRiscvConfig( + hartId = hartId, + ioRange = address => address(31 downto 28) === 0xF, + resetVector = 0x80000000l + ) + }, + withExclusiveAndInvalidation = true, + jtagHeaderIgnoreWidth = 0 + ), + liteDram = LiteDramNativeParameter(addressWidth = 32, dataWidth = 128), + liteDramMapping = SizeMapping(0x80000000l, 0x70000000l), + coherentDma = false, + wishboneMemory = false, + cpuPerFpu = 4 + ) + + def dutGen = { + import GeneratorComponent.toGenerator + val top = new Component { + val body = new VexRiscvLitexSmpCluster( + p = parameter + ) + } + top.rework{ + top.body.clintWishbone.setAsDirectionLess.allowDirectionLessIo + top.body.peripheral.setAsDirectionLess.allowDirectionLessIo.simPublic() + + val hit = (top.body.peripheral.ADR <<2 >= 0xF0010000l && top.body.peripheral.ADR<<2 < 0xF0020000l) + top.body.clintWishbone.CYC := top.body.peripheral.CYC && hit + top.body.clintWishbone.STB := top.body.peripheral.STB + top.body.clintWishbone.WE := top.body.peripheral.WE + top.body.clintWishbone.ADR := top.body.peripheral.ADR.resized + top.body.clintWishbone.DAT_MOSI := top.body.peripheral.DAT_MOSI + top.body.peripheral.DAT_MISO := top.body.clintWishbone.DAT_MISO + top.body.peripheral.ACK := top.body.peripheral.CYC && (!hit || top.body.clintWishbone.ACK) + top.body.peripheral.ERR := False + } + top + } + + simConfig.compile(dutGen).doSimUntilVoid(seed = 42){dut => + dut.body.debugCd.inputClockDomain.get.forkStimulus(10) + + val ram = SparseMemory() + ram.loadBin(0x80000000l, "../opensbi/build/platform/spinal/vexriscv/sim/smp/firmware/fw_jump.bin") + ram.loadBin(0xC0000000l, "../buildroot/output/images/Image") + ram.loadBin(0xC1000000l, "../buildroot/output/images/dtb") + ram.loadBin(0xC2000000l, "../buildroot/output/images/rootfs.cpio") + + + dut.body.iBridge.dram.simSlave(ram, dut.body.debugCd.inputClockDomain) + dut.body.dBridge.dram.simSlave(ram, dut.body.debugCd.inputClockDomain/*, dut.body.dMemBridge.unburstified*/) + + dut.body.interrupts #= 0 + + dut.body.debugCd.inputClockDomain.get.onFallingEdges{ + if(dut.body.peripheral.CYC.toBoolean){ + (dut.body.peripheral.ADR.toLong << 2) match { + case 0xF0000000l => print(dut.body.peripheral.DAT_MOSI.toLong.toChar) + case 0xF0000004l => dut.body.peripheral.DAT_MISO #= (if(System.in.available() != 0) System.in.read() else 0xFFFFFFFFl) + case _ => + } + } + } + + fork{ + while(true) { + disableSimWave() + sleep(100000 * 10) + enableSimWave() + sleep( 100 * 10) + } + } + } +} \ No newline at end of file diff --git a/VexRiscv/src/main/scala/vexriscv/demo/smp/VexRiscvSmpLitexMpCluster.scala b/VexRiscv/src/main/scala/vexriscv/demo/smp/VexRiscvSmpLitexMpCluster.scala new file mode 100644 index 0000000..e662dfe --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/demo/smp/VexRiscvSmpLitexMpCluster.scala @@ -0,0 +1,409 @@ +package vexriscv.demo.smp + +import spinal.core._ +import spinal.lib.bus.bmb._ +import spinal.lib.bus.misc.{AddressMapping, DefaultMapping, SizeMapping} +import spinal.lib.bus.wishbone.{WishboneConfig, WishboneToBmbGenerator} +import spinal.lib.sim.SparseMemory +import vexriscv.demo.smp.VexRiscvSmpClusterGen.vexRiscvConfig + +//case class VexRiscvLitexSmpMpClusterParameter( cluster : VexRiscvSmpClusterParameter, +// liteDram : LiteDramNativeParameter, +// liteDramMapping : AddressMapping) +// +//class VexRiscvLitexSmpMpCluster(p : VexRiscvLitexSmpMpClusterParameter) extends VexRiscvSmpClusterWithPeripherals(p.cluster) { +// val iArbiter = BmbBridgeGenerator() +// val iBridge = BmbToLiteDramGenerator(p.liteDramMapping) +// val dBridge = BmbToLiteDramGenerator(p.liteDramMapping) +// +// for(core <- cores) interconnect.addConnection(core.cpu.iBus -> List(iArbiter.bmb)) +// interconnect.addConnection( +// iArbiter.bmb -> List(iBridge.bmb, peripheralBridge.bmb), +// invalidationMonitor.output -> List(dBridge.bmb, peripheralBridge.bmb) +// ) +// interconnect.masters(invalidationMonitor.output).withOutOfOrderDecoder() +// +// dBridge.liteDramParameter.load(p.liteDram) +// iBridge.liteDramParameter.load(p.liteDram) +// +// // Interconnect pipelining (FMax) +// for(core <- cores) { +// interconnect.setPipelining(core.cpu.dBus)(cmdValid = true, cmdReady = true, rspValid = true) +// interconnect.setPipelining(core.cpu.iBus)(cmdHalfRate = true, rspValid = true) +// interconnect.setPipelining(iArbiter.bmb)(cmdHalfRate = true, rspValid = true) +// } +// interconnect.setPipelining(invalidationMonitor.output)(cmdValid = true, cmdReady = true, rspValid = true) +// interconnect.setPipelining(peripheralBridge.bmb)(cmdHalfRate = true, rspValid = true) +//} +// +// +//object VexRiscvLitexSmpMpClusterGen extends App { +// for(cpuCount <- List(1,2,4,8)) { +// def parameter = VexRiscvLitexSmpMpClusterParameter( +// cluster = VexRiscvSmpClusterParameter( +// cpuConfigs = List.tabulate(cpuCount) { hartId => +// vexRiscvConfig( +// hartId = hartId, +// ioRange = address => address.msb, +// resetVector = 0 +// ) +// } +// ), +// liteDram = LiteDramNativeParameter(addressWidth = 32, dataWidth = 128), +// liteDramMapping = SizeMapping(0x40000000l, 0x40000000l) +// ) +// +// def dutGen = { +// val toplevel = new VexRiscvLitexSmpMpCluster( +// p = parameter +// ).toComponent() +// toplevel +// } +// +// val genConfig = SpinalConfig().addStandardMemBlackboxing(blackboxByteEnables) +// // genConfig.generateVerilog(Bench.compressIo(dutGen)) +// genConfig.generateVerilog(dutGen.setDefinitionName(s"VexRiscvLitexSmpMpCluster_${cpuCount}c")) +// } +//} + + + +// +////addAttribute("""mark_debug = "true"""") +//class VexRiscvLitexSmpMpCluster(val p : VexRiscvLitexSmpMpClusterParameter, +// val debugClockDomain : ClockDomain, +// val jtagClockDomain : ClockDomain) extends Component{ +// +// val peripheralWishboneConfig = WishboneConfig( +// addressWidth = 30, +// dataWidth = 32, +// selWidth = 4, +// useERR = true, +// useBTE = true, +// useCTI = true +// ) +// +// val cpuCount = p.cluster.cpuConfigs.size +// +// val io = new Bundle { +// val dMem = Vec(master(LiteDramNative(p.liteDram)), cpuCount) +// val iMem = Vec(master(LiteDramNative(p.liteDram)), cpuCount) +// val peripheral = master(Wishbone(peripheralWishboneConfig)) +// val clint = slave(Wishbone(Clint.getWisboneConfig())) +// val plic = slave(Wishbone(WishboneConfig(addressWidth = 20, dataWidth = 32))) +// val interrupts = in Bits(32 bits) +// val jtagInstruction = slave(JtagTapInstructionCtrl()) +// val debugReset = out Bool() +// } +// val clint = Clint(cpuCount) +// clint.driveFrom(WishboneSlaveFactory(io.clint)) +// +// val cluster = VexRiscvSmpCluster(p.cluster, debugClockDomain) +// cluster.io.debugReset <> io.debugReset +// cluster.io.timerInterrupts <> B(clint.harts.map(_.timerInterrupt)) +// cluster.io.softwareInterrupts <> B(clint.harts.map(_.softwareInterrupt)) +// cluster.io.time := clint.time +// +// val debug = debugClockDomain on new Area{ +// val jtagConfig = SystemDebuggerConfig() +// +// val jtagBridge = new JtagBridgeNoTap(jtagConfig, jtagClockDomain) +// jtagBridge.io.ctrl << io.jtagInstruction +// +// val debugger = new SystemDebugger(jtagConfig) +// debugger.io.remote <> jtagBridge.io.remote +// +// cluster.io.debugBus << debugger.io.mem.toBmb() +// +//// io.jtagInstruction.allowDirectionLessIo.setAsDirectionLess +//// val bridge = Bscane2BmbMaster(1) +//// cluster.io.debugBus << bridge.io.bmb +// +// +//// val bscane2 = BSCANE2(usedId) +//// val jtagClockDomain = ClockDomain(bscane2.TCK) +//// +//// val jtagBridge = new JtagBridgeNoTap(jtagConfig, jtagClockDomain) +//// jtagBridge.io.ctrl << bscane2.toJtagTapInstructionCtrl() +//// +//// val debugger = new SystemDebugger(jtagConfig) +//// debugger.io.remote <> jtagBridge.io.remote +//// +//// io.bmb << debugger.io.mem.toBmb() +// } +// +// val dBusDecoder = BmbDecoderOutOfOrder( +// p = cluster.io.dMem.p, +// mappings = Seq(DefaultMapping, p.liteDramMapping), +// capabilities = Seq(cluster.io.dMem.p, cluster.io.dMem.p), +// pendingRspTransactionMax = 32 +// ) +//// val dBusDecoder = BmbDecoderOut( +//// p = cluster.io.dMem.p, +//// mappings = Seq(DefaultMapping, p.liteDramMapping), +//// capabilities = Seq(cluster.io.dMem.p, cluster.io.dMem.p), +//// pendingMax = 31 +//// ) +// dBusDecoder.io.input << cluster.io.dMem.pipelined(cmdValid = true, cmdReady = true, rspValid = true) +// +// +// val perIBus = for(id <- 0 until cpuCount) yield new Area{ +// val decoder = BmbDecoder( +// p = cluster.io.iMems(id).p, +// mappings = Seq(DefaultMapping, p.liteDramMapping), +// capabilities = Seq(cluster.io.iMems(id).p,cluster.io.iMems(id).p), +// pendingMax = 15 +// ) +// +// decoder.io.input << cluster.io.iMems(id) +// io.iMem(id).fromBmb(decoder.io.outputs(1).pipelined(cmdHalfRate = true), wdataFifoSize = 0, rdataFifoSize = 32) +// val toPeripheral = decoder.io.outputs(0).resize(dataWidth = 32).pipelined(cmdHalfRate = true, rspValid = true) +// } +// +// val dBusDecoderToPeripheral = dBusDecoder.io.outputs(0).resize(dataWidth = 32).pipelined(cmdHalfRate = true, rspValid = true) +// +// val peripheralAccessLength = Math.max(perIBus(0).toPeripheral.p.lengthWidth, dBusDecoder.io.outputs(0).p.lengthWidth) +// val peripheralArbiter = BmbArbiter( +// p = dBusDecoder.io.outputs(0).p.copy( +// sourceWidth = List(perIBus(0).toPeripheral, dBusDecoderToPeripheral).map(_.p.sourceWidth).max + log2Up(cpuCount + 1), +// contextWidth = List(perIBus(0).toPeripheral, dBusDecoderToPeripheral).map(_.p.contextWidth).max, +// lengthWidth = peripheralAccessLength, +// dataWidth = 32 +// ), +// portCount = cpuCount+1, +// lowerFirstPriority = true +// ) +// +// for(id <- 0 until cpuCount){ +// peripheralArbiter.io.inputs(id) << perIBus(id).toPeripheral +// } +// peripheralArbiter.io.inputs(cpuCount) << dBusDecoderToPeripheral +// +// val peripheralWishbone = peripheralArbiter.io.output.pipelined(cmdValid = true).toWishbone() +// io.peripheral << peripheralWishbone +// +// +// val dBusDemux = BmbSourceDecoder(dBusDecoder.io.outputs(1).p) +// dBusDemux.io.input << dBusDecoder.io.outputs(1).pipelined(cmdValid = true, cmdReady = true,rspValid = true) +// val dMemBridge = for(id <- 0 until cpuCount) yield { +// io.dMem(id).fromBmb(dBusDemux.io.outputs(id), wdataFifoSize = 32, rdataFifoSize = 32) +// } +// +// +// val plic = new Area{ +// val priorityWidth = 2 +// +// val gateways = for(i <- 1 until 32) yield PlicGatewayActiveHigh( +// source = io.interrupts(i), +// id = i, +// priorityWidth = priorityWidth +// ) +// +// val bus = WishboneSlaveFactory(io.plic) +// +// val targets = for(i <- 0 until cpuCount) yield new Area{ +// val machine = PlicTarget( +// gateways = gateways, +// priorityWidth = priorityWidth +// ) +// val supervisor = PlicTarget( +// gateways = gateways, +// priorityWidth = priorityWidth +// ) +// +// cluster.io.externalInterrupts(i) := machine.iep +// cluster.io.externalSupervisorInterrupts(i) := supervisor.iep +// } +// +// val bridge = PlicMapper(bus, PlicMapping.sifive)( +// gateways = gateways, +// targets = targets.flatMap(t => List(t.machine, t.supervisor)) +// ) +// } +//// +//// io.dMem.foreach(_.cmd.valid.addAttribute("""mark_debug = "true"""")) +//// io.dMem.foreach(_.cmd.ready.addAttribute("""mark_debug = "true"""")) +//// io.iMem.foreach(_.cmd.valid.addAttribute("""mark_debug = "true"""")) +//// io.iMem.foreach(_.cmd.ready.addAttribute("""mark_debug = "true"""")) +//// +//// cluster.io.dMem.cmd.valid.addAttribute("""mark_debug = "true"""") +//// cluster.io.dMem.cmd.ready.addAttribute("""mark_debug = "true"""") +//// cluster.io.dMem.rsp.valid.addAttribute("""mark_debug = "true"""") +//// cluster.io.dMem.rsp.ready.addAttribute("""mark_debug = "true"""") +//} +// +//object VexRiscvLitexSmpMpClusterGen extends App { +// for(cpuCount <- List(1,2,4,8)) { +// def parameter = VexRiscvLitexSmpMpClusterParameter( +// cluster = VexRiscvSmpClusterParameter( +// cpuConfigs = List.tabulate(cpuCount) { hartId => +// vexRiscvConfig( +// hartId = hartId, +// ioRange = address => address.msb, +// resetVector = 0 +// ) +// } +// ), +// liteDram = LiteDramNativeParameter(addressWidth = 32, dataWidth = 128), +// liteDramMapping = SizeMapping(0x40000000l, 0x40000000l) +// ) +// +// def dutGen = { +// val toplevel = new VexRiscvLitexSmpMpCluster( +// p = parameter, +// debugClockDomain = ClockDomain.current.copy(reset = Bool().setName("debugResetIn")), +// jtagClockDomain = ClockDomain.external("jtag", withReset = false) +// ) +// toplevel +// } +// +// val genConfig = SpinalConfig().addStandardMemBlackboxing(blackboxByteEnables) +// // genConfig.generateVerilog(Bench.compressIo(dutGen)) +// genConfig.generateVerilog(dutGen.setDefinitionName(s"VexRiscvLitexSmpMpCluster_${cpuCount}c")) +// } +// +//} +// +// +//object VexRiscvLitexSmpMpClusterOpenSbi extends App{ +// import spinal.core.sim._ +// +// val simConfig = SimConfig +// simConfig.withWave +// simConfig.withFstWave +// simConfig.allOptimisation +// +// val cpuCount = 2 +// +// def parameter = VexRiscvLitexSmpMpClusterParameter( +// cluster = VexRiscvSmpClusterParameter( +// cpuConfigs = List.tabulate(cpuCount) { hartId => +// vexRiscvConfig( +// hartId = hartId, +// ioRange = address => address(31 downto 28) === 0xF, +// resetVector = 0x80000000l +// ) +// } +// ), +// liteDram = LiteDramNativeParameter(addressWidth = 32, dataWidth = 128), +// liteDramMapping = SizeMapping(0x80000000l, 0x70000000l) +// ) +// +// def dutGen = { +// val top = new VexRiscvLitexSmpMpCluster( +// p = parameter, +// debugClockDomain = ClockDomain.current.copy(reset = Bool().setName("debugResetIn")), +// jtagClockDomain = ClockDomain.external("jtag", withReset = false) +// ){ +// io.jtagInstruction.allowDirectionLessIo.setAsDirectionLess +// val jtag = slave(Jtag()) +// jtagClockDomain.readClockWire.setAsDirectionLess() := jtag.tck +// val jtagLogic = jtagClockDomain on new Area{ +// val tap = new JtagTap(jtag, 4) +// val idcodeArea = tap.idcode(B"x10001FFF")(1) +// val wrapper = tap.map(io.jtagInstruction, instructionId = 2) +// } +// } +// top.rework{ +// top.io.clint.setAsDirectionLess.allowDirectionLessIo +// top.io.peripheral.setAsDirectionLess.allowDirectionLessIo.simPublic() +// +// val hit = (top.io.peripheral.ADR <<2 >= 0xF0010000l && top.io.peripheral.ADR<<2 < 0xF0020000l) +// top.io.clint.CYC := top.io.peripheral.CYC && hit +// top.io.clint.STB := top.io.peripheral.STB +// top.io.clint.WE := top.io.peripheral.WE +// top.io.clint.ADR := top.io.peripheral.ADR.resized +// top.io.clint.DAT_MOSI := top.io.peripheral.DAT_MOSI +// top.io.peripheral.DAT_MISO := top.io.clint.DAT_MISO +// top.io.peripheral.ACK := top.io.peripheral.CYC && (!hit || top.io.clint.ACK) +// top.io.peripheral.ERR := False +// +//// top.dMemBridge.unburstified.cmd.simPublic() +// } +// top +// } +// simConfig.compile(dutGen).doSimUntilVoid(seed = 42){dut => +// dut.clockDomain.forkStimulus(10) +// fork { +// dut.debugClockDomain.resetSim #= false +// sleep (0) +// dut.debugClockDomain.resetSim #= true +// sleep (10) +// dut.debugClockDomain.resetSim #= false +// } +// +// JtagTcp(dut.jtag, 10*20) +// +// val ram = SparseMemory() +// ram.loadBin(0x80000000l, "../opensbi/build/platform/spinal/vexriscv/sim/smp/firmware/fw_jump.bin") +// ram.loadBin(0xC0000000l, "../buildroot/output/images/Image") +// ram.loadBin(0xC1000000l, "../buildroot/output/images/dtb") +// ram.loadBin(0xC2000000l, "../buildroot/output/images/rootfs.cpio") +// +// for(id <- 0 until cpuCount) { +// dut.io.iMem(id).simSlave(ram, dut.clockDomain) +// dut.io.dMem(id).simSlave(ram, dut.clockDomain) +// } +// +// dut.io.interrupts #= 0 +// +// +//// val stdin = mutable.Queue[Byte]() +//// def stdInPush(str : String) = stdin ++= str.toCharArray.map(_.toByte) +//// fork{ +//// sleep(4000*1000000l) +//// stdInPush("root\n") +//// sleep(1000*1000000l) +//// stdInPush("ping localhost -i 0.01 > /dev/null &\n") +//// stdInPush("ping localhost -i 0.01 > /dev/null &\n") +//// stdInPush("ping localhost -i 0.01 > /dev/null &\n") +//// stdInPush("ping localhost -i 0.01 > /dev/null &\n") +//// sleep(500*1000000l) +//// while(true){ +//// sleep(500*1000000l) +//// stdInPush("uptime\n") +//// printf("\n** uptime **") +//// } +//// } +// dut.clockDomain.onFallingEdges { +// if (dut.io.peripheral.CYC.toBoolean) { +// (dut.io.peripheral.ADR.toLong << 2) match { +// case 0xF0000000l => print(dut.io.peripheral.DAT_MOSI.toLong.toChar) +// case 0xF0000004l => dut.io.peripheral.DAT_MISO #= (if (System.in.available() != 0) System.in.read() else 0xFFFFFFFFl) +// case _ => +// // case 0xF0000004l => { +// // val c = if(stdin.nonEmpty) { +// // stdin.dequeue().toInt & 0xFF +// // } else { +// // 0xFFFFFFFFl +// // } +// // dut.io.peripheral.DAT_MISO #= c +// // } +// // case _ => +// // } +// // println(f"${dut.io.peripheral.ADR.toLong}%x") +// } +// } +// } +// +// fork{ +// val at = 0 +// val duration = 1000 +// while(simTime() < at*1000000l) { +// disableSimWave() +// sleep(100000 * 10) +// enableSimWave() +// sleep( 200 * 10) +// } +// println("\n\n********************") +// sleep(duration*1000000l) +// println("********************\n\n") +// while(true) { +// disableSimWave() +// sleep(100000 * 10) +// enableSimWave() +// sleep( 400 * 10) +// } +// } +// } +//} \ No newline at end of file diff --git a/VexRiscv/src/main/scala/vexriscv/ip/DataCache.scala b/VexRiscv/src/main/scala/vexriscv/ip/DataCache.scala new file mode 100644 index 0000000..2b70400 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/ip/DataCache.scala @@ -0,0 +1,1184 @@ +package vexriscv.ip + +import vexriscv._ +import spinal.core._ +import spinal.lib._ +import spinal.lib.bus.amba4.axi.{Axi4Config, Axi4Shared} +import spinal.lib.bus.avalon.{AvalonMM, AvalonMMConfig} +import spinal.lib.bus.bmb.{Bmb, BmbAccessParameter, BmbCmd, BmbInvalidationParameter, BmbParameter, BmbSourceParameter} +import spinal.lib.bus.wishbone.{Wishbone, WishboneConfig} +import spinal.lib.bus.simple._ +import vexriscv.plugin.DBusSimpleBus + + +case class DataCacheConfig(cacheSize : Int, + bytePerLine : Int, + wayCount : Int, + addressWidth : Int, + cpuDataWidth : Int, + var rfDataWidth : Int = -1, //-1 mean cpuDataWidth + memDataWidth : Int, + catchAccessError : Boolean, + catchIllegal : Boolean, + catchUnaligned : Boolean, + earlyWaysHits : Boolean = true, + earlyDataMux : Boolean = false, + tagSizeShift : Int = 0, //Used to force infering ram + withLrSc : Boolean = false, + withAmo : Boolean = false, + withExclusive : Boolean = false, + withInvalidate : Boolean = false, + pendingMax : Int = 64, + directTlbHit : Boolean = false, + mergeExecuteMemory : Boolean = false, + asyncTagMemory : Boolean = false, + withWriteAggregation : Boolean = false){ + + if(rfDataWidth == -1) rfDataWidth = cpuDataWidth + assert(!(mergeExecuteMemory && (earlyDataMux || earlyWaysHits))) + assert(!(earlyDataMux && !earlyWaysHits)) + assert(isPow2(pendingMax)) + assert(rfDataWidth <= memDataWidth) + + def lineCount = cacheSize/bytePerLine/wayCount + def sizeMax = log2Up(bytePerLine) + def sizeWidth = log2Up(sizeMax + 1) + val aggregationWidth = if(withWriteAggregation) log2Up(memDataBytes+1) else 0 + def withWriteResponse = withExclusive + def burstSize = bytePerLine*8/memDataWidth + val burstLength = bytePerLine/(cpuDataWidth/8) + def catchSomething = catchUnaligned || catchIllegal || catchAccessError + def withInternalAmo = withAmo && !withExclusive + def withInternalLrSc = withLrSc && !withExclusive + def withExternalLrSc = withLrSc && withExclusive + def withExternalAmo = withAmo && withExclusive + def cpuDataBytes = cpuDataWidth/8 + def rfDataBytes = rfDataWidth/8 + def memDataBytes = memDataWidth/8 + def getAxi4SharedConfig() = Axi4Config( + addressWidth = addressWidth, + dataWidth = memDataWidth, + useId = false, + useRegion = false, + useBurst = false, + useLock = false, + useQos = false + ) + + + def getAvalonConfig() = AvalonMMConfig.bursted( + addressWidth = addressWidth, + dataWidth = memDataWidth, + burstCountWidth = log2Up(burstSize + 1)).copy( + useByteEnable = true, + constantBurstBehavior = true, + burstOnBurstBoundariesOnly = true, + useResponse = true, + maximumPendingReadTransactions = 2 + ) + + def getWishboneConfig() = WishboneConfig( + addressWidth = 32-log2Up(memDataWidth/8), + dataWidth = memDataWidth, + selWidth = memDataBytes, + useSTALL = false, + useLOCK = false, + useERR = true, + useRTY = false, + tgaWidth = 0, + tgcWidth = 0, + tgdWidth = 0, + useBTE = true, + useCTI = true + ) + + def getBmbParameter() = BmbParameter( + BmbAccessParameter( + addressWidth = 32, + dataWidth = memDataWidth + ).addSources(1, BmbSourceParameter( + lengthWidth = log2Up(this.bytePerLine), + contextWidth = (if(!withWriteResponse) 1 else 0) + aggregationWidth, + alignment = BmbParameter.BurstAlignement.LENGTH, + canExclusive = withExclusive, + withCachedRead = true, + canInvalidate = withInvalidate, + canSync = withInvalidate + )), + BmbInvalidationParameter( + invalidateLength = log2Up(this.bytePerLine), + invalidateAlignment = BmbParameter.BurstAlignement.LENGTH + ) + ) +} + +object DataCacheCpuExecute{ + implicit def implArgs(that : DataCacheCpuExecute) = that.args +} + +case class DataCacheCpuExecute(p : DataCacheConfig) extends Bundle with IMasterSlave{ + val isValid = Bool + val address = UInt(p.addressWidth bit) + val haltIt = Bool + val args = DataCacheCpuExecuteArgs(p) + val refilling = Bool + + override def asMaster(): Unit = { + out(isValid, args, address) + in(haltIt, refilling) + } +} + +case class DataCacheCpuExecuteArgs(p : DataCacheConfig) extends Bundle{ + val wr = Bool + val size = UInt(log2Up(log2Up(p.cpuDataBytes)+1) bits) + val isLrsc = p.withLrSc generate Bool() + val isAmo = p.withAmo generate Bool() + val amoCtrl = p.withAmo generate new Bundle { + val swap = Bool() + val alu = Bits(3 bits) + } + + val totalyConsistent = Bool() //Only for AMO/LRSC +} + +case class DataCacheCpuMemory(p : DataCacheConfig, mmu : MemoryTranslatorBusParameter) extends Bundle with IMasterSlave{ + val isValid = Bool + val isStuck = Bool + val isWrite = Bool + val address = UInt(p.addressWidth bit) + val mmuRsp = MemoryTranslatorRsp(mmu) + + override def asMaster(): Unit = { + out(isValid, isStuck, address) + in(isWrite) + out(mmuRsp) + } +} + + +case class FenceFlags() extends Bundle { + val SW,SR,SO,SI,PW,PR,PO,PI = Bool() + val FM = Bits(4 bits) + + def SL = SR || SI + def SS = SW || SO + def PL = PR || PI + def PS = PW || PO + def forceAll(): Unit ={ + List(SW,SR,SO,SI,PW,PR,PO,PI).foreach(_ := True) + } + def clearAll(): Unit ={ + List(SW,SR,SO,SI,PW,PR,PO,PI).foreach(_ := False) + } +} + +case class DataCacheCpuWriteBack(p : DataCacheConfig) extends Bundle with IMasterSlave{ + val isValid = Bool() + val isStuck = Bool() + val isFiring = Bool() + val isUser = Bool() + val haltIt = Bool() + val isWrite = Bool() + val storeData = Bits(p.cpuDataWidth bit) + val data = Bits(p.cpuDataWidth bit) + val address = UInt(p.addressWidth bit) + val mmuException, unalignedAccess, accessError = Bool() + val keepMemRspData = Bool() //Used by external AMO to avoid having an internal buffer + val fence = FenceFlags() + val exclusiveOk = Bool() + + override def asMaster(): Unit = { + out(isValid,isStuck,isUser, address, fence, storeData, isFiring) + in(haltIt, data, mmuException, unalignedAccess, accessError, isWrite, keepMemRspData, exclusiveOk) + } +} + +case class DataCacheFlush(lineCount : Int) extends Bundle{ + val singleLine = Bool() + val lineId = UInt(log2Up(lineCount) bits) +} + +case class DataCacheCpuBus(p : DataCacheConfig, mmu : MemoryTranslatorBusParameter) extends Bundle with IMasterSlave{ + val execute = DataCacheCpuExecute(p) + val memory = DataCacheCpuMemory(p, mmu) + val writeBack = DataCacheCpuWriteBack(p) + + val redo = Bool() + val flush = Stream(DataCacheFlush(p.lineCount)) + + override def asMaster(): Unit = { + master(execute) + master(memory) + master(writeBack) + master(flush) + in(redo) + } +} + + +case class DataCacheMemCmd(p : DataCacheConfig) extends Bundle{ + val wr = Bool + val uncached = Bool + val address = UInt(p.addressWidth bit) + val data = Bits(p.cpuDataWidth bits) + val mask = Bits(p.cpuDataWidth/8 bits) + val size = UInt(p.sizeWidth bits) //... 1 => 2 bytes ... 2 => 4 bytes ... + val exclusive = p.withExclusive generate Bool() + val last = Bool + +// def beatCountMinusOne = size.muxListDc((0 to p.sizeMax).map(i => i -> U((1 << i)/p.memDataBytes))) +// def beatCount = size.muxListDc((0 to p.sizeMax).map(i => i -> U((1 << i)/p.memDataBytes-1))) + + //Utilities which does quite a few assumtions about the bus utilisation + def byteCountMinusOne = size.muxListDc((0 to p.sizeMax).map(i => i -> U((1 << i)-1, log2Up(p.bytePerLine) bits))) + def beatCountMinusOne = (size === log2Up(p.bytePerLine)) ? U(p.burstSize-1) | U(0) + def beatCount = (size === log2Up(p.bytePerLine)) ? U(p.burstSize) | U(1) + def isBurst = size === log2Up(p.bytePerLine) +} +case class DataCacheMemRsp(p : DataCacheConfig) extends Bundle{ + val aggregated = UInt(p.aggregationWidth bits) + val last = Bool() + val data = Bits(p.memDataWidth bit) + val error = Bool + val exclusive = p.withExclusive generate Bool() +} +case class DataCacheInv(p : DataCacheConfig) extends Bundle{ + val enable = Bool() + val address = UInt(p.addressWidth bit) +} +case class DataCacheAck(p : DataCacheConfig) extends Bundle{ + val hit = Bool() +} + +case class DataCacheSync(p : DataCacheConfig) extends Bundle{ + val aggregated = UInt(p.aggregationWidth bits) +} + +case class DataCacheMemBus(p : DataCacheConfig) extends Bundle with IMasterSlave{ + val cmd = Stream (DataCacheMemCmd(p)) + val rsp = Flow (DataCacheMemRsp(p)) + + val inv = p.withInvalidate generate Stream(Fragment(DataCacheInv(p))) + val ack = p.withInvalidate generate Stream(Fragment(DataCacheAck(p))) + val sync = p.withInvalidate generate Stream(DataCacheSync(p)) + + override def asMaster(): Unit = { + master(cmd) + slave(rsp) + + if(p.withInvalidate) { + slave(inv) + master(ack) + slave(sync) + } + } + + def toAxi4Shared(stageCmd : Boolean = false, pendingWritesMax : Int = 7): Axi4Shared = { + val axi = Axi4Shared(p.getAxi4SharedConfig()).setName("dbus_axi") + + val cmdPreFork = if (stageCmd) cmd.stage.stage().s2mPipe() else cmd + + val pendingWrites = CounterUpDown( + stateCount = pendingWritesMax + 1, + incWhen = cmdPreFork.fire && cmdPreFork.wr, + decWhen = axi.writeRsp.fire + ) + + val hazard = (pendingWrites =/= 0 && !cmdPreFork.wr) || pendingWrites === pendingWritesMax + val (cmdFork, dataFork) = StreamFork2(cmdPreFork.haltWhen(hazard)) + val cmdStage = cmdFork.throwWhen(RegNextWhen(!cmdFork.last,cmdFork.fire).init(False)) + val dataStage = dataFork.throwWhen(!dataFork.wr) + + axi.sharedCmd.arbitrationFrom(cmdStage) + axi.sharedCmd.write := cmdStage.wr + axi.sharedCmd.prot := "010" + axi.sharedCmd.cache := "1111" + axi.sharedCmd.size := log2Up(p.memDataBytes) + axi.sharedCmd.addr := cmdStage.address + axi.sharedCmd.len := cmdStage.beatCountMinusOne.resized + + axi.writeData.arbitrationFrom(dataStage) + axi.writeData.data := dataStage.data + axi.writeData.strb := dataStage.mask + axi.writeData.last := dataStage.last + + rsp.valid := axi.r.valid + rsp.error := !axi.r.isOKAY() + rsp.data := axi.r.data + + axi.r.ready := True + axi.b.ready := True + + axi + } + + + def toAvalon(): AvalonMM = { + val avalonConfig = p.getAvalonConfig() + val mm = AvalonMM(avalonConfig) + mm.read := cmd.valid && !cmd.wr + mm.write := cmd.valid && cmd.wr + mm.address := cmd.address(cmd.address.high downto log2Up(p.memDataWidth/8)) @@ U(0,log2Up(p.memDataWidth/8) bits) + mm.burstCount := cmd.beatCount + mm.byteEnable := cmd.mask + mm.writeData := cmd.data + + cmd.ready := mm.waitRequestn + rsp.valid := mm.readDataValid + rsp.data := mm.readData + rsp.error := mm.response =/= AvalonMM.Response.OKAY + + mm + } + + def toWishbone(): Wishbone = { + val wishboneConfig = p.getWishboneConfig() + val bus = Wishbone(wishboneConfig) + val counter = Reg(UInt(log2Up(p.burstSize) bits)) init(0) + val addressShift = log2Up(p.memDataWidth/8) + + val cmdBridge = Stream (DataCacheMemCmd(p)) + val isBurst = cmdBridge.isBurst + cmdBridge.valid := cmd.valid + cmdBridge.address := (isBurst ? (cmd.address(31 downto widthOf(counter) + addressShift) @@ counter @@ U(0, addressShift bits)) | (cmd.address(31 downto addressShift) @@ U(0, addressShift bits))) + cmdBridge.wr := cmd.wr + cmdBridge.mask := cmd.mask + cmdBridge.data := cmd.data + cmdBridge.size := cmd.size + cmdBridge.last := !isBurst || counter === p.burstSize-1 + cmd.ready := cmdBridge.ready && (cmdBridge.wr || cmdBridge.last) + + + when(cmdBridge.fire){ + counter := counter + 1 + when(cmdBridge.last){ + counter := 0 + } + } + + + bus.ADR := cmdBridge.address >> addressShift + bus.CTI := Mux(isBurst, cmdBridge.last ? B"111" | B"010", B"000") + bus.BTE := B"00" + bus.SEL := cmdBridge.wr ? cmdBridge.mask | B((1 << p.memDataBytes)-1) + bus.WE := cmdBridge.wr + bus.DAT_MOSI := cmdBridge.data + + cmdBridge.ready := cmdBridge.valid && bus.ACK + bus.CYC := cmdBridge.valid + bus.STB := cmdBridge.valid + + rsp.valid := RegNext(cmdBridge.valid && !bus.WE && bus.ACK) init(False) + rsp.data := RegNext(bus.DAT_MISO) + rsp.error := False //TODO + bus + } + + + + def toPipelinedMemoryBus(): PipelinedMemoryBus = { + val bus = PipelinedMemoryBus(32,32) + + val counter = Reg(UInt(log2Up(p.burstSize) bits)) init(0) + when(bus.cmd.fire){ counter := counter + 1 } + when( cmd.fire && cmd.last){ counter := 0 } + + bus.cmd.valid := cmd.valid + bus.cmd.address := (cmd.address(31 downto 2) | counter.resized) @@ U"00" + bus.cmd.write := cmd.wr + bus.cmd.mask := cmd.mask + bus.cmd.data := cmd.data + cmd.ready := bus.cmd.ready && (cmd.wr || counter === p.burstSize-1) + rsp.valid := bus.rsp.valid + rsp.data := bus.rsp.payload.data + rsp.error := False + bus + } + + + def toBmb(syncPendingMax : Int = 32, + timeoutCycles : Int = 16) : Bmb = new Area{ + setCompositeName(DataCacheMemBus.this, "Bridge", true) + val pipelinedMemoryBusConfig = p.getBmbParameter() + val bus = Bmb(pipelinedMemoryBusConfig).setCompositeName(this,"toBmb", true) + + case class Context() extends Bundle{ + val isWrite = !p.withWriteResponse generate Bool() + val rspCount = (p.aggregationWidth != 0) generate UInt(p.aggregationWidth bits) + } + + + def sizeToLength(size : UInt) = size.muxListDc((0 to log2Up(p.cpuDataBytes)).map(i => U(i) -> U((1 << i)-1, log2Up(p.cpuDataBytes) bits))) + + val withoutWriteBuffer = if(p.aggregationWidth == 0) new Area { + val busCmdContext = Context() + + bus.cmd.valid := cmd.valid + bus.cmd.last := cmd.last + bus.cmd.opcode := (cmd.wr ? B(Bmb.Cmd.Opcode.WRITE) | B(Bmb.Cmd.Opcode.READ)) + bus.cmd.address := cmd.address.resized + bus.cmd.data := cmd.data + bus.cmd.length := cmd.byteCountMinusOne + bus.cmd.mask := cmd.mask + if (p.withExclusive) bus.cmd.exclusive := cmd.exclusive + if (!p.withWriteResponse) busCmdContext.isWrite := cmd.wr + bus.cmd.context := B(busCmdContext) + + cmd.ready := bus.cmd.ready + if(p.withInvalidate) sync.arbitrationFrom(bus.sync) + } + + val withWriteBuffer = if(p.aggregationWidth != 0) new Area { + val buffer = new Area { + val stream = cmd.toEvent().m2sPipe() + val address = Reg(UInt(p.addressWidth bits)) + val length = Reg(UInt(pipelinedMemoryBusConfig.access.lengthWidth bits)) + val write = Reg(Bool) + val exclusive = Reg(Bool) + val data = Reg(Bits(p.memDataWidth bits)) + val mask = Reg(Bits(p.memDataWidth/8 bits)) init(0) + } + + val aggregationRange = log2Up(p.memDataWidth/8)-1 downto log2Up(p.cpuDataWidth/8) + val tagRange = p.addressWidth-1 downto aggregationRange.high+1 + val aggregationEnabled = Reg(Bool) + val aggregationCounter = Reg(UInt(p.aggregationWidth bits)) init(0) + val aggregationCounterFull = aggregationCounter === aggregationCounter.maxValue + val timer = Reg(UInt(log2Up(timeoutCycles)+1 bits)) init(0) + val timerFull = timer.msb + val hit = cmd.address(tagRange) === buffer.address(tagRange) + val cmdExclusive = if(p.withExclusive) cmd.exclusive else False + val canAggregate = cmd.valid && cmd.wr && !cmd.uncached && !cmdExclusive && !timerFull && !aggregationCounterFull && (!buffer.stream.valid || aggregationEnabled && hit) + val doFlush = cmd.valid && !canAggregate || timerFull || aggregationCounterFull || !aggregationEnabled +// val canAggregate = False +// val doFlush = True + val busCmdContext = Context() + val halt = False + + when(cmd.fire){ + aggregationCounter := aggregationCounter + 1 + } + when(buffer.stream.valid && !timerFull){ + timer := timer + 1 + } + when(bus.cmd.fire || !buffer.stream.valid){ + buffer.mask := 0 + aggregationCounter := 0 + timer := 0 + } + + buffer.stream.ready := (bus.cmd.ready && doFlush || canAggregate) && !halt + bus.cmd.valid := buffer.stream.valid && doFlush && !halt + bus.cmd.last := True + bus.cmd.opcode := (buffer.write ? B(Bmb.Cmd.Opcode.WRITE) | B(Bmb.Cmd.Opcode.READ)) + bus.cmd.address := buffer.address + bus.cmd.length := buffer.length + bus.cmd.data := buffer.data + bus.cmd.mask := buffer.mask + + if (p.withExclusive) bus.cmd.exclusive := buffer.exclusive + bus.cmd.context.removeAssignments() := B(busCmdContext) + if (!p.withWriteResponse) busCmdContext.isWrite := bus.cmd.isWrite + busCmdContext.rspCount := aggregationCounter + + val aggregationSel = cmd.address(aggregationRange) + when(cmd.fire){ + val dIn = cmd.data.subdivideIn(8 bits) + val dReg = buffer.data.subdivideIn(8 bits) + for(byteId <- 0 until p.memDataBytes){ + when(aggregationSel === byteId / p.cpuDataBytes && cmd.mask(byteId % p.cpuDataBytes)){ + dReg.write(byteId, dIn(byteId % p.cpuDataBytes)) + buffer.mask(byteId) := True + } + } + } + + when(cmd.fire){ + buffer.write := cmd.wr + buffer.address := cmd.address.resized + buffer.length := cmd.byteCountMinusOne + if (p.withExclusive) buffer.exclusive := cmd.exclusive + + when(cmd.wr && !cmd.uncached && !cmdExclusive){ + aggregationEnabled := True + buffer.address(aggregationRange.high downto 0) := 0 + buffer.length := p.memDataBytes-1 + } otherwise { + aggregationEnabled := False + } + } + + + val rspCtx = bus.rsp.context.as(Context()) + rsp.aggregated := rspCtx.rspCount + + val syncLogic = p.withInvalidate generate new Area{ + val cmdCtx = Stream(UInt(p.aggregationWidth bits)) + cmdCtx.valid := bus.cmd.fire && bus.cmd.isWrite + cmdCtx.payload := aggregationCounter + halt setWhen(!cmdCtx.ready) + + val syncCtx = cmdCtx.queue(syncPendingMax).s2mPipe().m2sPipe() //Assume latency of sync is at least 3 cycles + syncCtx.ready := bus.sync.fire + + sync.arbitrationFrom(bus.sync) + sync.aggregated := syncCtx.payload + } + } + + + rsp.valid := bus.rsp.valid + if(!p.withWriteResponse) rsp.valid clearWhen(bus.rsp.context(0)) + rsp.data := bus.rsp.data + rsp.error := bus.rsp.isError + rsp.last := bus.rsp.last + if(p.withExclusive) rsp.exclusive := bus.rsp.exclusive + bus.rsp.ready := True + + val invalidateLogic = p.withInvalidate generate new Area{ + val beatCountMinusOne = bus.inv.transferBeatCountMinusOne(p.bytePerLine) + val counter = Reg(UInt(widthOf(beatCountMinusOne) bits)) init(0) + + inv.valid := bus.inv.valid + inv.address := bus.inv.address + (counter << log2Up(p.bytePerLine)) + inv.enable := bus.inv.all + inv.last := counter === beatCountMinusOne + bus.inv.ready := inv.last && inv.ready + + if(widthOf(counter) != 0) when(inv.fire){ + counter := counter + 1 + when(inv.last){ + counter := 0 + } + } + + bus.ack.arbitrationFrom(ack.throwWhen(!ack.last)) + } + }.bus + +} + +object DataCacheExternalAmoStates extends SpinalEnum{ + val LR_CMD, LR_RSP, SC_CMD, SC_RSP = newElement(); +} + +//If external amo, mem rsp should stay +class DataCache(val p : DataCacheConfig, mmuParameter : MemoryTranslatorBusParameter) extends Component{ + import p._ + + val io = new Bundle{ + val cpu = slave(DataCacheCpuBus(p, mmuParameter)) + val mem = master(DataCacheMemBus(p)) + } + + val haltCpu = False + val lineWidth = bytePerLine*8 + val lineCount = cacheSize/bytePerLine + val wordWidth = cpuDataWidth + val wordWidthLog2 = log2Up(wordWidth) + val wordPerLine = lineWidth/wordWidth + val bytePerWord = wordWidth/8 + val wayLineCount = lineCount/wayCount + val wayLineLog2 = log2Up(wayLineCount) + val wayWordCount = wayLineCount * wordPerLine + val memWordPerLine = lineWidth/memDataWidth + val memTransactionPerLine = p.bytePerLine / (p.memDataWidth/8) + val bytePerMemWord = memDataWidth/8 + val wayMemWordCount = wayLineCount * memWordPerLine + + val tagRange = addressWidth-1 downto log2Up(wayLineCount*bytePerLine) + val lineRange = tagRange.low-1 downto log2Up(bytePerLine) + val cpuWordRange = log2Up(bytePerLine)-1 downto log2Up(bytePerWord) + val memWordRange = log2Up(bytePerLine)-1 downto log2Up(bytePerMemWord) + val hitRange = tagRange.high downto lineRange.low + val memWordToCpuWordRange = log2Up(bytePerMemWord)-1 downto log2Up(bytePerWord) + val cpuWordToRfWordRange = log2Up(bytePerWord)-1 downto log2Up(p.rfDataBytes) + + + class LineInfo() extends Bundle{ + val valid, error = Bool() + val address = UInt(tagRange.length bit) + } + + val tagsReadCmd = Flow(UInt(log2Up(wayLineCount) bits)) + val tagsInvReadCmd = withInvalidate generate Flow(UInt(log2Up(wayLineCount) bits)) + val tagsWriteCmd = Flow(new Bundle{ + val way = Bits(wayCount bits) + val address = UInt(log2Up(wayLineCount) bits) + val data = new LineInfo() + }) + + val tagsWriteLastCmd = RegNext(tagsWriteCmd) + + val dataReadCmd = Flow(UInt(log2Up(wayMemWordCount) bits)) + val dataWriteCmd = Flow(new Bundle{ + val way = Bits(wayCount bits) + val address = UInt(log2Up(wayMemWordCount) bits) + val data = Bits(memDataWidth bits) + val mask = Bits(memDataWidth/8 bits) + }) + + + val ways = for(i <- 0 until wayCount) yield new Area{ + val tags = Mem(new LineInfo(), wayLineCount) + val data = Mem(Bits(memDataWidth bit), wayMemWordCount) + + //Reads + val tagsReadRsp = asyncTagMemory match { + case false => tags.readSync(tagsReadCmd.payload, tagsReadCmd.valid && !io.cpu.memory.isStuck) + case true => tags.readAsync(RegNextWhen(tagsReadCmd.payload, io.cpu.execute.isValid && !io.cpu.memory.isStuck)) + } + val dataReadRspMem = data.readSync(dataReadCmd.payload, dataReadCmd.valid && !io.cpu.memory.isStuck) + val dataReadRspSel = if(mergeExecuteMemory) io.cpu.writeBack.address else io.cpu.memory.address + val dataReadRsp = dataReadRspMem.subdivideIn(cpuDataWidth bits).read(dataReadRspSel(memWordToCpuWordRange)) + + val tagsInvReadRsp = withInvalidate generate(asyncTagMemory match { + case false => tags.readSync(tagsInvReadCmd.payload, tagsInvReadCmd.valid) + case true => tags.readAsync(RegNextWhen(tagsInvReadCmd.payload, tagsInvReadCmd.valid)) + }) + + //Writes + when(tagsWriteCmd.valid && tagsWriteCmd.way(i)){ + tags.write(tagsWriteCmd.address, tagsWriteCmd.data) + } + when(dataWriteCmd.valid && dataWriteCmd.way(i)){ + data.write( + address = dataWriteCmd.address, + data = dataWriteCmd.data, + mask = dataWriteCmd.mask + ) + } + } + + + tagsReadCmd.valid := False + tagsReadCmd.payload.assignDontCare() + dataReadCmd.valid := False + dataReadCmd.payload.assignDontCare() + tagsWriteCmd.valid := False + tagsWriteCmd.payload.assignDontCare() + dataWriteCmd.valid := False + dataWriteCmd.payload.assignDontCare() + + when(io.cpu.execute.isValid && !io.cpu.memory.isStuck){ + tagsReadCmd.valid := True + dataReadCmd.valid := True + tagsReadCmd.payload := io.cpu.execute.address(lineRange) + dataReadCmd.payload := io.cpu.execute.address(lineRange.high downto memWordRange.low) + } + + def collisionProcess(readAddress : UInt, readMask : Bits): Bits ={ + val ret = Bits(wayCount bits) + val readAddressAligned = (readAddress >> log2Up(memDataWidth/cpuDataWidth)) + val dataWriteMaskAligned = dataWriteCmd.mask.subdivideIn(memDataWidth/cpuDataWidth slices).read(readAddress(log2Up(memDataWidth/cpuDataWidth)-1 downto 0)) + for(i <- 0 until wayCount){ + ret(i) := dataWriteCmd.valid && dataWriteCmd.way(i) && dataWriteCmd.address === readAddressAligned && (readMask & dataWriteMaskAligned) =/= 0 + } + ret + } + + + io.cpu.execute.haltIt := False + + val rspSync = True + val rspLast = True + val memCmdSent = RegInit(False) setWhen (io.mem.cmd.fire) clearWhen (!io.cpu.writeBack.isStuck) + val pending = withExclusive generate new Area{ + val counter = Reg(UInt(log2Up(pendingMax) + 1 bits)) init(0) + val counterNext = counter + U(io.mem.cmd.fire && io.mem.cmd.last) - ((io.mem.rsp.valid && io.mem.rsp.last) ? (io.mem.rsp.aggregated +^ 1) | 0) + counter := counterNext + + val done = RegNext(counterNext === 0) + val full = RegNext(counter.msb) //Has margin + val last = RegNext(counterNext === 1) //Equivalent to counter === 1 but pipelined + + if(!withInvalidate) { + io.cpu.execute.haltIt setWhen(full) + } + + rspSync clearWhen (!last || !memCmdSent) + rspLast clearWhen (!last) + } + + val sync = withInvalidate generate new Area{ + io.mem.sync.ready := True + val syncCount = io.mem.sync.aggregated +^ 1 + val syncContext = new Area{ + val history = Mem(Bool, pendingMax) + val wPtr, rPtr = Reg(UInt(log2Up(pendingMax)+1 bits)) init(0) + when(io.mem.cmd.fire && io.mem.cmd.wr){ + history.write(wPtr.resized, io.mem.cmd.uncached) + wPtr := wPtr + 1 + } + + when(io.mem.sync.fire){ + rPtr := rPtr + syncCount + } + val uncached = history.readAsync(rPtr.resized) + val full = RegNext(wPtr - rPtr >= pendingMax-1) + io.cpu.execute.haltIt setWhen(full) + } + + def pending(inc : Bool, dec : Bool) = new Area { + val pendingSync = Reg(UInt(log2Up(pendingMax) + 1 bits)) init(0) + val pendingSyncNext = pendingSync + U(io.mem.cmd.fire && io.mem.cmd.wr && inc) - ((io.mem.sync.fire && dec) ? syncCount | 0) + pendingSync := pendingSyncNext + } + + val writeCached = pending(inc = !io.mem.cmd.uncached, dec = !syncContext.uncached) + val writeUncached = pending(inc = io.mem.cmd.uncached, dec = syncContext.uncached) + + def track(load : Bool, uncached : Boolean) = new Area { + val counter = Reg(UInt(log2Up(pendingMax) + 1 bits)) init(0) + counter := counter - ((io.mem.sync.fire && counter =/= 0 && (if(uncached) syncContext.uncached else !syncContext.uncached)) ? syncCount | 0) + when(load){ counter := (if(uncached) writeUncached.pendingSyncNext else writeCached.pendingSyncNext) } + + val busy = counter =/= 0 + } + + val w2w = track(load = io.cpu.writeBack.fence.PW && io.cpu.writeBack.fence.SW, uncached = false) + val w2r = track(load = io.cpu.writeBack.fence.PW && io.cpu.writeBack.fence.SR, uncached = false) + val w2i = track(load = io.cpu.writeBack.fence.PW && io.cpu.writeBack.fence.SI, uncached = false) + val w2o = track(load = io.cpu.writeBack.fence.PW && io.cpu.writeBack.fence.SO, uncached = false) + val o2w = track(load = io.cpu.writeBack.fence.PO && io.cpu.writeBack.fence.SW, uncached = true) + val o2r = track(load = io.cpu.writeBack.fence.PO && io.cpu.writeBack.fence.SR, uncached = true) + //Assume o2i and o2o are ordered by the interconnect + + val notTotalyConsistent = w2w.busy || w2r.busy || w2i.busy || w2o.busy || o2w.busy || o2r.busy + } + + + + + val stage0 = new Area{ +// val mask = io.cpu.execute.size.mux ( +// U(0) -> B"0001", +// U(1) -> B"0011", +// default -> B"1111" +// ) |<< io.cpu.execute.address(1 downto 0) + + val mask = io.cpu.execute.size.muxListDc((0 to log2Up(p.cpuDataBytes)).map(i => U(i) -> B((1 << (1 << i)) -1, p.cpuDataBytes bits))) |<< io.cpu.execute.address(log2Up(p.cpuDataBytes)-1 downto 0) + + + val dataColisions = collisionProcess(io.cpu.execute.address(lineRange.high downto cpuWordRange.low), mask) + val wayInvalidate = B(0, wayCount bits) //Used if invalidate enabled + + val isAmo = if(withAmo) io.cpu.execute.isAmo else False + } + + val stageA = new Area{ + def stagePipe[T <: Data](that : T) = if(mergeExecuteMemory) CombInit(that) else RegNextWhen(that, !io.cpu.memory.isStuck) + val request = stagePipe(io.cpu.execute.args) + val mask = stagePipe(stage0.mask) + io.cpu.memory.isWrite := request.wr + + val isAmo = if(withAmo) request.isAmo else False + val isLrsc = if(withAmo) request.isLrsc else False + val consistancyCheck = (withInvalidate || withWriteResponse) generate new Area { + val hazard = False + val w = sync.w2w.busy || sync.o2w.busy + val r = stagePipe(sync.w2r.busy || sync.o2r.busy) || sync.w2r.busy || sync.o2r.busy // As it use the cache, need to check against the execute stage status too + val o = CombInit(sync.w2o.busy) + val i = CombInit(sync.w2i.busy) + + val s = io.cpu.memory.mmuRsp.isIoAccess ? o | w + val l = io.cpu.memory.mmuRsp.isIoAccess ? i | r + + when(isAmo? (s || l) | (request.wr ? s | l)){ + hazard := True + } + when(request.totalyConsistent && (sync.notTotalyConsistent || io.cpu.writeBack.isValid && io.cpu.writeBack.isWrite)){ + hazard := True + } + } + + val wayHits = earlyWaysHits generate Bits(wayCount bits) + val indirectTlbHitGen = (earlyWaysHits && !directTlbHit) generate new Area { + wayHits := B(ways.map(way => (io.cpu.memory.mmuRsp.physicalAddress(tagRange) === way.tagsReadRsp.address && way.tagsReadRsp.valid))) + } + val directTlbHitGen = (earlyWaysHits && directTlbHit) generate new Area { + val wayTlbHits = for (way <- ways) yield for (tlb <- io.cpu.memory.mmuRsp.ways) yield { + way.tagsReadRsp.address === tlb.physical(tagRange) && tlb.sel + } + val translatedHits = B(wayTlbHits.map(_.orR)) + val bypassHits = B(ways.map(_.tagsReadRsp.address === io.cpu.memory.address(tagRange))) + wayHits := (io.cpu.memory.mmuRsp.bypassTranslation ? bypassHits | translatedHits) & B(ways.map(_.tagsReadRsp.valid)) + } + + val dataMux = earlyDataMux generate MuxOH(wayHits, ways.map(_.dataReadRsp)) + val wayInvalidate = stagePipe(stage0. wayInvalidate) + val dataColisions = if(mergeExecuteMemory){ + stagePipe(stage0.dataColisions) + } else { + //Assume the writeback stage will never be unstall memory acces while memory stage is stalled + stagePipe(stage0.dataColisions) | collisionProcess(io.cpu.memory.address(lineRange.high downto cpuWordRange.low), mask) + } + } + + val stageB = new Area { + def stagePipe[T <: Data](that : T) = RegNextWhen(that, !io.cpu.writeBack.isStuck) + def ramPipe[T <: Data](that : T) = if(mergeExecuteMemory) CombInit(that) else RegNextWhen(that, !io.cpu.writeBack.isStuck) + val request = RegNextWhen(stageA.request, !io.cpu.writeBack.isStuck) + val mmuRspFreeze = False + val mmuRsp = RegNextWhen(io.cpu.memory.mmuRsp, !io.cpu.writeBack.isStuck && !mmuRspFreeze) + val tagsReadRsp = ways.map(w => ramPipe(w.tagsReadRsp)) + val dataReadRsp = !earlyDataMux generate ways.map(w => ramPipe(w.dataReadRsp)) + val wayInvalidate = stagePipe(stageA. wayInvalidate) + val consistancyHazard = if(stageA.consistancyCheck != null) stagePipe(stageA.consistancyCheck.hazard) else False + val dataColisions = stagePipe(stageA.dataColisions) +// val unaligned = if(!catchUnaligned) False else stagePipe((stageA.request.size === 2 && io.cpu.memory.address(1 downto 0) =/= 0) || (stageA.request.size === 1 && io.cpu.memory.address(0 downto 0) =/= 0)) + val unaligned = if(!catchUnaligned) False else stagePipe((1 to log2Up(p.cpuDataBytes)).map(i => stageA.request.size === i && io.cpu.memory.address(i-1 downto 0) =/= 0).orR) + val waysHitsBeforeInvalidate = if(earlyWaysHits) stagePipe(B(stageA.wayHits)) else B(tagsReadRsp.map(tag => mmuRsp.physicalAddress(tagRange) === tag.address && tag.valid).asBits()) + val waysHits = waysHitsBeforeInvalidate & ~wayInvalidate + val waysHit = waysHits.orR + val dataMux = if(earlyDataMux) stagePipe(stageA.dataMux) else MuxOH(waysHits, dataReadRsp) + val mask = stagePipe(stageA.mask) + + //Loader interface + val loaderValid = False + + val ioMemRspMuxed = io.mem.rsp.data.subdivideIn(cpuDataWidth bits).read(io.cpu.writeBack.address(memWordToCpuWordRange)) + + io.cpu.writeBack.haltIt := True + + //Evict the cache after reset logics + val flusher = new Area { + val waitDone = RegInit(False) clearWhen(io.cpu.flush.ready) + val hold = False + val counter = Reg(UInt(lineRange.size + 1 bits)) init(0) + when(!counter.msb) { + tagsWriteCmd.valid := True + tagsWriteCmd.address := counter.resized + tagsWriteCmd.way.setAll() + tagsWriteCmd.data.valid := False + io.cpu.execute.haltIt := True + when(!hold) { + counter := counter + 1 + when(io.cpu.flush.singleLine){ + counter.msb := True + } + } + } + + io.cpu.flush.ready := waitDone && counter.msb + + val start = RegInit(True) //Used to relax timings + start := !waitDone && !start && io.cpu.flush.valid && !io.cpu.execute.isValid && !io.cpu.memory.isValid && !io.cpu.writeBack.isValid && !io.cpu.redo + + when(start){ + waitDone := True + counter := 0 + when(io.cpu.flush.singleLine){ + counter := U"0" @@ io.cpu.flush.lineId + } + } + } + + val lrSc = withInternalLrSc generate new Area{ + val reserved = RegInit(False) + when(io.cpu.writeBack.isValid && io.cpu.writeBack.isFiring){ + reserved setWhen(request.isLrsc) + reserved clearWhen(request.wr) + } + } + + val isAmo = if(withAmo) request.isAmo else False + val isAmoCached = if(withInternalAmo) isAmo else False + val isExternalLsrc = if(withExternalLrSc) request.isLrsc else False + val isExternalAmo = if(withExternalAmo) request.isAmo else False + + val requestDataBypass = CombInit(io.cpu.writeBack.storeData) + import DataCacheExternalAmoStates._ + val amo = withAmo generate new Area{ + def rf = io.cpu.writeBack.storeData(p.rfDataWidth-1 downto 0) + def memLarger = if(withInternalAmo) dataMux else ioMemRspMuxed + def mem = memLarger.subdivideIn(rfDataWidth bits).read(io.cpu.writeBack.address(cpuWordToRfWordRange)) + val compare = request.amoCtrl.alu.msb + val unsigned = request.amoCtrl.alu(2 downto 1) === B"11" + val addSub = (rf.asSInt + Mux(compare, ~mem, mem).asSInt + Mux(compare, S(1), S(0))).asBits + val less = Mux(rf.msb === mem.msb, addSub.msb, Mux(unsigned, mem.msb, rf.msb)) + val selectRf = request.amoCtrl.swap ? True | (request.amoCtrl.alu.lsb ^ less) + + val result = (request.amoCtrl.alu | (request.amoCtrl.swap ## B"00")).mux( + B"000" -> addSub, + B"001" -> (rf ^ mem), + B"010" -> (rf | mem), + B"011" -> (rf & mem), + default -> (selectRf ? rf | mem) + ) + // val resultRegValid = RegNext(True) clearWhen(!io.cpu.writeBack.isStuck) + // val resultReg = RegNext(result) + val resultReg = Reg(Bits(32 bits)) + + val internal = withInternalAmo generate new Area{ + val resultRegValid = RegNext(io.cpu.writeBack.isStuck) + resultReg := result + } + val external = !withInternalAmo generate new Area{ + val state = RegInit(LR_CMD) + } + } + + + val cpuWriteToCache = False + when(cpuWriteToCache){ + dataWriteCmd.valid setWhen(request.wr && waysHit) + dataWriteCmd.address := mmuRsp.physicalAddress(lineRange.high downto memWordRange.low) + dataWriteCmd.data.subdivideIn(cpuDataWidth bits).foreach(_ := requestDataBypass) + dataWriteCmd.mask := 0 + dataWriteCmd.mask.subdivideIn(cpuDataWidth/8 bits).write(io.cpu.writeBack.address(memWordToCpuWordRange), mask) + dataWriteCmd.way := waysHits + } + + val badPermissions = (!mmuRsp.allowWrite && request.wr) || (!mmuRsp.allowRead && (!request.wr || isAmo)) + val loadStoreFault = io.cpu.writeBack.isValid && (mmuRsp.exception || badPermissions) + + io.cpu.redo := False + io.cpu.writeBack.accessError := False + io.cpu.writeBack.mmuException := loadStoreFault && (if(catchIllegal) mmuRsp.isPaging else False) + io.cpu.writeBack.unalignedAccess := io.cpu.writeBack.isValid && unaligned + io.cpu.writeBack.isWrite := request.wr + + + io.mem.cmd.valid := False + io.mem.cmd.address := mmuRsp.physicalAddress + io.mem.cmd.last := True + io.mem.cmd.wr := request.wr + io.mem.cmd.mask := mask + io.mem.cmd.data := requestDataBypass + io.mem.cmd.uncached := mmuRsp.isIoAccess + io.mem.cmd.size := request.size.resized + if(withExternalLrSc) io.mem.cmd.exclusive := request.isLrsc || isAmo + + + val bypassCache = mmuRsp.isIoAccess || isExternalLsrc || isExternalAmo + + io.cpu.writeBack.keepMemRspData := False + when(io.cpu.writeBack.isValid) { + when(isExternalAmo){ + if(withExternalAmo) switch(amo.external.state){ + is(LR_CMD){ + io.mem.cmd.valid := True + io.mem.cmd.wr := False + when(io.mem.cmd.ready) { + amo.external.state := LR_RSP + } + } + is(LR_RSP){ + when(io.mem.rsp.valid && pending.last) { + amo.external.state := SC_CMD + amo.resultReg := amo.result + } + } + is(SC_CMD){ + io.mem.cmd.valid := True + when(io.mem.cmd.ready) { + amo.external.state := SC_RSP + } + } + is(SC_RSP){ + io.cpu.writeBack.keepMemRspData := True + when(io.mem.rsp.valid) { + amo.external.state := LR_CMD + when(io.mem.rsp.exclusive){ //Success + cpuWriteToCache := True + io.cpu.writeBack.haltIt := False + } + } + } + } + } elsewhen(mmuRsp.isIoAccess || isExternalLsrc) { + val waitResponse = !request.wr + if(withExternalLrSc) waitResponse setWhen(request.isLrsc) + + io.cpu.writeBack.haltIt.clearWhen(waitResponse ? (io.mem.rsp.valid && rspSync) | io.mem.cmd.ready) + + io.mem.cmd.valid := !memCmdSent + + if(withInternalLrSc) when(request.isLrsc && !lrSc.reserved){ + io.mem.cmd.valid := False + io.cpu.writeBack.haltIt := False + } + } otherwise { + when(waysHit || request.wr && !isAmoCached) { //Do not require a cache refill ? + cpuWriteToCache := True + + //Write through + io.mem.cmd.valid setWhen(request.wr) + io.cpu.writeBack.haltIt clearWhen(!request.wr || io.mem.cmd.ready) + + if(withInternalAmo) when(isAmo){ + when(!amo.internal.resultRegValid) { + io.mem.cmd.valid := False + dataWriteCmd.valid := False + io.cpu.writeBack.haltIt := True + } + } + + //On write to read dataColisions + when((!request.wr || isAmoCached) && (dataColisions & waysHits) =/= 0){ + io.cpu.redo := True + if(withAmo) io.mem.cmd.valid := False + } + + if(withInternalLrSc) when(request.isLrsc && !lrSc.reserved){ + io.mem.cmd.valid := False + dataWriteCmd.valid := False + io.cpu.writeBack.haltIt := False + } + } otherwise { //Do refill + //Emit cmd + io.mem.cmd.valid setWhen(!memCmdSent) + io.mem.cmd.wr := False + io.mem.cmd.address(0, lineRange.low bits) := 0 + io.mem.cmd.size := log2Up(p.bytePerLine) + + loaderValid setWhen(io.mem.cmd.ready) + } + } + } + + when(bypassCache){ + io.cpu.writeBack.data := ioMemRspMuxed + def isLast = if(pending != null) pending.last else True + if(catchAccessError) io.cpu.writeBack.accessError := !request.wr && isLast && io.mem.rsp.valid && io.mem.rsp.error + } otherwise { + io.cpu.writeBack.data := dataMux + if(catchAccessError) io.cpu.writeBack.accessError := (waysHits & B(tagsReadRsp.map(_.error))) =/= 0 || (loadStoreFault && !mmuRsp.isPaging) + } + + if(withLrSc) { + val success = if(withInternalLrSc)lrSc.reserved else io.mem.rsp.exclusive + io.cpu.writeBack.exclusiveOk := success + when(request.isLrsc && request.wr){ + // io.cpu.writeBack.data := B(!success).resized + if(withExternalLrSc) when(io.cpu.writeBack.isValid && io.mem.rsp.valid && rspSync && success && waysHit){ + cpuWriteToCache := True + } + } + } + if(withAmo) when(request.isAmo){ + requestDataBypass.subdivideIn(p.rfDataWidth bits).foreach(_ := amo.resultReg) + } + + //remove side effects on exceptions + when(consistancyHazard || mmuRsp.refilling || io.cpu.writeBack.accessError || io.cpu.writeBack.mmuException || io.cpu.writeBack.unalignedAccess){ + io.mem.cmd.valid := False + tagsWriteCmd.valid := False + dataWriteCmd.valid := False + loaderValid := False + io.cpu.writeBack.haltIt := False + if(withInternalLrSc) lrSc.reserved := lrSc.reserved + if(withExternalAmo) amo.external.state := LR_CMD + } + io.cpu.redo setWhen(io.cpu.writeBack.isValid && (mmuRsp.refilling || consistancyHazard)) + + assert(!(io.cpu.writeBack.isValid && !io.cpu.writeBack.haltIt && io.cpu.writeBack.isStuck), "writeBack stuck by another plugin is not allowed", ERROR) + } + + val loader = new Area{ + val valid = RegInit(False) setWhen(stageB.loaderValid) + val baseAddress = stageB.mmuRsp.physicalAddress + + val counter = Counter(memTransactionPerLine) + val waysAllocator = Reg(Bits(wayCount bits)) init(1) + val error = RegInit(False) + val kill = False + val killReg = RegInit(False) setWhen(kill) + + when(valid && io.mem.rsp.valid && rspLast){ + dataWriteCmd.valid := True + dataWriteCmd.address := baseAddress(lineRange) @@ counter + dataWriteCmd.data := io.mem.rsp.data + dataWriteCmd.mask.setAll() + dataWriteCmd.way := waysAllocator + error := error | io.mem.rsp.error + counter.increment() + } + + val done = CombInit(counter.willOverflow) + if(withInvalidate) done setWhen(valid && pending.counter === 0) //Used to solve invalidate write request at the same time + + when(done){ + valid := False + + //Update tags + tagsWriteCmd.valid := True + tagsWriteCmd.address := baseAddress(lineRange) + tagsWriteCmd.data.valid := !(kill || killReg) + tagsWriteCmd.data.address := baseAddress(tagRange) + tagsWriteCmd.data.error := error || (io.mem.rsp.valid && io.mem.rsp.error) + tagsWriteCmd.way := waysAllocator + + error := False + killReg := False + } + + when(!valid){ + waysAllocator := (waysAllocator ## waysAllocator.msb).resized + } + + io.cpu.redo setWhen(valid.rise()) + io.cpu.execute.refilling := valid + + stageB.mmuRspFreeze setWhen(stageB.loaderValid || valid) + } + + val invalidate = withInvalidate generate new Area{ + val s0 = new Area{ + val input = io.mem.inv + tagsInvReadCmd.valid := input.fire + tagsInvReadCmd.payload := input.address(lineRange) + + val loaderTagHit = input.address(tagRange) === loader.baseAddress(tagRange) + val loaderLineHit = input.address(lineRange) === loader.baseAddress(lineRange) + when(input.valid && input.enable && loader.valid && loaderLineHit && loaderTagHit){ + loader.kill := True + } + } + val s1 = new Area{ + val input = s0.input.stage() + val loaderValid = RegNextWhen(loader.valid, s0.input.ready) + val loaderWay = RegNextWhen(loader.waysAllocator, s0.input.ready) + val loaderTagHit = RegNextWhen(s0.loaderTagHit, s0.input.ready) + val loaderLineHit = RegNextWhen(s0.loaderLineHit, s0.input.ready) + val invalidations = Bits(wayCount bits) + + var wayHits = B(ways.map(way => (input.address(tagRange) === way.tagsInvReadRsp.address && way.tagsInvReadRsp.valid))) & ~invalidations + + //Handle invalider read during loader write hazard + when(loaderValid && loaderLineHit && !loaderTagHit){ + wayHits \= wayHits & ~loaderWay + } + } + val s2 = new Area{ + val input = s1.input.stage() + val wayHits = RegNextWhen(s1.wayHits, s1.input.ready) + val wayHit = wayHits.orR + + when(input.valid && input.enable) { + //Manage invalidate write during cpu read hazard + when(input.address(lineRange) === io.cpu.execute.address(lineRange)) { + stage0.wayInvalidate := wayHits + } + + //Invalidate cache tag + when(wayHit) { + tagsWriteCmd.valid := True + stageB.flusher.hold := True + tagsWriteCmd.address := input.address(lineRange) + tagsWriteCmd.data.valid := False + tagsWriteCmd.way := wayHits + loader.done := False //Hold loader tags write + } + } + io.mem.ack.arbitrationFrom(input) + io.mem.ack.hit := wayHit + io.mem.ack.last := input.last + + //Manage invalidation read during write hazard + s1.invalidations := RegNextWhen((input.valid && input.enable && input.address(lineRange) === s0.input.address(lineRange)) ? wayHits | 0, s0.input.ready) + } + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/ip/InstructionCache.scala b/VexRiscv/src/main/scala/vexriscv/ip/InstructionCache.scala new file mode 100644 index 0000000..e09712c --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/ip/InstructionCache.scala @@ -0,0 +1,487 @@ +package vexriscv.ip + +import vexriscv._ +import spinal.core._ +import spinal.lib._ +import spinal.lib.bus.amba4.axi.{Axi4Config, Axi4ReadOnly} +import spinal.lib.bus.avalon.{AvalonMM, AvalonMMConfig} +import spinal.lib.bus.bmb.{Bmb, BmbAccessParameter, BmbParameter, BmbSourceParameter} +import spinal.lib.bus.wishbone.{Wishbone, WishboneConfig} +import spinal.lib.bus.simple._ +import vexriscv.plugin.{IBusSimpleBus, IBusSimplePlugin} + + +case class InstructionCacheConfig( cacheSize : Int, + bytePerLine : Int, + wayCount : Int, + addressWidth : Int, + cpuDataWidth : Int, + memDataWidth : Int, + catchIllegalAccess : Boolean, + catchAccessFault : Boolean, + asyncTagMemory : Boolean, + twoCycleCache : Boolean = true, + twoCycleRam : Boolean = false, + twoCycleRamInnerMux : Boolean = false, + preResetFlush : Boolean = false, + bypassGen : Boolean = false, + reducedBankWidth : Boolean = false){ + + assert(!(twoCycleRam && !twoCycleCache)) + + def burstSize = bytePerLine*8/memDataWidth + def catchSomething = catchAccessFault || catchIllegalAccess + + def getAxi4Config() = Axi4Config( + addressWidth = addressWidth, + dataWidth = memDataWidth, + useId = false, + useRegion = false, + useLock = false, + useQos = false, + useSize = false + ) + + def getAvalonConfig() = AvalonMMConfig.bursted( + addressWidth = addressWidth, + dataWidth = memDataWidth, + burstCountWidth = log2Up(burstSize + 1)).getReadOnlyConfig.copy( + useResponse = true, + constantBurstBehavior = true + ) + + def getPipelinedMemoryBusConfig() = PipelinedMemoryBusConfig( + addressWidth = 32, + dataWidth = 32 + ) + + def getWishboneConfig() = WishboneConfig( + addressWidth = 32-log2Up(memDataWidth/8), + dataWidth = memDataWidth, + selWidth = memDataWidth/8, + useSTALL = false, + useLOCK = false, + useERR = true, + useRTY = false, + tgaWidth = 0, + tgcWidth = 0, + tgdWidth = 0, + useBTE = true, + useCTI = true + ) + + def getBmbParameter() = BmbParameter( + BmbAccessParameter( + addressWidth = 32, + dataWidth = memDataWidth + ).addSources(1, BmbSourceParameter( + lengthWidth = log2Up(this.bytePerLine), + contextWidth = 0, + canWrite = false, + alignment = BmbParameter.BurstAlignement.LENGTH, + maximumPendingTransaction = 1 + )) + ) +} + + + +case class InstructionCacheCpuPrefetch(p : InstructionCacheConfig) extends Bundle with IMasterSlave{ + val isValid = Bool + val haltIt = Bool + val pc = UInt(p.addressWidth bit) + + override def asMaster(): Unit = { + out(isValid, pc) + in(haltIt) + } +} + +trait InstructionCacheCommons{ + val isValid : Bool + val isStuck : Bool + val pc : UInt + val physicalAddress : UInt + val data : Bits + val cacheMiss, error, mmuRefilling, mmuException, isUser : Bool +} + +case class InstructionCacheCpuFetch(p : InstructionCacheConfig, mmuParameter : MemoryTranslatorBusParameter) extends Bundle with IMasterSlave with InstructionCacheCommons { + val isValid = Bool() + val isStuck = Bool() + val isRemoved = Bool() + val pc = UInt(p.addressWidth bits) + val data = Bits(p.cpuDataWidth bits) + val dataBypassValid = p.bypassGen generate Bool() + val dataBypass = p.bypassGen generate Bits(p.cpuDataWidth bits) + val mmuRsp = MemoryTranslatorRsp(mmuParameter) + val physicalAddress = UInt(p.addressWidth bits) + val cacheMiss, error, mmuRefilling, mmuException, isUser = ifGen(!p.twoCycleCache)(Bool) + + override def asMaster(): Unit = { + out(isValid, isStuck, isRemoved, pc) + inWithNull(error,mmuRefilling,mmuException,data, cacheMiss,physicalAddress) + outWithNull(isUser, dataBypass, dataBypassValid) + out(mmuRsp) + } +} + + +case class InstructionCacheCpuDecode(p : InstructionCacheConfig) extends Bundle with IMasterSlave with InstructionCacheCommons { + val isValid = Bool + val isStuck = Bool + val pc = UInt(p.addressWidth bits) + val physicalAddress = UInt(p.addressWidth bits) + val data = Bits(p.cpuDataWidth bits) + val cacheMiss, error, mmuRefilling, mmuException, isUser = ifGen(p.twoCycleCache)(Bool) + + override def asMaster(): Unit = { + out(isValid, isStuck, pc) + outWithNull(isUser) + inWithNull(error, mmuRefilling, mmuException,data, cacheMiss, physicalAddress) + } +} + +case class InstructionCacheCpuBus(p : InstructionCacheConfig, mmuParameter : MemoryTranslatorBusParameter) extends Bundle with IMasterSlave{ + val prefetch = InstructionCacheCpuPrefetch(p) + val fetch = InstructionCacheCpuFetch(p, mmuParameter) + val decode = InstructionCacheCpuDecode(p) + val fill = Flow(UInt(p.addressWidth bits)) + + override def asMaster(): Unit = { + master(prefetch, fetch, decode, fill) + } +} + +case class InstructionCacheMemCmd(p : InstructionCacheConfig) extends Bundle{ + val address = UInt(p.addressWidth bit) + val size = UInt(log2Up(log2Up(p.bytePerLine) + 1) bits) +} + +case class InstructionCacheMemRsp(p : InstructionCacheConfig) extends Bundle{ + val data = Bits(p.memDataWidth bit) + val error = Bool +} + +case class InstructionCacheMemBus(p : InstructionCacheConfig) extends Bundle with IMasterSlave{ + val cmd = Stream (InstructionCacheMemCmd(p)) + val rsp = Flow (InstructionCacheMemRsp(p)) + + override def asMaster(): Unit = { + master(cmd) + slave(rsp) + } + + def toAxi4ReadOnly(): Axi4ReadOnly = { + val axiConfig = p.getAxi4Config() + val mm = Axi4ReadOnly(axiConfig) + + mm.readCmd.valid := cmd.valid + mm.readCmd.len := p.burstSize-1 + mm.readCmd.addr := cmd.address + mm.readCmd.prot := "110" + mm.readCmd.cache := "1111" + mm.readCmd.setBurstINCR() + cmd.ready := mm.readCmd.ready + rsp.valid := mm.readRsp.valid + rsp.data := mm.readRsp.data + rsp.error := !mm.readRsp.isOKAY() + mm.readRsp.ready := True + mm + } + + def toAvalon(): AvalonMM = { + val avalonConfig = p.getAvalonConfig() + val mm = AvalonMM(avalonConfig) + mm.read := cmd.valid + mm.burstCount := U(p.burstSize) + mm.address := cmd.address + cmd.ready := mm.waitRequestn + rsp.valid := mm.readDataValid + rsp.data := mm.readData + rsp.error := mm.response =/= AvalonMM.Response.OKAY + mm + } + + + def toPipelinedMemoryBus(): PipelinedMemoryBus = { + val pipelinedMemoryBusConfig = p.getPipelinedMemoryBusConfig() + val bus = PipelinedMemoryBus(pipelinedMemoryBusConfig) + val counter = Counter(p.burstSize, bus.cmd.fire) + bus.cmd.valid := cmd.valid + bus.cmd.address := cmd.address(31 downto widthOf(counter.value) + 2) @@ counter @@ U"00" + bus.cmd.write := False + bus.cmd.mask.assignDontCare() + bus.cmd.data.assignDontCare() + cmd.ready := counter.willOverflow + rsp.valid := bus.rsp.valid + rsp.data := bus.rsp.payload.data + rsp.error := False + bus + } + + + def toWishbone(): Wishbone = { + val wishboneConfig = p.getWishboneConfig() + val bus = Wishbone(wishboneConfig) + val counter = Reg(UInt(log2Up(p.burstSize) bits)) init(0) + val pending = counter =/= 0 + val lastCycle = counter === counter.maxValue + + bus.ADR := (cmd.address >> widthOf(counter) + log2Up(p.memDataWidth/8)) @@ counter + bus.CTI := lastCycle ? B"111" | B"010" + bus.BTE := "00" + bus.SEL.setAll() + bus.WE := False + bus.DAT_MOSI.assignDontCare() + bus.CYC := False + bus.STB := False + when(cmd.valid || pending){ + bus.CYC := True + bus.STB := True + when(bus.ACK){ + counter := counter + 1 + } + } + + cmd.ready := cmd.valid && bus.ACK + rsp.valid := RegNext(bus.CYC && bus.ACK) init(False) + rsp.data := RegNext(bus.DAT_MISO) + rsp.error := False //TODO + bus + } + + def toBmb() : Bmb = { + val busParameter = p.getBmbParameter + val bus = Bmb(busParameter).setCompositeName(this,"toBmb", true) + bus.cmd.arbitrationFrom(cmd) + bus.cmd.opcode := Bmb.Cmd.Opcode.READ + bus.cmd.address := cmd.address.resized + bus.cmd.length := p.bytePerLine - 1 + bus.cmd.last := True + rsp.valid := bus.rsp.valid + rsp.data := bus.rsp.data + rsp.error := bus.rsp.isError + bus.rsp.ready := True + bus + } +} + + +case class InstructionCacheFlushBus() extends Bundle with IMasterSlave{ + val cmd = Event + val rsp = Bool + + override def asMaster(): Unit = { + master(cmd) + in(rsp) + } +} + +class InstructionCache(p : InstructionCacheConfig, mmuParameter : MemoryTranslatorBusParameter) extends Component{ + import p._ + val io = new Bundle{ + val flush = in Bool() + val cpu = slave(InstructionCacheCpuBus(p, mmuParameter)) + val mem = master(InstructionCacheMemBus(p)) + } + + val lineWidth = bytePerLine*8 + val lineCount = cacheSize/bytePerLine + val cpuWordWidth = cpuDataWidth + val memWordPerLine = lineWidth/memDataWidth + val bytePerCpuWord = cpuWordWidth/8 + val wayLineCount = lineCount/wayCount + + val tagRange = addressWidth-1 downto log2Up(wayLineCount*bytePerLine) + val lineRange = tagRange.low-1 downto log2Up(bytePerLine) + + case class LineTag() extends Bundle{ + val valid = Bool + val error = Bool + val address = UInt(tagRange.length bit) + } + + val bankCount = wayCount + val bankWidth = if(!reducedBankWidth) memDataWidth else Math.max(cpuDataWidth, memDataWidth/wayCount) + val bankByteSize = cacheSize/bankCount + val bankWordCount = bankByteSize*8/bankWidth + val bankWordToCpuWordRange = log2Up(bankWidth/8)-1 downto log2Up(bytePerCpuWord) + val memToBankRatio = bankWidth*bankCount / memDataWidth + + val banks = Seq.fill(bankCount)(Mem(Bits(bankWidth bits), bankWordCount)) + + val ways = Seq.fill(wayCount)(new Area{ + val tags = Mem(LineTag(),wayLineCount) + + if(preResetFlush){ + tags.initBigInt(List.fill(wayLineCount)(BigInt(0))) + } + }) + + + val lineLoader = new Area{ + val fire = False + val valid = RegInit(False) clearWhen(fire) + val address = KeepAttribute(Reg(UInt(addressWidth bits))) + val hadError = RegInit(False) clearWhen(fire) + val flushPending = RegInit(True) + + when(io.cpu.fill.valid){ + valid := True + address := io.cpu.fill.payload + } + + io.cpu.prefetch.haltIt := valid || flushPending + + val flushCounter = Reg(UInt(log2Up(wayLineCount) + 1 bit)) + when(!flushCounter.msb){ + io.cpu.prefetch.haltIt := True + flushCounter := flushCounter + 1 + } + when(!RegNext(flushCounter.msb)){ + io.cpu.prefetch.haltIt := True + } + + when(io.flush){ + io.cpu.prefetch.haltIt := True + flushPending := True + } + + when(flushPending && !(valid || io.cpu.fetch.isValid) ){ + flushCounter := 0 + flushPending := False + } + + + + val cmdSent = RegInit(False) setWhen(io.mem.cmd.fire) clearWhen(fire) + io.mem.cmd.valid := valid && !cmdSent + io.mem.cmd.address := address(tagRange.high downto lineRange.low) @@ U(0,lineRange.low bit) + io.mem.cmd.size := log2Up(p.bytePerLine) + + val wayToAllocate = Counter(wayCount, !valid) + val wordIndex = KeepAttribute(Reg(UInt(log2Up(memWordPerLine) bits)) init(0)) + + + val write = new Area{ + val tag = ways.map(_.tags.writePort) + val data = banks.map(_.writePort) + } + + for(wayId <- 0 until wayCount){ + val wayHit = wayToAllocate === wayId + val tag = write.tag(wayId) + tag.valid := ((wayHit && fire) || !flushCounter.msb) + tag.address := (flushCounter.msb ? address(lineRange) | flushCounter(flushCounter.high-1 downto 0)) + tag.data.valid := flushCounter.msb + tag.data.error := hadError || io.mem.rsp.error + tag.data.address := address(tagRange) + } + + for((writeBank, bankId) <- write.data.zipWithIndex){ + if(!reducedBankWidth) { + writeBank.valid := io.mem.rsp.valid && wayToAllocate === bankId + writeBank.address := address(lineRange) @@ wordIndex + writeBank.data := io.mem.rsp.data + } else { + val sel = U(bankId) - wayToAllocate.value + val groupSel = wayToAllocate(log2Up(bankCount)-1 downto log2Up(bankCount/memToBankRatio)) + val subSel = sel(log2Up(bankCount/memToBankRatio) -1 downto 0) + writeBank.valid := io.mem.rsp.valid && groupSel === (bankId >> log2Up(bankCount/memToBankRatio)) + writeBank.address := address(lineRange) @@ wordIndex @@ (subSel) + writeBank.data := io.mem.rsp.data.subdivideIn(bankCount/memToBankRatio slices)(subSel) + } + } + + + when(io.mem.rsp.valid) { + wordIndex := (wordIndex + 1).resized + hadError.setWhen(io.mem.rsp.error) + when(wordIndex === wordIndex.maxValue) { + fire := True + } + } + } + + val fetchStage = new Area{ + val read = new Area{ + val banksValue = for(bank <- banks) yield new Area{ + val dataMem = bank.readSync(io.cpu.prefetch.pc(lineRange.high downto log2Up(bankWidth/8)), !io.cpu.fetch.isStuck) + val data = if(!twoCycleRamInnerMux) dataMem.subdivideIn(cpuDataWidth bits).read(io.cpu.fetch.pc(bankWordToCpuWordRange)) else dataMem + } + + val waysValues = for((way, wayId) <- ways.zipWithIndex) yield new Area{ + val tag = if(asyncTagMemory) { + way.tags.readAsync(io.cpu.fetch.pc(lineRange)) + }else { + way.tags.readSync(io.cpu.prefetch.pc(lineRange), !io.cpu.fetch.isStuck) + } +// val data = CombInit(banksValue(wayId).data) + } + } + + + val hit = (!twoCycleRam) generate new Area{ + val hits = read.waysValues.map(way => way.tag.valid && way.tag.address === io.cpu.fetch.mmuRsp.physicalAddress(tagRange)) + val valid = Cat(hits).orR + val wayId = OHToUInt(hits) + val bankId = if(!reducedBankWidth) wayId else (wayId >> log2Up(bankCount/memToBankRatio)) @@ ((wayId + (io.cpu.fetch.mmuRsp.physicalAddress(log2Up(bankWidth/8), log2Up(bankCount) bits))).resize(log2Up(bankCount/memToBankRatio))) + val error = read.waysValues.map(_.tag.error).read(wayId) + val data = read.banksValue.map(_.data).read(bankId) + val word = if(cpuDataWidth == memDataWidth || !twoCycleRamInnerMux) CombInit(data) else data.subdivideIn(cpuDataWidth bits).read(io.cpu.fetch.pc(bankWordToCpuWordRange)) + io.cpu.fetch.data := (if(p.bypassGen) (io.cpu.fetch.dataBypassValid ? io.cpu.fetch.dataBypass | word) else word) + if(twoCycleCache){ + io.cpu.decode.data := RegNextWhen(io.cpu.fetch.data,!io.cpu.decode.isStuck) + } + } + + if(twoCycleRam && wayCount == 1){ + val cacheData = if(cpuDataWidth == memDataWidth || !twoCycleRamInnerMux) CombInit(read.banksValue.head.data) else read.banksValue.head.data.subdivideIn(cpuDataWidth bits).read(io.cpu.fetch.pc(bankWordToCpuWordRange)) + io.cpu.fetch.data := (if(p.bypassGen) (io.cpu.fetch.dataBypassValid ? io.cpu.fetch.dataBypass | cacheData) else cacheData) + } + + io.cpu.fetch.physicalAddress := io.cpu.fetch.mmuRsp.physicalAddress + + val resolution = ifGen(!twoCycleCache)( new Area{ + val mmuRsp = io.cpu.fetch.mmuRsp + + io.cpu.fetch.cacheMiss := !hit.valid + io.cpu.fetch.error := hit.error || (!mmuRsp.isPaging && (mmuRsp.exception || !mmuRsp.allowExecute)) + io.cpu.fetch.mmuRefilling := mmuRsp.refilling + io.cpu.fetch.mmuException := !mmuRsp.refilling && mmuRsp.isPaging && (mmuRsp.exception || !mmuRsp.allowExecute) + }) + } + + + + val decodeStage = ifGen(twoCycleCache) (new Area{ + def stage[T <: Data](that : T) = RegNextWhen(that,!io.cpu.decode.isStuck) + val mmuRsp = stage(io.cpu.fetch.mmuRsp) + + val hit = if(!twoCycleRam) new Area{ + val valid = stage(fetchStage.hit.valid) + val error = stage(fetchStage.hit.error) + } else new Area{ + val tags = fetchStage.read.waysValues.map(way => stage(way.tag)) + val hits = tags.map(tag => tag.valid && tag.address === mmuRsp.physicalAddress(tagRange)) + val valid = Cat(hits).orR + val wayId = OHToUInt(hits) + val bankId = if(!reducedBankWidth) wayId else (wayId >> log2Up(bankCount/memToBankRatio)) @@ ((wayId + (mmuRsp.physicalAddress(log2Up(bankWidth/8), log2Up(bankCount) bits))).resize(log2Up(bankCount/memToBankRatio))) + val error = tags(wayId).error + val data = fetchStage.read.banksValue.map(bank => stage(bank.data)).read(bankId) + val word = if(cpuDataWidth == memDataWidth || !twoCycleRamInnerMux) data else data.subdivideIn(cpuDataWidth bits).read(io.cpu.decode.pc(bankWordToCpuWordRange)) + if(p.bypassGen) when(stage(io.cpu.fetch.dataBypassValid)){ + word := stage(io.cpu.fetch.dataBypass) + } + io.cpu.decode.data := word + } + + io.cpu.decode.cacheMiss := !hit.valid + io.cpu.decode.error := hit.error || (!mmuRsp.isPaging && (mmuRsp.exception || !mmuRsp.allowExecute)) + io.cpu.decode.mmuRefilling := mmuRsp.refilling + io.cpu.decode.mmuException := !mmuRsp.refilling && mmuRsp.isPaging && (mmuRsp.exception || !mmuRsp.allowExecute) + io.cpu.decode.physicalAddress := mmuRsp.physicalAddress + }) +} + diff --git a/VexRiscv/src/main/scala/vexriscv/ip/fpu/FpuCore.scala b/VexRiscv/src/main/scala/vexriscv/ip/fpu/FpuCore.scala new file mode 100644 index 0000000..657b2fb --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/ip/fpu/FpuCore.scala @@ -0,0 +1,1944 @@ +package vexriscv.ip.fpu + +import spinal.core._ +import spinal.lib._ +import spinal.lib.eda.bench.{Bench, Rtl, XilinxStdTargets} +import spinal.lib.math.UnsignedDivider + +import scala.collection.mutable.ArrayBuffer + +object FpuDivSqrtIterationState extends SpinalEnum{ + val IDLE, YY, XYY, Y2_XYY, DIV, _15_XYY2, Y_15_XYY2, Y_15_XYY2_RESULT, SQRT = newElement() +} + + +case class FpuCore( portCount : Int, p : FpuParameter) extends Component{ + val io = new Bundle { + val port = Vec(slave(FpuPort(p)), portCount) + } + + val portCountWidth = log2Up(portCount) + val Source = HardType(UInt(portCountWidth bits)) + val exponentOne = (1 << p.internalExponentSize-1) - 1 + val exponentF32Subnormal = exponentOne-127 + val exponentF64Subnormal = exponentOne-1023 + val exponentF32Infinity = exponentOne+127+1 + val exponentF64Infinity = exponentOne+1023+1 + + + + def whenDouble(format : FpuFormat.C)(yes : => Unit)(no : => Unit): Unit ={ + if(p.withDouble) when(format === FpuFormat.DOUBLE) { yes } otherwise{ no } + if(!p.withDouble) no + } + + def muxDouble[T <: Data](format : FpuFormat.C)(yes : => T)(no : => T): T ={ + if(p.withDouble) ((format === FpuFormat.DOUBLE) ? { yes } | { no }) + else no + } + + case class RfReadInput() extends Bundle{ + val source = Source() + val opcode = p.Opcode() + val rs1, rs2, rs3 = p.rfAddress() + val rd = p.rfAddress() + val arg = p.Arg() + val roundMode = FpuRoundMode() + val format = p.withDouble generate FpuFormat() + } + + case class RfReadOutput() extends Bundle{ + val source = Source() + val opcode = p.Opcode() + val rs1, rs2, rs3 = p.internalFloating() + val rd = p.rfAddress() + val arg = p.Arg() + val roundMode = FpuRoundMode() + val format = p.withDouble generate FpuFormat() + val rs1Boxed, rs2Boxed = p.withDouble generate Bool() + } + + + case class LoadInput() extends Bundle{ + val source = Source() + val rd = p.rfAddress() + val i2f = Bool() + val arg = Bits(2 bits) + val roundMode = FpuRoundMode() + val format = p.withDouble generate FpuFormat() + } + + case class ShortPipInput() extends Bundle{ + val source = Source() + val opcode = p.Opcode() + val rs1, rs2 = p.internalFloating() + val rd = p.rfAddress() + val value = Bits(32 bits) + val arg = Bits(2 bits) + val roundMode = FpuRoundMode() + val format = p.withDouble generate FpuFormat() + val rs1Boxed, rs2Boxed = p.withDouble generate Bool() + } + + class MulInput() extends Bundle{ + val source = Source() + val rs1, rs2, rs3 = p.internalFloating() + val rd = p.rfAddress() + val add = Bool() + val divSqrt = Bool() + val msb1, msb2 = Bool() //allow usage of msb bits of mul + val roundMode = FpuRoundMode() + val format = p.withDouble generate FpuFormat() + } + + + case class DivSqrtInput() extends Bundle{ + val source = Source() + val rs1, rs2 = p.internalFloating() + val rd = p.rfAddress() + val div = Bool() + val roundMode = FpuRoundMode() + val format = p.withDouble generate FpuFormat() + } + + case class DivInput() extends Bundle{ + val source = Source() + val rs1, rs2 = p.internalFloating() + val rd = p.rfAddress() + val roundMode = FpuRoundMode() + val format = p.withDouble generate FpuFormat() + } + + + case class SqrtInput() extends Bundle{ + val source = Source() + val rs1 = p.internalFloating() + val rd = p.rfAddress() + val roundMode = FpuRoundMode() + val format = p.withDouble generate FpuFormat() + } + + + val addExtraBits = 2 + case class AddInput() extends Bundle{ + val source = Source() + val rs1, rs2 = FpuFloat(exponentSize = p.internalExponentSize, mantissaSize = p.internalMantissaSize+addExtraBits) + val rd = p.rfAddress() + val roundMode = FpuRoundMode() + val format = p.withDouble generate FpuFormat() + val needCommit = Bool() + } + + + class MergeInput() extends Bundle{ + val source = Source() + val rd = p.rfAddress() + val value = p.writeFloating() + val scrap = Bool() + val roundMode = FpuRoundMode() + val format = p.withDouble generate FpuFormat() + val NV = Bool() + val DZ = Bool() + } + + case class RoundOutput() extends Bundle{ + val source = Source() + val rd = p.rfAddress() + val value = p.internalFloating() + val format = p.withDouble generate FpuFormat() + val NV, NX, OF, UF, DZ = Bool() + val write = Bool() + } + + val rf = new Area{ + case class Entry() extends Bundle{ + val value = p.internalFloating() + val boxed = p.withDouble generate Bool() + } + val ram = Mem(Entry(), 32*portCount) + + val init = new Area{ + val counter = Reg(UInt(6 bits)) init(0) + val done = CombInit(counter.msb) + when(!done){ + counter := counter + 1 + } + def apply(port : Flow[MemWriteCmd[Bool]]) = { + port.valid := !done + port.address := counter.resized + port.data := False + port + } + } + + val scoreboards = Array.fill(portCount)(new Area{ + val target, hit = Mem(Bool, 32) // XOR + val writes = Mem(Bool, 32) + + val targetWrite = init(target.writePort) + val hitWrite = init(hit.writePort) + }) + } + + val commitFork = new Area{ + val load, commit = Vec(Stream(FpuCommit(p)), portCount) + for(i <- 0 until portCount){ + val fork = new StreamFork(FpuCommit(p), 2, synchronous = true) + fork.io.input << io.port(i).commit + fork.io.outputs(0) >> load(i) + fork.io.outputs(1).pipelined(m2s = false, s2m = true) >> commit(i) //Pipelining here is light, as it only use the flags of the payload + } + } + + class Tracker(width : Int) extends Area{ + val counter = Reg(UInt(width bits)) init(0) + val full = counter.andR + val notEmpty = counter.orR + val inc = False + val dec = False + counter := counter + U(inc) - U(dec) + } + + class CommitArea(source : Int) extends Area{ + val pending = new Tracker(4) + val add, mul, div, sqrt, short = new Tracker(4) + val input = commitFork.commit(source).haltWhen(List(add, mul, div, sqrt, short).map(_.full).orR || !pending.notEmpty).toFlow + + when(input.fire){ + add.inc setWhen(List(FpuOpcode.ADD).map(input.opcode === _).orR) + mul.inc setWhen(List(FpuOpcode.MUL, FpuOpcode.FMA).map(input.opcode === _).orR) + div.inc setWhen(List(FpuOpcode.DIV).map(input.opcode === _).orR) + sqrt.inc setWhen(List(FpuOpcode.SQRT).map(input.opcode === _).orR) + short.inc setWhen(List(FpuOpcode.SGNJ, FpuOpcode.MIN_MAX, FpuOpcode.FCVT_X_X).map(input.opcode === _).orR) + rf.scoreboards(source).writes(input.rd) := input.write + pending.dec := True + } + } + + val commitLogic = for(source <- 0 until portCount) yield new CommitArea(source) + + def commitConsume(what : CommitArea => Tracker, source : UInt, fire : Bool) : Bool = { + for(i <- 0 until portCount) what(commitLogic(i)).dec setWhen(fire && source === i) + commitLogic.map(what(_).notEmpty).read(source) + } + + + val scheduler = for(portId <- 0 until portCount; + scoreboard = rf.scoreboards(portId)) yield new Area{ + val input = io.port(portId).cmd.pipelined(s2m = true) + val useRs1, useRs2, useRs3, useRd = False + switch(input.opcode){ + is(p.Opcode.LOAD) { useRd := True } + is(p.Opcode.STORE) { useRs2 := True } + is(p.Opcode.ADD) { useRd := True; useRs1 := True; useRs2 := True } + is(p.Opcode.MUL) { useRd := True; useRs1 := True; useRs2 := True } + is(p.Opcode.DIV) { useRd := True; useRs1 := True; useRs2 := True } + is(p.Opcode.SQRT) { useRd := True; useRs1 := True } + is(p.Opcode.FMA) { useRd := True; useRs1 := True; useRs2 := True; useRs3 := True } + is(p.Opcode.I2F) { useRd := True } + is(p.Opcode.F2I) { useRs1 := True } + is(p.Opcode.MIN_MAX) { useRd := True; useRs1 := True; useRs2 := True } + is(p.Opcode.CMP) { useRs1 := True; useRs2 := True } + is(p.Opcode.SGNJ) { useRd := True; useRs1 := True; useRs2 := True } + is(p.Opcode.FMV_X_W) { useRs1 := True } + is(p.Opcode.FMV_W_X) { useRd := True } + is(p.Opcode.FCLASS ) { useRs1 := True } + is(p.Opcode.FCVT_X_X ) { useRd := True; useRs1 := True } + } + + val uses = List(useRs1, useRs2, useRs3, useRd) + val regs = List(input.rs1, input.rs2, input.rs3, input.rd) + val rfHits = regs.map(scoreboard.hit.readAsync(_)) + val rfTargets = regs.map(scoreboard.target.readAsync(_)) + val rfBusy = (rfHits, rfTargets).zipped.map(_ ^ _) + + val hits = (0 to 3).map(id => uses(id) && rfBusy(id)) + val hazard = hits.orR || !rf.init.done || commitLogic(portId).pending.full + val output = input.haltWhen(hazard) + when(input.opcode === p.Opcode.STORE){ + output.rs1 := input.rs2 //Datapath optimisation to unify rs source in the store pipeline + } + when(input.valid && rf.init.done){ + scoreboard.targetWrite.address := input.rd + scoreboard.targetWrite.data := !rfTargets.last + } + when(output.fire && useRd){ + scoreboard.targetWrite.valid := True + commitLogic(portId).pending.inc := True + } + } + + + val cmdArbiter = new Area{ + val arbiter = StreamArbiterFactory.noLock.roundRobin.build(FpuCmd(p), portCount) + arbiter.io.inputs <> Vec(scheduler.map(_.output.pipelined(m2s = p.schedulerM2sPipe))) + + val output = arbiter.io.output.swapPayload(RfReadInput()) + output.source := arbiter.io.chosen + output.payload.assignSomeByName(arbiter.io.output.payload) + } + + val read = new Area{ + val s0 = cmdArbiter.output.pipelined() + val s1 = s0.m2sPipe() + val output = s1.swapPayload(RfReadOutput()) + val rs = if(p.asyncRegFile){ + List(s1.rs1, s1.rs2, s1.rs3).map(a => rf.ram.readAsync(s1.source @@ a)) + } else { + List(s0.rs1, s0.rs2, s0.rs3).map(a => rf.ram.readSync(s0.source @@ a, enable = !output.isStall)) + } + output.source := s1.source + output.opcode := s1.opcode + output.arg := s1.arg + output.roundMode := s1.roundMode + output.rd := s1.rd + output.rs1 := rs(0).value + output.rs2 := rs(1).value + output.rs3 := rs(2).value + if(p.withDouble){ + output.rs1Boxed := rs(0).boxed + output.rs2Boxed := rs(1).boxed + output.format := s1.format + val store = s1.opcode === FpuOpcode.STORE ||s1.opcode === FpuOpcode.FMV_X_W + val sgnjBypass = s1.opcode === FpuOpcode.SGNJ && s1.format === FpuFormat.DOUBLE + when(!sgnjBypass) { + when(store) { //Pass through + output.format := rs(0).boxed ? FpuFormat.FLOAT | FpuFormat.DOUBLE + } elsewhen (s1.format === FpuFormat.FLOAT =/= rs(0).boxed) { + output.rs1.setNanQuiet + output.rs1.sign := False + } + } + when(s1.format === FpuFormat.FLOAT =/= rs(1).boxed) { + output.rs2.setNanQuiet + output.rs2.sign := False + } + when(s1.format === FpuFormat.FLOAT =/= rs(2).boxed) { + output.rs3.setNanQuiet + } + } + } + + val decode = new Area{ + val input = read.output/*.s2mPipe()*/.combStage() + input.ready := False + + val loadHit = List(FpuOpcode.LOAD, FpuOpcode.FMV_W_X, FpuOpcode.I2F).map(input.opcode === _).orR + val load = Stream(LoadInput()) + load.valid := input.valid && loadHit + input.ready setWhen(loadHit && load.ready) + load.payload.assignSomeByName(input.payload) + load.i2f := input.opcode === FpuOpcode.I2F + + val shortPipHit = List(FpuOpcode.STORE, FpuOpcode.F2I, FpuOpcode.CMP, FpuOpcode.MIN_MAX, FpuOpcode.SGNJ, FpuOpcode.FMV_X_W, FpuOpcode.FCLASS, FpuOpcode.FCVT_X_X).map(input.opcode === _).orR + val shortPip = Stream(ShortPipInput()) + input.ready setWhen(shortPipHit && shortPip.ready) + shortPip.valid := input.valid && shortPipHit + shortPip.payload.assignSomeByName(input.payload) + + val divSqrtHit = input.opcode === p.Opcode.DIV || input.opcode === p.Opcode.SQRT + val divSqrt = Stream(DivSqrtInput()) + if(p.withDivSqrt) { + input.ready setWhen (divSqrtHit && divSqrt.ready) + divSqrt.valid := input.valid && divSqrtHit + divSqrt.payload.assignSomeByName(input.payload) + divSqrt.div := input.opcode === p.Opcode.DIV + } + + val divHit = input.opcode === p.Opcode.DIV + val div = Stream(DivInput()) + if(p.withDiv) { + input.ready setWhen (divHit && div.ready) + div.valid := input.valid && divHit + div.payload.assignSomeByName(input.payload) + } + + val sqrtHit = input.opcode === p.Opcode.SQRT + val sqrt = Stream(SqrtInput()) + if(p.withSqrt) { + input.ready setWhen (sqrtHit && sqrt.ready) + sqrt.valid := input.valid && sqrtHit + sqrt.payload.assignSomeByName(input.payload) + } + + + val fmaHit = input.opcode === p.Opcode.FMA + val mulHit = input.opcode === p.Opcode.MUL || fmaHit + val mul = Stream(new MulInput()) + val divSqrtToMul = Stream(new MulInput()) + if(!p.withDivSqrt){ + divSqrtToMul.valid := False + divSqrtToMul.payload.assignDontCare() + } + + if(p.withMul) { + input.ready setWhen (mulHit && mul.ready && !divSqrtToMul.valid) + mul.valid := input.valid && mulHit || divSqrtToMul.valid + + divSqrtToMul.ready := mul.ready + mul.payload := divSqrtToMul.payload + when(!divSqrtToMul.valid) { + mul.payload.assignSomeByName(input.payload) + mul.add := fmaHit + mul.divSqrt := False + mul.msb1 := True + mul.msb2 := True + mul.rs2.sign.allowOverride(); + mul.rs2.sign := input.rs2.sign ^ input.arg(0) + mul.rs3.sign.allowOverride(); + mul.rs3.sign := input.rs3.sign ^ input.arg(1) + } + } + + val addHit = input.opcode === p.Opcode.ADD + val add = Stream(AddInput()) + val mulToAdd = Stream(AddInput()) + + + if(p.withAdd) { + input.ready setWhen (addHit && add.ready && !mulToAdd.valid) + add.valid := input.valid && addHit || mulToAdd.valid + + mulToAdd.ready := add.ready + add.payload := mulToAdd.payload + when(!mulToAdd.valid) { + add.source := input.source + add.rd := input.rd + add.roundMode := input.roundMode + if(p.withDouble) add.format := input.format + add.needCommit := True + add.rs1.special := input.rs1.special + add.rs2.special := input.rs2.special + add.rs1.exponent := input.rs1.exponent + add.rs2.exponent := input.rs2.exponent + add.rs1.sign := input.rs1.sign + add.rs2.sign := input.rs2.sign ^ input.arg(0) + add.rs1.mantissa := input.rs1.mantissa << addExtraBits + add.rs2.mantissa := input.rs2.mantissa << addExtraBits + } + } + } + + val load = new Area{ + + case class S0() extends Bundle{ + val source = Source() + val rd = p.rfAddress() + val value = p.storeLoadType() + val i2f = Bool() + val arg = Bits(2 bits) + val roundMode = FpuRoundMode() + val format = p.withDouble generate FpuFormat() + } + + val s0 = new Area{ + val input = decode.load.pipelined(m2s = true, s2m = true).stage() + val filtred = commitFork.load.map(port => port.takeWhen(List(FpuOpcode.LOAD, FpuOpcode.FMV_W_X, FpuOpcode.I2F).map(_ === port.opcode).orR)) + def feed = filtred(input.source) + val hazard = !feed.valid + + + val output = input.haltWhen(hazard).swapPayload(S0()) + filtred.foreach(_.ready := False) + feed.ready := input.valid && output.ready + output.source := input.source + output.rd := input.rd + output.value := feed.value + output.i2f := input.i2f + output.arg := input.arg + output.roundMode := input.roundMode + if(p.withDouble) { + output.format := input.format + when(!input.i2f && input.format === FpuFormat.DOUBLE && output.value(63 downto 32).andR){ //Detect boxing + output.format := FpuFormat.FLOAT + } + } + } + + val s1 = new Area{ + val input = s0.output.stage() + val busy = False + + val f32 = new Area{ + val mantissa = input.value(0, 23 bits).asUInt + val exponent = input.value(23, 8 bits).asUInt + val sign = input.value(31) + } + val f64 = p.withDouble generate new Area{ + val mantissa = input.value(0, 52 bits).asUInt + val exponent = input.value(52, 11 bits).asUInt + val sign = input.value(63) + } + + val recodedExpOffset = UInt(p.internalExponentSize bits) + val passThroughFloat = p.internalFloating() + passThroughFloat.special := False + + whenDouble(input.format){ + passThroughFloat.sign := f64.sign + passThroughFloat.exponent := f64.exponent.resized + passThroughFloat.mantissa := f64.mantissa + recodedExpOffset := exponentF64Subnormal + } { + passThroughFloat.sign := f32.sign + passThroughFloat.exponent := f32.exponent.resized + passThroughFloat.mantissa := f32.mantissa << (if (p.withDouble) 29 else 0) + recodedExpOffset := exponentF32Subnormal + } + + + val manZero = passThroughFloat.mantissa === 0 + val expZero = passThroughFloat.exponent === 0 + val expOne = passThroughFloat.exponent(7 downto 0).andR + if(p.withDouble) { + expZero.clearWhen(input.format === FpuFormat.DOUBLE && input.value(62 downto 60) =/= 0) + expOne.clearWhen(input.format === FpuFormat.DOUBLE && input.value(62 downto 60) =/= 7) + } + + val isZero = expZero && manZero + val isSubnormal = expZero && !manZero + val isInfinity = expOne && manZero + val isNan = expOne && !manZero + + + val fsm = new Area{ + val done, boot, patched = Reg(Bool()) + val ohInputWidth = 32 max p.internalMantissaSize + val ohInput = Bits(ohInputWidth bits).assignDontCare() + when(!input.i2f) { + if(!p.withDouble) ohInput := input.value(0, 23 bits) << 9 + if( p.withDouble) ohInput := passThroughFloat.mantissa.asBits + } otherwise { + ohInput(ohInputWidth-32-1 downto 0) := 0 + ohInput(ohInputWidth-32, 32 bits) := input.value(31 downto 0) + } + + val i2fZero = Reg(Bool) + + val shift = new Area{ + val by = Reg(UInt(log2Up(ohInputWidth) bits)) + val input = UInt(ohInputWidth bits).assignDontCare() + var logic = input + for(i <- by.range){ + logic \= by(i) ? (logic |<< (BigInt(1) << i)) | logic + } + val output = RegNextWhen(logic, !done) + } + shift.input := (ohInput.asUInt |<< 1).resized + + when(input.valid && (input.i2f || isSubnormal) && !done){ + busy := True + when(boot){ + when(input.i2f && !patched && input.value(31) && input.arg(0)){ + input.value.getDrivingReg(0, 32 bits) := B(input.value.asUInt.twoComplement(True).resize(32 bits)) + patched := True + } otherwise { + shift.by := OHToUInt(OHMasking.first((ohInput).reversed)) + boot := False + i2fZero := input.value(31 downto 0) === 0 + } + } otherwise { + done := True + } + } + + val expOffset = (UInt(p.internalExponentSize bits)) + expOffset := 0 + when(isSubnormal){ + expOffset := shift.by.resized + } + + when(!input.isStall){ + done := False + boot := True + patched := False + } + } + + + val i2fSign = fsm.patched + val (i2fHigh, i2fLow) = fsm.shift.output.splitAt(if(p.withDouble) 0 else widthOf(input.value)-24) + val scrap = i2fLow =/= 0 + + val recoded = p.internalFloating() + recoded.mantissa := passThroughFloat.mantissa + recoded.exponent := (passThroughFloat.exponent -^ fsm.expOffset + recodedExpOffset).resized + recoded.sign := passThroughFloat.sign + recoded.setNormal + when(isZero){recoded.setZero} + when(isInfinity){recoded.setInfinity} + when(isNan){recoded.setNan} + + val output = input.haltWhen(busy).swapPayload(new MergeInput()) + output.source := input.source + output.roundMode := input.roundMode + if(p.withDouble) { + output.format := input.format + } + output.rd := input.rd + output.value.sign := recoded.sign + output.value.exponent := recoded.exponent + output.value.mantissa := recoded.mantissa @@ U"0" + output.value.special := recoded.special + output.scrap := False + output.NV := False + output.DZ := False + when(input.i2f){ + output.value.sign := i2fSign + output.value.exponent := (U(exponentOne+31) - fsm.shift.by).resized + output.value.setNormal + output.scrap := scrap + when(fsm.i2fZero) { output.value.setZero } + } + + when(input.i2f || isSubnormal){ + output.value.mantissa := U(i2fHigh) @@ (if(p.withDouble) U"0" else U"") + } + } + + } + + val shortPip = new Area{ + val input = decode.shortPip.stage() + + val toFpuRf = List(FpuOpcode.MIN_MAX, FpuOpcode.SGNJ, FpuOpcode.FCVT_X_X).map(input.opcode === _).orR + val rfOutput = Stream(new MergeInput()) + + val isCommited = commitConsume(_.short, input.source, input.fire && toFpuRf) + val output = rfOutput.haltWhen(!isCommited) + + val result = p.storeLoadType().assignDontCare() + + val halt = False + val recodedResult = p.storeLoadType() + val f32 = new Area{ + val exp = (input.rs1.exponent - (exponentOne-127)).resize(8 bits) + val man = CombInit(input.rs1.mantissa(if(p.withDouble) 51 downto 29 else 22 downto 0)) + } + val f64 = p.withDouble generate new Area{ + val exp = (input.rs1.exponent - (exponentOne-1023)).resize(11 bits) + val man = CombInit(input.rs1.mantissa) + } + + whenDouble(input.format){ + recodedResult := input.rs1.sign ## f64.exp ## f64.man + } { + recodedResult := (if(p.withDouble) B"xFFFFFFFF" else B"") ## input.rs1.sign ## f32.exp ## f32.man + } + + val expSubnormalThreshold = muxDouble[UInt](input.format)(exponentF64Subnormal)(exponentF32Subnormal) + val expInSubnormalRange = input.rs1.exponent <= expSubnormalThreshold + val isSubnormal = !input.rs1.special && expInSubnormalRange + val isNormal = !input.rs1.special && !expInSubnormalRange + val fsm = new Area{ + val f2iShift = input.rs1.exponent - U(exponentOne) + val isF2i = input.opcode === FpuOpcode.F2I + val needRecoding = List(FpuOpcode.FMV_X_W, FpuOpcode.STORE).map(_ === input.opcode).orR && isSubnormal + val done, boot = Reg(Bool()) + val isZero = input.rs1.isZero// || input.rs1.exponent < exponentOne-1 + + val shift = new Area{ + val by = Reg(UInt(log2Up(p.internalMantissaSize+1 max 33) bits)) + val input = UInt(p.internalMantissaSize+1 max 33 bits).assignDontCare() + var logic = input + val scrap = Reg(Bool) + for(i <- by.range.reverse){ + scrap setWhen(by(i) && logic(0, 1 << i bits) =/= 0) + logic \= by(i) ? (logic |>> (BigInt(1) << i)) | logic + } + when(boot){ + scrap := False + } + val output = RegNextWhen(logic, !done) + } + + shift.input := (U(!isZero) @@ input.rs1.mantissa) << (if(p.withDouble) 0 else 9) + + val formatShiftOffset = muxDouble[UInt](input.format)(exponentOne-1023+1)(exponentOne - (if(p.withDouble) (127+34) else (127-10))) + when(input.valid && (needRecoding || isF2i) && !done){ + halt := True + when(boot){ + when(isF2i){ + shift.by := ((U(exponentOne + 31) - input.rs1.exponent).min(U(33)) + (if(p.withDouble) 20 else 0)).resized //TODO merge + } otherwise { + shift.by := (formatShiftOffset - input.rs1.exponent).resized + } + boot := False + } otherwise { + done := True + } + } + + when(!input.isStall){ + done := False + boot := True + } + } + + val mantissaForced = False + val exponentForced = False + val mantissaForcedValue = Bool().assignDontCare() + val exponentForcedValue = Bool().assignDontCare() + val cononicalForced = False + + + when(input.rs1.special){ + switch(input.rs1.exponent(1 downto 0)){ + is(FpuFloat.ZERO){ + mantissaForced := True + exponentForced := True + mantissaForcedValue := False + exponentForcedValue := False + } + is(FpuFloat.INFINITY){ + mantissaForced := True + exponentForced := True + mantissaForcedValue := False + exponentForcedValue := True + } + is(FpuFloat.NAN){ + exponentForced := True + exponentForcedValue := True + when(input.rs1.isCanonical){ + cononicalForced := True + mantissaForced := True + mantissaForcedValue := False + } + } + } + } + + + + when(isSubnormal){ + exponentForced := True + exponentForcedValue := False + recodedResult(0,23 bits) := fsm.shift.output(22 downto 0).asBits + whenDouble(input.format){ + recodedResult(51 downto 23) := fsm.shift.output(51 downto 23).asBits + }{} + } + when(mantissaForced){ + recodedResult(0,23 bits) := (default -> mantissaForcedValue) + whenDouble(input.format){ + recodedResult(23, 52-23 bits) := (default -> mantissaForcedValue) + }{} + } + when(exponentForced){ + whenDouble(input.format){ + recodedResult(52, 11 bits) := (default -> exponentForcedValue) + } { + recodedResult(23, 8 bits) := (default -> exponentForcedValue) + } + } + when(cononicalForced){ + whenDouble(input.format){ + recodedResult(63) := False + recodedResult(51) := True + } { + recodedResult(31) := False + recodedResult(22) := True + } + } + + val rspNv = False + val rspNx = False + + val f2i = new Area{ //Will not work for 64 bits float max value rounding + val unsigned = fsm.shift.output(32 downto 0) >> 1 + val resign = input.arg(0) && input.rs1.sign + val round = fsm.shift.output(0) ## fsm.shift.scrap + val increment = input.roundMode.mux( + FpuRoundMode.RNE -> (round(1) && (round(0) || unsigned(0))), + FpuRoundMode.RTZ -> False, + FpuRoundMode.RDN -> (round =/= 0 && input.rs1.sign), + FpuRoundMode.RUP -> (round =/= 0 && !input.rs1.sign), + FpuRoundMode.RMM -> (round(1)) + ) + val result = (Mux(resign, ~unsigned, unsigned) + (resign ^ increment).asUInt) + val overflow = (input.rs1.exponent > (input.arg(0) ? U(exponentOne+30) | U(exponentOne+31)) || input.rs1.isInfinity) && !input.rs1.sign || input.rs1.isNan + val underflow = (input.rs1.exponent > U(exponentOne+31) || input.arg(0) && unsigned.msb && (unsigned(30 downto 0) =/= 0 || increment) || !input.arg(0) && (unsigned =/= 0 || increment) || input.rs1.isInfinity) && input.rs1.sign + val isZero = input.rs1.isZero + if(p.withDouble){ + overflow setWhen(!input.rs1.sign && increment && unsigned(30 downto 0).andR && (input.arg(0) || unsigned(31))) + } + when(isZero){ + result := 0 + } elsewhen(underflow || overflow) { + val low = overflow + val high = input.arg(0) ^ overflow + result := (31 -> high, default -> low) + rspNv := input.valid && input.opcode === FpuOpcode.F2I && fsm.done && !isZero + } otherwise { + rspNx := input.valid && input.opcode === FpuOpcode.F2I && fsm.done && round =/= 0 + } + } + + val bothZero = input.rs1.isZero && input.rs2.isZero + val rs1Equal = input.rs1 === input.rs2 + val rs1AbsSmaller = (input.rs1.exponent @@ input.rs1.mantissa) < (input.rs2.exponent @@ input.rs2.mantissa) + rs1AbsSmaller.setWhen(input.rs2.isInfinity) + rs1AbsSmaller.setWhen(input.rs1.isZero) + rs1AbsSmaller.clearWhen(input.rs2.isZero) + rs1AbsSmaller.clearWhen(input.rs1.isInfinity) + rs1Equal setWhen(input.rs1.sign === input.rs2.sign && input.rs1.isInfinity && input.rs2.isInfinity) + val rs1Smaller = (input.rs1.sign ## input.rs2.sign).mux( + 0 -> rs1AbsSmaller, + 1 -> False, + 2 -> True, + 3 -> (!rs1AbsSmaller && !rs1Equal) + ) + + + val minMaxSelectRs2 = !(((rs1Smaller ^ input.arg(0)) && !input.rs1.isNan || input.rs2.isNan)) + val minMaxSelectNanQuiet = input.rs1.isNan && input.rs2.isNan + val cmpResult = B(rs1Smaller && !bothZero && !input.arg(1) || (rs1Equal || bothZero) && !input.arg(0)) + when(input.rs1.isNan || input.rs2.isNan) { cmpResult := 0 } + val sgnjRs1Sign = CombInit(input.rs1.sign) + val sgnjRs2Sign = CombInit(input.rs2.sign) + if(p.withDouble){ + sgnjRs2Sign setWhen(input.rs2Boxed && input.format === FpuFormat.DOUBLE) + } + val sgnjResult = (sgnjRs1Sign && input.arg(1)) ^ sgnjRs2Sign ^ input.arg(0) + val fclassResult = B(0, 32 bits) + val decoded = input.rs1.decode() + fclassResult(0) := input.rs1.sign && decoded.isInfinity + fclassResult(1) := input.rs1.sign && isNormal + fclassResult(2) := input.rs1.sign && isSubnormal + fclassResult(3) := input.rs1.sign && decoded.isZero + fclassResult(4) := !input.rs1.sign && decoded.isZero + fclassResult(5) := !input.rs1.sign && isSubnormal + fclassResult(6) := !input.rs1.sign && isNormal + fclassResult(7) := !input.rs1.sign && decoded.isInfinity + fclassResult(8) := decoded.isNan && !decoded.isQuiet + fclassResult(9) := decoded.isNan && decoded.isQuiet + + + switch(input.opcode){ + is(FpuOpcode.STORE) { result := recodedResult } + is(FpuOpcode.FMV_X_W) { result := recodedResult } + is(FpuOpcode.F2I) { result(31 downto 0) := f2i.result.asBits } + is(FpuOpcode.CMP) { result(31 downto 0) := cmpResult.resized } + is(FpuOpcode.FCLASS) { result(31 downto 0) := fclassResult.resized } + } + + + rfOutput.valid := input.valid && toFpuRf && !halt + rfOutput.source := input.source + rfOutput.rd := input.rd + rfOutput.roundMode := input.roundMode + if(p.withDouble) rfOutput.format := input.format + rfOutput.scrap := False + rfOutput.value.sign := input.rs1.sign + rfOutput.value.exponent := input.rs1.exponent + rfOutput.value.mantissa := input.rs1.mantissa @@ U"0" + rfOutput.value.special := input.rs1.special + + switch(input.opcode){ + is(FpuOpcode.MIN_MAX){ + when(minMaxSelectRs2) { + rfOutput.value.sign := input.rs2.sign + rfOutput.value.exponent := input.rs2.exponent + rfOutput.value.mantissa := input.rs2.mantissa @@ U"0" + rfOutput.value.special := input.rs2.special + } + when(minMaxSelectNanQuiet){ + rfOutput.value.setNanQuiet + } + } + is(FpuOpcode.SGNJ){ + when(!input.rs1.isNan) { + rfOutput.value.sign := sgnjResult + } + if(p.withDouble) when(input.rs1Boxed && input.format === FpuFormat.DOUBLE){ + rfOutput.value.sign := input.rs1.sign + rfOutput.format := FpuFormat.FLOAT + } + } + if(p.withDouble) is(FpuOpcode.FCVT_X_X){ + rfOutput.format := ((input.format === FpuFormat.FLOAT) ? FpuFormat.DOUBLE | FpuFormat.FLOAT) + when(input.rs1.isNan){ + rfOutput.value.setNanQuiet + } + } + } + + val signalQuiet = input.opcode === FpuOpcode.CMP && input.arg =/= 2 + val rs1Nan = input.rs1.isNan + val rs2Nan = input.rs2.isNan + val rs1NanNv = input.rs1.isNan && (!input.rs1.isQuiet || signalQuiet) + val rs2NanNv = input.rs2.isNan && (!input.rs2.isQuiet || signalQuiet) + val NV = List(FpuOpcode.CMP, FpuOpcode.MIN_MAX, FpuOpcode.FCVT_X_X).map(input.opcode === _).orR && rs1NanNv || + List(FpuOpcode.CMP, FpuOpcode.MIN_MAX).map(input.opcode === _).orR && rs2NanNv + rspNv setWhen(NV) + + val rspStreams = Vec(Stream(FpuRsp(p)), portCount) + input.ready := !halt && (toFpuRf ? rfOutput.ready | rspStreams.map(_.ready).read(input.source)) + for(i <- 0 until portCount){ + def rsp = rspStreams(i) + rsp.valid := input.valid && input.source === i && !toFpuRf && !halt + rsp.value := result + rsp.NV := rspNv + rsp.NX := rspNx + io.port(i).rsp << rsp.stage() + } + + + rfOutput.NV := NV + rfOutput.DZ := False + } + + val mul = p.withMul generate new Area{ + val inWidthA = p.internalMantissaSize+1 + val inWidthB = p.internalMantissaSize+1 + val outWidth = p.internalMantissaSize*2+2 + + case class MulSplit(offsetA : Int, offsetB : Int, widthA : Int, widthB : Int, id : Int){ + val offsetC = offsetA+offsetB + val widthC = widthA + widthB + val endC = offsetC+widthC + } + val splitsUnordered = for(offsetA <- 0 until inWidthA by p.mulWidthA; + offsetB <- 0 until inWidthB by p.mulWidthB; + widthA = (inWidthA - offsetA) min p.mulWidthA; + widthB = (inWidthB - offsetB) min p.mulWidthB) yield { + MulSplit(offsetA, offsetB, widthA, widthB, -1) + } + val splits = splitsUnordered.sortWith(_.endC < _.endC).zipWithIndex.map(e => e._1.copy(id=e._2)) + + class MathWithExp extends MulInput{ + val exp = UInt(p.internalExponentSize+1 bits) + } + val preMul = new Area{ + val input = decode.mul.stage() + val output = input.swapPayload(new MathWithExp()) + output.payload.assignSomeByName(input.payload) + output.exp := input.rs1.exponent +^ input.rs2.exponent + } + class MathWithMul extends MathWithExp{ + val muls = Vec(splits.map(e => UInt(e.widthA + e.widthB bits))) + } + val mul = new Area{ + val input = preMul.output.stage() + val output = input.swapPayload(new MathWithMul()) + val mulA = U(input.msb1) @@ input.rs1.mantissa + val mulB = U(input.msb2) @@ input.rs2.mantissa + output.payload.assignSomeByName(input.payload) + splits.foreach(e => output.muls(e.id) := mulA(e.offsetA, e.widthA bits) * mulB(e.offsetB, e.widthB bits)) + } + + val sumSplitAt = splits.size/2//splits.filter(e => e.endC <= p.internalMantissaSize).size + + class Sum1Output extends MathWithExp{ + val muls2 = Vec(splits.drop(sumSplitAt).map(e => UInt(e.widthA + e.widthB bits))) + val mulC2 = UInt(p.internalMantissaSize*2+2 bits) + } + class Sum2Output extends MathWithExp{ + val mulC = UInt(p.internalMantissaSize*2+2 bits) + } + + val sum1 = new Area { + val input = mul.output.stage() + val sum = splits.take(sumSplitAt).map(e => (input.muls(e.id) << e.offsetC).resize(outWidth)).reduceBalancedTree(_ + _) + + val output = input.swapPayload(new Sum1Output()) + output.payload.assignSomeByName(input.payload) + output.mulC2 := sum.resized + output.muls2 := Vec(input.muls.drop(sumSplitAt)) + } + + val sum2 = new Area { + val input = sum1.output.stage() + val sum = input.mulC2 + splits.drop(sumSplitAt).map(e => (input.muls2(e.id-sumSplitAt) << e.offsetC).resize(outWidth)).reduceBalancedTree(_ + _) + + val isCommited = commitConsume(_.mul, input.source, input.fire) + val output = input.haltWhen(!isCommited).swapPayload(new Sum2Output()) + output.payload.assignSomeByName(input.payload) + output.mulC := sum + } + + val norm = new Area{ + val input = sum2.output.stage() + val (mulHigh, mulLow) = input.mulC.splitAt(p.internalMantissaSize-1) + val scrap = mulLow =/= 0 + val needShift = mulHigh.msb + val exp = input.exp + U(needShift) + val man = needShift ? mulHigh(1, p.internalMantissaSize+1 bits) | mulHigh(0, p.internalMantissaSize+1 bits) + scrap setWhen(needShift && mulHigh(0)) + val forceZero = input.rs1.isZero || input.rs2.isZero + val underflowThreshold = muxDouble[UInt](input.format)(exponentOne + exponentOne - 1023 - 53) (exponentOne + exponentOne - 127 - 24) + val underflowExp = muxDouble[UInt](input.format)(exponentOne - 1023 - 54) (exponentOne - 127 - 25) + val forceUnderflow = exp < underflowThreshold + val forceOverflow = input.rs1.isInfinity || input.rs2.isInfinity + val infinitynan = ((input.rs1.isInfinity || input.rs2.isInfinity) && (input.rs1.isZero || input.rs2.isZero)) + val forceNan = input.rs1.isNan || input.rs2.isNan || infinitynan + + val output = p.writeFloating() + output.sign := input.rs1.sign ^ input.rs2.sign + output.exponent := (exp - exponentOne).resized + output.mantissa := man.asUInt + output.setNormal + val NV = False + + when(exp(exp.getWidth-3, 3 bits) >= 5) { output.exponent(p.internalExponentSize-2, 2 bits) := 3 } + + when(forceNan) { + output.setNanQuiet + NV setWhen(infinitynan || input.rs1.isNanSignaling || input.rs2.isNanSignaling) + } elsewhen(forceOverflow) { + output.setInfinity + } elsewhen(forceZero) { + output.setZero + } elsewhen(forceUnderflow) { + output.exponent := underflowExp.resized + } + } + + val result = new Area { + def input = norm.input + def NV = norm.NV + + val notMul = new Area { + val output = Flow(UInt(p.internalMantissaSize + 1 bits)) + output.valid := input.valid && input.divSqrt + output.payload := input.mulC(p.internalMantissaSize, p.internalMantissaSize + 1 bits) + } + + val output = Stream(new MergeInput()) + output.valid := input.valid && !input.add && !input.divSqrt + output.source := input.source + output.rd := input.rd + if (p.withDouble) output.format := input.format + output.roundMode := input.roundMode + output.scrap := norm.scrap + output.value := norm.output + output.NV := NV + output.DZ := False + + val mulToAdd = Stream(AddInput()) + decode.mulToAdd << mulToAdd.stage() + + mulToAdd.valid := input.valid && input.add + mulToAdd.source := input.source + mulToAdd.rs1.mantissa := norm.output.mantissa @@ norm.scrap //FMA Precision lost + mulToAdd.rs1.exponent := norm.output.exponent + mulToAdd.rs1.sign := norm.output.sign + mulToAdd.rs1.special := norm.output.special + mulToAdd.rs2 := input.rs3 + mulToAdd.rs2.mantissa.removeAssignments() := input.rs3.mantissa << addExtraBits + mulToAdd.rd := input.rd + mulToAdd.roundMode := input.roundMode + mulToAdd.needCommit := False + if (p.withDouble) mulToAdd.format := input.format + + when(NV){ + mulToAdd.rs1.mantissa.msb := False + } + + input.ready := (input.add ? mulToAdd.ready | output.ready) || input.divSqrt + } + } + + + val div = p.withDiv generate new Area{ + val input = decode.div.halfPipe() + val haltIt = True + val isCommited = RegNext(commitConsume(_.div, input.source, input.fire)) + val output = input.haltWhen(haltIt || !isCommited).swapPayload(new MergeInput()) + + val dividerShift = if(p.withDouble) 0 else 1 + val divider = FpuDiv(p.internalMantissaSize + dividerShift) + divider.io.input.a := input.rs1.mantissa << dividerShift + divider.io.input.b := input.rs2.mantissa << dividerShift + val dividerResult = divider.io.output.result >> dividerShift + val dividerScrap = divider.io.output.remain =/= 0 || divider.io.output.result(0, dividerShift bits) =/= 0 + + val cmdSent = RegInit(False) setWhen(divider.io.input.fire) clearWhen(!haltIt) + divider.io.input.valid := input.valid && !cmdSent + divider.io.output.ready := input.ready + output.payload.assignSomeByName(input.payload) + + val needShift = !dividerResult.msb + val mantissa = needShift ? dividerResult(0, p.internalMantissaSize + 1 bits) | dividerResult(1, p.internalMantissaSize + 1 bits) + val scrap = dividerScrap || !needShift && dividerResult(0) + val exponentOffset = 1 << (p.internalExponentSize + 1) + val exponent = input.rs1.exponent + U(exponentOffset | exponentOne) - input.rs2.exponent - U(needShift) + + output.value.setNormal + output.value.sign := input.rs1.sign ^ input.rs2.sign + output.value.exponent := exponent.resized + output.value.mantissa := mantissa + output.scrap := scrap + when(exponent.takeHigh(2) === 3){ output.value.exponent(p.internalExponentSize-3, 3 bits) := 7} //Handle overflow + + + + val underflowThreshold = muxDouble[UInt](input.format)(exponentOne + exponentOffset - 1023 - 53) (exponentOne + exponentOffset - 127 - 24) + val underflowExp = muxDouble[UInt](input.format)(exponentOne + exponentOffset - 1023 - 54) (exponentOne + exponentOffset - 127 - 25) + val forceUnderflow = exponent < underflowThreshold + val forceOverflow = input.rs1.isInfinity || input.rs2.isZero + val infinitynan = input.rs1.isZero && input.rs2.isZero || input.rs1.isInfinity && input.rs2.isInfinity + val forceNan = input.rs1.isNan || input.rs2.isNan || infinitynan + val forceZero = input.rs1.isZero || input.rs2.isInfinity + + + + output.NV := False + output.DZ := !forceNan && !input.rs1.isInfinity && input.rs2.isZero + + when(exponent(exponent.getWidth-3, 3 bits) === 7) { output.value.exponent(p.internalExponentSize-2, 2 bits) := 3 } + + when(forceNan) { + output.value.setNanQuiet + output.NV setWhen((infinitynan || input.rs1.isNanSignaling || input.rs2.isNanSignaling)) + } elsewhen(forceOverflow) { + output.value.setInfinity + } elsewhen(forceZero) { + output.value.setZero + } elsewhen(forceUnderflow) { + output.value.exponent := underflowExp.resized + } + + + haltIt clearWhen(divider.io.output.valid) + } + + + + val sqrt = p.withSqrt generate new Area{ + val input = decode.sqrt.halfPipe() + val haltIt = True + val isCommited = RegNext(commitConsume(_.sqrt, input.source, input.fire)) + val output = input.haltWhen(haltIt || !isCommited).swapPayload(new MergeInput()) + + val needShift = !input.rs1.exponent.lsb + val sqrt = FpuSqrt(p.internalMantissaSize) + sqrt.io.input.a := (needShift ? (U"1" @@ input.rs1.mantissa @@ U"0") | (U"01" @@ input.rs1.mantissa)) + + val cmdSent = RegInit(False) setWhen(sqrt.io.input.fire) clearWhen(!haltIt) + sqrt.io.input.valid := input.valid && !cmdSent + sqrt.io.output.ready := input.ready + output.payload.assignSomeByName(input.payload) + + + val scrap = sqrt.io.output.remain =/= 0 + val exponent = RegNext(exponentOne-exponentOne/2 -1 +^ (input.rs1.exponent >> 1) + U(input.rs1.exponent.lsb)) + + output.value.setNormal + output.value.sign := input.rs1.sign + output.value.exponent := exponent + output.value.mantissa := sqrt.io.output.result + output.scrap := scrap + output.NV := False + output.DZ := False + + val negative = !input.rs1.isNan && !input.rs1.isZero && input.rs1.sign + + when(input.rs1.isInfinity){ + output.value.setInfinity + } + when(negative){ + output.value.setNanQuiet + output.NV := True + } + when(input.rs1.isNan){ + output.value.setNanQuiet + output.NV := !input.rs1.isQuiet + } + when(input.rs1.isZero){ + output.value.setZero + } + + +// val underflowThreshold = muxDouble[UInt](input.format)(exponentOne + exponentOffset - 1023 - 53) (exponentOne + exponentOffset - 127 - 24) +// val underflowExp = muxDouble[UInt](input.format)(exponentOne + exponentOffset - 1023 - 54) (exponentOne + exponentOffset - 127 - 25) +// val forceUnderflow = exponent < underflowThreshold +// val forceOverflow = input.rs1.isInfinity// || input.rs2.isInfinity +// val infinitynan = input.rs1.isZero && input.rs2.isZero +// val forceNan = input.rs1.isNan || input.rs2.isNan || infinitynan +// val forceZero = input.rs1.isZero +// +// +// +// output.NV := False +// output.DZ := !forceNan && input.rs2.isZero +// +// when(exponent(exponent.getWidth-3, 3 bits) === 7) { output.value.exponent(p.internalExponentSize-2, 2 bits) := 3 } +// +// when(forceNan) { +// output.value.setNanQuiet +// output.NV setWhen((infinitynan || input.rs1.isNanSignaling || input.rs2.isNanSignaling)) +// } elsewhen(forceOverflow) { +// output.value.setInfinity +// } elsewhen(forceZero) { +// output.value.setZero +// } elsewhen(forceUnderflow) { +// output.value.exponent := underflowExp.resized +// } + + + haltIt clearWhen(sqrt.io.output.valid) + } + + //divSqrt isn't realy used anymore + val divSqrt = p.withDivSqrt generate new Area { + val input = decode.divSqrt.halfPipe() + assert(false, "Need to implement commit tracking") + val aproxWidth = 8 + val aproxDepth = 64 + val divIterationCount = 3 + val sqrtIterationCount = 3 + + val mulWidth = p.internalMantissaSize + 1 + + import FpuDivSqrtIterationState._ + val state = RegInit(FpuDivSqrtIterationState.IDLE()) + val iteration = Reg(UInt(log2Up(divIterationCount max sqrtIterationCount) bits)) + + decode.divSqrtToMul.valid := False + decode.divSqrtToMul.source := input.source + decode.divSqrtToMul.rs1.assignDontCare() + decode.divSqrtToMul.rs2.assignDontCare() + decode.divSqrtToMul.rs3.assignDontCare() + decode.divSqrtToMul.rd := input.rd + decode.divSqrtToMul.add := False + decode.divSqrtToMul.divSqrt := True + decode.divSqrtToMul.msb1 := True + decode.divSqrtToMul.msb2 := True + decode.divSqrtToMul.rs1.special := False //TODO + decode.divSqrtToMul.rs2.special := False + decode.divSqrtToMul.roundMode := input.roundMode + if(p.withDouble) decode.divSqrtToMul.format := input.format + + + val aprox = new Area { + val rom = Mem(UInt(aproxWidth bits), aproxDepth * 2) + val divTable, sqrtTable = ArrayBuffer[Double]() + for(i <- 0 until aproxDepth){ + val value = 1+(i+0.5)/aproxDepth + divTable += 1/value + } + for(i <- 0 until aproxDepth){ + val scale = if(i < aproxDepth/2) 2 else 1 + val value = scale+(scale*(i%(aproxDepth/2)+0.5)/aproxDepth*2) +// println(s"$i => $value" ) + sqrtTable += 1/Math.sqrt(value) + } + val romElaboration = (sqrtTable ++ divTable).map(v => BigInt(((v-0.5)*2*(1 << aproxWidth)).round)) + + rom.initBigInt(romElaboration) + val div = input.rs2.mantissa.takeHigh(log2Up(aproxDepth)) + val sqrt = U(input.rs1.exponent.lsb ## input.rs1.mantissa).takeHigh(log2Up(aproxDepth)) + val address = U(input.div ## (input.div ? div | sqrt)) + val raw = rom.readAsync(address) + val result = U"01" @@ (raw << (mulWidth-aproxWidth-2)) + } + + val divExp = new Area{ + val value = (1 << p.internalExponentSize) - 3 - input.rs2.exponent + } + val sqrtExp = new Area{ + val value = ((1 << p.internalExponentSize-1) + (1 << p.internalExponentSize-2) - 2 -1) - (input.rs1.exponent >> 1) + U(!input.rs1.exponent.lsb) + } + + def mulArg(rs1 : UInt, rs2 : UInt): Unit ={ + decode.divSqrtToMul.rs1.mantissa := rs1.resized + decode.divSqrtToMul.rs2.mantissa := rs2.resized + decode.divSqrtToMul.msb1 := rs1.msb + decode.divSqrtToMul.msb2 := rs2.msb + } + + val mulBuffer = mul.result.notMul.output.toStream.stage + mulBuffer.ready := False + + val iterationValue = Reg(UInt(mulWidth bits)) + + input.ready := False + switch(state){ + is(IDLE){ + iterationValue := aprox.result + iteration := 0 + when(input.valid) { + state := YY + } + } + is(YY){ + decode.divSqrtToMul.valid := True + mulArg(iterationValue, iterationValue) + when(decode.divSqrtToMul.ready) { + state := XYY + } + } + is(XYY){ + decode.divSqrtToMul.valid := mulBuffer.valid + val sqrtIn = !input.rs1.exponent.lsb ? (U"1" @@ input.rs1.mantissa) | ((U"1" @@ input.rs1.mantissa) |>> 1) + val divIn = U"1" @@ input.rs2.mantissa + mulArg(input.div ? divIn| sqrtIn, mulBuffer.payload) + when(mulBuffer.valid && decode.divSqrtToMul.ready) { + state := (input.div ? Y2_XYY | _15_XYY2) + mulBuffer.ready := True + } + } + is(Y2_XYY){ + mulBuffer.ready := True + when(mulBuffer.valid) { + iterationValue := ((iterationValue << 1) - mulBuffer.payload).resized + mulBuffer.ready := True + iteration := iteration + 1 + when(iteration =/= divIterationCount-1){ //TODO + state := YY + } otherwise { + state := DIV + } + } + } + is(DIV){ + decode.divSqrtToMul.valid := True + decode.divSqrtToMul.divSqrt := False + decode.divSqrtToMul.rs1 := input.rs1 + decode.divSqrtToMul.rs2.sign := input.rs2.sign + decode.divSqrtToMul.rs2.exponent := divExp.value + iterationValue.msb.asUInt + decode.divSqrtToMul.rs2.mantissa := (iterationValue << 1).resized + val zero = input.rs2.isInfinity + val overflow = input.rs2.isZero + val nan = input.rs2.isNan || (input.rs1.isZero && input.rs2.isZero) + + when(nan){ + decode.divSqrtToMul.rs2.setNanQuiet + } elsewhen(overflow) { + decode.divSqrtToMul.rs2.setInfinity + } elsewhen(zero) { + decode.divSqrtToMul.rs2.setZero + } + when(decode.divSqrtToMul.ready) { + state := IDLE + input.ready := True + } + } + is(_15_XYY2){ + when(mulBuffer.valid) { + state := Y_15_XYY2 + mulBuffer.payload.getDrivingReg := (U"11" << mulWidth-2) - (mulBuffer.payload) + } + } + is(Y_15_XYY2){ + decode.divSqrtToMul.valid := True + mulArg(iterationValue, mulBuffer.payload) + when(decode.divSqrtToMul.ready) { + mulBuffer.ready := True + state := Y_15_XYY2_RESULT + } + } + is(Y_15_XYY2_RESULT){ + iterationValue := mulBuffer.payload + mulBuffer.ready := True + when(mulBuffer.valid) { + iteration := iteration + 1 + when(iteration =/= sqrtIterationCount-1){ + state := YY + } otherwise { + state := SQRT + } + } + } + is(SQRT){ + decode.divSqrtToMul.valid := True + decode.divSqrtToMul.divSqrt := False + decode.divSqrtToMul.rs1 := input.rs1 + decode.divSqrtToMul.rs2.sign := False + decode.divSqrtToMul.rs2.exponent := sqrtExp.value + iterationValue.msb.asUInt + decode.divSqrtToMul.rs2.mantissa := (iterationValue << 1).resized + + val nan = input.rs1.sign && !input.rs1.isZero + + when(nan){ + decode.divSqrtToMul.rs2.setNanQuiet + } + + when(decode.divSqrtToMul.ready) { + state := IDLE + input.ready := True + } + } + } + } + + val add = p.withAdd generate new Area{ + + + class PreShifterOutput extends AddInput{ + val absRs1Bigger = Bool() + val rs1ExponentBigger = Bool() + } + + val preShifter = new Area{ + val input = decode.add.combStage() + val output = input.swapPayload(new PreShifterOutput) + + val exp21 = input.rs2.exponent -^ input.rs1.exponent + val rs1ExponentBigger = (exp21.msb || input.rs2.isZero) && !input.rs1.isZero + val rs1ExponentEqual = input.rs1.exponent === input.rs2.exponent + val rs1MantissaBigger = input.rs1.mantissa > input.rs2.mantissa + val absRs1Bigger = ((rs1ExponentBigger || rs1ExponentEqual && rs1MantissaBigger) && !input.rs1.isZero || input.rs1.isInfinity) && !input.rs2.isInfinity + + output.payload.assignSomeByName(input.payload) + output.absRs1Bigger := absRs1Bigger + output.rs1ExponentBigger := rs1ExponentBigger + } + + class ShifterOutput extends AddInput{ + val xSign, ySign = Bool() + val xMantissa, yMantissa = UInt(p.internalMantissaSize+1+addExtraBits bits) + val xyExponent = UInt(p.internalExponentSize bits) + val xySign = Bool() + val roundingScrap = Bool() + } + + val shifter = new Area { + val input = preShifter.output.stage() + val output = input.swapPayload(new ShifterOutput) + output.payload.assignSomeByName(input.payload) + + val exp21 = input.rs2.exponent -^ input.rs1.exponent + val shiftBy = exp21.asSInt.abs//rs1ExponentBigger ? (0-exp21) | exp21 + val shiftOverflow = (shiftBy >= p.internalMantissaSize+1+addExtraBits) + val passThrough = shiftOverflow || (input.rs1.isZero) || (input.rs2.isZero) + + def absRs1Bigger = input.absRs1Bigger + def rs1ExponentBigger = input.rs1ExponentBigger + + //Note that rs1ExponentBigger can be replaced by absRs1Bigger bellow to avoid xsigned two complement in math block at expense of combinatorial path + val xySign = absRs1Bigger ? input.rs1.sign | input.rs2.sign + output.xSign := xySign ^ (rs1ExponentBigger ? input.rs1.sign | input.rs2.sign) + output.ySign := xySign ^ (rs1ExponentBigger ? input.rs2.sign | input.rs1.sign) + val xMantissa = U"1" @@ (rs1ExponentBigger ? input.rs1.mantissa | input.rs2.mantissa) + val yMantissaUnshifted = U"1" @@ (rs1ExponentBigger ? input.rs2.mantissa | input.rs1.mantissa) + var yMantissa = CombInit(yMantissaUnshifted) + val roundingScrap = False + for(i <- log2Up(p.internalMantissaSize) - 1 downto 0){ + roundingScrap setWhen(shiftBy(i) && yMantissa(0, 1 << i bits) =/= 0) + yMantissa \= shiftBy(i) ? (yMantissa |>> (BigInt(1) << i)) | yMantissa + } + when(passThrough) { yMantissa := 0 } + when(shiftOverflow) { roundingScrap := True } + when(input.rs1.special || input.rs2.special){ roundingScrap := False } + output.xyExponent := rs1ExponentBigger ? input.rs1.exponent | input.rs2.exponent + output.xMantissa := xMantissa + output.yMantissa := yMantissa + output.xySign := xySign + output.roundingScrap := roundingScrap + } + + class MathOutput extends ShifterOutput{ + val xyMantissa = UInt(p.internalMantissaSize+1+addExtraBits+1 bits) + } + + val math = new Area { + val input = shifter.output.stage() + val output = input.swapPayload(new MathOutput) + output.payload.assignSomeByName(input.payload) + import input.payload._ + + val xSigned = xMantissa.twoComplement(xSign) //TODO Is that necessary ? + val ySigned = ((ySign ## Mux(ySign, ~yMantissa, yMantissa)).asUInt + (ySign && !roundingScrap).asUInt).asSInt //rounding here + output.xyMantissa := U(xSigned +^ ySigned).trim(1 bits) + + } + + class OhOutput extends MathOutput{ + val shift = UInt(log2Up(p.internalMantissaSize+1+addExtraBits+1) bits) + } + + val oh = new Area { + val input = math.output.stage() + val isCommited = commitConsume(_.add, input.source, input.fire && input.needCommit) + val output = input.haltWhen(input.needCommit && !isCommited).swapPayload(new OhOutput) + output.payload.assignSomeByName(input.payload) + import input.payload._ + + val shiftOh = OHMasking.first(output.xyMantissa.asBools.reverse) //The OhMasking.first can be processed in parallel to the xyMantissa carry chaine +// output.shiftOh := shiftOh + + val shift = OHToUInt(shiftOh) + output.shift := shift + } + + + class NormOutput extends AddInput{ + val mantissa = UInt(p.internalMantissaSize+1+addExtraBits+1 bits) + val exponent = UInt(p.internalExponentSize+1 bits) + val infinityNan, forceNan, forceZero, forceInfinity = Bool() + val xySign, roundingScrap = Bool() + val xyMantissaZero = Bool() + } + + val norm = new Area{ + val input = oh.output.stage() + val output = input.swapPayload(new NormOutput) + output.payload.assignSomeByName(input.payload) + import input.payload._ + + output.mantissa := (xyMantissa |<< shift) + output.exponent := xyExponent -^ shift + 1 + output.forceInfinity := (input.rs1.isInfinity || input.rs2.isInfinity) + output.forceZero := xyMantissa === 0 || (input.rs1.isZero && input.rs2.isZero) + output.infinityNan := (input.rs1.isInfinity && input.rs2.isInfinity && (input.rs1.sign ^ input.rs2.sign)) + output.forceNan := input.rs1.isNan || input.rs2.isNan || output.infinityNan + output.xyMantissaZero := xyMantissa === 0 + } + + val result = new Area { + val input = norm.output.pipelined() + val output = input.swapPayload(new MergeInput()) + import input.payload._ + + output.source := input.source + output.rd := input.rd + output.value.sign := xySign + output.value.mantissa := (mantissa >> addExtraBits).resized + output.value.exponent := exponent.resized + output.value.special := False + output.roundMode := input.roundMode + if (p.withDouble) output.format := input.format + output.scrap := (mantissa(1) | mantissa(0) | roundingScrap) + + output.NV := infinityNan || input.rs1.isNanSignaling || input.rs2.isNanSignaling + output.DZ := False + when(forceNan) { + output.value.setNanQuiet + } elsewhen (forceInfinity) { + output.value.setInfinity + } elsewhen (forceZero) { + output.value.setZero + when(xyMantissaZero || input.rs1.isZero && input.rs2.isZero) { + output.value.sign := input.rs1.sign && input.rs2.sign + } + when((input.rs1.sign || input.rs2.sign) && input.roundMode === FpuRoundMode.RDN) { + output.value.sign := True + } + } + } + } + + + val merge = new Area { + val inputs = ArrayBuffer[Stream[MergeInput]]() + inputs += load.s1.output.stage() + if(p.withSqrt) (inputs += sqrt.output) + if(p.withDiv) (inputs += div.output) + if(p.withAdd) (inputs += add.result.output) + if(p.withMul) (inputs += mul.result.output) + if(p.withShortPipMisc) (inputs += shortPip.output.pipelined(m2s = true)) + val arbitrated = StreamArbiterFactory.lowerFirst.noLock.on(inputs).toFlow + } + + class RoundFront extends MergeInput{ + val mantissaIncrement = Bool() + val roundAdjusted = Bits(2 bits) + val exactMask = UInt(p.internalMantissaSize + 2 bits) + } + + val roundFront = new Area { + val input = merge.arbitrated.stage() + val output = input.swapPayload(new RoundFront()) + output.payload.assignSomeByName(input.payload) + + val manAggregate = input.value.mantissa @@ input.scrap + val expBase = muxDouble[UInt](input.format)(exponentF64Subnormal + 1)(exponentF32Subnormal + 1) + val expDif = expBase -^ input.value.exponent + val expSubnormal = !expDif.msb + var discardCount = (expSubnormal ? expDif.resize(log2Up(p.internalMantissaSize) bits) | U(0)) + if (p.withDouble) when(input.format === FpuFormat.FLOAT) { + discardCount \= discardCount + 29 + } + val exactMask = (List(True) ++ (0 until p.internalMantissaSize + 1).map(_ < discardCount)).asBits.asUInt + val roundAdjusted = (True ## (manAggregate >> 1)) (discardCount) ## ((manAggregate & exactMask) =/= 0) + + val mantissaIncrement = !input.value.special && input.roundMode.mux( + FpuRoundMode.RNE -> (roundAdjusted(1) && (roundAdjusted(0) || (U"01" ## (manAggregate >> 2)) (discardCount))), + FpuRoundMode.RTZ -> False, + FpuRoundMode.RDN -> (roundAdjusted =/= 0 && input.value.sign), + FpuRoundMode.RUP -> (roundAdjusted =/= 0 && !input.value.sign), + FpuRoundMode.RMM -> (roundAdjusted(1)) + ) + + output.mantissaIncrement := mantissaIncrement + output.roundAdjusted := roundAdjusted + output.exactMask := exactMask + } + + val roundBack = new Area{ + val input = roundFront.output.stage() + val output = input.swapPayload(RoundOutput()) + import input.payload._ + + val math = p.internalFloating() + val mantissaRange = p.internalMantissaSize downto 1 + val adderMantissa = input.value.mantissa(mantissaRange) & (mantissaIncrement ? ~(exactMask.trim(1) >> 1) | input.value.mantissa(mantissaRange).maxValue) + val adderRightOp = (mantissaIncrement ? (exactMask >> 1)| U(0)).resize(p.internalMantissaSize bits) + val adder = KeepAttribute(KeepAttribute(input.value.exponent @@ adderMantissa) + KeepAttribute(adderRightOp) + KeepAttribute(U(mantissaIncrement))) + math.special := input.value.special + math.sign := input.value.sign + math.exponent := adder(p.internalMantissaSize, p.internalExponentSize bits) + math.mantissa := adder(0, p.internalMantissaSize bits) + + val patched = CombInit(math) + val nx,of,uf = False + + val ufSubnormalThreshold = muxDouble[UInt](input.format)(exponentF64Subnormal)(exponentF32Subnormal) + val ufThreshold = muxDouble[UInt](input.format)(exponentF64Subnormal-52+1)(exponentF32Subnormal-23+1) + val ofThreshold = muxDouble[UInt](input.format)(exponentF64Infinity-1)(exponentF32Infinity-1) + + //catch exact 1.17549435E-38 underflow, but, who realy care ? +// val borringCase = input.value.exponent === ufSubnormalThreshold && roundAdjusted.asUInt < U"11" +// when(!math.special && (math.exponent <= ufSubnormalThreshold || borringCase) && roundAdjusted.asUInt =/= 0){ +// uf := True +// } + val threshold = input.roundMode.mux( + FpuRoundMode.RNE -> U"110", + FpuRoundMode.RTZ -> U"110", + FpuRoundMode.RDN -> (input.value.sign ? U"101" | U"111"), + FpuRoundMode.RUP -> (input.value.sign ? U"111" | U"101"), + FpuRoundMode.RMM -> U"110" + ) + val borringRound = (input.value.mantissa(1 downto 0) ## input.scrap) + if(p.withDouble) when(input.format === FpuFormat.FLOAT) { borringRound := (input.value.mantissa(30 downto 29) ## input.value.mantissa(28 downto 0).orR)} + + val borringCase = input.value.exponent === ufSubnormalThreshold && borringRound.asUInt < threshold + when(!math.special && (math.exponent <= ufSubnormalThreshold || borringCase) && roundAdjusted.asUInt =/= 0){ + uf := True + } + when(!math.special && math.exponent > ofThreshold){ + nx := True + of := True + val doMax = input.roundMode.mux( + FpuRoundMode.RNE -> (False), + FpuRoundMode.RTZ -> (True), + FpuRoundMode.RDN -> (!math.sign), + FpuRoundMode.RUP -> (math.sign), + FpuRoundMode.RMM -> (False) + ) + when(doMax){ + patched.exponent := ofThreshold + patched.mantissa.setAll() + } otherwise { + patched.setInfinity + } + } + + + when(!math.special && math.exponent < ufThreshold){ + nx := True + uf := True + val doMin = input.roundMode.mux( + FpuRoundMode.RNE -> (False), + FpuRoundMode.RTZ -> (False), + FpuRoundMode.RDN -> (math.sign), + FpuRoundMode.RUP -> (!math.sign), + FpuRoundMode.RMM -> (False) + ) + when(doMin){ + patched.exponent := ufThreshold.resized + patched.mantissa := 0 + } otherwise { + patched.setZero + } + } + + + nx setWhen(!input.value.special && (roundAdjusted =/= 0)) + val writes = rf.scoreboards.map(_.writes.readAsync(input.rd)) + val write = writes.toList.read(input.source) + output.NX := nx & write + output.OF := of & write + output.UF := uf & write + output.NV := input.NV & write + output.DZ := input.DZ & write + output.source := input.source + output.rd := input.rd + output.write := write + if(p.withDouble) output.format := input.format + output.value := patched + } + + val writeback = new Area{ + val input = roundBack.output.stage() + + for(i <- 0 until portCount){ + val c = io.port(i).completion + c.valid := input.fire && input.source === i + c.flags.NX := input.NX + c.flags.OF := input.OF + c.flags.UF := input.UF + c.flags.NV := input.NV + c.flags.DZ := input.DZ + c.written := input.write + } + + when(input.valid){ + for(i <- 0 until portCount) { + val port = rf.scoreboards(i).hitWrite + port.valid setWhen(input.source === i) + port.address := input.rd + port.data := !rf.scoreboards(i).hit(input.rd) //TODO improve + } + } + + val port = rf.ram.writePort + port.valid := input.valid && input.write + port.address := input.source @@ input.rd + port.data.value := input.value + if(p.withDouble) port.data.boxed := input.format === FpuFormat.FLOAT + + val randomSim = p.sim generate (in UInt(p.internalMantissaSize bits)) + if(p.sim) when(port.data.value.isZero || port.data.value.isInfinity){ + port.data.value.mantissa := randomSim + } + if(p.sim) when(input.value.special){ + port.data.value.exponent(p.internalExponentSize-1 downto 3) := randomSim.resized + when(!input.value.isNan){ + port.data.value.exponent(2 downto 2) := randomSim.resized + } + } + + when(port.valid){ + assert(!(port.data.value.exponent === 0 && !port.data.value.special), "Special violation") + assert(!(port.data.value.exponent === port.data.value.exponent.maxValue && !port.data.value.special), "Special violation") + } + } +} + + + + +object FpuSynthesisBench extends App{ + val payloadType = HardType(Bits(8 bits)) + class Fpu(name : String, portCount : Int, p : FpuParameter) extends Rtl{ + override def getName(): String = "Fpu_" + name + override def getRtlPath(): String = getName() + ".v" + SpinalVerilog(new FpuCore(portCount, p){ + + setDefinitionName(Fpu.this.getName()) + }) + } + + class Shifter(width : Int) extends Rtl{ + override def getName(): String = "shifter_" + width + override def getRtlPath(): String = getName() + ".v" + SpinalVerilog(new Component{ + val a = in UInt(width bits) + val sel = in UInt(log2Up(width) bits) + val result = out(a >> sel) + setDefinitionName(Shifter.this.getName()) + }) + } + + class Rotate(width : Int) extends Rtl{ + override def getName(): String = "rotate_" + width + override def getRtlPath(): String = getName() + ".v" + SpinalVerilog(new Component{ + val a = in UInt(width bits) + val sel = in UInt(log2Up(width) bits) + val result = out(Delay(Delay(a,3).rotateLeft(Delay(sel,3)),3)) + setDefinitionName(Rotate.this.getName()) + }) + } + +// rotate2_24 -> +// Artix 7 -> 233 Mhz 96 LUT 167 FF +// Artix 7 -> 420 Mhz 86 LUT 229 FF +// rotate2_32 -> +// Artix 7 -> 222 Mhz 108 LUT 238 FF +// Artix 7 -> 399 Mhz 110 LUT 300 FF +// rotate2_52 -> +// Artix 7 -> 195 Mhz 230 LUT 362 FF +// Artix 7 -> 366 Mhz 225 LUT 486 FF +// rotate2_64 -> +// Artix 7 -> 182 Mhz 257 LUT 465 FF +// Artix 7 -> 359 Mhz 266 LUT 591 FF + class Rotate2(width : Int) extends Rtl{ + override def getName(): String = "rotate2_" + width + override def getRtlPath(): String = getName() + ".v" + SpinalVerilog(new Component{ + val a = in UInt(width bits) + val sel = in UInt(log2Up(width) bits) + val result = out(Delay((U(0, width bits) @@ Delay(a,3)).rotateLeft(Delay(sel,3)),3)) + setDefinitionName(Rotate2.this.getName()) + }) + } + + class Rotate3(width : Int) extends Rtl{ + override def getName(): String = "rotate3_" + width + override def getRtlPath(): String = getName() + ".v" + SpinalVerilog(new Component{ + val a = Delay(in UInt(width bits), 3) + val sel = Delay(in UInt(log2Up(width) bits),3) + // val result = + // val output = Delay(result, 3) + setDefinitionName(Rotate3.this.getName()) + }) + } + + class Div(width : Int) extends Rtl{ + override def getName(): String = "div_" + width + override def getRtlPath(): String = getName() + ".v" + SpinalVerilog(new UnsignedDivider(width,width, false).setDefinitionName(Div.this.getName())) + } + + class Add(width : Int) extends Rtl{ + override def getName(): String = "add_" + width + override def getRtlPath(): String = getName() + ".v" + SpinalVerilog(new Component{ + val a, b = in UInt(width bits) + val result = out(a + b) + setDefinitionName(Add.this.getName()) + }) + } + + class DivSqrtRtl(width : Int) extends Rtl{ + override def getName(): String = "DivSqrt_" + width + override def getRtlPath(): String = getName() + ".v" + SpinalVerilog(new FpuDiv(width).setDefinitionName(DivSqrtRtl.this.getName())) + } + + val rtls = ArrayBuffer[Rtl]() + rtls += new Fpu( + "32", + portCount = 1, + FpuParameter( +// withDivSqrt = false, + withDouble = false + ) + ) + rtls += new Fpu( + "64", + portCount = 1, + FpuParameter( +// withDivSqrt = false, + withDouble = true + ) + ) + +// rtls += new Div(52) +// rtls += new Div(23) +// rtls += new Add(64) +// rtls += new DivSqrtRtl(52) +// rtls += new DivSqrtRtl(23) + + // rtls += new Shifter(24) +// rtls += new Shifter(32) +// rtls += new Shifter(52) +// rtls += new Shifter(64) +// rtls += new Rotate(24) +// rtls += new Rotate(32) +// rtls += new Rotate(52) +// rtls += new Rotate(64) +// rtls += new Rotate3(24) +// rtls += new Rotate3(32) +// rtls += new Rotate3(52) +// rtls += new Rotate3(64) + + val targets = XilinxStdTargets()// ++ AlteraStdTargets() + + + Bench(rtls, targets) +} + +//Fpu_32 -> +//Artix 7 -> 136 Mhz 1471 LUT 1336 FF +//Artix 7 -> 196 Mhz 1687 LUT 1371 FF +//Fpu_64 -> +//Artix 7 -> 105 Mhz 2822 LUT 2132 FF +//Artix 7 -> 161 Mhz 3114 LUT 2272 FF +// +// +// +//Fpu_32 -> +//Artix 7 -> 128 Mhz 1693 LUT 1481 FF +//Artix 7 -> 203 Mhz 1895 LUT 1481 FF +//Fpu_64 -> +//Artix 7 -> 99 Mhz 3073 LUT 2396 FF +//Artix 7 -> 164 Mhz 3433 LUT 2432 FF + + +//Fpu_32 -> +//Artix 7 -> 112 Mhz 1790 LUT 1666 FF +//Artix 7 -> 158 Mhz 1989 LUT 1701 FF +//Fpu_64 -> +//Artix 7 -> 100 Mhz 3294 LUT 2763 FF +//Artix 7 -> 151 Mhz 3708 LUT 2904 FF + +//Fpu_32 -> +//Artix 7 -> 139 Mhz 1879 LUT 1713 FF +//Artix 7 -> 206 Mhz 2135 LUT 1723 FF +//Fpu_64 -> +//Artix 7 -> 106 Mhz 3502 LUT 2811 FF +//Artix 7 -> 163 Mhz 3905 LUT 2951 FF + +//Fpu_32 -> +//Artix 7 -> 130 Mhz 1889 LUT 1835 FF +//Artix 7 -> 210 Mhz 2131 LUT 1845 FF +//Fpu_64 -> +//Artix 7 -> 106 Mhz 3322 LUT 3023 FF +//Artix 7 -> 161 Mhz 3675 LUT 3163 FF + +//Fpu_32 -> +//Artix 7 -> 132 Mhz 1891 LUT 1837 FF +//Artix 7 -> 209 Mhz 2132 LUT 1847 FF +//Fpu_64 -> +//Artix 7 -> 105 Mhz 3348 LUT 3024 FF +//Artix 7 -> 162 Mhz 3712 LUT 3165 FF + +//Fpu_32 -> +//Artix 7 -> 128 Mhz 1796 LUT 1727 FF +//Artix 7 -> 208 Mhz 2049 LUT 1727 FF +//Fpu_64 -> +//Artix 7 -> 109 Mhz 3417 LUT 2913 FF +//Artix 7 -> 168 Mhz 3844 LUT 3053 FF + +/* +testfloat -tininessafter -all1 > all1.txt +cat all1.txt | grep "Errors found in" + +testfloat -tininessafter -all2 > all2.txt +cat all2.txt | grep "Errors found in" + +testfloat -tininessafter -f32_mulAdd > fma.txt + +testfloat -tininessafter -all2 -level 2 -checkall > all2.txt + + + +all1 => +Errors found in f32_to_ui64_rx_minMag: +Errors found in f32_to_i64_rx_minMag: +Errors found in f64_to_ui64_rx_minMag: +Errors found in f64_to_i64_rx_minMag: + +all2 => + + +Errors found in f32_mulAdd, rounding min: ++00.7FFFFF +67.000001 -01.000000 + => -01.000000 ...ux expected -01.000000 ....x ++67.000001 +00.7FFFFF -01.000000 + => -01.000000 ...ux expected -01.000000 ....x +-00.7FFFFF -67.000001 -01.000000 + => -01.000000 ...ux expected -01.000000 ....x +-67.000001 -00.7FFFFF -01.000000 + => -01.000000 ...ux expected -01.000000 ....x +Errors found in f32_mulAdd, rounding max: ++00.7FFFFF -67.000001 +01.000000 + => +01.000000 ...ux expected +01.000000 ....x ++67.000001 -00.7FFFFF +01.000000 + => +01.000000 ...ux expected +01.000000 ....x ++66.7FFFFE -01.000001 +01.000000 + => +01.000000 ...ux expected +01.000000 ....x +-00.7FFFFF +67.000001 +01.000000 + => +01.000000 ...ux expected +01.000000 ....x +-67.000001 +00.7FFFFF +01.000000 + => +01.000000 ...ux expected +01.000000 ....x + + + + */ \ No newline at end of file diff --git a/VexRiscv/src/main/scala/vexriscv/ip/fpu/FpuDiv.scala b/VexRiscv/src/main/scala/vexriscv/ip/fpu/FpuDiv.scala new file mode 100644 index 0000000..7c9e713 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/ip/fpu/FpuDiv.scala @@ -0,0 +1,140 @@ +package vexriscv.ip.fpu + + +import spinal.core._ +import spinal.lib.math.{UnsignedDividerCmd, UnsignedDividerRsp} +import spinal.lib._ +import spinal.lib.sim.{StreamDriver, StreamMonitor, StreamReadyRandomizer} + +import scala.collection.mutable +import scala.util.Random + +case class FpuDivCmd(mantissaWidth : Int) extends Bundle{ + val a,b = UInt(mantissaWidth bits) +} + +case class FpuDivRsp(mantissaWidth : Int) extends Bundle{ + val result = UInt(mantissaWidth+1 + 2 bits) + val remain = UInt(mantissaWidth+1 bits) +} + +case class FpuDiv(val mantissaWidth : Int) extends Component { + assert(mantissaWidth % 2 == 0) + val io = new Bundle{ + val input = slave Stream(FpuDivCmd(mantissaWidth)) + val output = master Stream(FpuDivRsp(mantissaWidth)) + } + + val iterations = (mantissaWidth+2+2)/2 + val counter = Reg(UInt(log2Up(iterations) bits)) + val busy = RegInit(False) clearWhen(io.output.fire) + val done = RegInit(False) setWhen(busy && counter === iterations-1) clearWhen(io.output.fire) + + val shifter = Reg(UInt(mantissaWidth + 3 bits)) + val result = Reg(UInt(mantissaWidth+1+2 bits)) + + val div1, div3 = Reg(UInt(mantissaWidth+3 bits)) + val div2 = div1 |<< 1 + + val sub1 = shifter -^ div1 + val sub2 = shifter -^ div2 + val sub3 = shifter -^ div3 + + io.output.valid := done + io.output.result := (result << 0).resized + io.output.remain := (shifter >> 2).resized + io.input.ready := !busy + + when(!done){ + counter := counter + 1 + val sel = CombInit(shifter) + result := result |<< 2 + when(!sub1.msb){ + sel := sub1.resized + result(1 downto 0) := 1 + } + when(!sub2.msb){ + sel := sub2.resized + result(1 downto 0) := 2 + } + when(!sub3.msb){ + sel := sub3.resized + result(1 downto 0) := 3 + } + shifter := sel |<< 2 + } + + when(!busy){ + counter := 0 + shifter := (U"1" @@ io.input.a @@ U"").resized + div1 := (U"1" @@ io.input.b).resized + div3 := (U"1" @@ io.input.b) +^ (((U"1" @@ io.input.b)) << 1) + busy := io.input.valid + } +} + + +object FpuDivTester extends App{ + import spinal.core.sim._ + + for(w <- List(16, 20)) { + val config = SimConfig + config.withFstWave + config.compile(new FpuDiv(w)).doSim(seed=2){dut => + dut.clockDomain.forkStimulus(10) + + + val (cmdDriver, cmdQueue) = StreamDriver.queue(dut.io.input, dut.clockDomain) + val rspQueue = mutable.Queue[FpuDivRsp => Unit]() + StreamMonitor(dut.io.output, dut.clockDomain)( rspQueue.dequeue()(_)) + StreamReadyRandomizer(dut.io.output, dut.clockDomain) + + def test(a : Int, b : Int): Unit ={ + cmdQueue +={p => + p.a #= a + p.b #= b + } + rspQueue += {p => + val x = (a | (1 << dut.mantissaWidth)).toLong + val y = (b | (1 << dut.mantissaWidth)).toLong + val result = (x << dut.mantissaWidth+2) / y + val remain = (x << dut.mantissaWidth+2) % y + + assert(p.result.toLong == result, f"$x%x/$y%x=${p.result.toLong}%x instead of $result%x") + assert(p.remain.toLong == remain, f"$x%x %% $y%x=${p.remain.toLong}%x instead of $remain%x") + } + } + + val s = dut.mantissaWidth-16 + val f = (1 << dut.mantissaWidth)-1 + test(0xE000 << s, 0x8000 << s) + test(0xC000 << s, 0x4000 << s) + test(0xC835 << s, 0x4742 << s) + test(0,0) + test(0,f) + test(f,0) + test(f,f) + + for(i <- 0 until 10000){ + test(Random.nextInt(1 << dut.mantissaWidth), Random.nextInt(1 << dut.mantissaWidth)) + } + + waitUntil(rspQueue.isEmpty) + + dut.clockDomain.waitSampling(100) + + } + } +} + +object FpuDivTester2 extends App{ + val mantissaWidth = 52 + val a = BigInt(0xfffffff810000l) + val b = BigInt(0x0000000000FF0l) + val x = (a | (1l << mantissaWidth)) + val y = (b | (1l << mantissaWidth)) + val result = (x << mantissaWidth+2) / y + val remain = (x << mantissaWidth+2) % y + println("done") + +} \ No newline at end of file diff --git a/VexRiscv/src/main/scala/vexriscv/ip/fpu/FpuSqrt.scala b/VexRiscv/src/main/scala/vexriscv/ip/fpu/FpuSqrt.scala new file mode 100644 index 0000000..0f80905 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/ip/fpu/FpuSqrt.scala @@ -0,0 +1,116 @@ +package vexriscv.ip.fpu + +import spinal.core._ +import spinal.lib._ +import spinal.lib.sim.{StreamDriver, StreamMonitor, StreamReadyRandomizer} + +import scala.collection.mutable +import scala.util.Random + +case class FpuSqrtCmd(mantissaWidth : Int) extends Bundle{ + val a = UInt(mantissaWidth+2 bits) +} + +case class FpuSqrtRsp(mantissaWidth : Int) extends Bundle{ + val result = UInt(mantissaWidth+1 bits) + val remain = UInt(mantissaWidth+5 bits) +} + +case class FpuSqrt(val mantissaWidth : Int) extends Component { + val io = new Bundle{ + val input = slave Stream(FpuSqrtCmd(mantissaWidth)) + val output = master Stream(FpuSqrtRsp(mantissaWidth)) + } + + val iterations = mantissaWidth+2 + val counter = Reg(UInt(log2Up(iterations ) bits)) + val busy = RegInit(False) clearWhen(io.output.fire) + val done = RegInit(False) setWhen(busy && counter === iterations-1) clearWhen(io.output.fire) + + val a = Reg(UInt(mantissaWidth+5 bits)) + val x = Reg(UInt(mantissaWidth bits)) + val q = Reg(UInt(mantissaWidth+1 bits)) + val t = a-(q @@ U"01") + + + io.output.valid := done + io.output.result := (q << 0).resized + io.output.remain := a + io.input.ready := !busy + + when(!done){ + counter := counter + 1 + val sel = CombInit(a) + when(!t.msb){ + sel := t.resized + } + q := (q @@ !t.msb).resized + a := (sel @@ x(widthOf(x)-2,2 bits)).resized + x := x |<< 2 + } + + when(!busy){ + q := 0 + a := io.input.a(widthOf(io.input.a)-2,2 bits).resized + x := (io.input.a).resized + counter := 0 + when(io.input.valid){ + busy := True + } + } +} + + +object FpuSqrtTester extends App{ + import spinal.core.sim._ + + for(w <- List(16)) { + val config = SimConfig + config.withFstWave + config.compile(new FpuSqrt(w)).doSim(seed=2){dut => + dut.clockDomain.forkStimulus(10) + + + val (cmdDriver, cmdQueue) = StreamDriver.queue(dut.io.input, dut.clockDomain) + val rspQueue = mutable.Queue[FpuSqrtRsp => Unit]() + StreamMonitor(dut.io.output, dut.clockDomain)( rspQueue.dequeue()(_)) + StreamReadyRandomizer(dut.io.output, dut.clockDomain) + + def test(a : Int): Unit ={ + cmdQueue +={p => + p.a #= a + } + rspQueue += {p => +// val x = (a * (1l << dut.mantissaWidth)).toLong +// val result = Math.sqrt(x).toLong/(1 << dut.mantissaWidth/2) +// val remain = a-x*x + val x = a.toDouble / (1 << dut.mantissaWidth) + val result = (Math.sqrt(x)*(1 << dut.mantissaWidth+1)).toLong + val filtred = result % (1 << dut.mantissaWidth+1) +// val remain = (a-(result*result)).toLong + assert(p.result.toLong == filtred, f"$a%x=${p.result.toLong}%x instead of $filtred%x") +// assert(p.remain.toLong == remain, f"$a%x=${p.remain.toLong}%x instead of $remain%x") + } + } + + val s = dut.mantissaWidth-16 + val f = (1 << dut.mantissaWidth)-1 +// test(121) + test(0x20000) + test(0x18000) +// test(0,0) +// test(0,f) +// test(f,0) +// test(f,f) + + for(i <- 0 until 10000){ + test(Random.nextInt(3 << dut.mantissaWidth) + (1 << dut.mantissaWidth)) + } + + waitUntil(rspQueue.isEmpty) + + dut.clockDomain.waitSampling(100) + + } + } +} \ No newline at end of file diff --git a/VexRiscv/src/main/scala/vexriscv/ip/fpu/Interface.scala b/VexRiscv/src/main/scala/vexriscv/ip/fpu/Interface.scala new file mode 100644 index 0000000..9338c35 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/ip/fpu/Interface.scala @@ -0,0 +1,186 @@ +package vexriscv.ip.fpu + +import spinal.core._ +import spinal.lib._ + + +object Fpu{ + + object Function{ + val MUL = 0 + val ADD = 1 + } + +} + + +case class FpuFloatDecoded() extends Bundle{ + val isNan = Bool() + val isNormal = Bool() + val isSubnormal = Bool() + val isZero = Bool() + val isInfinity = Bool() + val isQuiet = Bool() +} + +object FpuFloat{ + val ZERO = 0 + val INFINITY = 1 + val NAN = 2 + val NAN_CANONICAL_BIT = 2 +} + +case class FpuFloat(exponentSize: Int, + mantissaSize: Int) extends Bundle { + val mantissa = UInt(mantissaSize bits) + val exponent = UInt(exponentSize bits) + val sign = Bool() + val special = Bool() + + def withInvertSign : FpuFloat ={ + val ret = FpuFloat(exponentSize,mantissaSize) + ret.sign := !sign + ret.exponent := exponent + ret.mantissa := mantissa + ret + } + + def isNormal = !special + def isZero = special && exponent(1 downto 0) === FpuFloat.ZERO + def isInfinity = special && exponent(1 downto 0) === FpuFloat.INFINITY + def isNan = special && exponent(1 downto 0) === FpuFloat.NAN + def isQuiet = mantissa.msb + def isNanSignaling = special && exponent(1 downto 0) === FpuFloat.NAN && !isQuiet + def isCanonical = exponent(FpuFloat.NAN_CANONICAL_BIT) + + def setNormal = { special := False } + def setZero = { special := True; exponent(1 downto 0) := FpuFloat.ZERO } + def setInfinity = { special := True; exponent(1 downto 0) := FpuFloat.INFINITY } + def setNan = { special := True; exponent(1 downto 0) := FpuFloat.NAN; exponent(FpuFloat.NAN_CANONICAL_BIT) := False} + def setNanQuiet = { special := True; exponent(1 downto 0) := FpuFloat.NAN; exponent(FpuFloat.NAN_CANONICAL_BIT) := True; mantissa.msb := True; } + + def decode() = { + val ret = FpuFloatDecoded() + ret.isZero := isZero + ret.isNormal := isNormal + ret.isInfinity := isInfinity + ret.isNan := isNan + ret.isQuiet := mantissa.msb + ret + } + + def decodeIeee754() = { + val ret = FpuFloatDecoded() + val expZero = exponent === 0 + val expOne = exponent === exponent.maxValue + val manZero = mantissa === 0 + ret.isZero := expZero && manZero + ret.isSubnormal := expZero && !manZero + ret.isNormal := !expOne && !expZero + ret.isInfinity := expOne && manZero + ret.isNan := expOne && !manZero + ret.isQuiet := mantissa.msb + ret + } +} + +object FpuOpcode extends SpinalEnum{ + val LOAD, STORE, MUL, ADD, FMA, I2F, F2I, CMP, DIV, SQRT, MIN_MAX, SGNJ, FMV_X_W, FMV_W_X, FCLASS, FCVT_X_X = newElement() +} + +object FpuFormat extends SpinalEnum{ + val FLOAT, DOUBLE = newElement() +} + +object FpuRoundMode extends SpinalEnum(){ + val RNE, RTZ, RDN, RUP, RMM = newElement() + defaultEncoding = SpinalEnumEncoding("opt")( + RNE -> 0, + RTZ -> 1, + RDN -> 2, + RUP -> 3, + RMM -> 4 + ) +} +object FpuRoundModeInstr extends SpinalEnum(){ + val RNE, RTZ, RDN, RUP, RMM, DYN = newElement() + defaultEncoding = SpinalEnumEncoding("opt")( + RNE -> 0, + RTZ -> 1, + RDN -> 2, + RUP -> 3, + RMM -> 4, + DYN -> 7 + ) +} + + +case class FpuParameter( withDouble : Boolean, + asyncRegFile : Boolean = false, + mulWidthA : Int = 18, + mulWidthB : Int = 18, + schedulerM2sPipe : Boolean = false, + sim : Boolean = false, + withAdd : Boolean = true, + withMul : Boolean = true, + withDivSqrt : Boolean = false, + withDiv : Boolean = true, + withSqrt : Boolean = true, + withShortPipMisc : Boolean = true){ + + val internalMantissaSize = if(withDouble) 52 else 23 + val storeLoadType = HardType(Bits(if(withDouble) 64 bits else 32 bits)) + val internalExponentSize = (if(withDouble) 11 else 8) + 1 + val internalFloating = HardType(FpuFloat(exponentSize = internalExponentSize, mantissaSize = internalMantissaSize)) + val writeFloating = HardType(FpuFloat(exponentSize = internalExponentSize, mantissaSize = internalMantissaSize+1)) + + val rfAddress = HardType(UInt(5 bits)) + + val Opcode = FpuOpcode + val Format = FpuFormat + val argWidth = 2 + val Arg = HardType(Bits(2 bits)) +} + +case class FpuFlags() extends Bundle{ + val NX, UF, OF, DZ, NV = Bool() +} + +case class FpuCompletion() extends Bundle{ + val flags = FpuFlags() + val written = Bool() //Used for verification purposes +} + +case class FpuCmd(p : FpuParameter) extends Bundle{ + val opcode = p.Opcode() + val arg = Bits(2 bits) + val rs1, rs2, rs3 = p.rfAddress() + val rd = p.rfAddress() + val format = p.Format() + val roundMode = FpuRoundMode() +} + +case class FpuCommit(p : FpuParameter) extends Bundle{ + val opcode = FpuOpcode() + val rd = UInt(5 bits) + val write = Bool() + val value = p.storeLoadType() // IEEE 754 +} + +case class FpuRsp(p : FpuParameter) extends Bundle{ + val value = p.storeLoadType() // IEEE754 store || Integer + val NV, NX = Bool() +} + +case class FpuPort(p : FpuParameter) extends Bundle with IMasterSlave { + val cmd = Stream(FpuCmd(p)) + val commit = Stream(FpuCommit(p)) + val rsp = Stream(FpuRsp(p)) + val completion = Flow(FpuCompletion()) + + override def asMaster(): Unit = { + master(cmd, commit) + slave(rsp) + in(completion) + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/AesPlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/AesPlugin.scala new file mode 100644 index 0000000..0d4556a --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/AesPlugin.scala @@ -0,0 +1,329 @@ +package vexriscv.plugin + +import spinal.core._ +import spinal.lib._ +import vexriscv.{DecoderService, Stageable, VexRiscv} + +/** + * The AesPlugin allow to reduce the instruction count of each AES round by providing the following instruction : + * 1) aes_enc_round(rs1, rs2, sel). rd = rs1 ^ quad_mul(sel, sbox(byte_sel(rs2, sel))) + * 2) aes_enc_round_last(rs1, rs2, sel). rd = rs1 ^ quad_sbox(byte_sel(rs2, sel)) + * 3) aes_dec_round(rs1, rs2, sel). rd = rs1 ^ quad_inv_sbox(quad_mul(sel,byte_sel(rs2, sel))) + * 4) aes_dec_round_last(rs1, rs2, sel). rd = rs1 ^ quad_inv_sbox(byte_sel(rs2, sel)) + * + * Here is what those inner functions mean: + * - sbox apply the sbox transformation on the 'sel' byte of the 32 bits word + * - quad_mul multiply (Galois field) each byte of 32 bits word by a constant (which depend of sel) + * - quad_inv_sbox apply the inverse sbox transformation on each byte of 32 bits word + * + * You can find a complet example of those instruction usage in aes_cusom.h in vexriscv_aes_encrypt and + * vexriscv_aes_decrypt. Those function are made to work on little endian as in the linux kernel default AES + * implementation, but unlike libressl, libopenssl and dropbear ones (swapping the byte of the expended key can fix that). + * + * This plugin implement the processing using a single 32_bits * 512_words rom to fetch the sbox/inv_sbox/multiplication + * results already combined. This rom is formated as following : + * + * From word 0x000 to 0x0FF, it is formatted as follow : (note multiplication are in Galois field) + * [ 7 : 0] : SBox[word_address & 0xFF] * 1 + * [15 : 8] : SBox[word_address & 0xFF] * 2 + * [23 : 16] : SBox[word_address & 0xFF] * 3 + * [31 : 24] : inverse SBox[word_address & 0xFF] * 1 (Used for the last round of the decryption) + * + * From word 0x100 to 0x1FF, it is formatted as follow : + * [ 7 : 0] : inverse SBox[word_address & 0xFF * 14] + * [15 : 8] : inverse SBox[word_address & 0xFF * 9] + * [23 : 16] : inverse SBox[word_address & 0xFF * 13] + * [31 : 24] : inverse SBox[word_address & 0xFF * 11] + * + * So, on each instruction, the following is done (in order) + * 1) Select the 'sel' byte of RS2 + * 2) Read the rom at a address which depend of the RS2 selected byte and the instruction + * 3) Permute the rom read data depending the instruction and the 'sel' argument + * 4) Xor the result with RS1 and return that as instruction result + * + * The instructions are encoded by default as following : + * --SS-LDXXXXXYYYYY000ZZZZZ0001011 + * + * Where : + * - XXXXX is the register file source 2 (RS2) + * - YYYYY is the register file source 1 (RS1) + * - ZZZZZ is the register file destination + * - D=1 mean decrypt, D=0 mean encrypt + * - L=1 mean last round, L=0 mean full round + * - SS specify which byte should be used from RS2 for the processing + * + * In practice the aes-256-cbc performances should improve by a factor 4. See the following results from libopenssl + * from a SoC running linux at 100 Mhz + * type 16 bytes 64 bytes 256 bytes 1024 bytes 8192 bytes 16384 bytes + * aes-256-cbc SW 492.58k 700.22k 796.41k 831.49k 830.09k 832.81k + * aes-256 cbc HW 1781.52k 2834.07k 3323.07k 3486.72k 3465.22k 3440.10k + */ + +case class AesPlugin(encoding : MaskedLiteral = M"-----------------000-----0001011") extends Plugin[VexRiscv]{ + + object IS_AES extends Stageable(Bool) + object CALC extends Stageable(Bits(32 bits)) + + val mapping = new { + def DECRYPT = 25 // 0/1 => encrypt/decrypt + def LAST_ROUND = 26 + def BYTE_SEL = 28 //Which byte should be used in RS2 + } + + //Callback to setup the plugin and ask for different services + override def setup(pipeline: VexRiscv): Unit = { + import pipeline.config._ + + val decoderService = pipeline.service(classOf[DecoderService]) + + decoderService.addDefault(IS_AES, False) + decoderService.add( + key = encoding, + List( + IS_AES -> True, + REGFILE_WRITE_VALID -> True, + BYPASSABLE_EXECUTE_STAGE -> False, + BYPASSABLE_MEMORY_STAGE -> False, //Late result + RS1_USE -> True, + RS2_USE -> True + ) + ) + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + + + + def BANK0 = (TE0, SBOX_INV).zipped.map((te0, inv) => (te0.toLong) | (inv.toLong << 24)) + def BANK1 = TD0 + + + + val onExecute = execute plug new Area{ + import execute._ + val byteSel = input(INSTRUCTION)(mapping.BYTE_SEL, 2 bits).asUInt + val bankSel = input(INSTRUCTION)(mapping.DECRYPT) && !input(INSTRUCTION)(mapping.LAST_ROUND) + val romAddress = U(bankSel ## input(RS2).subdivideIn(8 bits).read(byteSel)) + } + + memory plug new Area{ + import memory._ + + //Decode the rom data + val rom = new Area { + val storage = Mem(Bits(32 bits), 512) initBigInt((BANK0 ++ BANK1).map(BigInt(_))) + + val data = storage.readSync(onExecute.romAddress, !arbitration.isStuck) + val bytes = data.subdivideIn(8 bits) + + def VecUInt(l: Int*) = Vec(l.map(U(_, 2 bits))) + // remap will be used to decode the rom + val remap = Vec( + VecUInt(2, 0, 0, 1), + VecUInt(0, 0, 0, 0), + VecUInt(3, 2, 1, 0), + VecUInt(3, 3, 3, 3) + ) + + val address = U(input(INSTRUCTION)(mapping.DECRYPT) ## input(INSTRUCTION)(mapping.LAST_ROUND)) + val output = remap(address) + } + + val wordDesuffle = new Area{ + val zero = B"0000" + val byteSel = input(INSTRUCTION)(mapping.BYTE_SEL, 2 bits).asUInt + val output = Vec(Bits(8 bits), 4) + + def remap(l : Int*) = Vec(l.map(rom.output(_))) + val sel = byteSel.mux( + 0 -> remap(3, 2, 1, 0), + 1 -> remap(0, 3, 2, 1), + 2 -> remap(1, 0, 3, 2), + 3 -> remap(2, 1, 0, 3) + ) + when(input(INSTRUCTION)(mapping.LAST_ROUND)){ + zero := B"1111" + zero(byteSel) := False + } + + //Finaly, mux the rom data + for(byteId <- 0 to 3){ + output(byteId) := rom.bytes(sel(byteId)) + when(zero(byteId)){ + output(byteId) := 0 + } + } + } + + val xored = wordDesuffle.output.asBits ^ input(RS1) + insert(CALC) := xored + } + + writeBack plug new Area { + import writeBack._ + + when(input(IS_AES)) { + output(REGFILE_WRITE_DATA) := input(CALC) + } + } + } + + // Encryption table which solve a single byte sbox + column mix. Used for all rounds + def TE0 = List( + 0xa5c663, 0x84f87c, 0x99ee77, 0x8df67b, + 0x0dfff2, 0xbdd66b, 0xb1de6f, 0x5491c5, + 0x506030, 0x030201, 0xa9ce67, 0x7d562b, + 0x19e7fe, 0x62b5d7, 0xe64dab, 0x9aec76, + 0x458fca, 0x9d1f82, 0x4089c9, 0x87fa7d, + 0x15effa, 0xebb259, 0xc98e47, 0x0bfbf0, + 0xec41ad, 0x67b3d4, 0xfd5fa2, 0xea45af, + 0xbf239c, 0xf753a4, 0x96e472, 0x5b9bc0, + 0xc275b7, 0x1ce1fd, 0xae3d93, 0x6a4c26, + 0x5a6c36, 0x417e3f, 0x02f5f7, 0x4f83cc, + 0x5c6834, 0xf451a5, 0x34d1e5, 0x08f9f1, + 0x93e271, 0x73abd8, 0x536231, 0x3f2a15, + 0x0c0804, 0x5295c7, 0x654623, 0x5e9dc3, + 0x283018, 0xa13796, 0x0f0a05, 0xb52f9a, + 0x090e07, 0x362412, 0x9b1b80, 0x3ddfe2, + 0x26cdeb, 0x694e27, 0xcd7fb2, 0x9fea75, + 0x1b1209, 0x9e1d83, 0x74582c, 0x2e341a, + 0x2d361b, 0xb2dc6e, 0xeeb45a, 0xfb5ba0, + 0xf6a452, 0x4d763b, 0x61b7d6, 0xce7db3, + 0x7b5229, 0x3edde3, 0x715e2f, 0x971384, + 0xf5a653, 0x68b9d1, 0x000000, 0x2cc1ed, + 0x604020, 0x1fe3fc, 0xc879b1, 0xedb65b, + 0xbed46a, 0x468dcb, 0xd967be, 0x4b7239, + 0xde944a, 0xd4984c, 0xe8b058, 0x4a85cf, + 0x6bbbd0, 0x2ac5ef, 0xe54faa, 0x16edfb, + 0xc58643, 0xd79a4d, 0x556633, 0x941185, + 0xcf8a45, 0x10e9f9, 0x060402, 0x81fe7f, + 0xf0a050, 0x44783c, 0xba259f, 0xe34ba8, + 0xf3a251, 0xfe5da3, 0xc08040, 0x8a058f, + 0xad3f92, 0xbc219d, 0x487038, 0x04f1f5, + 0xdf63bc, 0xc177b6, 0x75afda, 0x634221, + 0x302010, 0x1ae5ff, 0x0efdf3, 0x6dbfd2, + 0x4c81cd, 0x14180c, 0x352613, 0x2fc3ec, + 0xe1be5f, 0xa23597, 0xcc8844, 0x392e17, + 0x5793c4, 0xf255a7, 0x82fc7e, 0x477a3d, + 0xacc864, 0xe7ba5d, 0x2b3219, 0x95e673, + 0xa0c060, 0x981981, 0xd19e4f, 0x7fa3dc, + 0x664422, 0x7e542a, 0xab3b90, 0x830b88, + 0xca8c46, 0x29c7ee, 0xd36bb8, 0x3c2814, + 0x79a7de, 0xe2bc5e, 0x1d160b, 0x76addb, + 0x3bdbe0, 0x566432, 0x4e743a, 0x1e140a, + 0xdb9249, 0x0a0c06, 0x6c4824, 0xe4b85c, + 0x5d9fc2, 0x6ebdd3, 0xef43ac, 0xa6c462, + 0xa83991, 0xa43195, 0x37d3e4, 0x8bf279, + 0x32d5e7, 0x438bc8, 0x596e37, 0xb7da6d, + 0x8c018d, 0x64b1d5, 0xd29c4e, 0xe049a9, + 0xb4d86c, 0xfaac56, 0x07f3f4, 0x25cfea, + 0xafca65, 0x8ef47a, 0xe947ae, 0x181008, + 0xd56fba, 0x88f078, 0x6f4a25, 0x725c2e, + 0x24381c, 0xf157a6, 0xc773b4, 0x5197c6, + 0x23cbe8, 0x7ca1dd, 0x9ce874, 0x213e1f, + 0xdd964b, 0xdc61bd, 0x860d8b, 0x850f8a, + 0x90e070, 0x427c3e, 0xc471b5, 0xaacc66, + 0xd89048, 0x050603, 0x01f7f6, 0x121c0e, + 0xa3c261, 0x5f6a35, 0xf9ae57, 0xd069b9, + 0x911786, 0x5899c1, 0x273a1d, 0xb9279e, + 0x38d9e1, 0x13ebf8, 0xb32b98, 0x332211, + 0xbbd269, 0x70a9d9, 0x89078e, 0xa73394, + 0xb62d9b, 0x223c1e, 0x921587, 0x20c9e9, + 0x4987ce, 0xffaa55, 0x785028, 0x7aa5df, + 0x8f038c, 0xf859a1, 0x800989, 0x171a0d, + 0xda65bf, 0x31d7e6, 0xc68442, 0xb8d068, + 0xc38241, 0xb02999, 0x775a2d, 0x111e0f, + 0xcb7bb0, 0xfca854, 0xd66dbb, 0x3a2c16 + ) + + + // Decryption table which solve a single byte sbox + column mix. Not used in the last round + def TD0 = List( + 0x50a7f451l, 0x5365417el, 0xc3a4171al, 0x965e273al, + 0xcb6bab3bl, 0xf1459d1fl, 0xab58faacl, 0x9303e34bl, + 0x55fa3020l, 0xf66d76adl, 0x9176cc88l, 0x254c02f5l, + 0xfcd7e54fl, 0xd7cb2ac5l, 0x80443526l, 0x8fa362b5l, + 0x495ab1del, 0x671bba25l, 0x980eea45l, 0xe1c0fe5dl, + 0x02752fc3l, 0x12f04c81l, 0xa397468dl, 0xc6f9d36bl, + 0xe75f8f03l, 0x959c9215l, 0xeb7a6dbfl, 0xda595295l, + 0x2d83bed4l, 0xd3217458l, 0x2969e049l, 0x44c8c98el, + 0x6a89c275l, 0x78798ef4l, 0x6b3e5899l, 0xdd71b927l, + 0xb64fe1bel, 0x17ad88f0l, 0x66ac20c9l, 0xb43ace7dl, + 0x184adf63l, 0x82311ae5l, 0x60335197l, 0x457f5362l, + 0xe07764b1l, 0x84ae6bbbl, 0x1ca081fel, 0x942b08f9l, + 0x58684870l, 0x19fd458fl, 0x876cde94l, 0xb7f87b52l, + 0x23d373abl, 0xe2024b72l, 0x578f1fe3l, 0x2aab5566l, + 0x0728ebb2l, 0x03c2b52fl, 0x9a7bc586l, 0xa50837d3l, + 0xf2872830l, 0xb2a5bf23l, 0xba6a0302l, 0x5c8216edl, + 0x2b1ccf8al, 0x92b479a7l, 0xf0f207f3l, 0xa1e2694el, + 0xcdf4da65l, 0xd5be0506l, 0x1f6234d1l, 0x8afea6c4l, + 0x9d532e34l, 0xa055f3a2l, 0x32e18a05l, 0x75ebf6a4l, + 0x39ec830bl, 0xaaef6040l, 0x069f715el, 0x51106ebdl, + 0xf98a213el, 0x3d06dd96l, 0xae053eddl, 0x46bde64dl, + 0xb58d5491l, 0x055dc471l, 0x6fd40604l, 0xff155060l, + 0x24fb9819l, 0x97e9bdd6l, 0xcc434089l, 0x779ed967l, + 0xbd42e8b0l, 0x888b8907l, 0x385b19e7l, 0xdbeec879l, + 0x470a7ca1l, 0xe90f427cl, 0xc91e84f8l, 0x00000000l, + 0x83868009l, 0x48ed2b32l, 0xac70111el, 0x4e725a6cl, + 0xfbff0efdl, 0x5638850fl, 0x1ed5ae3dl, 0x27392d36l, + 0x64d90f0al, 0x21a65c68l, 0xd1545b9bl, 0x3a2e3624l, + 0xb1670a0cl, 0x0fe75793l, 0xd296eeb4l, 0x9e919b1bl, + 0x4fc5c080l, 0xa220dc61l, 0x694b775al, 0x161a121cl, + 0x0aba93e2l, 0xe52aa0c0l, 0x43e0223cl, 0x1d171b12l, + 0x0b0d090el, 0xadc78bf2l, 0xb9a8b62dl, 0xc8a91e14l, + 0x8519f157l, 0x4c0775afl, 0xbbdd99eel, 0xfd607fa3l, + 0x9f2601f7l, 0xbcf5725cl, 0xc53b6644l, 0x347efb5bl, + 0x7629438bl, 0xdcc623cbl, 0x68fcedb6l, 0x63f1e4b8l, + 0xcadc31d7l, 0x10856342l, 0x40229713l, 0x2011c684l, + 0x7d244a85l, 0xf83dbbd2l, 0x1132f9ael, 0x6da129c7l, + 0x4b2f9e1dl, 0xf330b2dcl, 0xec52860dl, 0xd0e3c177l, + 0x6c16b32bl, 0x99b970a9l, 0xfa489411l, 0x2264e947l, + 0xc48cfca8l, 0x1a3ff0a0l, 0xd82c7d56l, 0xef903322l, + 0xc74e4987l, 0xc1d138d9l, 0xfea2ca8cl, 0x360bd498l, + 0xcf81f5a6l, 0x28de7aa5l, 0x268eb7dal, 0xa4bfad3fl, + 0xe49d3a2cl, 0x0d927850l, 0x9bcc5f6al, 0x62467e54l, + 0xc2138df6l, 0xe8b8d890l, 0x5ef7392el, 0xf5afc382l, + 0xbe805d9fl, 0x7c93d069l, 0xa92dd56fl, 0xb31225cfl, + 0x3b99acc8l, 0xa77d1810l, 0x6e639ce8l, 0x7bbb3bdbl, + 0x097826cdl, 0xf418596el, 0x01b79aecl, 0xa89a4f83l, + 0x656e95e6l, 0x7ee6ffaal, 0x08cfbc21l, 0xe6e815efl, + 0xd99be7bal, 0xce366f4al, 0xd4099feal, 0xd67cb029l, + 0xafb2a431l, 0x31233f2al, 0x3094a5c6l, 0xc066a235l, + 0x37bc4e74l, 0xa6ca82fcl, 0xb0d090e0l, 0x15d8a733l, + 0x4a9804f1l, 0xf7daec41l, 0x0e50cd7fl, 0x2ff69117l, + 0x8dd64d76l, 0x4db0ef43l, 0x544daaccl, 0xdf0496e4l, + 0xe3b5d19el, 0x1b886a4cl, 0xb81f2cc1l, 0x7f516546l, + 0x04ea5e9dl, 0x5d358c01l, 0x737487fal, 0x2e410bfbl, + 0x5a1d67b3l, 0x52d2db92l, 0x335610e9l, 0x1347d66dl, + 0x8c61d79al, 0x7a0ca137l, 0x8e14f859l, 0x893c13ebl, + 0xee27a9cel, 0x35c961b7l, 0xede51ce1l, 0x3cb1477al, + 0x59dfd29cl, 0x3f73f255l, 0x79ce1418l, 0xbf37c773l, + 0xeacdf753l, 0x5baafd5fl, 0x146f3ddfl, 0x86db4478l, + 0x81f3afcal, 0x3ec468b9l, 0x2c342438l, 0x5f40a3c2l, + 0x72c31d16l, 0x0c25e2bcl, 0x8b493c28l, 0x41950dffl, + 0x7101a839l, 0xdeb30c08l, 0x9ce4b4d8l, 0x90c15664l, + 0x6184cb7bl, 0x70b632d5l, 0x745c6c48l, 0x4257b8d0l + ) + + // Last round decryption sbox + def SBOX_INV = List( + 0x52, 0x09, 0x6a, 0xd5, 0x30, 0x36, 0xa5, 0x38, 0xbf, 0x40, 0xa3, 0x9e, 0x81, 0xf3, 0xd7, 0xfb, + 0x7c, 0xe3, 0x39, 0x82, 0x9b, 0x2f, 0xff, 0x87, 0x34, 0x8e, 0x43, 0x44, 0xc4, 0xde, 0xe9, 0xcb, + 0x54, 0x7b, 0x94, 0x32, 0xa6, 0xc2, 0x23, 0x3d, 0xee, 0x4c, 0x95, 0x0b, 0x42, 0xfa, 0xc3, 0x4e, + 0x08, 0x2e, 0xa1, 0x66, 0x28, 0xd9, 0x24, 0xb2, 0x76, 0x5b, 0xa2, 0x49, 0x6d, 0x8b, 0xd1, 0x25, + 0x72, 0xf8, 0xf6, 0x64, 0x86, 0x68, 0x98, 0x16, 0xd4, 0xa4, 0x5c, 0xcc, 0x5d, 0x65, 0xb6, 0x92, + 0x6c, 0x70, 0x48, 0x50, 0xfd, 0xed, 0xb9, 0xda, 0x5e, 0x15, 0x46, 0x57, 0xa7, 0x8d, 0x9d, 0x84, + 0x90, 0xd8, 0xab, 0x00, 0x8c, 0xbc, 0xd3, 0x0a, 0xf7, 0xe4, 0x58, 0x05, 0xb8, 0xb3, 0x45, 0x06, + 0xd0, 0x2c, 0x1e, 0x8f, 0xca, 0x3f, 0x0f, 0x02, 0xc1, 0xaf, 0xbd, 0x03, 0x01, 0x13, 0x8a, 0x6b, + 0x3a, 0x91, 0x11, 0x41, 0x4f, 0x67, 0xdc, 0xea, 0x97, 0xf2, 0xcf, 0xce, 0xf0, 0xb4, 0xe6, 0x73, + 0x96, 0xac, 0x74, 0x22, 0xe7, 0xad, 0x35, 0x85, 0xe2, 0xf9, 0x37, 0xe8, 0x1c, 0x75, 0xdf, 0x6e, + 0x47, 0xf1, 0x1a, 0x71, 0x1d, 0x29, 0xc5, 0x89, 0x6f, 0xb7, 0x62, 0x0e, 0xaa, 0x18, 0xbe, 0x1b, + 0xfc, 0x56, 0x3e, 0x4b, 0xc6, 0xd2, 0x79, 0x20, 0x9a, 0xdb, 0xc0, 0xfe, 0x78, 0xcd, 0x5a, 0xf4, + 0x1f, 0xdd, 0xa8, 0x33, 0x88, 0x07, 0xc7, 0x31, 0xb1, 0x12, 0x10, 0x59, 0x27, 0x80, 0xec, 0x5f, + 0x60, 0x51, 0x7f, 0xa9, 0x19, 0xb5, 0x4a, 0x0d, 0x2d, 0xe5, 0x7a, 0x9f, 0x93, 0xc9, 0x9c, 0xef, + 0xa0, 0xe0, 0x3b, 0x4d, 0xae, 0x2a, 0xf5, 0xb0, 0xc8, 0xeb, 0xbb, 0x3c, 0x83, 0x53, 0x99, 0x61, + 0x17, 0x2b, 0x04, 0x7e, 0xba, 0x77, 0xd6, 0x26, 0xe1, 0x69, 0x14, 0x63, 0x55, 0x21, 0x0c, 0x7d + ) +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/BranchPlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/BranchPlugin.scala new file mode 100644 index 0000000..24d42fa --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/BranchPlugin.scala @@ -0,0 +1,386 @@ +package vexriscv.plugin + +import vexriscv.Riscv._ +import vexriscv._ +import spinal.core._ +import spinal.lib._ + +trait BranchPrediction +object NONE extends BranchPrediction +object STATIC extends BranchPrediction +object DYNAMIC extends BranchPrediction +object DYNAMIC_TARGET extends BranchPrediction + +object BranchCtrlEnum extends SpinalEnum(binarySequential){ + val INC,B,JAL,JALR = newElement() +} +object BRANCH_CTRL extends Stageable(BranchCtrlEnum()) + + +case class DecodePredictionCmd() extends Bundle { + val hadBranch = Bool +} +case class DecodePredictionRsp(stage : Stage) extends Bundle { + val wasWrong = Bool +} +case class DecodePredictionBus(stage : Stage) extends Bundle { + val cmd = DecodePredictionCmd() + val rsp = DecodePredictionRsp(stage) +} + +case class FetchPredictionCmd() extends Bundle{ + val hadBranch = Bool + val targetPc = UInt(32 bits) +} +case class FetchPredictionRsp() extends Bundle{ + val wasRight = Bool + val finalPc = UInt(32 bits) + val sourceLastWord = UInt(32 bits) +} +case class FetchPredictionBus(stage : Stage) extends Bundle { + val cmd = FetchPredictionCmd() + val rsp = FetchPredictionRsp() +} + + +trait PredictionInterface{ + def askFetchPrediction() : FetchPredictionBus + def askDecodePrediction() : DecodePredictionBus + def inDebugNoFetch() : Unit +} + + + +class BranchPlugin(earlyBranch : Boolean, + catchAddressMisaligned : Boolean = false, + fenceiGenAsAJump : Boolean = false, + fenceiGenAsANop : Boolean = false, + decodeBranchSrc2 : Boolean = false) extends Plugin[VexRiscv] with PredictionInterface{ + + + def catchAddressMisalignedForReal = catchAddressMisaligned && !pipeline.config.withRvc + lazy val branchStage = if(earlyBranch) pipeline.execute else pipeline.memory + + object BRANCH_CALC extends Stageable(UInt(32 bits)) + object BRANCH_DO extends Stageable(Bool) + object BRANCH_COND_RESULT extends Stageable(Bool) + object IS_FENCEI extends Stageable(Bool) + + var jumpInterface : Flow[UInt] = null + var predictionExceptionPort : Flow[ExceptionCause] = null + var branchExceptionPort : Flow[ExceptionCause] = null + var inDebugNoFetchFlag : Bool = null + + + var decodePrediction : DecodePredictionBus = null + var fetchPrediction : FetchPredictionBus = null + + + override def askFetchPrediction() = { + fetchPrediction = FetchPredictionBus(branchStage) + fetchPrediction + } + + override def askDecodePrediction() = { + decodePrediction = DecodePredictionBus(branchStage) + decodePrediction + } + + + override def inDebugNoFetch(): Unit = inDebugNoFetchFlag := True + + def hasHazardOnBranch = if(earlyBranch) pipeline.service(classOf[HazardService]).hazardOnExecuteRS else False + + override def setup(pipeline: VexRiscv): Unit = { + import Riscv._ + import pipeline.config._ + import IntAluPlugin._ + + assert(earlyBranch || withMemoryStage, "earlyBranch must be true when memory stage is disabled!") + + val bActions = List[(Stageable[_ <: BaseType],Any)]( + SRC1_CTRL -> Src1CtrlEnum.RS, + SRC2_CTRL -> Src2CtrlEnum.RS, + SRC_USE_SUB_LESS -> True, + RS1_USE -> True, + RS2_USE -> True, + HAS_SIDE_EFFECT -> True + ) + + val jActions = List[(Stageable[_ <: BaseType],Any)]( + SRC1_CTRL -> Src1CtrlEnum.PC_INCREMENT, + SRC2_CTRL -> Src2CtrlEnum.PC, + SRC_USE_SUB_LESS -> False, + REGFILE_WRITE_VALID -> True, + HAS_SIDE_EFFECT -> True + ) + + val decoderService = pipeline.service(classOf[DecoderService]) + + + decoderService.addDefault(BRANCH_CTRL, BranchCtrlEnum.INC) + decoderService.add(List( + JAL(true) -> (jActions ++ List(BRANCH_CTRL -> BranchCtrlEnum.JAL, ALU_CTRL -> AluCtrlEnum.ADD_SUB)), + JALR -> (jActions ++ List(BRANCH_CTRL -> BranchCtrlEnum.JALR, ALU_CTRL -> AluCtrlEnum.ADD_SUB, RS1_USE -> True)), + BEQ(true) -> (bActions ++ List(BRANCH_CTRL -> BranchCtrlEnum.B)), + BNE(true) -> (bActions ++ List(BRANCH_CTRL -> BranchCtrlEnum.B)), + BLT(true) -> (bActions ++ List(BRANCH_CTRL -> BranchCtrlEnum.B, SRC_LESS_UNSIGNED -> False)), + BGE(true) -> (bActions ++ List(BRANCH_CTRL -> BranchCtrlEnum.B, SRC_LESS_UNSIGNED -> False)), + BLTU(true) -> (bActions ++ List(BRANCH_CTRL -> BranchCtrlEnum.B, SRC_LESS_UNSIGNED -> True)), + BGEU(true) -> (bActions ++ List(BRANCH_CTRL -> BranchCtrlEnum.B, SRC_LESS_UNSIGNED -> True)) + )) + + if(fenceiGenAsAJump) { + decoderService.addDefault(IS_FENCEI, False) + decoderService.add(List( + FENCEI -> (List(IS_FENCEI -> True,HAS_SIDE_EFFECT -> True, BRANCH_CTRL -> BranchCtrlEnum.JAL)) + )) + } + + if(fenceiGenAsANop){ + decoderService.add(List(FENCEI -> List())) + } + + val pcManagerService = pipeline.service(classOf[JumpService]) + + //Priority -1, as DYNAMIC_TARGET misspredicted on non branch instruction should lose against other instructions + //legitim branches, as MRET for instance + jumpInterface = pcManagerService.createJumpInterface(branchStage, priority = -10) + + + if (catchAddressMisalignedForReal) { + val exceptionService = pipeline.service(classOf[ExceptionService]) + branchExceptionPort = exceptionService.newExceptionPort(branchStage) + } + inDebugNoFetchFlag = False.setCompositeName(this, "inDebugNoFetchFlag") + } + + override def build(pipeline: VexRiscv): Unit = { + (fetchPrediction,decodePrediction) match { + case (null, null) => buildWithoutPrediction(pipeline) + case (_ , null) => buildFetchPrediction(pipeline) + case (null, _) => buildDecodePrediction(pipeline) + } + if(fenceiGenAsAJump) { + import pipeline._ + import pipeline.config._ + when(decode.input(IS_FENCEI)) { + decode.output(INSTRUCTION)(12) := False + decode.output(INSTRUCTION)(22) := True + } + execute.arbitration.haltByOther setWhen(execute.arbitration.isValid && execute.input(IS_FENCEI) && stagesFromExecute.tail.map(_.arbitration.isValid).asBits.orR) + } + } + + def buildWithoutPrediction(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + + //Do branch calculations (conditions + target PC) + execute plug new Area { + import execute._ + + val less = input(SRC_LESS) + val eq = input(SRC1) === input(SRC2) + + insert(BRANCH_DO) := input(BRANCH_CTRL).mux( + BranchCtrlEnum.INC -> False, + BranchCtrlEnum.JAL -> True, + BranchCtrlEnum.JALR -> True, + BranchCtrlEnum.B -> input(INSTRUCTION)(14 downto 12).mux( + B"000" -> eq , + B"001" -> !eq , + M"1-1" -> !less, + default -> less + ) + ) + + val imm = IMM(input(INSTRUCTION)) + val branch_src1 = (input(BRANCH_CTRL) === BranchCtrlEnum.JALR) ? input(RS1).asUInt | input(PC) + val branch_src2 = input(BRANCH_CTRL).mux( + BranchCtrlEnum.JAL -> imm.j_sext, + BranchCtrlEnum.JALR -> imm.i_sext, + default -> imm.b_sext + ).asUInt + + val branchAdder = branch_src1 + branch_src2 + insert(BRANCH_CALC) := branchAdder(31 downto 1) @@ U"0" + } + + //Apply branchs (JAL,JALR, Bxx) + branchStage plug new Area { + import branchStage._ + jumpInterface.valid := arbitration.isValid && input(BRANCH_DO) && !hasHazardOnBranch + jumpInterface.payload := input(BRANCH_CALC) + arbitration.flushNext setWhen(jumpInterface.valid) + + if(catchAddressMisalignedForReal) { + branchExceptionPort.valid := arbitration.isValid && input(BRANCH_DO) && jumpInterface.payload(1) + branchExceptionPort.code := 0 + branchExceptionPort.badAddr := jumpInterface.payload + + if(branchStage == execute) branchExceptionPort.valid clearWhen(service(classOf[HazardService]).hazardOnExecuteRS) + } + } + } + + + def buildDecodePrediction(pipeline: VexRiscv): Unit = { + object PREDICTION_HAD_BRANCHED extends Stageable(Bool) + + import pipeline._ + import pipeline.config._ + + + decode plug new Area { + import decode._ + insert(PREDICTION_HAD_BRANCHED) := (if(fenceiGenAsAJump) decodePrediction.cmd.hadBranch && !decode.input(IS_FENCEI) else decodePrediction.cmd.hadBranch) + } + + //Do real branch calculation + execute plug new Area { + import execute._ + + val less = input(SRC_LESS) + val eq = input(SRC1) === input(SRC2) + + insert(BRANCH_COND_RESULT) := input(BRANCH_CTRL).mux( + BranchCtrlEnum.INC -> False, + BranchCtrlEnum.JAL -> True, + BranchCtrlEnum.JALR -> True, + BranchCtrlEnum.B -> input(INSTRUCTION)(14 downto 12).mux( + B"000" -> eq , + B"001" -> !eq , + M"1-1" -> !less, + default -> less + ) + ) + + val imm = IMM(input(INSTRUCTION)) + val missAlignedTarget = if(pipeline.config.withRvc) False else (input(BRANCH_COND_RESULT) && input(BRANCH_CTRL).mux( + BranchCtrlEnum.JALR -> (imm.i_sext(1) ^ input(RS1)(1)), + BranchCtrlEnum.JAL -> imm.j_sext(1), + default -> imm.b_sext(1) + )) + + insert(BRANCH_DO) := input(PREDICTION_HAD_BRANCHED) =/= input(BRANCH_COND_RESULT) || missAlignedTarget + + //Calculation of the branch target / correction + val branch_src1,branch_src2 = UInt(32 bits) + switch(input(BRANCH_CTRL)){ + is(BranchCtrlEnum.JALR){ + branch_src1 := input(RS1).asUInt + branch_src2 := imm.i_sext.asUInt + } + default{ + branch_src1 := input(PC) + branch_src2 := ((input(BRANCH_CTRL) === BranchCtrlEnum.JAL) ? imm.j_sext | imm.b_sext).asUInt + when(input(PREDICTION_HAD_BRANCHED)){ //Assume the predictor never predict missaligned stuff, this avoid the need to know if the instruction should branch or not + branch_src2 := (if(pipeline.config.withRvc) Mux(input(IS_RVC), B(2), B(4)) else B(4)).asUInt.resized + } + } + } + val branchAdder = branch_src1 + branch_src2 + insert(BRANCH_CALC) := branchAdder(31 downto 1) @@ U"0" + } + + + // branch JALR or JAL/Bxx prediction miss corrections + val branchStage = if(earlyBranch) execute else memory + branchStage plug new Area { + import branchStage._ + jumpInterface.valid := arbitration.isValid && input(BRANCH_DO) && !hasHazardOnBranch + jumpInterface.payload := input(BRANCH_CALC) + arbitration.flushNext setWhen(jumpInterface.valid) + + if(catchAddressMisalignedForReal) { + val unalignedJump = input(BRANCH_DO) && input(BRANCH_CALC)(1) + branchExceptionPort.valid := arbitration.isValid && unalignedJump + branchExceptionPort.code := 0 + branchExceptionPort.badAddr := input(BRANCH_CALC) //pipeline.stages(pipeline.indexOf(branchStage)-1).input + + if(branchStage == execute) branchExceptionPort.valid clearWhen(service(classOf[HazardService]).hazardOnExecuteRS) + } + } + + decodePrediction.rsp.wasWrong := jumpInterface.valid + } + + + + + + def buildFetchPrediction(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + + + //Do branch calculations (conditions + target PC) + object NEXT_PC extends Stageable(UInt(32 bits)) + object TARGET_MISSMATCH extends Stageable(Bool) + object BRANCH_SRC2 extends Stageable(UInt(32 bits)) + val branchSrc2Stage = if(decodeBranchSrc2) decode else execute + execute plug new Area { + import execute._ + + val less = input(SRC_LESS) + val eq = input(SRC1) === input(SRC2) + + insert(BRANCH_DO) := input(BRANCH_CTRL).mux( + BranchCtrlEnum.INC -> False, + BranchCtrlEnum.JAL -> True, + BranchCtrlEnum.JALR -> True, + BranchCtrlEnum.B -> input(INSTRUCTION)(14 downto 12).mux( + B"000" -> eq , + B"001" -> !eq , + M"1-1" -> !less, + default -> less + ) + ) + + val branch_src1 = (input(BRANCH_CTRL) === BranchCtrlEnum.JALR) ? input(RS1).asUInt | input(PC) + + val imm = IMM(branchSrc2Stage.input(INSTRUCTION)) + branchSrc2Stage.insert(BRANCH_SRC2) := branchSrc2Stage.input(BRANCH_CTRL).mux( + BranchCtrlEnum.JAL -> imm.j_sext, + BranchCtrlEnum.JALR -> imm.i_sext, + default -> imm.b_sext + ).asUInt + + val branchAdder = branch_src1 + input(BRANCH_SRC2) + insert(BRANCH_CALC) := branchAdder(31 downto 1) @@ U"0" + insert(NEXT_PC) := input(PC) + (if(pipeline.config.withRvc) ((input(IS_RVC)) ? U(2) | U(4)) else 4) + insert(TARGET_MISSMATCH) := decode.input(PC) =/= input(BRANCH_CALC) + } + + //Apply branchs (JAL,JALR, Bxx) + val branchStage = if(earlyBranch) execute else memory + branchStage plug new Area { + import branchStage._ + + val predictionMissmatch = fetchPrediction.cmd.hadBranch =/= input(BRANCH_DO) || (input(BRANCH_DO) && input(TARGET_MISSMATCH)) + when(inDebugNoFetchFlag) { predictionMissmatch := input(BRANCH_DO)} + fetchPrediction.rsp.wasRight := ! predictionMissmatch + fetchPrediction.rsp.finalPc := input(BRANCH_CALC) + fetchPrediction.rsp.sourceLastWord := { + if(pipeline.config.withRvc) + ((!input(IS_RVC) && input(PC)(1)) ? input(NEXT_PC) | input(PC)) + else + input(PC) + } + + jumpInterface.valid := arbitration.isValid && predictionMissmatch && !hasHazardOnBranch + jumpInterface.payload := (input(BRANCH_DO) ? input(BRANCH_CALC) | input(NEXT_PC)) + arbitration.flushNext setWhen(jumpInterface.valid) + + + if(catchAddressMisalignedForReal) { + branchExceptionPort.valid := arbitration.isValid && input(BRANCH_DO) && input(BRANCH_CALC)(1) + branchExceptionPort.code := 0 + branchExceptionPort.badAddr := input(BRANCH_CALC) + + if(branchStage == execute) branchExceptionPort.valid clearWhen(service(classOf[HazardService]).hazardOnExecuteRS) + } + } + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/CfuPlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/CfuPlugin.scala new file mode 100644 index 0000000..d343640 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/CfuPlugin.scala @@ -0,0 +1,357 @@ +package vexriscv.plugin + +import vexriscv.{DecoderService, ExceptionCause, ExceptionService, Stage, Stageable, VexRiscv} +import spinal.core._ +import spinal.lib._ +import spinal.lib.bus.bmb.WeakConnector +import spinal.lib.bus.misc.{AddressMapping, DefaultMapping} +import vexriscv.Riscv.IMM + +case class CfuPluginParameter( + CFU_VERSION : Int, + CFU_INTERFACE_ID_W : Int, + CFU_FUNCTION_ID_W : Int, + CFU_REORDER_ID_W : Int, + CFU_REQ_RESP_ID_W : Int, + CFU_INPUTS : Int, + CFU_INPUT_DATA_W : Int, + CFU_OUTPUTS : Int, + CFU_OUTPUT_DATA_W : Int, + CFU_FLOW_REQ_READY_ALWAYS : Boolean, + CFU_FLOW_RESP_READY_ALWAYS : Boolean) + +case class CfuBusParameter(CFU_VERSION : Int = 0, + CFU_INTERFACE_ID_W : Int = 0, + CFU_FUNCTION_ID_W : Int, + CFU_CFU_ID_W : Int = 0, + CFU_REORDER_ID_W : Int = 0, + CFU_REQ_RESP_ID_W : Int = 0, + CFU_STATE_INDEX_NUM : Int = 0, + CFU_INPUTS : Int, + CFU_INPUT_DATA_W : Int, + CFU_OUTPUTS : Int, + CFU_OUTPUT_DATA_W : Int, + CFU_FLOW_REQ_READY_ALWAYS : Boolean, + CFU_FLOW_RESP_READY_ALWAYS : Boolean, + CFU_WITH_STATUS : Boolean = false, + CFU_RAW_INSN_W : Int = 0) + +case class CfuCmd( p : CfuBusParameter ) extends Bundle{ + val function_id = UInt(p.CFU_FUNCTION_ID_W bits) + val reorder_id = UInt(p.CFU_REORDER_ID_W bits) + val request_id = UInt(p.CFU_REQ_RESP_ID_W bits) + val inputs = Vec(Bits(p.CFU_INPUT_DATA_W bits), p.CFU_INPUTS) + val state_index = UInt(log2Up(p.CFU_STATE_INDEX_NUM) bits) + val cfu_index = UInt(p.CFU_CFU_ID_W bits) + val raw_insn = Bits(p.CFU_RAW_INSN_W bits) + def weakAssignFrom(m : CfuCmd): Unit ={ + def s = this + WeakConnector(m, s, m.function_id, s.function_id, defaultValue = null, allowUpSize = false, allowDownSize = true , allowDrop = true) + WeakConnector(m, s, m.reorder_id, s.reorder_id, defaultValue = null, allowUpSize = false , allowDownSize = false, allowDrop = false) + WeakConnector(m, s, m.request_id, s.request_id, defaultValue = null, allowUpSize = false, allowDownSize = false, allowDrop = false) + s.inputs := m.inputs + } +} + +case class CfuRsp(p : CfuBusParameter) extends Bundle{ + val response_id = UInt(p.CFU_REQ_RESP_ID_W bits) + val outputs = Vec(Bits(p.CFU_OUTPUT_DATA_W bits), p.CFU_OUTPUTS) + val status = p.CFU_WITH_STATUS generate Bits(3 bits) + + def weakAssignFrom(m : CfuRsp): Unit ={ + def s = this + s.response_id := m.response_id + s.outputs := m.outputs + } +} + +case class CfuBus(p : CfuBusParameter) extends Bundle with IMasterSlave{ + val cmd = Stream(CfuCmd(p)) + val rsp = Stream(CfuRsp(p)) + + def <<(m : CfuBus) : Unit = { + val s = this + s.cmd.arbitrationFrom(m.cmd) + m.rsp.arbitrationFrom(s.rsp) + + s.cmd.weakAssignFrom(m.cmd) + m.rsp.weakAssignFrom(s.rsp) + } + + override def asMaster(): Unit = { + master(cmd) + slave(rsp) + } +} + +object CfuPlugin{ + object Input2Kind extends SpinalEnum{ + val RS, IMM_I = newElement() + } +} + +case class CfuPluginEncoding(instruction : MaskedLiteral, + functionId : List[Range], + input2Kind : CfuPlugin.Input2Kind.E){ + val functionIdWidth = functionId.map(_.size).sum +} + +class CfuPlugin(val stageCount : Int, + val allowZeroLatency : Boolean, + val busParameter : CfuBusParameter, + val encodings : List[CfuPluginEncoding] = null, + val stateAndIndexCsrOffset : Int = 0xBC0, + val statusCsrOffset : Int = 0x801, + val withEnable : Boolean = true) extends Plugin[VexRiscv]{ + def p = busParameter + + assert(p.CFU_INPUTS <= 2) + assert(p.CFU_OUTPUTS == 1) +// assert(p.CFU_FUNCTION_ID_W == 3) + + var bus : CfuBus = null + + lazy val forkStage = pipeline.execute + lazy val joinStage = pipeline.stages(Math.min(pipeline.stages.length - 1, pipeline.indexOf(forkStage) + stageCount)) + + + val CFU_ENABLE = new Stageable(Bool()).setCompositeName(this, "CFU_ENABLE") + val CFU_IN_FLIGHT = new Stageable(Bool()).setCompositeName(this, "CFU_IN_FLIGHT") + val CFU_ENCODING = new Stageable(UInt(log2Up(encodings.size) bits)).setCompositeName(this, "CFU_ENCODING") + val CFU_INPUT_2_KIND = new Stageable(CfuPlugin.Input2Kind()).setCompositeName(this, "CFU_INPUT_2_KIND") + + override def setup(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + + bus = master(CfuBus(p)) + + val decoderService = pipeline.service(classOf[DecoderService]) + decoderService.addDefault(CFU_ENABLE, False) + + for((encoding, id) <- encodings.zipWithIndex){ + var actions = List( + CFU_ENABLE -> True, + REGFILE_WRITE_VALID -> True, + BYPASSABLE_EXECUTE_STAGE -> Bool(stageCount == 0), + BYPASSABLE_MEMORY_STAGE -> Bool(stageCount <= 1), + RS1_USE -> True, + CFU_ENCODING -> U(id), + CFU_INPUT_2_KIND -> encoding.input2Kind() + ) + + encoding.input2Kind match { + case CfuPlugin.Input2Kind.RS => + actions :+= RS2_USE -> True + case CfuPlugin.Input2Kind.IMM_I => + } + + decoderService.add( + key = encoding.instruction, + values = actions + ) + } + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + + val csr = pipeline plug new Area{ + val factory = pipeline.service(classOf[CsrInterface]) + val en = withEnable generate (Reg(Bool()) init(False)) + if(withEnable) factory.rw(stateAndIndexCsrOffset, 31, en) + + val stateId = Reg(UInt(log2Up(p.CFU_STATE_INDEX_NUM) bits)) init(0) + if(p.CFU_STATE_INDEX_NUM > 1) { + assert(stateAndIndexCsrOffset != -1, "CfuPlugin stateCsrIndex need to be set in the parameters") + factory.rw(stateAndIndexCsrOffset, 16, stateId) + } + + val cfuIndex = Reg(UInt(p.CFU_CFU_ID_W bits)) init(0) + if(p.CFU_CFU_ID_W != 0){ + factory.rw(stateAndIndexCsrOffset, 0, cfuIndex) + } + val status = p.CFU_WITH_STATUS generate new Area{ + val CU, OP, FI, OF, SI, CI = RegInit(False) + val flags = List(CU, OP, FI, OF, SI, CI).reverse + factory.rw(statusCsrOffset, flags.zipWithIndex.map(_.swap) :_*) + factory.duringWrite(statusCsrOffset){ + decode.arbitration.haltByOther := True //Handle CSRW to decode + } + } + } + + if(withEnable) when(decode.input(CFU_ENABLE) && !csr.en){ + pipeline.service(classOf[DecoderService]).forceIllegal() + } + + forkStage plug new Area{ + import forkStage._ + val hazard = stages.dropWhile(_ != forkStage).tail.map(s => s.arbitration.isValid && s.input(HAS_SIDE_EFFECT)).orR + val scheduleWish = arbitration.isValid && input(CFU_ENABLE) + val schedule = scheduleWish && !hazard + arbitration.haltItself setWhen(scheduleWish && hazard) + + val hold = RegInit(False) setWhen(schedule) clearWhen(bus.cmd.ready) + val fired = RegInit(False) setWhen(bus.cmd.fire) clearWhen(!arbitration.isStuck) + insert(CFU_IN_FLIGHT) := schedule || hold || fired + + bus.cmd.valid := (schedule || hold) && !fired + arbitration.haltItself setWhen(bus.cmd.valid && !bus.cmd.ready) + +// bus.cmd.function_id := U(input(INSTRUCTION)(14 downto 12)).resized + val functionIdFromInstructinoWidth = encodings.map(_.functionIdWidth).max + val functionsIds = encodings.map(e => U(Cat(e.functionId.map(r => input(INSTRUCTION)(r))), functionIdFromInstructinoWidth bits)) + bus.cmd.cfu_index := csr.cfuIndex + bus.cmd.state_index := csr.stateId + bus.cmd.function_id := functionsIds.read(input(CFU_ENCODING)) + bus.cmd.reorder_id := 0 + bus.cmd.request_id := 0 + bus.cmd.raw_insn := input(INSTRUCTION).resized + if(p.CFU_INPUTS >= 1) bus.cmd.inputs(0) := input(RS1) + if(p.CFU_INPUTS >= 2) bus.cmd.inputs(1) := input(CFU_INPUT_2_KIND).mux( + CfuPlugin.Input2Kind.RS -> input(RS2), + CfuPlugin.Input2Kind.IMM_I -> IMM(input(INSTRUCTION)).h_sext + ) + } + + joinStage plug new Area{ + import joinStage._ + + //If the CFU interface can produce a result combinatorialy and the fork stage isn't the same than the join stage + //Then it is required to add a buffer on rsp to not propagate the fork stage ready := False in the CPU pipeline. + val rsp = if(p.CFU_FLOW_RESP_READY_ALWAYS){ + bus.rsp.toFlow.toStream.queueLowLatency( + size = stageCount + 1, + latency = 0 + ) + } else if(forkStage != joinStage && allowZeroLatency) { + bus.rsp.s2mPipe() + } else { + bus.rsp.combStage() + } + + rsp.ready := False + when(input(CFU_IN_FLIGHT)){ + arbitration.haltItself setWhen(!rsp.valid) + rsp.ready := !arbitration.isStuckByOthers + output(REGFILE_WRITE_DATA) := rsp.outputs(0) + if(p.CFU_WITH_STATUS) when(arbitration.isFiring){ + switch(rsp.status) { + for (i <- 1 to 6) is(i) { + csr.status.flags(i-1) := True + } + } + } + } + } + + pipeline.stages.drop(1).foreach(s => s.output(CFU_IN_FLIGHT) clearWhen(s.arbitration.isStuck)) + addPrePopTask(() => stages.dropWhile(_ != memory).reverse.dropWhile(_ != joinStage).foreach(s => s.input(CFU_IN_FLIGHT).init(False))) + } +} + + +object CfuTest{ + +// stageCount = 0, +// allowZeroLatency = true, + def getCfuParameter() = CfuBusParameter( + CFU_VERSION = 0, + CFU_INTERFACE_ID_W = 0, + CFU_FUNCTION_ID_W = 3, + CFU_REORDER_ID_W = 0, + CFU_REQ_RESP_ID_W = 0, + CFU_INPUTS = 2, + CFU_INPUT_DATA_W = 32, + CFU_OUTPUTS = 1, + CFU_OUTPUT_DATA_W = 32, + CFU_FLOW_REQ_READY_ALWAYS = false, + CFU_FLOW_RESP_READY_ALWAYS = false + ) +} +case class CfuTest() extends Component{ + val io = new Bundle { + val bus = slave(CfuBus(CfuTest.getCfuParameter())) + } + io.bus.rsp.arbitrationFrom(io.bus.cmd) + io.bus.rsp.response_id := io.bus.cmd.request_id + io.bus.rsp.outputs(0) := ~(io.bus.cmd.inputs(0) & io.bus.cmd.inputs(1)) +} + + +case class CfuBb(p : CfuBusParameter) extends BlackBox{ + val io = new Bundle { + val clk, reset = in Bool() + val bus = slave(CfuBus(p)) + } + + mapCurrentClockDomain(io.clk, io.reset) +} + +//case class CfuGray(p : CfuBusParameter) extends BlackBox{ +// val req_function_id = in Bits(p.CFU_FUNCTION_ID_W) +// val req_data = in Bits(p.CFU_REQ_INPUTS) +// val resp_data = in Bits(p.CFU_FUNCTION_ID_W) +// input `CFU_FUNCTION_ID req_function_id, +// input [CFU_REQ_INPUTS-1:0]`CFU_REQ_DATA req_data, +// output [CFU_RESP_OUTPUTS-1:0]`CFU_RESP_DATA resp_data +// io.bus.rsp.arbitrationFrom(io.bus.cmd) +// io.bus.rsp.response_ok := True +// io.bus.rsp.response_id := io.bus.cmd.request_id +// io.bus.rsp.outputs(0) := ~(io.bus.cmd.inputs(0) & io.bus.cmd.inputs(1)) +//} + + +case class CfuDecoder(p : CfuBusParameter, + mappings : Seq[AddressMapping], + pendingMax : Int = 3) extends Component{ + val io = new Bundle { + val input = slave(CfuBus(p)) + val outputs = Vec(master(CfuBus(p)), mappings.size) + } + val hasDefault = mappings.contains(DefaultMapping) + val logic = if(hasDefault && mappings.size == 1){ + io.outputs(0) << io.input + } else new Area { + val hits = Vec(Bool, mappings.size) + for (portId <- 0 until mappings.length) yield { + val slaveBus = io.outputs(portId) + val memorySpace = mappings(portId) + val hit = hits(portId) + hit := (memorySpace match { + case DefaultMapping => !hits.filterNot(_ == hit).orR + case _ => memorySpace.hit(io.input.cmd.function_id) + }) + slaveBus.cmd.valid := io.input.cmd.valid && hit + slaveBus.cmd.payload := io.input.cmd.payload.resized + } + val noHit = if (!hasDefault) !hits.orR else False + io.input.cmd.ready := (hits, io.outputs).zipped.map(_ && _.cmd.ready).orR || noHit + + val rspPendingCounter = Reg(UInt(log2Up(pendingMax + 1) bits)) init(0) + rspPendingCounter := rspPendingCounter + U(io.input.cmd.fire) - U(io.input.rsp.fire) + val rspHits = RegNextWhen(hits, io.input.cmd.fire) + val rspPending = rspPendingCounter =/= 0 + val rspNoHitValid = if (!hasDefault) !rspHits.orR else False + val rspNoHit = !hasDefault generate new Area{ + val doIt = RegInit(False) clearWhen(io.input.rsp.fire) setWhen(io.input.cmd.fire && noHit) + val response_id = RegNextWhen(io.input.cmd.request_id, io.input.cmd.fire) + } + + io.input.rsp.valid := io.outputs.map(_.rsp.valid).orR || (rspPending && rspNoHitValid) + io.input.rsp.payload := io.outputs.map(_.rsp.payload).read(OHToUInt(rspHits)) + if(!hasDefault) when(rspNoHit.doIt) { + io.input.rsp.valid := True + io.input.rsp.response_id := rspNoHit.response_id + } + for(output <- io.outputs) output.rsp.ready := io.input.rsp.ready + + val cmdWait = (rspPending && (hits =/= rspHits || rspNoHitValid)) || rspPendingCounter === pendingMax + when(cmdWait) { + io.input.cmd.ready := False + io.outputs.foreach(_.cmd.valid := False) + } + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/CsrPlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/CsrPlugin.scala new file mode 100644 index 0000000..7731a41 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/CsrPlugin.scala @@ -0,0 +1,1335 @@ +package vexriscv.plugin + +import spinal.core._ +import spinal.lib._ +import vexriscv._ +import vexriscv.Riscv._ +import vexriscv.plugin.IntAluPlugin.{ALU_BITWISE_CTRL, ALU_CTRL, AluBitwiseCtrlEnum, AluCtrlEnum} + +import scala.collection.mutable.ArrayBuffer +import scala.collection.mutable +import spinal.core.sim._ + +/** + * Created by spinalvm on 21.03.17. + */ + +trait CsrAccess{ + def canWrite : Boolean = false + def canRead : Boolean = false +} +object CsrAccess { + object WRITE_ONLY extends CsrAccess{ + override def canWrite : Boolean = true + } + object READ_ONLY extends CsrAccess{ + override def canRead : Boolean = true + } + object READ_WRITE extends CsrAccess{ + override def canWrite : Boolean = true + override def canRead : Boolean = true + } + object NONE extends CsrAccess +} + + + +case class ExceptionPortInfo(port : Flow[ExceptionCause],stage : Stage, priority : Int, codeWidth : Int) +case class CsrPluginConfig( + catchIllegalAccess : Boolean, + mvendorid : BigInt, + marchid : BigInt, + mimpid : BigInt, + mhartid : BigInt, + misaExtensionsInit : Int, + misaAccess : CsrAccess, + mtvecAccess : CsrAccess, + mtvecInit : BigInt, + mepcAccess : CsrAccess, + mscratchGen : Boolean, + mcauseAccess : CsrAccess, + mbadaddrAccess : CsrAccess, + mcycleAccess : CsrAccess, + minstretAccess : CsrAccess, + ucycleAccess : CsrAccess, + uinstretAccess : CsrAccess = CsrAccess.NONE, + wfiGenAsWait : Boolean, + ecallGen : Boolean, + xtvecModeGen : Boolean = false, + noCsrAlu : Boolean = false, + wfiGenAsNop : Boolean = false, + ebreakGen : Boolean = false, + userGen : Boolean = false, + supervisorGen : Boolean = false, + sscratchGen : Boolean = false, + stvecAccess : CsrAccess = CsrAccess.NONE, + sepcAccess : CsrAccess = CsrAccess.NONE, + scauseAccess : CsrAccess = CsrAccess.NONE, + sbadaddrAccess : CsrAccess = CsrAccess.NONE, + scycleAccess : CsrAccess = CsrAccess.NONE, + sinstretAccess : CsrAccess = CsrAccess.NONE, + satpAccess : CsrAccess = CsrAccess.NONE, + utimeAccess :CsrAccess = CsrAccess.NONE, + medelegAccess : CsrAccess = CsrAccess.NONE, + midelegAccess : CsrAccess = CsrAccess.NONE, + withExternalMhartid : Boolean = false, + mhartidWidth : Int = 0, + pipelineCsrRead : Boolean = false, + pipelinedInterrupt : Boolean = true, + csrOhDecoder : Boolean = true, + deterministicInteruptionEntry : Boolean = false, //Only used for simulatation purposes + wfiOutput : Boolean = false + ){ + assert(!ucycleAccess.canWrite) + def privilegeGen = userGen || supervisorGen + def noException = this.copy(ecallGen = false, ebreakGen = false, catchIllegalAccess = false) + def noExceptionButEcall = this.copy(ecallGen = true, ebreakGen = false, catchIllegalAccess = false) +} + +object CsrPluginConfig{ + def all : CsrPluginConfig = all(0x00000020l) + def small : CsrPluginConfig = small(0x00000020l) + def smallest : CsrPluginConfig = smallest(0x00000020l) + + def openSbi(mhartid : Int, misa : Int) = CsrPluginConfig( + catchIllegalAccess = true, + mvendorid = 0, + marchid = 0, + mimpid = 0, + mhartid = mhartid, + misaExtensionsInit = misa, + misaAccess = CsrAccess.READ_ONLY, + mtvecAccess = CsrAccess.READ_WRITE, //Could have been WRITE_ONLY :( + mtvecInit = null, + mepcAccess = CsrAccess.READ_WRITE, + mscratchGen = true, + mcauseAccess = CsrAccess.READ_ONLY, + mbadaddrAccess = CsrAccess.READ_ONLY, + mcycleAccess = CsrAccess.NONE, + minstretAccess = CsrAccess.NONE, + ucycleAccess = CsrAccess.NONE, + wfiGenAsWait = true, + ecallGen = true, + xtvecModeGen = false, + noCsrAlu = false, + wfiGenAsNop = false, + ebreakGen = true, + userGen = true, + supervisorGen = true, + sscratchGen = true, + stvecAccess = CsrAccess.READ_WRITE, + sepcAccess = CsrAccess.READ_WRITE, + scauseAccess = CsrAccess.READ_WRITE, + sbadaddrAccess = CsrAccess.READ_WRITE, + scycleAccess = CsrAccess.NONE, + sinstretAccess = CsrAccess.NONE, + satpAccess = CsrAccess.NONE, + medelegAccess = CsrAccess.READ_WRITE, //Could have been WRITE_ONLY :( + midelegAccess = CsrAccess.READ_WRITE, //Could have been WRITE_ONLY :( + pipelineCsrRead = false, + deterministicInteruptionEntry = false + ) + + def linuxMinimal(mtVecInit : BigInt) = CsrPluginConfig( + catchIllegalAccess = true, + mvendorid = 1, + marchid = 2, + mimpid = 3, + mhartid = 0, + misaExtensionsInit = 0, //TODO + misaAccess = CsrAccess.NONE, //Read required by some regressions + mtvecAccess = CsrAccess.WRITE_ONLY, //Read required by some regressions + mtvecInit = mtVecInit, + mepcAccess = CsrAccess.READ_WRITE, + mscratchGen = true, + mcauseAccess = CsrAccess.READ_ONLY, + mbadaddrAccess = CsrAccess.READ_ONLY, + mcycleAccess = CsrAccess.NONE, + minstretAccess = CsrAccess.NONE, + ucycleAccess = CsrAccess.NONE, + uinstretAccess = CsrAccess.NONE, + wfiGenAsWait = true, + ecallGen = true, + xtvecModeGen = false, + noCsrAlu = false, + wfiGenAsNop = false, + ebreakGen = true, + userGen = true, + supervisorGen = true, + sscratchGen = true, + stvecAccess = CsrAccess.READ_WRITE, + sepcAccess = CsrAccess.READ_WRITE, + scauseAccess = CsrAccess.READ_WRITE, + sbadaddrAccess = CsrAccess.READ_WRITE, + scycleAccess = CsrAccess.NONE, + sinstretAccess = CsrAccess.NONE, + satpAccess = CsrAccess.NONE, //Implemented into the MMU plugin + medelegAccess = CsrAccess.WRITE_ONLY, + midelegAccess = CsrAccess.WRITE_ONLY, + pipelineCsrRead = false, + deterministicInteruptionEntry = false + ) + + + def linuxFull(mtVecInit : BigInt) = CsrPluginConfig( + catchIllegalAccess = true, + mvendorid = 1, + marchid = 2, + mimpid = 3, + mhartid = 0, + misaExtensionsInit = 0, //TODO + misaAccess = CsrAccess.READ_WRITE, + mtvecAccess = CsrAccess.READ_WRITE, + mtvecInit = mtVecInit, + mepcAccess = CsrAccess.READ_WRITE, + mscratchGen = true, + mcauseAccess = CsrAccess.READ_WRITE, + mbadaddrAccess = CsrAccess.READ_WRITE, + mcycleAccess = CsrAccess.READ_WRITE, + minstretAccess = CsrAccess.READ_WRITE, + ucycleAccess = CsrAccess.READ_ONLY, + uinstretAccess = CsrAccess.READ_ONLY, + wfiGenAsWait = true, + ecallGen = true, + xtvecModeGen = false, + noCsrAlu = false, + wfiGenAsNop = false, + ebreakGen = false, + userGen = true, + supervisorGen = true, + sscratchGen = true, + stvecAccess = CsrAccess.READ_WRITE, + sepcAccess = CsrAccess.READ_WRITE, + scauseAccess = CsrAccess.READ_WRITE, + sbadaddrAccess = CsrAccess.READ_WRITE, + scycleAccess = CsrAccess.READ_WRITE, + sinstretAccess = CsrAccess.READ_WRITE, + satpAccess = CsrAccess.NONE, //Implemented into the MMU plugin + medelegAccess = CsrAccess.READ_WRITE, + midelegAccess = CsrAccess.READ_WRITE, + pipelineCsrRead = false, + deterministicInteruptionEntry = false + ) + + def all(mtvecInit : BigInt) : CsrPluginConfig = CsrPluginConfig( + catchIllegalAccess = true, + mvendorid = 11, + marchid = 22, + mimpid = 33, + mhartid = 0, + misaExtensionsInit = 66, + misaAccess = CsrAccess.READ_WRITE, + mtvecAccess = CsrAccess.READ_WRITE, + mtvecInit = mtvecInit, + mepcAccess = CsrAccess.READ_WRITE, + mscratchGen = true, + mcauseAccess = CsrAccess.READ_WRITE, + mbadaddrAccess = CsrAccess.READ_WRITE, + mcycleAccess = CsrAccess.READ_WRITE, + minstretAccess = CsrAccess.READ_WRITE, + ecallGen = true, + wfiGenAsWait = true, + ucycleAccess = CsrAccess.READ_ONLY, + uinstretAccess = CsrAccess.READ_ONLY + ) + + def all2(mtvecInit : BigInt) : CsrPluginConfig = CsrPluginConfig( + catchIllegalAccess = true, + mvendorid = 11, + marchid = 22, + mimpid = 33, + mhartid = 0, + misaExtensionsInit = 66, + misaAccess = CsrAccess.READ_WRITE, + mtvecAccess = CsrAccess.READ_WRITE, + mtvecInit = mtvecInit, + mepcAccess = CsrAccess.READ_WRITE, + mscratchGen = true, + mcauseAccess = CsrAccess.READ_WRITE, + mbadaddrAccess = CsrAccess.READ_WRITE, + mcycleAccess = CsrAccess.READ_WRITE, + minstretAccess = CsrAccess.READ_WRITE, + ecallGen = true, + wfiGenAsWait = true, + ucycleAccess = CsrAccess.READ_ONLY, + uinstretAccess = CsrAccess.READ_ONLY, + supervisorGen = true, + sscratchGen = true, + stvecAccess = CsrAccess.READ_WRITE, + sepcAccess = CsrAccess.READ_WRITE, + scauseAccess = CsrAccess.READ_WRITE, + sbadaddrAccess = CsrAccess.READ_WRITE, + scycleAccess = CsrAccess.READ_WRITE, + sinstretAccess = CsrAccess.READ_WRITE, + satpAccess = CsrAccess.READ_WRITE, + medelegAccess = CsrAccess.READ_WRITE, + midelegAccess = CsrAccess.READ_WRITE + ) + + def small(mtvecInit : BigInt) = CsrPluginConfig( + catchIllegalAccess = false, + mvendorid = null, + marchid = null, + mimpid = null, + mhartid = null, + misaExtensionsInit = 66, + misaAccess = CsrAccess.NONE, + mtvecAccess = CsrAccess.NONE, + mtvecInit = mtvecInit, + mepcAccess = CsrAccess.READ_WRITE, + mscratchGen = false, + mcauseAccess = CsrAccess.READ_ONLY, + mbadaddrAccess = CsrAccess.READ_ONLY, + mcycleAccess = CsrAccess.NONE, + minstretAccess = CsrAccess.NONE, + ecallGen = false, + wfiGenAsWait = false, + ucycleAccess = CsrAccess.NONE, + uinstretAccess = CsrAccess.NONE + ) + + def smallest(mtvecInit : BigInt) = CsrPluginConfig( + catchIllegalAccess = false, + mvendorid = null, + marchid = null, + mimpid = null, + mhartid = null, + misaExtensionsInit = 66, + misaAccess = CsrAccess.NONE, + mtvecAccess = CsrAccess.NONE, + mtvecInit = mtvecInit, + mepcAccess = CsrAccess.NONE, + mscratchGen = false, + mcauseAccess = CsrAccess.READ_ONLY, + mbadaddrAccess = CsrAccess.NONE, + mcycleAccess = CsrAccess.NONE, + minstretAccess = CsrAccess.NONE, + ecallGen = false, + wfiGenAsWait = false, + ucycleAccess = CsrAccess.NONE, + uinstretAccess = CsrAccess.NONE + ) + + def secure(mtvecInit : BigInt) = CsrPluginConfig( + catchIllegalAccess = true, + mvendorid = 1, + marchid = 2, + mimpid = 3, + mhartid = 0, + misaExtensionsInit = 0x101064, // RV32GCFMU + misaAccess = CsrAccess.READ_WRITE, + mtvecAccess = CsrAccess.READ_WRITE, + mtvecInit = mtvecInit, + mepcAccess = CsrAccess.READ_WRITE, + mscratchGen = true, + mcauseAccess = CsrAccess.READ_WRITE, + mbadaddrAccess = CsrAccess.READ_WRITE, + mcycleAccess = CsrAccess.READ_WRITE, + minstretAccess = CsrAccess.READ_WRITE, + ucycleAccess = CsrAccess.READ_ONLY, + uinstretAccess = CsrAccess.READ_ONLY, + wfiGenAsWait = true, + ecallGen = true, + userGen = true, + medelegAccess = CsrAccess.READ_WRITE, + midelegAccess = CsrAccess.READ_WRITE + ) + +} +case class CsrWrite(that : Data, bitOffset : Int) +case class CsrRead(that : Data , bitOffset : Int) +case class CsrReadToWriteOverride(that : Data, bitOffset : Int) //Used for special cases, as MIP where there shadow stuff +case class CsrOnWrite(doThat :() => Unit) +case class CsrDuringWrite(doThat :() => Unit) +case class CsrDuringRead(doThat :() => Unit) +case class CsrDuring(doThat :() => Unit) +case class CsrOnRead(doThat : () => Unit) + + +case class CsrMapping() extends Area with CsrInterface { + val mapping = mutable.LinkedHashMap[Int,ArrayBuffer[Any]]() + val always = ArrayBuffer[Any]() + val readDataSignal, readDataInit, writeDataSignal = Bits(32 bits) + val allowCsrSignal = False + val hazardFree = Bool() + + readDataSignal := readDataInit + def addMappingAt(address : Int,that : Any) = mapping.getOrElseUpdate(address,new ArrayBuffer[Any]) += that + override def r(csrAddress : Int, bitOffset : Int, that : Data): Unit = addMappingAt(csrAddress, CsrRead(that,bitOffset)) + override def w(csrAddress : Int, bitOffset : Int, that : Data): Unit = addMappingAt(csrAddress, CsrWrite(that,bitOffset)) + override def r2w(csrAddress : Int, bitOffset : Int, that : Data): Unit = addMappingAt(csrAddress, CsrReadToWriteOverride(that,bitOffset)) + override def onWrite(csrAddress: Int)(body: => Unit): Unit = addMappingAt(csrAddress, CsrOnWrite(() => body)) + override def duringWrite(csrAddress: Int)(body: => Unit): Unit = addMappingAt(csrAddress, CsrDuringWrite(() => body)) + override def duringRead(csrAddress: Int)(body: => Unit): Unit = addMappingAt(csrAddress, CsrDuringRead(() => body)) + override def during(csrAddress: Int)(body: => Unit): Unit = addMappingAt(csrAddress, CsrDuring(() => body)) + override def onRead(csrAddress: Int)(body: => Unit): Unit = addMappingAt(csrAddress, CsrOnRead(() => {body})) + override def duringAny(): Bool = ??? + override def duringAnyRead(body: => Unit) : Unit = always += CsrDuringRead(() => body) + override def duringAnyWrite(body: => Unit) : Unit = always += CsrDuringWrite(() => body) + override def onAnyRead(body: => Unit) : Unit = always += CsrOnRead(() => body) + override def onAnyWrite(body: => Unit) : Unit = always += CsrOnWrite(() => body) + override def readData() = readDataSignal + override def writeData() = writeDataSignal + override def allowCsr() = allowCsrSignal := True + override def isHazardFree() = hazardFree +} + + +trait CsrInterface{ + def onWrite(csrAddress : Int)(doThat : => Unit) : Unit + def onRead(csrAddress : Int)(doThat : => Unit) : Unit + def duringWrite(csrAddress: Int)(body: => Unit): Unit + def duringRead(csrAddress: Int)(body: => Unit): Unit + def during(csrAddress: Int)(body: => Unit): Unit + def duringAny(): Bool + def r(csrAddress : Int, bitOffset : Int, that : Data): Unit + def w(csrAddress : Int, bitOffset : Int, that : Data): Unit + def rw(csrAddress : Int, bitOffset : Int,that : Data): Unit ={ + r(csrAddress,bitOffset,that) + w(csrAddress,bitOffset,that) + } + def duringAnyRead(body: => Unit) : Unit //Called all the durration of a Csr write instruction in the execute stage + def duringAnyWrite(body: => Unit) : Unit //same than above for read + def onAnyRead(body: => Unit) : Unit + def onAnyWrite(body: => Unit) : Unit + def allowCsr() : Unit //In case your csr do not use the regular API with csrAddress but is implemented using "side channels", you can call that if the current csr is implemented + def isHazardFree() : Bool // You should not have any side effect nor use readData() until this return True + + def r2w(csrAddress : Int, bitOffset : Int,that : Data): Unit + + def rw(csrAddress : Int, thats : (Int, Data)*) : Unit = for(that <- thats) rw(csrAddress,that._1, that._2) + def w(csrAddress : Int, thats : (Int, Data)*) : Unit = for(that <- thats) w(csrAddress,that._1, that._2) + def r(csrAddress : Int, thats : (Int, Data)*) : Unit = for(that <- thats) r(csrAddress,that._1, that._2) + def rw[T <: Data](csrAddress : Int, that : T): Unit = rw(csrAddress,0,that) + def w[T <: Data](csrAddress : Int, that : T): Unit = w(csrAddress,0,that) + def r [T <: Data](csrAddress : Int, that : T): Unit = r(csrAddress,0,that) + def isWriting(csrAddress : Int) : Bool = { + val ret = False + onWrite(csrAddress){ + ret := True + } + ret + } + + def isReading(csrAddress : Int) : Bool = { + val ret = False + onRead(csrAddress){ + ret := True + } + ret + } + + def readData() : Bits //Return the 32 bits internal signal of the CsrPlugin for you to override (if you want) + def writeData() : Bits //Return the 32 bits value that the CsrPlugin want to write in the CSR (depend on readData combinatorialy) +} + + +trait IContextSwitching{ + def isContextSwitching : Bool +} +trait IWake{ + def askWake() : Unit +} + +class CsrPlugin(val config: CsrPluginConfig) extends Plugin[VexRiscv] with ExceptionService with PrivilegeService with InterruptionInhibitor with ExceptionInhibitor with IContextSwitching with CsrInterface with IWake{ + import config._ + import CsrAccess._ + + assert(!(wfiGenAsNop && wfiGenAsWait)) + + def xlen = 32 + + //Mannage ExceptionService calls + val exceptionPortsInfos = ArrayBuffer[ExceptionPortInfo]() + override def newExceptionPort(stage : Stage, priority : Int = 0, codeWidth : Int = 4) = { + val interface = Flow(ExceptionCause(codeWidth)) + exceptionPortsInfos += ExceptionPortInfo(interface,stage,priority,codeWidth) + interface + } + + + + var exceptionPendings : Vec[Bool] = null + override def isExceptionPending(stage : Stage): Bool = exceptionPendings(pipeline.stages.indexOf(stage)) + + var redoInterface : Flow[UInt] = null + var jumpInterface : Flow[UInt] = null + var timerInterrupt, externalInterrupt, softwareInterrupt : Bool = null + var externalInterruptS : Bool = null + var forceMachineWire : Bool = null + var privilege : UInt = null + var selfException : Flow[ExceptionCause] = null + var contextSwitching : Bool = null + var thirdPartyWake : Bool = null + var inWfi : Bool = null + var externalMhartId : UInt = null + var utime : UInt = null + + override def askWake(): Unit = thirdPartyWake := True + + override def isContextSwitching = contextSwitching + + object EnvCtrlEnum extends SpinalEnum(binarySequential){ + val NONE, XRET = newElement() + val WFI = if(wfiGenAsWait) newElement() else null + val ECALL = if(ecallGen) newElement() else null + val EBREAK = if(ebreakGen) newElement() else null + } + + object ENV_CTRL extends Stageable(EnvCtrlEnum()) + object IS_CSR extends Stageable(Bool) + object IS_SFENCE_VMA extends Stageable(Bool) + object CSR_WRITE_OPCODE extends Stageable(Bool) + object CSR_READ_OPCODE extends Stageable(Bool) + object PIPELINED_CSR_READ extends Stageable(Bits(32 bits)) + + var allowInterrupts : Bool = null + var allowException : Bool = null + var allowEbreakException : Bool = null + + var csrMapping : CsrMapping = null + + //Print CSR mapping + def printCsr() { + for ((address, things) <- csrMapping.mapping) { + println("0x" + address.toHexString + " => ") + for (thing <- things) { + println(" - " + thing) + } + } + } + + + //Interruption and exception data model + case class Delegator(var enable : Bool, privilege : Int) + case class InterruptSpec(var cond : Bool, id : Int, privilege : Int, delegators : List[Delegator]) + case class ExceptionSpec(id : Int, delegators : List[Delegator]) + var interruptSpecs = ArrayBuffer[InterruptSpec]() + var exceptionSpecs = ArrayBuffer[ExceptionSpec]() + + def addInterrupt(cond : Bool, id : Int, privilege : Int, delegators : List[Delegator]): Unit = { + interruptSpecs += InterruptSpec(cond, id, privilege, delegators) + } + + override def r(csrAddress: Int, bitOffset: Int, that: Data): Unit = csrMapping.r(csrAddress, bitOffset, that) + override def w(csrAddress: Int, bitOffset: Int, that: Data): Unit = csrMapping.w(csrAddress, bitOffset, that) + override def r2w(csrAddress: Int, bitOffset: Int, that: Data): Unit = csrMapping.r2w(csrAddress, bitOffset, that) + override def onWrite(csrAddress: Int)(body: => Unit): Unit = csrMapping.onWrite(csrAddress)(body) + override def duringWrite(csrAddress: Int)(body: => Unit): Unit = csrMapping.duringWrite(csrAddress)(body) + override def onRead(csrAddress: Int)(body: => Unit): Unit = csrMapping.onRead(csrAddress)(body) + override def duringRead(csrAddress: Int)(body: => Unit): Unit = csrMapping.duringRead(csrAddress)(body) + override def during(csrAddress: Int)(body: => Unit): Unit = csrMapping.during(csrAddress)(body) + override def duringAny(): Bool = pipeline.execute.arbitration.isValid && pipeline.execute.input(IS_CSR) + override def duringAnyRead(body: => Unit) = csrMapping.duringAnyRead(body) + override def duringAnyWrite(body: => Unit) = csrMapping.duringAnyWrite(body) + override def onAnyRead(body: => Unit) = csrMapping.onAnyRead(body) + override def onAnyWrite(body: => Unit) = csrMapping.onAnyWrite(body) + override def allowCsr() = csrMapping.allowCsr() + override def readData() = csrMapping.readData() + override def writeData() = csrMapping.writeData() + override def isHazardFree() = csrMapping.isHazardFree() + + override def setup(pipeline: VexRiscv): Unit = { + import pipeline.config._ + + if(!config.ebreakGen) { + SpinalWarning("This VexRiscv configuration is set without software ebreak instruction support. Some software may rely on it (ex: Rust). (This isn't related to JTAG ebreak)") + } + + csrMapping = new CsrMapping() + + inWfi = False.addTag(Verilator.public) + + thirdPartyWake = False + + val defaultEnv = List[(Stageable[_ <: BaseType],Any)]( + ) + + val defaultCsrActions = List[(Stageable[_ <: BaseType],Any)]( + IS_CSR -> True, + REGFILE_WRITE_VALID -> True, + BYPASSABLE_EXECUTE_STAGE -> False, + BYPASSABLE_MEMORY_STAGE -> True + ) ++ (if(catchIllegalAccess) List(HAS_SIDE_EFFECT -> True) else Nil) + + val nonImmediatActions = defaultCsrActions ++ List( + SRC1_CTRL -> Src1CtrlEnum.RS, + RS1_USE -> True + ) + + val immediatActions = defaultCsrActions ++ List( + SRC1_CTRL -> Src1CtrlEnum.URS1 + ) + + val decoderService = pipeline.service(classOf[DecoderService]) + + decoderService.addDefault(ENV_CTRL, EnvCtrlEnum.NONE) + decoderService.addDefault(IS_CSR, False) + decoderService.add(List( + CSRRW -> nonImmediatActions, + CSRRS -> nonImmediatActions, + CSRRC -> nonImmediatActions, + CSRRWI -> immediatActions, + CSRRSI -> immediatActions, + CSRRCI -> immediatActions, + MRET -> (defaultEnv ++ List(ENV_CTRL -> EnvCtrlEnum.XRET, HAS_SIDE_EFFECT -> True)), + SRET -> (defaultEnv ++ List(ENV_CTRL -> EnvCtrlEnum.XRET, HAS_SIDE_EFFECT -> True)) + )) + if(wfiGenAsWait) decoderService.add(WFI, defaultEnv ++ List(ENV_CTRL -> EnvCtrlEnum.WFI)) + if(wfiGenAsNop) decoderService.add(WFI, Nil) + if(ecallGen) decoderService.add(ECALL, defaultEnv ++ List(ENV_CTRL -> EnvCtrlEnum.ECALL, HAS_SIDE_EFFECT -> True)) + if(ebreakGen) decoderService.add(EBREAK, defaultEnv ++ List(ENV_CTRL -> EnvCtrlEnum.EBREAK, HAS_SIDE_EFFECT -> True)) + + val pcManagerService = pipeline.service(classOf[JumpService]) + jumpInterface = pcManagerService.createJumpInterface(pipeline.stages.last) + jumpInterface.valid := False + jumpInterface.payload.assignDontCare() + + + if(supervisorGen) { + redoInterface = pcManagerService.createJumpInterface(pipeline.execute, -20) //Should lose against dynamic_target branch prediction correction + } + + exceptionPendings = Vec(Bool, pipeline.stages.length) + timerInterrupt = in Bool() setName("timerInterrupt") + externalInterrupt = in Bool() setName("externalInterrupt") + softwareInterrupt = in Bool() setName("softwareInterrupt") default(False) + if(supervisorGen){ +// timerInterruptS = in Bool() setName("timerInterruptS") + externalInterruptS = in Bool() setName("externalInterruptS") + } + contextSwitching = Bool().setName("contextSwitching") + + privilege = UInt(2 bits).setName("CsrPlugin_privilege") + forceMachineWire = False + + if(catchIllegalAccess || ecallGen || ebreakGen) + selfException = newExceptionPort(pipeline.execute) + + allowInterrupts = True + allowException = True + allowEbreakException = True + + for (i <- interruptSpecs) i.cond = i.cond.pull() + + + pipeline.update(MPP, UInt(2 bits)) + + if(withExternalMhartid) externalMhartId = in UInt(mhartidWidth bits) + if(utimeAccess != CsrAccess.NONE) utime = in UInt(64 bits) setName("utime") + + if(supervisorGen) { + decoderService.addDefault(IS_SFENCE_VMA, False) + decoderService.add(SFENCE_VMA, List(IS_SFENCE_VMA -> True)) + } + } + + def inhibateInterrupts() : Unit = allowInterrupts := False + def inhibateException() : Unit = allowException := False + def inhibateEbreakException() : Unit = allowEbreakException := False + + override def isUser() : Bool = privilege === 0 + override def isSupervisor(): Bool = privilege === 1 + override def isMachine(): Bool = privilege === 3 + override def forceMachine(): Unit = forceMachineWire := True + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + val fetcher = service(classOf[IBusFetcher]) + val trapCodeWidth = log2Up((List(16) ++ interruptSpecs.map(_.id + 1) ++ exceptionPortsInfos.map(p => 1 << widthOf(p.port.code))).max) + + //Define CSR mapping utilities + implicit class CsrAccessPimper(csrAccess : CsrAccess){ + def apply(csrAddress : Int, thats : (Int, Data)*) : Unit = { + if(csrAccess == `WRITE_ONLY` || csrAccess == `READ_WRITE`) for(that <- thats) csrMapping.w(csrAddress,that._1, that._2) + if(csrAccess == `READ_ONLY` || csrAccess == `READ_WRITE`) for(that <- thats) csrMapping.r(csrAddress,that._1, that._2) + } + def apply(csrAddress : Int, that : Data) : Unit = { + if(csrAccess == `WRITE_ONLY` || csrAccess == `READ_WRITE`) csrMapping.w(csrAddress, 0, that) + if(csrAccess == `READ_ONLY` || csrAccess == `READ_WRITE`) csrMapping.r(csrAddress, 0, that) + } + } + + + case class Xtvec() extends Bundle { + val mode = Bits(2 bits) + val base = UInt(xlen-2 bits) + } + + val privilegeReg = privilegeGen generate RegInit(U"11") + privilege := (if(privilegeGen) privilegeReg else U"11") + + when(forceMachineWire) { privilege := 3 } + + val machineCsr = pipeline plug new Area{ + //Define CSR registers + // Status => MXR, SUM, TVM, TW, TSE ? + val misa = new Area{ + val base = Reg(UInt(2 bits)) init(U"01") allowUnsetRegToAvoidLatch + val extensions = Reg(Bits(26 bits)) init(misaExtensionsInit) allowUnsetRegToAvoidLatch + } + + val mtvec = Reg(Xtvec()).allowUnsetRegToAvoidLatch + + if(mtvecInit != null) mtvec.mode init(mtvecInit & 0x3) + if(mtvecInit != null) mtvec.base init(mtvecInit / 4) + val mepc = Reg(UInt(xlen bits)) + val mstatus = new Area{ + val MIE, MPIE = RegInit(False) + val MPP = RegInit(U"11") + } + val mip = new Area{ + val MEIP = RegNext(externalInterrupt) + val MTIP = RegNext(timerInterrupt) + val MSIP = RegNext(softwareInterrupt) + } + val mie = new Area{ + val MEIE, MTIE, MSIE = RegInit(False) + } + val mscratch = if(mscratchGen) Reg(Bits(xlen bits)) else null + val mcause = new Area{ + val interrupt = Reg(Bool) + val exceptionCode = Reg(UInt(trapCodeWidth bits)) + } + val mtval = Reg(UInt(xlen bits)) + val mcycle = Reg(UInt(64 bits)) init(0) + val minstret = Reg(UInt(64 bits)) init(0) + + + val medeleg = supervisorGen generate new Area { + val IAM, IAF, II, LAM, LAF, SAM, SAF, EU, ES, IPF, LPF, SPF = RegInit(False) + val mapping = mutable.LinkedHashMap(0 -> IAM, 1 -> IAF, 2 -> II, 4 -> LAM, 5 -> LAF, 6 -> SAM, 7 -> SAF, 8 -> EU, 9 -> ES, 12 -> IPF, 13 -> LPF, 15 -> SPF) + } + val mideleg = supervisorGen generate new Area { + val ST, SE, SS = RegInit(False) + } + + if(mvendorid != null) READ_ONLY(CSR.MVENDORID, U(mvendorid)) + if(marchid != null) READ_ONLY(CSR.MARCHID , U(marchid )) + if(mimpid != null) READ_ONLY(CSR.MIMPID , U(mimpid )) + if(mhartid != null && !withExternalMhartid) READ_ONLY(CSR.MHARTID , U(mhartid )) + if(withExternalMhartid) READ_ONLY(CSR.MHARTID , externalMhartId) + misaAccess(CSR.MISA, xlen-2 -> misa.base , 0 -> misa.extensions) + + //Machine CSR + READ_WRITE(CSR.MSTATUS, 7 -> mstatus.MPIE, 3 -> mstatus.MIE) + READ_ONLY(CSR.MIP, 11 -> mip.MEIP, 7 -> mip.MTIP) + READ_WRITE(CSR.MIP, 3 -> mip.MSIP) + READ_WRITE(CSR.MIE, 11 -> mie.MEIE, 7 -> mie.MTIE, 3 -> mie.MSIE) + + r(CSR.MSTATUS, 11 -> mstatus.MPP) + onWrite(CSR.MSTATUS){ + switch(writeData()(12 downto 11)){ + is(3){ mstatus.MPP := 3 } + if(supervisorGen) is(1){ mstatus.MPP := 1 } + if(userGen) is(0){ mstatus.MPP := 0 } + } + } + + mtvecAccess(CSR.MTVEC, 2 -> mtvec.base, 0 -> mtvec.mode) + mepcAccess(CSR.MEPC, mepc) + if(mscratchGen) READ_WRITE(CSR.MSCRATCH, mscratch) + mcauseAccess(CSR.MCAUSE, xlen-1 -> mcause.interrupt, 0 -> mcause.exceptionCode) + mbadaddrAccess(CSR.MBADADDR, mtval) + mcycleAccess(CSR.MCYCLE, mcycle(31 downto 0)) + mcycleAccess(CSR.MCYCLEH, mcycle(63 downto 32)) + minstretAccess(CSR.MINSTRET, minstret(31 downto 0)) + minstretAccess(CSR.MINSTRETH, minstret(63 downto 32)) + + if(supervisorGen) { + for((id, enable) <- medeleg.mapping) medelegAccess(CSR.MEDELEG, id -> enable) + midelegAccess(CSR.MIDELEG, 9 -> mideleg.SE, 5 -> mideleg.ST, 1 -> mideleg.SS) + } + + //User CSR + ucycleAccess(CSR.UCYCLE, mcycle(31 downto 0)) + ucycleAccess(CSR.UCYCLEH, mcycle(63 downto 32)) + uinstretAccess(CSR.UINSTRET, minstret(31 downto 0)) + uinstretAccess(CSR.UINSTRETH, minstret(63 downto 32)) + + if(utimeAccess != CsrAccess.NONE) { + utimeAccess(CSR.UTIME, utime(31 downto 0)) + utimeAccess(CSR.UTIMEH, utime(63 downto 32)) + } + + pipeline(MPP) := mstatus.MPP + } + + val supervisorCsr = ifGen(supervisorGen) { + pipeline plug new Area { + val sstatus = new Area { + val SIE, SPIE = RegInit(False) + val SPP = RegInit(U"1") + } + + val sip = new Area { + val SEIP_SOFT = RegInit(False) + val SEIP_INPUT = RegNext(externalInterruptS) + val SEIP_OR = SEIP_SOFT || SEIP_INPUT + val STIP = RegInit(False) + val SSIP = RegInit(False) + } + val sie = new Area { + val SEIE, STIE, SSIE = RegInit(False) + } + val stvec = Reg(Xtvec()).allowUnsetRegToAvoidLatch + val sscratch = if (sscratchGen) Reg(Bits(xlen bits)) else null + + val scause = new Area { + val interrupt = Reg(Bool) + val exceptionCode = Reg(UInt(trapCodeWidth bits)) + } + val stval = Reg(UInt(xlen bits)) + val sepc = Reg(UInt(xlen bits)) + val satp = new Area { + val PPN = Reg(Bits(22 bits)) + val ASID = Reg(Bits(9 bits)) + val MODE = Reg(Bits(1 bits)) + } + + //Supervisor CSR + for(offset <- List(CSR.MSTATUS, CSR.SSTATUS)) READ_WRITE(offset,8 -> sstatus.SPP, 5 -> sstatus.SPIE, 1 -> sstatus.SIE) + for(offset <- List(CSR.MIP, CSR.SIP)) { + READ_WRITE(offset, 5 -> sip.STIP, 1 -> sip.SSIP) + READ_ONLY(offset, 9 -> sip.SEIP_OR) + WRITE_ONLY(offset, 9 -> sip.SEIP_SOFT) + r2w(offset, 9, sip.SEIP_SOFT) + } + + for(offset <- List(CSR.MIE, CSR.SIE)) READ_WRITE(offset, 9 -> sie.SEIE, 5 -> sie.STIE, 1 -> sie.SSIE) + + + stvecAccess(CSR.STVEC, 2 -> stvec.base, 0 -> stvec.mode) + sepcAccess(CSR.SEPC, sepc) + if(sscratchGen) READ_WRITE(CSR.SSCRATCH, sscratch) + scauseAccess(CSR.SCAUSE, xlen-1 -> scause.interrupt, 0 -> scause.exceptionCode) + sbadaddrAccess(CSR.SBADADDR, stval) + satpAccess(CSR.SATP, 31 -> satp.MODE, 22 -> satp.ASID, 0 -> satp.PPN) + + + val rescheduleLogic = supervisorGen generate new Area { + redoInterface.valid := False + redoInterface.payload := decode.input(PC) + + val rescheduleNext = False + when(execute.arbitration.isValid && execute.input(IS_SFENCE_VMA)) { rescheduleNext := True } + duringWrite(CSR.SATP) { rescheduleNext := True } + + when(rescheduleNext){ + redoInterface.valid := True + execute.arbitration.flushNext := True + decode.arbitration.haltByOther := True + } + } + } + } + + + + pipeline plug new Area{ + import machineCsr._ + import supervisorCsr._ + + val lastStage = pipeline.stages.last + val beforeLastStage = pipeline.stages(pipeline.stages.size-2) + val stagesFromExecute = pipeline.stages.dropWhile(_ != execute) + + //Manage counters + mcycle := mcycle + 1 + when(lastStage.arbitration.isFiring) { + minstret := minstret + 1 + } + + + if(supervisorGen) { + addInterrupt(sip.STIP && sie.STIE, id = 5, privilege = 1, delegators = List(Delegator(mideleg.ST, 3))) + addInterrupt(sip.SSIP && sie.SSIE, id = 1, privilege = 1, delegators = List(Delegator(mideleg.SS, 3))) + addInterrupt(sip.SEIP_OR && sie.SEIE, id = 9, privilege = 1, delegators = List(Delegator(mideleg.SE, 3))) + + for((id, enable) <- medeleg.mapping) exceptionSpecs += ExceptionSpec(id, List(Delegator(enable, 3))) + } + + addInterrupt(mip.MTIP && mie.MTIE, id = 7, privilege = 3, delegators = Nil) + addInterrupt(mip.MSIP && mie.MSIE, id = 3, privilege = 3, delegators = Nil) + addInterrupt(mip.MEIP && mie.MEIE, id = 11, privilege = 3, delegators = Nil) + + + val mepcCaptureStage = if(exceptionPortsInfos.nonEmpty) lastStage else decode + + + //Aggregate all exception port and remove required instructions + val exceptionPortCtrl = exceptionPortsInfos.nonEmpty generate new Area{ + val codeWidth = exceptionPortsInfos.map(_.codeWidth).max + val firstStageIndexWithExceptionPort = exceptionPortsInfos.map(i => indexOf(i.stage)).min + val exceptionValids = Vec(stages.map(s => Bool().setPartialName(s.getName()))) + val exceptionValidsRegs = Vec(stages.map(s => Reg(Bool).init(False).setPartialName(s.getName()))).allowUnsetRegToAvoidLatch + val exceptionContext = Reg(ExceptionCause(codeWidth)) + val exceptionTargetPrivilegeUncapped = U"11" + + switch(exceptionContext.code){ + for(s <- exceptionSpecs){ + is(s.id){ + var exceptionPrivilegs = if (supervisorGen) List(1, 3) else List(3) + while(exceptionPrivilegs.length != 1){ + val p = exceptionPrivilegs.head + if (exceptionPrivilegs.tail.forall(e => s.delegators.exists(_.privilege == e))) { + val delegUpOn = s.delegators.filter(_.privilege > p).map(_.enable).fold(True)(_ && _) + val delegDownOff = !s.delegators.filter(_.privilege <= p).map(_.enable).orR + when(delegUpOn && delegDownOff) { + exceptionTargetPrivilegeUncapped := p + } + } + exceptionPrivilegs = exceptionPrivilegs.tail + } + } + } + } + val exceptionTargetPrivilege = privilege.max(exceptionTargetPrivilegeUncapped) + + val groupedByStage = exceptionPortsInfos.map(_.stage).distinct.map(s => { + val stagePortsInfos = exceptionPortsInfos.filter(_.stage == s).sortWith(_.priority > _.priority) + val stagePort = stagePortsInfos.length match{ + case 1 => { + stagePortsInfos.head.port.translateWith(stagePortsInfos.head.port.payload.resizeCode(codeWidth)) + } + case _ => { + val groupedPort = Flow(ExceptionCause(codeWidth)) + val valids = stagePortsInfos.map(_.port.valid) + val codes = stagePortsInfos.map(_.port.payload.resizeCode(codeWidth)) + groupedPort.valid := valids.orR + groupedPort.payload := MuxOH(OHMasking.first(stagePortsInfos.map(_.port.valid).asBits), codes) + groupedPort + } + } + ExceptionPortInfo(stagePort,s,0, codeWidth) + }) + + val sortedByStage = groupedByStage.sortWith((a, b) => pipeline.indexOf(a.stage) < pipeline.indexOf(b.stage)) +// sortedByStage.zipWithIndex.foreach(e => e._1.port.setName(e._1.stage.getName() + "_exception_agregat")) + exceptionValids := exceptionValidsRegs + for(portInfo <- sortedByStage; port = portInfo.port ; stage = portInfo.stage; stageId = indexOf(portInfo.stage)) { + when(port.valid) { + stage.arbitration.flushNext := True + stage.arbitration.removeIt := True + exceptionValids(stageId) := True + exceptionContext := port.payload + } + } + + for(stageId <- firstStageIndexWithExceptionPort until stages.length; stage = stages(stageId) ){ + val previousStage = if(stageId == firstStageIndexWithExceptionPort) stage else stages(stageId-1) + when(!stage.arbitration.isStuck){ + exceptionValidsRegs(stageId) := (if(stageId != firstStageIndexWithExceptionPort) exceptionValids(stageId-1) && !previousStage.arbitration.isStuck else False) + }otherwise{ + if(stage != stages.last) + exceptionValidsRegs(stageId) := exceptionValids(stageId) + else + exceptionValidsRegs(stageId) := False + } + when(stage.arbitration.isFlushed){ + exceptionValids(stageId) := False + } + } + + when(exceptionValids.orR){ + fetcher.haltIt() + } + + //Avoid the PC register of the last stage to change durring an exception handleing (Used to fill Xepc) + stages.last.dontSample.getOrElseUpdate(PC, ArrayBuffer[Bool]()) += exceptionValids.last + exceptionPendings := exceptionValidsRegs + } + + + + + + //Process interrupt request, code and privilege + val interrupt = new Area { + val valid = if(pipelinedInterrupt) RegNext(False) init(False) else False + val code = if(pipelinedInterrupt) Reg(UInt(trapCodeWidth bits)) else UInt(trapCodeWidth bits).assignDontCare() + var privilegs = if (supervisorGen) List(1, 3) else List(3) + val targetPrivilege = if(pipelinedInterrupt) Reg(UInt(2 bits)) else UInt(2 bits).assignDontCare() + val privilegeAllowInterrupts = mutable.LinkedHashMap[Int, Bool]() + if (supervisorGen) privilegeAllowInterrupts += 1 -> ((sstatus.SIE && privilege === U"01") || privilege < U"01") + privilegeAllowInterrupts += 3 -> (mstatus.MIE || privilege < U"11") + while (privilegs.nonEmpty) { + val p = privilegs.head + when(privilegeAllowInterrupts(p)) { + for (i <- interruptSpecs + if i.privilege <= p //EX : Machine timer interrupt can't go into supervisor mode + if privilegs.tail.forall(e => i.delegators.exists(_.privilege == e))) { // EX : Supervisor timer need to have machine mode delegator + val delegUpOn = i.delegators.filter(_.privilege > p).map(_.enable).fold(True)(_ && _) + val delegDownOff = !i.delegators.filter(_.privilege <= p).map(_.enable).orR + when(i.cond && delegUpOn && delegDownOff) { + valid := True + code := i.id + targetPrivilege := p + } + } + } + privilegs = privilegs.tail + } + + code.addTag(Verilator.public) + } + + + + + val exception = if(exceptionPortCtrl != null) exceptionPortCtrl.exceptionValids.last && allowException else False + val lastStageWasWfi = if(wfiGenAsWait) RegNext(lastStage.arbitration.isFiring && lastStage.input(ENV_CTRL) === EnvCtrlEnum.WFI) init(False) else False + + + + //Used to make the pipeline empty softly (for interrupts) + val pipelineLiberator = new Area{ + val pcValids = Vec(RegInit(False), stagesFromExecute.length) + val active = interrupt.valid && allowInterrupts && decode.arbitration.isValid + when(active){ + decode.arbitration.haltByOther := True + for((stage, reg, previous) <- (stagesFromExecute, pcValids, True :: pcValids.toList).zipped){ + when(!stage.arbitration.isStuck){ + reg := previous + } + } + } + when(!active || decode.arbitration.isRemoved) { + pcValids.foreach(_ := False) + } + +// val pcValids = for(stage <- stagesFromExecute) yield RegInit(False) clearWhen(!started) setWhen(!stage.arbitration.isValid) + val done = CombInit(pcValids.last) + if(exceptionPortCtrl != null) done.clearWhen(exceptionPortCtrl.exceptionValidsRegs.tail.orR) + } + + //Interrupt/Exception entry logic + val interruptJump = Bool.addTag(Verilator.public) + interruptJump := interrupt.valid && pipelineLiberator.done && allowInterrupts + if(pipelinedInterrupt) interrupt.valid clearWhen(interruptJump) //avoid double fireing + + val hadException = RegNext(exception) init(False) addTag(Verilator.public) + pipelineLiberator.done.clearWhen(hadException) + + + val targetPrivilege = CombInit(interrupt.targetPrivilege) + if(exceptionPortCtrl != null) when(hadException) { + targetPrivilege := exceptionPortCtrl.exceptionTargetPrivilege + } + + val trapCause = CombInit(interrupt.code.resize(trapCodeWidth)) + if(exceptionPortCtrl != null) when( hadException){ + trapCause := exceptionPortCtrl.exceptionContext.code.resized + } + + val xtvec = Xtvec().assignDontCare() + switch(targetPrivilege){ + if(supervisorGen) is(1) { xtvec := supervisorCsr.stvec } + is(3){ xtvec := machineCsr.mtvec } + } + + when(hadException || interruptJump){ + fetcher.haltIt() //Avoid having the fetch confused by the incomming privilege switch + + jumpInterface.valid := True + jumpInterface.payload := (if(!xtvecModeGen) xtvec.base @@ U"00" else (xtvec.mode === 0 || hadException) ? (xtvec.base @@ U"00") | ((xtvec.base + trapCause) @@ U"00") ) + lastStage.arbitration.flushNext := True + + if(privilegeGen) privilegeReg := targetPrivilege + + switch(targetPrivilege){ + if(supervisorGen) is(1) { + sstatus.SIE := False + sstatus.SPIE := sstatus.SIE + sstatus.SPP := privilege(0 downto 0) + scause.interrupt := !hadException + scause.exceptionCode := trapCause + sepc := mepcCaptureStage.input(PC) + if (exceptionPortCtrl != null) when(hadException){ + stval := exceptionPortCtrl.exceptionContext.badAddr + } + } + + is(3){ + mstatus.MIE := False + mstatus.MPIE := mstatus.MIE + mstatus.MPP := privilege + mcause.interrupt := !hadException + mcause.exceptionCode := trapCause + mepc := mepcCaptureStage.input(PC) + if(exceptionPortCtrl != null) when(hadException){ + mtval := exceptionPortCtrl.exceptionContext.badAddr + } + } + } + } + + if(exceptionPortCtrl == null){ + if(mbadaddrAccess == CsrAccess.READ_ONLY) mtval := 0 + if(sbadaddrAccess == CsrAccess.READ_ONLY) stval := 0 + } + + lastStage plug new Area{ + import lastStage._ + + //Manage MRET / SRET instructions + when(arbitration.isValid && input(ENV_CTRL) === EnvCtrlEnum.XRET) { + fetcher.haltIt() + jumpInterface.valid := True + lastStage.arbitration.flushNext := True + switch(input(INSTRUCTION)(29 downto 28)){ + is(3){ + mstatus.MPP := U"00" + mstatus.MIE := mstatus.MPIE + mstatus.MPIE := True + jumpInterface.payload := mepc + if(privilegeGen) privilegeReg := mstatus.MPP + } + if(supervisorGen) is(1){ + sstatus.SPP := U"0" + sstatus.SIE := sstatus.SPIE + sstatus.SPIE := True + jumpInterface.payload := sepc + if(privilegeGen) privilegeReg := U"0" @@ sstatus.SPP + } + } + } + } + + + contextSwitching := jumpInterface.valid + + //CSR read/write instructions management + decode plug new Area{ + import decode._ + + val imm = IMM(input(INSTRUCTION)) + insert(CSR_WRITE_OPCODE) := ! ( + (input(INSTRUCTION)(14 downto 13) === B"01" && input(INSTRUCTION)(rs1Range) === 0) + || (input(INSTRUCTION)(14 downto 13) === B"11" && imm.z === 0) + ) + insert(CSR_READ_OPCODE) := input(INSTRUCTION)(13 downto 7) =/= B"0100000" + } + + + execute plug new Area{ + import execute._ + //Manage WFI instructions + if(wfiOutput) out(inWfi) + val wfiWake = RegNext(interruptSpecs.map(_.cond).orR || thirdPartyWake) init(False) + if(wfiGenAsWait) when(arbitration.isValid && input(ENV_CTRL) === EnvCtrlEnum.WFI){ + inWfi := True + when(!wfiWake){ + arbitration.haltItself := True + } + } + } + + decode.arbitration.haltByOther setWhen(stagesFromExecute.map(s => s.arbitration.isValid && s.input(ENV_CTRL) === EnvCtrlEnum.XRET).asBits.orR) + + execute plug new Area { + import execute._ + def previousStage = decode + val blockedBySideEffects = stagesFromExecute.tail.map(s => s.arbitration.isValid).asBits().orR || pipeline.service(classOf[HazardService]).hazardOnExecuteRS// && s.input(HAS_SIDE_EFFECT) to improve be less pessimistic + + val illegalAccess = True + val illegalInstruction = False + if(selfException != null) { + selfException.valid := False + selfException.code.assignDontCare() + selfException.badAddr := input(INSTRUCTION).asUInt + if(catchIllegalAccess) when(illegalAccess || illegalInstruction){ + selfException.valid := True + selfException.code := 2 + } + } + + //Manage MRET / SRET instructions + when(arbitration.isValid && input(ENV_CTRL) === EnvCtrlEnum.XRET) { + when(input(INSTRUCTION)(29 downto 28).asUInt > privilege) { + illegalInstruction := True + } + } + + + //Manage ECALL instructions + if(ecallGen) when(arbitration.isValid && input(ENV_CTRL) === EnvCtrlEnum.ECALL){ + selfException.valid := True + switch(privilege) { + is(0) { selfException.code := 8 } + if(supervisorGen) is(1) { selfException.code := 9 } + default { selfException.code := 11 } + } + } + + + if(ebreakGen) when(arbitration.isValid && input(ENV_CTRL) === EnvCtrlEnum.EBREAK && allowEbreakException){ + selfException.valid := True + selfException.code := 3 + } + + + val imm = IMM(input(INSTRUCTION)) + def writeSrc = input(SRC1) + def readData = csrMapping.readDataSignal + def writeData = csrMapping.writeDataSignal + val writeInstruction = arbitration.isValid && input(IS_CSR) && input(CSR_WRITE_OPCODE) + val readInstruction = arbitration.isValid && input(IS_CSR) && input(CSR_READ_OPCODE) + val writeEnable = writeInstruction && !arbitration.isStuck + val readEnable = readInstruction && !arbitration.isStuck + csrMapping.hazardFree := !blockedBySideEffects + + val readToWriteData = CombInit(readData) + writeData := (if(noCsrAlu) writeSrc else input(INSTRUCTION)(13).mux( + False -> writeSrc, + True -> Mux(input(INSTRUCTION)(12), readToWriteData & ~writeSrc, readToWriteData | writeSrc) + )) + + when(arbitration.isValid && input(IS_CSR)) { + if(!pipelineCsrRead) output(REGFILE_WRITE_DATA) := readData + } + + when(arbitration.isValid && (input(IS_CSR) || (if(supervisorGen) input(IS_SFENCE_VMA) else False))) { + arbitration.haltItself setWhen(blockedBySideEffects) + } + + if(pipelineCsrRead){ + insert(PIPELINED_CSR_READ) := readData + when(memory.arbitration.isValid && memory.input(IS_CSR)) { + memory.output(REGFILE_WRITE_DATA) := memory.input(PIPELINED_CSR_READ) + } + } +// +// Component.current.rework{ +// when(arbitration.isFiring && input(IS_CSR)) { +// memory.input(REGFILE_WRITE_DATA).getDrivingReg := readData +// } +// } + + //Translation of the csrMapping into real logic + val csrAddress = input(INSTRUCTION)(csrRange) + Component.current.afterElaboration{ + def doJobs(jobs : ArrayBuffer[Any]): Unit ={ + val withWrite = jobs.exists(j => j.isInstanceOf[CsrWrite] || j.isInstanceOf[CsrOnWrite] || j.isInstanceOf[CsrDuringWrite]) + val withRead = jobs.exists(j => j.isInstanceOf[CsrRead] || j.isInstanceOf[CsrOnRead]) + if(withRead && withWrite) { + illegalAccess := False + } else { + if (withWrite) illegalAccess.clearWhen(input(CSR_WRITE_OPCODE)) + if (withRead) illegalAccess.clearWhen(input(CSR_READ_OPCODE)) + } + + + for (element <- jobs) element match { + case element : CsrDuringWrite => when(writeInstruction){element.doThat()} + case element : CsrDuringRead => when(readInstruction){element.doThat()} + case element : CsrDuring => {element.doThat()} + case _ => + } + when(writeEnable) { + for (element <- jobs) element match { + case element: CsrWrite => element.that.assignFromBits(writeData(element.bitOffset, element.that.getBitsWidth bits)) + case element: CsrOnWrite => element.doThat() + case _ => + } + } + + when(readEnable) { + for (element <- jobs) element match { + case element: CsrOnRead => + element.doThat() + case _ => + } + } + } + + def doJobsOverride(jobs : ArrayBuffer[Any]): Unit ={ + for (element <- jobs) element match { + case element: CsrReadToWriteOverride if element.that.getBitsWidth != 0 => readToWriteData(element.bitOffset, element.that.getBitsWidth bits) := element.that.asBits + case _ => + } + } + + csrOhDecoder match { + case false => { + csrMapping.readDataInit := 0 + switch(csrAddress) { + for ((address, jobs) <- csrMapping.mapping) { + is(address) { + doJobs(jobs) + for (element <- jobs) element match { + case element: CsrRead if element.that.getBitsWidth != 0 => csrMapping.readDataInit (element.bitOffset, element.that.getBitsWidth bits) := element.that.asBits + case _ => + } + } + } + } + switch(csrAddress) { + for ((address, jobs) <- csrMapping.mapping if jobs.exists(_.isInstanceOf[CsrReadToWriteOverride])) { + is(address) { + doJobsOverride(jobs) + } + } + } + } + case true => { + val oh = csrMapping.mapping.keys.toList.distinct.map(address => address -> RegNextWhen(decode.input(INSTRUCTION)(csrRange) === address, !execute.arbitration.isStuck).setCompositeName(this, "csr_" + address)).toMap + val readDatas = ArrayBuffer[Bits]() + for ((address, jobs) <- csrMapping.mapping) { + when(oh(address)){ + doJobs(jobs) + } + if(jobs.exists(_.isInstanceOf[CsrRead])) { + val masked = B(0, 32 bits) + when(oh(address)) (for (element <- jobs) element match { + case element: CsrRead if element.that.getBitsWidth != 0 => masked(element.bitOffset, element.that.getBitsWidth bits) := element.that.asBits + case _ => + }) + readDatas += masked + } + } + csrMapping.readDataInit := readDatas.reduceBalancedTree(_ | _) + for ((address, jobs) <- csrMapping.mapping) { + when(oh(address)){ + doJobsOverride(jobs) + } + } + } + } + + csrMapping.always.foreach { + case element : CsrDuringWrite => when(writeInstruction){element.doThat()} + case element : CsrDuringRead => when(readInstruction){element.doThat()} + case element : CsrOnWrite => when(writeEnable){element.doThat()} + case element : CsrOnRead => when(readEnable){element.doThat()} + } + + illegalAccess clearWhen(csrMapping.allowCsrSignal) + + when(privilege < csrAddress(9 downto 8).asUInt){ + illegalAccess := True + readInstruction := False + writeInstruction := False + } + illegalAccess clearWhen(!arbitration.isValid || !input(IS_CSR)) + } + } + } + } +} + + +class UserInterruptPlugin(interruptName : String, code : Int, privilege : Int = 3) extends Plugin[VexRiscv]{ + var interrupt, interruptEnable : Bool = null + override def setup(pipeline: VexRiscv): Unit = { + val csr = pipeline.service(classOf[CsrPlugin]) + interrupt = in.Bool().setName(interruptName) + val interruptPending = RegNext(interrupt) init(False) + val interruptEnable = RegInit(False).setName(interruptName + "_enable") + csr.addInterrupt(interruptPending && interruptEnable, code, privilege, Nil) + csr.r(csrAddress = CSR.MIP, bitOffset = code,interruptPending) + csr.rw(csrAddress = CSR.MIE, bitOffset = code, interruptEnable) + } + override def build(pipeline: VexRiscv): Unit = {} +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/DBusCachedPlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/DBusCachedPlugin.scala new file mode 100644 index 0000000..80d4409 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/DBusCachedPlugin.scala @@ -0,0 +1,554 @@ +package vexriscv.plugin + +import vexriscv.ip._ +import vexriscv._ +import spinal.core._ +import spinal.lib._ +import spinal.lib.bus.amba4.axi.Axi4 + +import scala.collection.mutable.ArrayBuffer + + +class DAxiCachedPlugin(config : DataCacheConfig, memoryTranslatorPortConfig : Any = null) extends DBusCachedPlugin(config, memoryTranslatorPortConfig) { + var dAxi : Axi4 = null + + override def build(pipeline: VexRiscv): Unit = { + super.build(pipeline) + dBus.setAsDirectionLess() + dAxi = master(dBus.toAxi4Shared().toAxi4()).setName("dAxi") + dBus = null //For safety, as nobody should use it anymore :) + } +} + +trait DBusEncodingService { + def addLoadWordEncoding(key: MaskedLiteral): Unit + def addStoreWordEncoding(key: MaskedLiteral): Unit + def bypassStore(data : Bits) : Unit + def loadData() : Bits +} + +class DBusCachedPlugin(val config : DataCacheConfig, + memoryTranslatorPortConfig : Any = null, + dBusCmdMasterPipe : Boolean = false, + dBusCmdSlavePipe : Boolean = false, + dBusRspSlavePipe : Boolean = false, + relaxedMemoryTranslationRegister : Boolean = false, + csrInfo : Boolean = false) extends Plugin[VexRiscv] with DBusAccessService with DBusEncodingService with VexRiscvRegressionArg { + import config._ + assert(!(config.withExternalAmo && !dBusRspSlavePipe)) + assert(isPow2(cacheSize)) + assert(!(memoryTranslatorPortConfig != null && config.cacheSize/config.wayCount > 4096), "When the D$ is used with MMU, each way can't be bigger than a page (4096 bytes)") + + var dBus : DataCacheMemBus = null + var mmuBus : MemoryTranslatorBus = null + var exceptionBus : Flow[ExceptionCause] = null + var privilegeService : PrivilegeService = null + var redoBranch : Flow[UInt] = null + + @dontName var dBusAccess : DBusAccess = null + override def newDBusAccess(): DBusAccess = { + assert(dBusAccess == null) + dBusAccess = DBusAccess() + dBusAccess + } + + override def getVexRiscvRegressionArgs(): Seq[String] = { + var args = List[String]() + args :+= "DBUS=CACHED" + args :+= s"DBUS_LOAD_DATA_WIDTH=$memDataWidth" + args :+= s"DBUS_STORE_DATA_WIDTH=$cpuDataWidth" + if(withLrSc) args :+= "LRSC=yes" + if(withAmo) args :+= "AMO=yes" + if(config.withExclusive && config.withInvalidate) args ++= List("DBUS_EXCLUSIVE=yes", "DBUS_INVALIDATE=yes") + args + } + + + override def addLoadWordEncoding(key : MaskedLiteral): Unit = { + val decoderService = pipeline.service(classOf[DecoderService]) + val cfg = pipeline.config + import cfg._ + + decoderService.add( + key, + List( + SRC1_CTRL -> Src1CtrlEnum.RS, + SRC_USE_SUB_LESS -> False, + MEMORY_ENABLE -> True, + RS1_USE -> True, + IntAluPlugin.ALU_CTRL -> IntAluPlugin.AluCtrlEnum.ADD_SUB, + SRC2_CTRL -> Src2CtrlEnum.IMI, + // REGFILE_WRITE_VALID -> True, + // BYPASSABLE_EXECUTE_STAGE -> False, + // BYPASSABLE_MEMORY_STAGE -> False, + MEMORY_WR -> False, + HAS_SIDE_EFFECT -> True + ) + ) + + if(withLrSc) decoderService.add(key, Seq(MEMORY_LRSC -> False)) + if(withAmo) decoderService.add(key, Seq(MEMORY_AMO -> False)) + } + override def addStoreWordEncoding(key : MaskedLiteral): Unit = { + val decoderService = pipeline.service(classOf[DecoderService]) + val cfg = pipeline.config + import cfg._ + + decoderService.add( + key, + List( + SRC1_CTRL -> Src1CtrlEnum.RS, + SRC_USE_SUB_LESS -> False, + MEMORY_ENABLE -> True, + RS1_USE -> True, + IntAluPlugin.ALU_CTRL -> IntAluPlugin.AluCtrlEnum.ADD_SUB, + SRC2_CTRL -> Src2CtrlEnum.IMS, +// RS2_USE -> True, + MEMORY_WR -> True, + HAS_SIDE_EFFECT -> True + ) + ) + + if(withLrSc) decoderService.add(key, Seq(MEMORY_LRSC -> False)) + if(withAmo) decoderService.add(key, Seq(MEMORY_AMO -> False)) + } + + val bypassStoreList = ArrayBuffer[(Bool, Bits)]() + + override def bypassStore(data: Bits): Unit = { + val prefix = s"DBusBypass${bypassStoreList.size}" + bypassStoreList += ConditionalContext.isTrue().setName(prefix + "_cond") -> CombInit(data).setName(prefix + "_value") + assert(config.cpuDataWidth >= data.getWidth, "Data cache word width is too small for that") + } + + + override def loadData(): Bits = pipeline.stages.last.output(MEMORY_LOAD_DATA) + + object MEMORY_ENABLE extends Stageable(Bool) + object MEMORY_MANAGMENT extends Stageable(Bool) + object MEMORY_WR extends Stageable(Bool) + object MEMORY_LRSC extends Stageable(Bool) + object MEMORY_AMO extends Stageable(Bool) + object MEMORY_FENCE extends Stageable(Bool) + object MEMORY_FORCE_CONSTISTENCY extends Stageable(Bool) + object IS_DBUS_SHARING extends Stageable(Bool()) + object MEMORY_VIRTUAL_ADDRESS extends Stageable(UInt(32 bits)) + object MEMORY_STORE_DATA_RF extends Stageable(Bits(32 bits)) +// object MEMORY_STORE_DATA_CPU extends Stageable(Bits(config.cpuDataWidth bits)) + object MEMORY_LOAD_DATA extends Stageable(Bits(config.cpuDataWidth bits)) + + override def setup(pipeline: VexRiscv): Unit = { + import Riscv._ + import pipeline.config._ + + dBus = master(DataCacheMemBus(this.config)).setName("dBus") + + val decoderService = pipeline.service(classOf[DecoderService]) + + val stdActions = List[(Stageable[_ <: BaseType],Any)]( + SRC1_CTRL -> Src1CtrlEnum.RS, + SRC_USE_SUB_LESS -> False, + MEMORY_ENABLE -> True, + RS1_USE -> True, + IntAluPlugin.ALU_CTRL -> IntAluPlugin.AluCtrlEnum.ADD_SUB + ) + + val loadActions = stdActions ++ List( + SRC2_CTRL -> Src2CtrlEnum.IMI, + REGFILE_WRITE_VALID -> True, + BYPASSABLE_EXECUTE_STAGE -> False, + BYPASSABLE_MEMORY_STAGE -> False, + MEMORY_WR -> False, + HAS_SIDE_EFFECT -> True + ) + + val storeActions = stdActions ++ List( + SRC2_CTRL -> Src2CtrlEnum.IMS, + RS2_USE -> True, + MEMORY_WR -> True, + HAS_SIDE_EFFECT -> True + ) + + decoderService.addDefault(MEMORY_ENABLE, False) + decoderService.add( + List(LB, LH, LW, LBU, LHU, LWU).map(_ -> loadActions) ++ + List(SB, SH, SW).map(_ -> storeActions) + ) + + if(withLrSc){ + List(LB, LH, LW, LBU, LHU, LWU, SB, SH, SW).foreach(e => + decoderService.add(e, Seq(MEMORY_LRSC -> False)) + ) + decoderService.add( + key = LR, + values = loadActions.filter(_._1 != SRC2_CTRL) ++ Seq( + SRC_ADD_ZERO -> True, + MEMORY_LRSC -> True + ) + ) + decoderService.add( + key = SC, + values = storeActions.filter(_._1 != SRC2_CTRL) ++ Seq( + SRC_ADD_ZERO -> True, + REGFILE_WRITE_VALID -> True, + BYPASSABLE_EXECUTE_STAGE -> False, + BYPASSABLE_MEMORY_STAGE -> False, + MEMORY_LRSC -> True + ) + ) + } + + if(withAmo){ + List(LB, LH, LW, LBU, LHU, LWU, SB, SH, SW).foreach(e => + decoderService.add(e, Seq(MEMORY_AMO -> False)) + ) + val amoActions = storeActions.filter(_._1 != SRC2_CTRL) ++ Seq( + SRC_ADD_ZERO -> True, + REGFILE_WRITE_VALID -> True, + BYPASSABLE_EXECUTE_STAGE -> False, + BYPASSABLE_MEMORY_STAGE -> False, + MEMORY_AMO -> True + ) + + for(i <- List(AMOSWAP, AMOADD, AMOXOR, AMOAND, AMOOR, AMOMIN, AMOMAX, AMOMINU, AMOMAXU)){ + decoderService.add(i, amoActions) + } + } + + if(withAmo && withLrSc){ + for(i <- List(AMOSWAP, AMOADD, AMOXOR, AMOAND, AMOOR, AMOMIN, AMOMAX, AMOMINU, AMOMAXU)){ + decoderService.add(i, List(MEMORY_LRSC -> False)) + } + for(i <- List(LR, SC)){ + decoderService.add(i, List(MEMORY_AMO -> False)) + } + } + + def MANAGEMENT = M"-------00000-----101-----0001111" + + decoderService.addDefault(MEMORY_MANAGMENT, False) + decoderService.add(MANAGEMENT, List( + MEMORY_MANAGMENT -> True, + RS1_USE -> True + )) + + withWriteResponse match { + case false => decoderService.add(FENCE, Nil) + case true => { + decoderService.addDefault(MEMORY_FENCE, False) + decoderService.add(FENCE, List(MEMORY_FENCE -> True)) + } + } + + mmuBus = pipeline.service(classOf[MemoryTranslator]).newTranslationPort(MemoryTranslatorPort.PRIORITY_DATA ,memoryTranslatorPortConfig) + redoBranch = pipeline.service(classOf[JumpService]).createJumpInterface(if(pipeline.writeBack != null) pipeline.writeBack else pipeline.memory) + + if(catchSomething) + exceptionBus = pipeline.service(classOf[ExceptionService]).newExceptionPort(if(pipeline.writeBack == null) pipeline.memory else pipeline.writeBack) + + if(pipeline.serviceExist(classOf[PrivilegeService])) + privilegeService = pipeline.service(classOf[PrivilegeService]) + + pipeline.update(DEBUG_BYPASS_CACHE, False) + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + + val twoStageMmu = mmuBus.p.latency match { + case 0 => false + case 1 => true + } + + val cache = new DataCache( + this.config.copy( + mergeExecuteMemory = writeBack == null, + rfDataWidth = 32 + ), + mmuParameter = mmuBus.p + ) + + //Interconnect the plugin dBus with the cache dBus with some optional pipelining + def optionPipe[T](cond : Boolean, on : T)(f : T => T) : T = if(cond) f(on) else on + def cmdBuf = optionPipe(dBusCmdSlavePipe, cache.io.mem.cmd)(_.s2mPipe()) + dBus.cmd << optionPipe(dBusCmdMasterPipe, cmdBuf)(_.m2sPipe()) + cache.io.mem.rsp << (dBusRspSlavePipe match { + case false => dBus.rsp + case true if !withExternalAmo => dBus.rsp.m2sPipe() + case true if withExternalAmo => { + val rsp = Flow (DataCacheMemRsp(cache.p)) + rsp.valid := RegNext(dBus.rsp.valid) init(False) + rsp.exclusive := RegNext(dBus.rsp.exclusive) + rsp.error := RegNext(dBus.rsp.error) + rsp.last := RegNext(dBus.rsp.last) + rsp.aggregated := RegNext(dBus.rsp.aggregated) + rsp.data := RegNextWhen(dBus.rsp.data, dBus.rsp.valid && !cache.io.cpu.writeBack.keepMemRspData) + rsp + } + }) + + if(withInvalidate) { + cache.io.mem.inv << dBus.inv + cache.io.mem.ack >> dBus.ack + cache.io.mem.sync << dBus.sync + } + + pipeline plug new Area{ + //Memory bandwidth counter + val rspCounter = Reg(UInt(32 bits)) init(0) + when(dBus.rsp.valid){ + rspCounter := rspCounter + 1 + } + } + + decode plug new Area { + import decode._ + + when(mmuBus.busy && arbitration.isValid && input(MEMORY_ENABLE)) { + arbitration.haltItself := True + } + + + //Manage write to read hit ordering (ensure invalidation timings) + val fence = new Area { + insert(MEMORY_FORCE_CONSTISTENCY) := False + when(input(INSTRUCTION)(25)) { //RL + if (withLrSc) insert(MEMORY_FORCE_CONSTISTENCY) setWhen (input(MEMORY_LRSC)) + if (withAmo) insert(MEMORY_FORCE_CONSTISTENCY) setWhen (input(MEMORY_AMO)) + } + } + } + + execute plug new Area { + import execute._ + + val size = input(INSTRUCTION)(13 downto 12).asUInt + cache.io.cpu.execute.isValid := arbitration.isValid && input(MEMORY_ENABLE) + cache.io.cpu.execute.address := input(SRC_ADD).asUInt + cache.io.cpu.execute.args.wr := input(MEMORY_WR) + insert(MEMORY_STORE_DATA_RF) := size.mux( + U(0) -> input(RS2)( 7 downto 0) ## input(RS2)( 7 downto 0) ## input(RS2)(7 downto 0) ## input(RS2)(7 downto 0), + U(1) -> input(RS2)(15 downto 0) ## input(RS2)(15 downto 0), + default -> input(RS2)(31 downto 0) + ) + cache.io.cpu.execute.args.size := size.resized + + if(twoStageMmu) { + mmuBus.cmd(0).isValid := cache.io.cpu.execute.isValid + mmuBus.cmd(0).isStuck := arbitration.isStuck + mmuBus.cmd(0).virtualAddress := input(SRC_ADD).asUInt + mmuBus.cmd(0).bypassTranslation := False +// KeepAttribute(mmuBus.cmd(0)) +// KeepAttribute(mmuBus.cmd(1)) + } + + cache.io.cpu.flush.valid := arbitration.isValid && input(MEMORY_MANAGMENT) + cache.io.cpu.flush.singleLine := input(INSTRUCTION)(Riscv.rs1Range) =/= 0 + cache.io.cpu.flush.lineId := U(input(RS1) >> log2Up(bytePerLine)).resized + cache.io.cpu.execute.args.totalyConsistent := input(MEMORY_FORCE_CONSTISTENCY) + arbitration.haltItself setWhen(cache.io.cpu.flush.isStall || cache.io.cpu.execute.haltIt) + + if(withLrSc) { + cache.io.cpu.execute.args.isLrsc := False + when(input(MEMORY_LRSC)){ + cache.io.cpu.execute.args.isLrsc := True + } + } + + if(withAmo){ + cache.io.cpu.execute.isAmo := input(MEMORY_AMO) + cache.io.cpu.execute.amoCtrl.alu := input(INSTRUCTION)(31 downto 29) + cache.io.cpu.execute.amoCtrl.swap := input(INSTRUCTION)(27) + } + + + when(cache.io.cpu.execute.refilling && arbitration.isValid){ + arbitration.haltByOther := True + } + + if(relaxedMemoryTranslationRegister) { + insert(MEMORY_VIRTUAL_ADDRESS) := cache.io.cpu.execute.address + memory.input(MEMORY_VIRTUAL_ADDRESS) + if(writeBack != null) addPrePopTask( () => + KeepAttribute(memory.input(MEMORY_VIRTUAL_ADDRESS).getDrivingReg) + ) + } + } + + val mmuAndBufferStage = if(writeBack != null) memory else execute + mmuAndBufferStage plug new Area { + import mmuAndBufferStage._ + + cache.io.cpu.memory.isValid := arbitration.isValid && input(MEMORY_ENABLE) + cache.io.cpu.memory.isStuck := arbitration.isStuck + cache.io.cpu.memory.address := (if(relaxedMemoryTranslationRegister) input(MEMORY_VIRTUAL_ADDRESS) else if(mmuAndBufferStage == execute) cache.io.cpu.execute.address else U(input(REGFILE_WRITE_DATA))) + + mmuBus.cmd.last.isValid := cache.io.cpu.memory.isValid + mmuBus.cmd.last.isStuck := cache.io.cpu.memory.isStuck + mmuBus.cmd.last.virtualAddress := cache.io.cpu.memory.address + mmuBus.cmd.last.bypassTranslation := False + mmuBus.end := !arbitration.isStuck || arbitration.removeIt + cache.io.cpu.memory.mmuRsp := mmuBus.rsp + cache.io.cpu.memory.mmuRsp.isIoAccess setWhen(pipeline(DEBUG_BYPASS_CACHE) && !cache.io.cpu.memory.isWrite) + } + + val managementStage = stages.last + val mgs = managementStage plug new Area{ + import managementStage._ + cache.io.cpu.writeBack.isValid := arbitration.isValid && input(MEMORY_ENABLE) + cache.io.cpu.writeBack.isStuck := arbitration.isStuck + cache.io.cpu.writeBack.isFiring := arbitration.isFiring + cache.io.cpu.writeBack.isUser := (if(privilegeService != null) privilegeService.isUser() else False) + cache.io.cpu.writeBack.address := U(input(REGFILE_WRITE_DATA)) + cache.io.cpu.writeBack.storeData.subdivideIn(32 bits).foreach(_ := input(MEMORY_STORE_DATA_RF)) + afterElaboration(for((cond, value) <- bypassStoreList) when(cond){ + cache.io.cpu.writeBack.storeData.subdivideIn(widthOf(value) bits).foreach(_ := value) //Not optimal, but ok + }) + + val fence = if(withInvalidate) new Area { + cache.io.cpu.writeBack.fence := input(INSTRUCTION)(31 downto 20).as(FenceFlags()) + val aquire = False + if(withWriteResponse) when(input(MEMORY_ENABLE) && input(INSTRUCTION)(26)) { //AQ + if(withLrSc) when(input(MEMORY_LRSC)){ + aquire := True + } + if(withAmo) when(input(MEMORY_AMO)){ + aquire := True + } + } + + when(aquire){ + cache.io.cpu.writeBack.fence.forceAll() + } + + when(!input(MEMORY_FENCE) || !arbitration.isFiring){ + cache.io.cpu.writeBack.fence.clearAll() + } + + when(arbitration.isValid && (input(MEMORY_FENCE) || aquire)){ + mmuAndBufferStage.arbitration.haltByOther := True //Ensure that the fence affect the memory stage instruction by stoping it + } + } + + redoBranch.valid := False + redoBranch.payload := input(PC) + arbitration.flushIt setWhen(redoBranch.valid) + arbitration.flushNext setWhen(redoBranch.valid) + + if(catchSomething) { + exceptionBus.valid := False //cache.io.cpu.writeBack.mmuMiss || cache.io.cpu.writeBack.accessError || cache.io.cpu.writeBack.illegalAccess || cache.io.cpu.writeBack.unalignedAccess + exceptionBus.badAddr := U(input(REGFILE_WRITE_DATA)) + exceptionBus.code.assignDontCare() + } + + + when(arbitration.isValid && input(MEMORY_ENABLE)) { + if (catchAccessError) when(cache.io.cpu.writeBack.accessError) { + exceptionBus.valid := True + exceptionBus.code := (input(MEMORY_WR) ? U(7) | U(5)).resized + } + if(catchIllegal) when (cache.io.cpu.writeBack.mmuException) { + exceptionBus.valid := True + exceptionBus.code := (input(MEMORY_WR) ? U(15) | U(13)).resized + } + if (catchUnaligned) when(cache.io.cpu.writeBack.unalignedAccess) { + exceptionBus.valid := True + exceptionBus.code := (input(MEMORY_WR) ? U(6) | U(4)).resized + } + + when(cache.io.cpu.redo) { + redoBranch.valid := True + if(catchSomething) exceptionBus.valid := False + } + } + + arbitration.haltItself.setWhen(cache.io.cpu.writeBack.isValid && cache.io.cpu.writeBack.haltIt) + + val rspSplits = cache.io.cpu.writeBack.data.subdivideIn(8 bits) + val rspShifted = Bits(cpuDataWidth bits) + //Generate minimal mux to move from a wide aligned memory read to the register file shifter representation + for(i <- 0 until cpuDataWidth/8){ + val srcSize = 1 << (log2Up(cpuDataBytes) - log2Up(i+1)) + val srcZipped = rspSplits.zipWithIndex.filter{case (v, b) => b % (cpuDataBytes/srcSize) == i} + val src = srcZipped.map(_._1) + val range = cache.cpuWordToRfWordRange.high downto cache.cpuWordToRfWordRange.high+1-log2Up(srcSize) + val sel = cache.io.cpu.writeBack.address(range) +// println(s"$i $srcSize $range ${srcZipped.map(_._2).mkString(",")}") + rspShifted(i*8, 8 bits) := src.read(sel) + } + + val rspRf = CombInit(rspShifted(31 downto 0)) + if(withLrSc) when(input(MEMORY_LRSC) && input(MEMORY_WR)){ + rspRf := B(!cache.io.cpu.writeBack.exclusiveOk).resized + } + + val rspFormated = input(INSTRUCTION)(13 downto 12).mux( + 0 -> B((31 downto 8) -> (rspRf(7) && !input(INSTRUCTION)(14)),(7 downto 0) -> rspRf(7 downto 0)), + 1 -> B((31 downto 16) -> (rspRf(15) && ! input(INSTRUCTION)(14)),(15 downto 0) -> rspRf(15 downto 0)), + default -> rspRf //W + ) + + when(arbitration.isValid && input(MEMORY_ENABLE)) { + output(REGFILE_WRITE_DATA) := rspFormated + } + + insert(MEMORY_LOAD_DATA) := rspShifted + } + + //Share access to the dBus (used by self refilled MMU) + if(dBusAccess != null) pipeline plug new Area{ + dBusAccess.cmd.ready := False + val forceDatapath = False + when(dBusAccess.cmd.valid){ + decode.arbitration.haltByOther := True + val exceptionService = pipeline.service(classOf[ExceptionService]) + when(!stagesFromExecute.map(s => s.arbitration.isValid || exceptionService.isExceptionPending(s)).orR){ + when(!cache.io.cpu.execute.refilling) { + cache.io.cpu.execute.isValid := True + dBusAccess.cmd.ready := !execute.arbitration.isStuck + } + cache.io.cpu.execute.args.wr := False //dBusAccess.cmd.write +// execute.insert(MEMORY_STORE_DATA_RF) := dBusAccess.cmd.data //Not implemented + cache.io.cpu.execute.args.size := dBusAccess.cmd.size.resized + if(withLrSc) execute.input(MEMORY_LRSC) := False + if(withAmo) execute.input(MEMORY_AMO) := False + cache.io.cpu.execute.address := dBusAccess.cmd.address //Will only be 12 muxes + forceDatapath := True + } + } + execute.insert(IS_DBUS_SHARING) := dBusAccess.cmd.fire + mmuBus.cmd.last.bypassTranslation setWhen(mmuAndBufferStage.input(IS_DBUS_SHARING)) + if(twoStageMmu) mmuBus.cmd(0).bypassTranslation setWhen(execute.input(IS_DBUS_SHARING)) + + if(mmuAndBufferStage != execute) (cache.io.cpu.memory.isValid setWhen(mmuAndBufferStage.input(IS_DBUS_SHARING))) + cache.io.cpu.writeBack.isValid setWhen(managementStage.input(IS_DBUS_SHARING)) + dBusAccess.rsp.valid := managementStage.input(IS_DBUS_SHARING) && !cache.io.cpu.writeBack.isWrite && (cache.io.cpu.redo || !cache.io.cpu.writeBack.haltIt) + dBusAccess.rsp.data := mgs.rspRf + dBusAccess.rsp.error := cache.io.cpu.writeBack.unalignedAccess || cache.io.cpu.writeBack.accessError + dBusAccess.rsp.redo := cache.io.cpu.redo + component.addPrePopTask{() => + managementStage.input(IS_DBUS_SHARING).getDrivingReg clearWhen(dBusAccess.rsp.fire) + when(forceDatapath){ + execute.output(REGFILE_WRITE_DATA) := dBusAccess.cmd.address.asBits + } + if(mmuAndBufferStage != execute) mmuAndBufferStage.input(IS_DBUS_SHARING) init(False) + managementStage.input(IS_DBUS_SHARING) init(False) + when(dBusAccess.rsp.valid){ + managementStage.input(IS_DBUS_SHARING).getDrivingReg := False + } + } + } + + when(stages.last.arbitration.haltByOther){ + cache.io.cpu.writeBack.isValid := False + } + + if(csrInfo){ + val csr = service(classOf[CsrPlugin]) + csr.r(0xCC0, 0 -> U(cacheSize/wayCount), 20 -> U(bytePerLine)) + } + } +} + + diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/DBusSimplePlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/DBusSimplePlugin.scala new file mode 100644 index 0000000..372cfcc --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/DBusSimplePlugin.scala @@ -0,0 +1,614 @@ +package vexriscv.plugin + +import vexriscv._ +import spinal.core._ +import spinal.lib._ +import spinal.lib.bus.amba3.ahblite.{AhbLite3Config, AhbLite3Master} +import spinal.lib.bus.amba4.axi._ +import spinal.lib.bus.avalon.{AvalonMM, AvalonMMConfig} +import spinal.lib.bus.bmb.{Bmb, BmbParameter} +import spinal.lib.bus.wishbone.{Wishbone, WishboneConfig} +import spinal.lib.bus.simple._ +import vexriscv.ip.DataCacheMemCmd + +import scala.collection.mutable.ArrayBuffer + + +case class DBusSimpleCmd() extends Bundle{ + val wr = Bool + val address = UInt(32 bits) + val data = Bits(32 bit) + val size = UInt(2 bit) +} + +case class DBusSimpleRsp() extends Bundle with IMasterSlave{ + val ready = Bool + val error = Bool + val data = Bits(32 bit) + + override def asMaster(): Unit = { + out(ready,error,data) + } +} + + +object DBusSimpleBus{ + def getAxi4Config() = Axi4Config( + addressWidth = 32, + dataWidth = 32, + useId = false, + useRegion = false, + useBurst = false, + useLock = false, + useQos = false, + useLen = false, + useResp = true + ) + + def getAvalonConfig() = AvalonMMConfig.pipelined( + addressWidth = 32, + dataWidth = 32).copy( + useByteEnable = true, + useResponse = true, + maximumPendingReadTransactions = 1 + ) + + def getWishboneConfig() = WishboneConfig( + addressWidth = 30, + dataWidth = 32, + selWidth = 4, + useSTALL = false, + useLOCK = false, + useERR = true, + useRTY = false, + tgaWidth = 0, + tgcWidth = 0, + tgdWidth = 0, + useBTE = true, + useCTI = true + ) + + def getPipelinedMemoryBusConfig() = PipelinedMemoryBusConfig( + addressWidth = 32, + dataWidth = 32 + ) + + def getAhbLite3Config() = AhbLite3Config( + addressWidth = 32, + dataWidth = 32 + ) + def getBmbParameter() = BmbParameter( + addressWidth = 32, + dataWidth = 32, + lengthWidth = 2, + sourceWidth = 0, + contextWidth = 1, + alignment = BmbParameter.BurstAlignement.LENGTH + ) +} + +case class DBusSimpleBus(bigEndian : Boolean = false) extends Bundle with IMasterSlave{ + val cmd = Stream(DBusSimpleCmd()) + val rsp = DBusSimpleRsp() + + override def asMaster(): Unit = { + master(cmd) + slave(rsp) + } + + def cmdS2mPipe() : DBusSimpleBus = { + val s = DBusSimpleBus(bigEndian) + s.cmd << this.cmd.s2mPipe() + this.rsp := s.rsp + s + } + + def genMask(cmd : DBusSimpleCmd) = { + if(bigEndian) + cmd.size.mux( + U(0) -> B"1000", + U(1) -> B"1100", + default -> B"1111" + ) |>> cmd.address(1 downto 0) + else + cmd.size.mux( + U(0) -> B"0001", + U(1) -> B"0011", + default -> B"1111" + ) |<< cmd.address(1 downto 0) + } + + def toAxi4Shared(stageCmd : Boolean = false, pendingWritesMax : Int = 7): Axi4Shared = { + val axi = Axi4Shared(DBusSimpleBus.getAxi4Config()) + + val cmdPreFork = if (stageCmd) cmd.stage.stage().s2mPipe() else cmd + + val pendingWrites = CounterUpDown( + stateCount = pendingWritesMax + 1, + incWhen = cmdPreFork.fire && cmdPreFork.wr, + decWhen = axi.writeRsp.fire + ) + + val hazard = (pendingWrites =/= 0 && cmdPreFork.valid && !cmdPreFork.wr) || pendingWrites === pendingWritesMax + val (cmdFork, dataFork) = StreamFork2(cmdPreFork.haltWhen(hazard)) + axi.sharedCmd.arbitrationFrom(cmdFork) + axi.sharedCmd.write := cmdFork.wr + axi.sharedCmd.prot := "010" + axi.sharedCmd.cache := "1111" + axi.sharedCmd.size := cmdFork.size.resized + axi.sharedCmd.addr := cmdFork.address + + val dataStage = dataFork.throwWhen(!dataFork.wr) + axi.writeData.arbitrationFrom(dataStage) + axi.writeData.last := True + axi.writeData.data := dataStage.data + axi.writeData.strb := genMask(dataStage).resized + + + rsp.ready := axi.r.valid + rsp.error := !axi.r.isOKAY() + rsp.data := axi.r.data + + axi.r.ready := True + axi.b.ready := True + axi + } + + def toAxi4(stageCmd : Boolean = true) = this.toAxi4Shared(stageCmd).toAxi4() + + + + def toAvalon(stageCmd : Boolean = true): AvalonMM = { + val avalonConfig = DBusSimpleBus.getAvalonConfig() + val mm = AvalonMM(avalonConfig) + val cmdStage = if(stageCmd) cmd.stage else cmd + mm.read := cmdStage.valid && !cmdStage.wr + mm.write := cmdStage.valid && cmdStage.wr + mm.address := (cmdStage.address >> 2) @@ U"00" + mm.writeData := cmdStage.data(31 downto 0) + mm.byteEnable := genMask(cmdStage).resized + + + cmdStage.ready := mm.waitRequestn + rsp.ready :=mm.readDataValid + rsp.error := mm.response =/= AvalonMM.Response.OKAY + rsp.data := mm.readData + + mm + } + + def toWishbone(): Wishbone = { + val wishboneConfig = DBusSimpleBus.getWishboneConfig() + val bus = Wishbone(wishboneConfig) + val cmdStage = cmd.halfPipe() + + bus.ADR := cmdStage.address >> 2 + bus.CTI :=B"000" + bus.BTE := "00" + bus.SEL := genMask(cmdStage).resized + when(!cmdStage.wr) { + bus.SEL := "1111" + } + bus.WE := cmdStage.wr + bus.DAT_MOSI := cmdStage.data + + cmdStage.ready := cmdStage.valid && bus.ACK + bus.CYC := cmdStage.valid + bus.STB := cmdStage.valid + + rsp.ready := cmdStage.valid && !bus.WE && bus.ACK + rsp.data := bus.DAT_MISO + rsp.error := False //TODO + bus + } + + def toPipelinedMemoryBus() : PipelinedMemoryBus = { + val pipelinedMemoryBusConfig = DBusSimpleBus.getPipelinedMemoryBusConfig() + val bus = PipelinedMemoryBus(pipelinedMemoryBusConfig) + bus.cmd.valid := cmd.valid + bus.cmd.write := cmd.wr + bus.cmd.address := cmd.address.resized + bus.cmd.data := cmd.data + bus.cmd.mask := genMask(cmd) + cmd.ready := bus.cmd.ready + + rsp.ready := bus.rsp.valid + rsp.data := bus.rsp.data + + bus + } + + def toAhbLite3Master(avoidWriteToReadHazard : Boolean): AhbLite3Master = { + val bus = AhbLite3Master(DBusSimpleBus.getAhbLite3Config()) + bus.HADDR := this.cmd.address + bus.HWRITE := this.cmd.wr + bus.HSIZE := B(this.cmd.size, 3 bits) + bus.HBURST := 0 + bus.HPROT := "1111" + bus.HTRANS := this.cmd.valid ## B"0" + bus.HMASTLOCK := False + bus.HWDATA := RegNextWhen(this.cmd.data, bus.HREADY) + this.cmd.ready := bus.HREADY + + val pending = RegInit(False) clearWhen(bus.HREADY) setWhen(this.cmd.fire && !this.cmd.wr) + this.rsp.ready := bus.HREADY && pending + this.rsp.data := bus.HRDATA + this.rsp.error := bus.HRESP + + if(avoidWriteToReadHazard) { + val writeDataPhase = RegNextWhen(bus.HTRANS === 2 && bus.HWRITE, bus.HREADY) init (False) + val potentialHazard = this.cmd.valid && !this.cmd.wr && writeDataPhase + when(potentialHazard) { + bus.HTRANS := 0 + this.cmd.ready := False + } + } + bus + } + + def toBmb() : Bmb = { + val pipelinedMemoryBusConfig = DBusSimpleBus.getBmbParameter() + val bus = Bmb(pipelinedMemoryBusConfig) + + bus.cmd.valid := cmd.valid + bus.cmd.last := True + bus.cmd.context(0) := cmd.wr + bus.cmd.opcode := (cmd.wr ? B(Bmb.Cmd.Opcode.WRITE) | B(Bmb.Cmd.Opcode.READ)) + bus.cmd.address := cmd.address.resized + bus.cmd.data := cmd.data + bus.cmd.length := cmd.size.mux( + 0 -> U"00", + 1 -> U"01", + default -> U"11" + ) + bus.cmd.mask := genMask(cmd) + + cmd.ready := bus.cmd.ready + + rsp.ready := bus.rsp.valid && !bus.rsp.context(0) + rsp.data := bus.rsp.data + rsp.error := bus.rsp.isError + bus.rsp.ready := True + + bus + } +} + + +class DBusSimplePlugin(catchAddressMisaligned : Boolean = false, + catchAccessFault : Boolean = false, + earlyInjection : Boolean = false, /*, idempotentRegions : (UInt) => Bool = (x) => False*/ + emitCmdInMemoryStage : Boolean = false, + onlyLoadWords : Boolean = false, + withLrSc : Boolean = false, + val bigEndian : Boolean = false, + memoryTranslatorPortConfig : Any = null) extends Plugin[VexRiscv] with DBusAccessService { + + var dBus : DBusSimpleBus = null + assert(!(emitCmdInMemoryStage && earlyInjection)) + object MEMORY_ENABLE extends Stageable(Bool) + object MEMORY_READ_DATA extends Stageable(Bits(32 bits)) + object MEMORY_ADDRESS_LOW extends Stageable(UInt(2 bits)) + object ALIGNEMENT_FAULT extends Stageable(Bool) + object MMU_FAULT extends Stageable(Bool) + object MEMORY_ATOMIC extends Stageable(Bool) + object ATOMIC_HIT extends Stageable(Bool) + object MEMORY_STORE extends Stageable(Bool) + + var memoryExceptionPort : Flow[ExceptionCause] = null + var rspStage : Stage = null + var mmuBus : MemoryTranslatorBus = null + var redoBranch : Flow[UInt] = null + val catchSomething = catchAccessFault || catchAddressMisaligned || memoryTranslatorPortConfig != null + + @dontName var dBusAccess : DBusAccess = null + override def newDBusAccess(): DBusAccess = { + assert(dBusAccess == null) + dBusAccess = DBusAccess() + dBusAccess + } + + override def setup(pipeline: VexRiscv): Unit = { + import Riscv._ + import pipeline.config._ + import pipeline._ + + val decoderService = pipeline.service(classOf[DecoderService]) + + val stdActions = List[(Stageable[_ <: BaseType],Any)]( + SRC1_CTRL -> Src1CtrlEnum.RS, + SRC_USE_SUB_LESS -> False, + MEMORY_ENABLE -> True, + RS1_USE -> True + ) ++ (if(catchAccessFault || catchAddressMisaligned) List(IntAluPlugin.ALU_CTRL -> IntAluPlugin.AluCtrlEnum.ADD_SUB) else Nil) //Used for access fault bad address in memory stage + + val loadActions = stdActions ++ List( + SRC2_CTRL -> Src2CtrlEnum.IMI, + REGFILE_WRITE_VALID -> True, + BYPASSABLE_EXECUTE_STAGE -> False, + BYPASSABLE_MEMORY_STAGE -> Bool(earlyInjection), + MEMORY_STORE -> False, + HAS_SIDE_EFFECT -> True + ) + + val storeActions = stdActions ++ List( + SRC2_CTRL -> Src2CtrlEnum.IMS, + RS2_USE -> True, + MEMORY_STORE -> True, + HAS_SIDE_EFFECT -> True + ) + + decoderService.addDefault(MEMORY_ENABLE, False) + decoderService.add( + (if(onlyLoadWords) List(LW) else List(LB, LH, LW, LBU, LHU, LWU)).map(_ -> loadActions) ++ + List(SB, SH, SW).map(_ -> storeActions) + ) + + + if(withLrSc){ + List(LB, LH, LW, LBU, LHU, LWU, SB, SH, SW).foreach(e => + decoderService.add(e, Seq(MEMORY_ATOMIC -> False)) + ) + decoderService.add( + key = LR, + values = loadActions.filter(_._1 != SRC2_CTRL) ++ Seq( + SRC_ADD_ZERO -> True, + MEMORY_ATOMIC -> True + ) + ) + + decoderService.add( + key = SC, + values = storeActions.filter(_._1 != SRC2_CTRL) ++ Seq( + SRC_ADD_ZERO -> True, + REGFILE_WRITE_VALID -> True, + BYPASSABLE_EXECUTE_STAGE -> False, + BYPASSABLE_MEMORY_STAGE -> False, + MEMORY_ATOMIC -> True + ) + ) + } + + decoderService.add(FENCE, Nil) + + rspStage = if(stages.last == execute) execute else (if(emitCmdInMemoryStage) writeBack else memory) + if(catchSomething) { + val exceptionService = pipeline.service(classOf[ExceptionService]) + memoryExceptionPort = exceptionService.newExceptionPort(rspStage) + } + + if(memoryTranslatorPortConfig != null) { + mmuBus = pipeline.service(classOf[MemoryTranslator]).newTranslationPort(MemoryTranslatorPort.PRIORITY_DATA, memoryTranslatorPortConfig) + redoBranch = pipeline.service(classOf[JumpService]).createJumpInterface(if(pipeline.memory != null) pipeline.memory else pipeline.execute) + } + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + + object MMU_RSP extends Stageable(MemoryTranslatorRsp(mmuBus.p)) + + dBus = master(DBusSimpleBus(bigEndian)).setName("dBus") + + + decode plug new Area { + import decode._ + + if(mmuBus != null) when(mmuBus.busy && arbitration.isValid && input(MEMORY_ENABLE)) { + arbitration.haltItself := True + } + } + + //Emit dBus.cmd request + val cmdSent = if(rspStage == execute) RegInit(False) setWhen(dBus.cmd.fire) clearWhen(!execute.arbitration.isStuck) else False + val cmdStage = if(emitCmdInMemoryStage) memory else execute + cmdStage plug new Area{ + import cmdStage._ + val privilegeService = pipeline.serviceElse(classOf[PrivilegeService], PrivilegeServiceDefault()) + + + if (catchAddressMisaligned) + insert(ALIGNEMENT_FAULT) := (dBus.cmd.size === 2 && dBus.cmd.address(1 downto 0) =/= 0) || (dBus.cmd.size === 1 && dBus.cmd.address(0 downto 0) =/= 0) + else + insert(ALIGNEMENT_FAULT) := False + + + val skipCmd = False + skipCmd setWhen(input(ALIGNEMENT_FAULT)) + + dBus.cmd.valid := arbitration.isValid && input(MEMORY_ENABLE) && !arbitration.isStuckByOthers && !arbitration.isFlushed && !skipCmd && !cmdSent + dBus.cmd.wr := input(MEMORY_STORE) + dBus.cmd.size := input(INSTRUCTION)(13 downto 12).asUInt + dBus.cmd.payload.data := dBus.cmd.size.mux ( + U(0) -> input(RS2)(7 downto 0) ## input(RS2)(7 downto 0) ## input(RS2)(7 downto 0) ## input(RS2)(7 downto 0), + U(1) -> input(RS2)(15 downto 0) ## input(RS2)(15 downto 0), + default -> input(RS2)(31 downto 0) + ) + when(arbitration.isValid && input(MEMORY_ENABLE) && !dBus.cmd.ready && !skipCmd && !cmdSent){ + arbitration.haltItself := True + } + + insert(MEMORY_ADDRESS_LOW) := dBus.cmd.address(1 downto 0) + + //formal + val formalMask = dBus.genMask(dBus.cmd) + + insert(FORMAL_MEM_ADDR) := dBus.cmd.address & U"xFFFFFFFC" + insert(FORMAL_MEM_WMASK) := (dBus.cmd.valid && dBus.cmd.wr) ? formalMask | B"0000" + insert(FORMAL_MEM_RMASK) := (dBus.cmd.valid && !dBus.cmd.wr) ? formalMask | B"0000" + insert(FORMAL_MEM_WDATA) := dBus.cmd.payload.data + + val mmu = (mmuBus != null) generate new Area { + mmuBus.cmd.last.isValid := arbitration.isValid && input(MEMORY_ENABLE) + mmuBus.cmd.last.isStuck := arbitration.isStuck + mmuBus.cmd.last.virtualAddress := input(SRC_ADD).asUInt + mmuBus.cmd.last.bypassTranslation := False + mmuBus.end := !arbitration.isStuck || arbitration.isRemoved + dBus.cmd.address := mmuBus.rsp.physicalAddress + + //do not emit memory request if MMU refilling + insert(MMU_FAULT) := input(MMU_RSP).exception || (!input(MMU_RSP).allowWrite && input(MEMORY_STORE)) || (!input(MMU_RSP).allowRead && !input(MEMORY_STORE)) + skipCmd.setWhen(input(MMU_FAULT) || input(MMU_RSP).refilling) + + insert(MMU_RSP) := mmuBus.rsp + } + + val mmuLess = (mmuBus == null) generate new Area{ + dBus.cmd.address := input(SRC_ADD).asUInt + } + + + val atomic = withLrSc generate new Area{ + val reserved = RegInit(False) + insert(ATOMIC_HIT) := reserved + when(arbitration.isFiring && input(MEMORY_ENABLE) && (if(mmuBus != null) !input(MMU_FAULT) else True) && !skipCmd){ + reserved setWhen(input(MEMORY_ATOMIC)) + reserved clearWhen(input(MEMORY_STORE)) + } + when(input(MEMORY_STORE) && input(MEMORY_ATOMIC) && !input(ATOMIC_HIT)){ + skipCmd := True + } + } + } + + //Collect dBus.rsp read responses + rspStage plug new Area { + val s = rspStage; import s._ + + + insert(MEMORY_READ_DATA) := dBus.rsp.data + + arbitration.haltItself setWhen(arbitration.isValid && input(MEMORY_ENABLE) && !input(MEMORY_STORE) && (!dBus.rsp.ready || (if(rspStage == execute) !cmdSent else False))) + + if(catchSomething) { + memoryExceptionPort.valid := False + memoryExceptionPort.code.assignDontCare() + memoryExceptionPort.badAddr := input(REGFILE_WRITE_DATA).asUInt + + if(catchAccessFault) when(dBus.rsp.ready && dBus.rsp.error && !input(MEMORY_STORE)) { + memoryExceptionPort.valid := True + memoryExceptionPort.code := 5 + } + + if(catchAddressMisaligned) when(input(ALIGNEMENT_FAULT)){ + memoryExceptionPort.code := (input(MEMORY_STORE) ? U(6) | U(4)).resized + memoryExceptionPort.valid := True + } + + if(memoryTranslatorPortConfig != null) { + redoBranch.valid := False + redoBranch.payload := input(PC) + + when(input(MMU_RSP).refilling){ + redoBranch.valid := True + memoryExceptionPort.valid := False + } elsewhen(input(MMU_FAULT)) { + memoryExceptionPort.valid := True + memoryExceptionPort.code := (input(MEMORY_STORE) ? U(15) | U(13)).resized + } + + arbitration.flushIt setWhen(redoBranch.valid) + arbitration.flushNext setWhen(redoBranch.valid) + } + + when(!(arbitration.isValid && input(MEMORY_ENABLE) && (Bool(cmdStage != rspStage) || !arbitration.isStuckByOthers))){ + if(catchSomething) memoryExceptionPort.valid := False + if(memoryTranslatorPortConfig != null) redoBranch.valid := False + } + + } + } + + //Reformat read responses, REGFILE_WRITE_DATA overriding + val injectionStage = if(earlyInjection) memory else stages.last + injectionStage plug new Area { + import injectionStage._ + + + val rspShifted = MEMORY_READ_DATA() + rspShifted := input(MEMORY_READ_DATA) + if(bigEndian) + switch(input(MEMORY_ADDRESS_LOW)){ + is(1){rspShifted(31 downto 24) := input(MEMORY_READ_DATA)(23 downto 16)} + is(2){rspShifted(31 downto 16) := input(MEMORY_READ_DATA)(15 downto 0)} + is(3){rspShifted(31 downto 24) := input(MEMORY_READ_DATA)(7 downto 0)} + } + else + switch(input(MEMORY_ADDRESS_LOW)){ + is(1){rspShifted(7 downto 0) := input(MEMORY_READ_DATA)(15 downto 8)} + is(2){rspShifted(15 downto 0) := input(MEMORY_READ_DATA)(31 downto 16)} + is(3){rspShifted(7 downto 0) := input(MEMORY_READ_DATA)(31 downto 24)} + } + + val rspFormated = + if(bigEndian) + input(INSTRUCTION)(13 downto 12).mux( + 0 -> B((31 downto 8) -> (rspShifted(31) && !input(INSTRUCTION)(14)),(7 downto 0) -> rspShifted(31 downto 24)), + 1 -> B((31 downto 16) -> (rspShifted(31) && ! input(INSTRUCTION)(14)),(15 downto 0) -> rspShifted(31 downto 16)), + default -> rspShifted //W + ) + else + input(INSTRUCTION)(13 downto 12).mux( + 0 -> B((31 downto 8) -> (rspShifted(7) && !input(INSTRUCTION)(14)),(7 downto 0) -> rspShifted(7 downto 0)), + 1 -> B((31 downto 16) -> (rspShifted(15) && ! input(INSTRUCTION)(14)),(15 downto 0) -> rspShifted(15 downto 0)), + default -> rspShifted //W + ) + + when(arbitration.isValid && input(MEMORY_ENABLE)) { + output(REGFILE_WRITE_DATA) := (if(!onlyLoadWords) rspFormated else input(MEMORY_READ_DATA)) + if(withLrSc){ + when(input(MEMORY_ATOMIC) && input(MEMORY_STORE)){ + output(REGFILE_WRITE_DATA) := (!input(ATOMIC_HIT)).asBits.resized + } + } + } + +// if(!earlyInjection && !emitCmdInMemoryStage && config.withWriteBackStage) +// assert(!(arbitration.isValid && input(MEMORY_ENABLE) && !input(MEMORY_STORE) && arbitration.isStuck),"DBusSimplePlugin doesn't allow writeback stage stall when read happend") + + //formal + insert(FORMAL_MEM_RDATA) := input(MEMORY_READ_DATA) + } + + //Share access to the dBus (used by self refilled MMU) + val dBusSharing = (dBusAccess != null) generate new Area{ + val state = Reg(UInt(2 bits)) init(0) + dBusAccess.cmd.ready := False + dBusAccess.rsp.valid := False + dBusAccess.rsp.data := dBus.rsp.data + dBusAccess.rsp.error := dBus.rsp.error + dBusAccess.rsp.redo := False + + switch(state){ + is(0){ + when(dBusAccess.cmd.valid){ + decode.arbitration.haltItself := True + when(!stages.dropWhile(_ != execute).map(_.arbitration.isValid).orR){ + state := 1 + } + } + } + is(1){ + decode.arbitration.haltItself := True + dBus.cmd.valid := True + dBus.cmd.address := dBusAccess.cmd.address + dBus.cmd.wr := dBusAccess.cmd.write + dBus.cmd.data := dBusAccess.cmd.data + dBus.cmd.size := dBusAccess.cmd.size + when(dBus.cmd.ready){ + state := (dBusAccess.cmd.write ? U(0) | U(2)) + dBusAccess.cmd.ready := True + } + } + is(2){ + decode.arbitration.haltItself := True + when(dBus.rsp.ready){ + dBusAccess.rsp.valid := True + state := 0 + } + } + } + } + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/DebugPlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/DebugPlugin.scala new file mode 100644 index 0000000..01c2acd --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/DebugPlugin.scala @@ -0,0 +1,364 @@ +package vexriscv.plugin + +import spinal.lib.com.jtag.{Jtag, JtagTapInstructionCtrl} +import spinal.lib.system.debugger.{JtagBridge, JtagBridgeNoTap, SystemDebugger, SystemDebuggerConfig, SystemDebuggerMemBus} +import vexriscv.plugin.IntAluPlugin.{ALU_CTRL, AluCtrlEnum} +import vexriscv._ +import vexriscv.ip._ +import spinal.core._ +import spinal.lib._ +import spinal.lib.blackbox.xilinx.s7.BSCANE2 +import spinal.lib.bus.amba3.apb.{Apb3, Apb3Config} +import spinal.lib.bus.avalon.{AvalonMM, AvalonMMConfig} +import spinal.lib.bus.bmb.{Bmb, BmbAccessCapabilities, BmbAccessParameter, BmbParameter} +import spinal.lib.bus.simple.PipelinedMemoryBus + +import scala.collection.mutable.ArrayBuffer + + +case class DebugExtensionCmd() extends Bundle{ + val wr = Bool + val address = UInt(8 bit) + val data = Bits(32 bit) +} +case class DebugExtensionRsp() extends Bundle{ + val data = Bits(32 bit) +} + +object DebugExtensionBus{ + def getBmbAccessParameter(source : BmbAccessCapabilities) = source.copy( + addressWidth = 8, + dataWidth = 32, + lengthWidthMax = 2, + alignment = BmbParameter.BurstAlignement.LENGTH + ) +} + +case class DebugExtensionBus() extends Bundle with IMasterSlave{ + val cmd = Stream(DebugExtensionCmd()) + val rsp = DebugExtensionRsp() //one cycle latency + + override def asMaster(): Unit = { + master(cmd) + in(rsp) + } + + def fromApb3(): Apb3 ={ + val apb = Apb3(Apb3Config( + addressWidth = 8, + dataWidth = 32, + useSlaveError = false + )) + + cmd.valid := apb.PSEL(0) && apb.PENABLE + cmd.wr := apb.PWRITE + cmd.address := apb.PADDR + cmd.data := apb.PWDATA + + apb.PREADY := cmd.ready + apb.PRDATA := rsp.data + + apb + } + + def fromAvalon(): AvalonMM ={ + val bus = AvalonMM(AvalonMMConfig.fixed(addressWidth = 8,dataWidth = 32, readLatency = 1)) + + cmd.valid := bus.read || bus.write + cmd.wr := bus.write + cmd.address := bus.address + cmd.data := bus.writeData + + bus.waitRequestn := cmd.ready + bus.readData := rsp.data + + bus + } + + def fromPipelinedMemoryBus(): PipelinedMemoryBus ={ + val bus = PipelinedMemoryBus(32, 32) + + cmd.arbitrationFrom(bus.cmd) + cmd.wr := bus.cmd.write + cmd.address := bus.cmd.address.resized + cmd.data := bus.cmd.data + + bus.rsp.valid := RegNext(cmd.fire) init(False) + bus.rsp.data := rsp.data + + bus + } + + def fromBmb(): Bmb ={ + val bus = Bmb(BmbParameter( + addressWidth = 8, + dataWidth = 32, + lengthWidth = 2, + sourceWidth = 0, + contextWidth = 0 + )) + + cmd.arbitrationFrom(bus.cmd) + cmd.wr := bus.cmd.isWrite + cmd.address := bus.cmd.address + cmd.data := bus.cmd.data + + bus.rsp.valid := RegNext(cmd.fire) init(False) + bus.rsp.data := rsp.data + bus.rsp.last := True + bus.rsp.setSuccess() + + bus + } + + def from(c : SystemDebuggerConfig) : SystemDebuggerMemBus = { + val mem = SystemDebuggerMemBus(c) + cmd.valid := mem.cmd.valid + cmd.wr := mem.cmd.wr + cmd.data := mem.cmd.data + cmd.address := mem.cmd.address.resized + mem.cmd.ready := cmd.ready + mem.rsp.valid := RegNext(cmd.fire).init(False) + mem.rsp.payload := rsp.data + mem + } + + def fromJtag(): Jtag ={ + val jtagConfig = SystemDebuggerConfig( + memAddressWidth = 32, + memDataWidth = 32, + remoteCmdWidth = 1 + ) + val jtagBridge = new JtagBridge(jtagConfig) + val debugger = new SystemDebugger(jtagConfig) + debugger.io.remote <> jtagBridge.io.remote + debugger.io.mem <> this.from(jtagConfig) + + jtagBridge.io.jtag + } + + def fromJtagInstructionCtrl(jtagClockDomain : ClockDomain, jtagHeaderIgnoreWidth : Int): JtagTapInstructionCtrl ={ + val jtagConfig = SystemDebuggerConfig( + memAddressWidth = 32, + memDataWidth = 32, + remoteCmdWidth = 1 + ) + val jtagBridge = new JtagBridgeNoTap(jtagConfig, jtagClockDomain, jtagHeaderIgnoreWidth) + val debugger = new SystemDebugger(jtagConfig) + debugger.io.remote <> jtagBridge.io.remote + debugger.io.mem <> this.from(jtagConfig) + + jtagBridge.io.ctrl + } + + def fromBscane2(usedId : Int, jtagHeaderIgnoreWidth : Int): Unit ={ + val jtagConfig = SystemDebuggerConfig() + + val bscane2 = BSCANE2(usedId) + val jtagClockDomain = ClockDomain(bscane2.TCK) + + val jtagBridge = new JtagBridgeNoTap(jtagConfig, jtagClockDomain, jtagHeaderIgnoreWidth) + jtagBridge.io.ctrl << bscane2.toJtagTapInstructionCtrl() + + val debugger = new SystemDebugger(jtagConfig) + debugger.io.remote <> jtagBridge.io.remote + debugger.io.mem <> this.from(debugger.io.mem.c) + } +} + +case class DebugExtensionIo() extends Bundle with IMasterSlave{ + val bus = DebugExtensionBus() + val resetOut = Bool + + override def asMaster(): Unit = { + master(bus) + in(resetOut) + } +} + +class DebugPlugin(var debugClockDomain : ClockDomain, hardwareBreakpointCount : Int = 0, BreakpointReadback : Boolean = false) extends Plugin[VexRiscv] { + + var io : DebugExtensionIo = null + val injectionAsks = ArrayBuffer[(Stage, Bool)]() + var injectionPort : Stream[Bits] = null + + + object IS_EBREAK extends Stageable(Bool) + object DO_EBREAK extends Stageable(Bool) + override def setup(pipeline: VexRiscv): Unit = { + import Riscv._ + import pipeline.config._ + + io = slave(DebugExtensionIo()).setName("debug") + + val decoderService = pipeline.service(classOf[DecoderService]) + + decoderService.addDefault(IS_EBREAK, False) + decoderService.add(EBREAK,List(IS_EBREAK -> True)) + + injectionPort = pipeline.service(classOf[IBusFetcher]).getInjectionPort() + + if(pipeline.serviceExist(classOf[ReportService])){ + val report = pipeline.service(classOf[ReportService]) + report.add("debug" -> { + val e = new DebugReport() + e.hardwareBreakpointCount = hardwareBreakpointCount + e + }) + } + } + + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + + val logic = debugClockDomain {pipeline plug new Area{ + val iBusFetcher = service(classOf[IBusFetcher]) + val firstCycle = RegNext(False) setWhen (io.bus.cmd.ready) + val secondCycle = RegNext(firstCycle) + val resetIt = RegInit(False) + val haltIt = RegInit(False) + val stepIt = RegInit(False) + + val isPipBusy = RegNext(stages.map(_.arbitration.isValid).orR || iBusFetcher.incoming()) + val godmode = RegInit(False) setWhen(haltIt && !isPipBusy) + val haltedByBreak = RegInit(False) + val debugUsed = RegInit(False) setWhen(io.bus.cmd.valid) addAttribute(Verilator.public) + val disableEbreak = RegInit(False) + + val allowEBreak = debugUsed && !disableEbreak + + val hardwareBreakpoints = Vec(Reg(new Bundle{ + val valid = Bool() + val pc = UInt(31 bits) + }), hardwareBreakpointCount) + hardwareBreakpoints.foreach(_.valid init(False)) + + val busReadDataReg = Reg(Bits(32 bit)) + when(stages.last.arbitration.isValid) { + busReadDataReg := stages.last.output(REGFILE_WRITE_DATA) + } + io.bus.cmd.ready := True + io.bus.rsp.data := busReadDataReg + when(!RegNext(io.bus.cmd.address(2))){ + io.bus.rsp.data(0) := resetIt + io.bus.rsp.data(1) := haltIt + io.bus.rsp.data(2) := isPipBusy + io.bus.rsp.data(3) := haltedByBreak + io.bus.rsp.data(4) := stepIt + } + if (BreakpointReadback) { + switch(RegNext(io.bus.cmd.address(7 downto 2))) { + for(i <- 0 until hardwareBreakpointCount){ + is(0x10 + i){ + io.bus.rsp.data(31 downto 1) := hardwareBreakpoints(i).pc.asBits + io.bus.rsp.data(0) := hardwareBreakpoints(i).valid + } + } + } + } + + + injectionPort.valid := False + injectionPort.payload := io.bus.cmd.data + + when(io.bus.cmd.valid) { + switch(io.bus.cmd.address(7 downto 2)) { + is(0x0) { + when(io.bus.cmd.wr) { + stepIt := io.bus.cmd.data(4) + resetIt setWhen (io.bus.cmd.data(16)) clearWhen (io.bus.cmd.data(24)) + haltIt setWhen (io.bus.cmd.data(17)) clearWhen (io.bus.cmd.data(25)) + haltedByBreak clearWhen (io.bus.cmd.data(25)) + godmode clearWhen(io.bus.cmd.data(25)) + disableEbreak setWhen (io.bus.cmd.data(18)) clearWhen (io.bus.cmd.data(26)) + } + } + is(0x1) { + when(io.bus.cmd.wr) { + injectionPort.valid := True + io.bus.cmd.ready := injectionPort.ready + } + } + for(i <- 0 until hardwareBreakpointCount){ + is(0x10 + i){ + when(io.bus.cmd.wr){ + hardwareBreakpoints(i).assignFromBits(io.bus.cmd.data) + } + } + } + } + } + + decode.insert(DO_EBREAK) := !haltIt && (decode.input(IS_EBREAK) || hardwareBreakpoints.map(hb => hb.valid && hb.pc === (decode.input(PC) >> 1)).foldLeft(False)(_ || _)) && allowEBreak + when(execute.arbitration.isValid && execute.input(DO_EBREAK)){ + execute.arbitration.haltByOther := True + busReadDataReg := execute.input(PC).asBits + when(stagesFromExecute.tail.map(_.arbitration.isValid).orR === False){ + iBusFetcher.haltIt() + execute.arbitration.flushIt := True + execute.arbitration.flushNext := True + haltIt := True + haltedByBreak := True + } + } + + when(haltIt) { + iBusFetcher.haltIt() + } + + when(stepIt && iBusFetcher.incoming()) { + iBusFetcher.haltIt() + when(decode.arbitration.isValid) { + haltIt := True + } + } + + //Avoid having two C instruction executed in a single step + if(pipeline.config.withRvc){ + val cleanStep = RegNext(stepIt && decode.arbitration.isFiring) init(False) + execute.arbitration.flushNext setWhen(cleanStep) + when(cleanStep){ + execute.arbitration.flushNext := True + iBusFetcher.forceNoDecode() + } + } + + io.resetOut := RegNext(resetIt) + + if(serviceExist(classOf[InterruptionInhibitor])) { + when(haltIt || stepIt) { + service(classOf[InterruptionInhibitor]).inhibateInterrupts() + } + } + + when(godmode) { + pipeline.plugins.foreach{ + case p : ExceptionInhibitor => p.inhibateException() + case _ => + } + pipeline.plugins.foreach{ + case p : PrivilegeService => p.forceMachine() + case _ => + } + pipeline.plugins.foreach{ + case p : PredictionInterface => p.inDebugNoFetch() + case _ => + } + if(pipeline.things.contains(DEBUG_BYPASS_CACHE)) pipeline(DEBUG_BYPASS_CACHE) := True + } + when(allowEBreak) { + pipeline.plugins.foreach { + case p: ExceptionInhibitor => p.inhibateEbreakException() + case _ => + } + } + + val wakeService = serviceElse(classOf[IWake], null) + if(wakeService != null) when(haltIt){ + wakeService.askWake() + } + }} + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/DecoderSimplePlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/DecoderSimplePlugin.scala new file mode 100644 index 0000000..a525b77 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/DecoderSimplePlugin.scala @@ -0,0 +1,402 @@ +package vexriscv.plugin + +import vexriscv._ +import spinal.core._ +import spinal.core.internals.Literal +import spinal.lib._ +import vexriscv.demo.GenFull + +import scala.collection.mutable +import scala.collection.mutable.ArrayBuffer + + +case class Masked(value : BigInt,care : BigInt){ + assert((value & ~care) == 0) + var isPrime = true + + def < (that: Masked) = value < that.value || value == that.value && ~care < ~that.care + + def intersects(x: Masked) = ((value ^ x.value) & care & x.care) == 0 + + def covers(x: Masked) = ((value ^ x.value) & care | (~x.care) & care) == 0 + + def setPrime(value : Boolean) = { + isPrime = value + this + } + + def mergeOneBitDifSmaller(x: Masked) = { + val bit = value - x.value + val ret = new Masked(value &~ bit, care & ~bit) + // ret.isPrime = isPrime || x.isPrime + isPrime = false + x.isPrime = false + ret + } + def isSimilarOneBitDifSmaller(x: Masked) = { + val diff = value - x.value + care == x.care && value > x.value && (diff & diff - 1) == 0 + } + + + def === (hard : Bits) : Bool = (hard & care) === (value & care) + + def toString(bitCount : Int) = (0 until bitCount).map(i => if(care.testBit(i)) (if(value.testBit(i)) "1" else "0") else "-").reverseIterator.reduce(_+_) +} + +class DecoderSimplePlugin(catchIllegalInstruction : Boolean = false, + throwIllegalInstruction : Boolean = false, + assertIllegalInstruction : Boolean = false, + forceLegalInstructionComputation : Boolean = false, + decoderIsolationBench : Boolean = false, + stupidDecoder : Boolean = false) extends Plugin[VexRiscv] with DecoderService { + override def add(encoding: Seq[(MaskedLiteral, Seq[(Stageable[_ <: BaseType], Any)])]): Unit = encoding.foreach(e => this.add(e._1,e._2)) + override def add(key: MaskedLiteral, values: Seq[(Stageable[_ <: BaseType], Any)]): Unit = { + val instructionModel = encodings.getOrElseUpdate(key,ArrayBuffer[(Stageable[_ <: BaseType], BaseType)]()) + values.map{case (a,b) => { + assert(!instructionModel.contains(a), s"Over specification of $a") + val value = b match { + case e: SpinalEnumElement[_] => e() + case e: BaseType => e + } + instructionModel += (a->value) + }} + } + + override def addDefault(key: Stageable[_ <: BaseType], value: Any): Unit = { + assert(!defaults.contains(key)) + defaults(key) = value match{ + case e : SpinalEnumElement[_] => e() + case e : BaseType => e + } + } + + def forceIllegal() : Unit = if(catchIllegalInstruction) pipeline.decode.input(pipeline.config.LEGAL_INSTRUCTION) := False + + val defaults = mutable.LinkedHashMap[Stageable[_ <: BaseType], BaseType]() + val encodings = mutable.LinkedHashMap[MaskedLiteral,ArrayBuffer[(Stageable[_ <: BaseType], BaseType)]]() + var decodeExceptionPort : Flow[ExceptionCause] = null + + + override def setup(pipeline: VexRiscv): Unit = { + if(!catchIllegalInstruction) { + SpinalWarning("This VexRiscv configuration is set without illegal instruction catch support. Some software may rely on it (ex: Rust)") + } + if(catchIllegalInstruction) { + val exceptionService = pipeline.plugins.filter(_.isInstanceOf[ExceptionService]).head.asInstanceOf[ExceptionService] + decodeExceptionPort = exceptionService.newExceptionPort(pipeline.decode).setName("decodeExceptionPort") + } + } + + val detectLegalInstructions = catchIllegalInstruction || throwIllegalInstruction || forceLegalInstructionComputation || assertIllegalInstruction + + object ASSERT_ERROR extends Stageable(Bool) + + override def build(pipeline: VexRiscv): Unit = { + import pipeline.config._ + import pipeline.decode._ + + val stageables = (encodings.flatMap(_._2.map(_._1)) ++ defaults.map(_._1)).toList.distinct + + + if(stupidDecoder){ + if (detectLegalInstructions) insert(LEGAL_INSTRUCTION) := False + for(stageable <- stageables){ + if(defaults.contains(stageable)){ + insert(stageable).assignFrom(defaults(stageable)) + } else { + insert(stageable).assignDontCare() + } + } + for((key, tasks) <- encodings){ + when(input(INSTRUCTION) === key){ + if (detectLegalInstructions) insert(LEGAL_INSTRUCTION) := True + for((stageable, value) <- tasks){ + insert(stageable).assignFrom(value) + } + } + } + } else { + var offset = 0 + var defaultValue, defaultCare = BigInt(0) + val offsetOf = mutable.LinkedHashMap[Stageable[_ <: BaseType], Int]() + + //Build defaults value and field offset map + stageables.foreach(e => { + defaults.get(e) match { + case Some(value) => { + value.head.source match { + case literal: EnumLiteral[_] => literal.fixEncoding(e.dataType.asInstanceOf[SpinalEnumCraft[_]].getEncoding) + case _ => + } + defaultValue += value.head.source.asInstanceOf[Literal].getValue << offset + defaultCare += ((BigInt(1) << e.dataType.getBitsWidth) - 1) << offset + + } + case _ => + } + offsetOf(e) = offset + offset += e.dataType.getBitsWidth + }) + + //Build spec + val spec = encodings.map { case (key, values) => + var decodedValue = defaultValue + var decodedCare = defaultCare + for ((e, literal) <- values) { + literal.head.source match { + case literal: EnumLiteral[_] => literal.fixEncoding(e.dataType.asInstanceOf[SpinalEnumCraft[_]].getEncoding) + case _ => + } + val offset = offsetOf(e) + decodedValue |= literal.head.source.asInstanceOf[Literal].getValue << offset + decodedCare |= ((BigInt(1) << e.dataType.getBitsWidth) - 1) << offset + } + (Masked(key.value, key.careAbout), Masked(decodedValue, decodedCare)) + } + + + // logic implementation + val decodedBits = Bits(stageables.foldLeft(0)(_ + _.dataType.getBitsWidth) bits) + decodedBits := Symplify(input(INSTRUCTION), spec, decodedBits.getWidth) + if (detectLegalInstructions) insert(LEGAL_INSTRUCTION) := Symplify.logicOf(input(INSTRUCTION), SymplifyBit.getPrimeImplicantsByTrueAndDontCare(spec.unzip._1.toSeq, Nil, 32)) + if (throwIllegalInstruction) { + input(LEGAL_INSTRUCTION) //Fill the request for later (prePopTask) + Component.current.addPrePopTask(() => arbitration.isValid clearWhen(!input(LEGAL_INSTRUCTION))) + } + if(assertIllegalInstruction){ + val reg = RegInit(False) setWhen(arbitration.isValid) clearWhen(arbitration.isRemoved || !arbitration.isStuck) + insert(ASSERT_ERROR) := arbitration.isValid || reg + } + + if(decoderIsolationBench){ + KeepAttribute(RegNext(KeepAttribute(RegNext(decodedBits.removeAssignments().asInput())))) + out(Bits(32 bits)).setName("instruction") := KeepAttribute(RegNext(KeepAttribute(RegNext(input(INSTRUCTION))))) + } + + //Unpack decodedBits and insert fields in the pipeline + offset = 0 + stageables.foreach(e => { + insert(e).assignFromBits(decodedBits(offset, e.dataType.getBitsWidth bits)) + // insert(e).assignFromBits(RegNext(decodedBits(offset, e.dataType.getBitsWidth bits))) + offset += e.dataType.getBitsWidth + }) + } + + if(catchIllegalInstruction){ + decodeExceptionPort.valid := arbitration.isValid && !input(LEGAL_INSTRUCTION) // ?? HalitIt to alow decoder stage to wait valid data from 2 stages cache cache ?? + decodeExceptionPort.code := 2 + decodeExceptionPort.badAddr := input(INSTRUCTION).asUInt + } + if(assertIllegalInstruction){ + pipeline.stages.tail.foreach(s => s.output(ASSERT_ERROR) clearWhen(s.arbitration.isRemoved)) + assert(!pipeline.stages.last.output(ASSERT_ERROR)) + } + } + + def bench(toplevel : VexRiscv): Unit ={ + toplevel.rework{ + import toplevel.config._ + toplevel.getAllIo.toList.foreach{io => + if(io.isInput) { io.assignDontCare()} + io.setAsDirectionLess() + } + toplevel.decode.input(INSTRUCTION).removeAssignments() + toplevel.decode.input(INSTRUCTION) := Delay((in Bits(32 bits)).setName("instruction"),2) + val stageables = encodings.flatMap(_._2.map(_._1)).toSet + stageables.foreach(e => out(RegNext(RegNext(toplevel.decode.insert(e)).setName(e.getName())))) + if(catchIllegalInstruction) out(RegNext(RegNext(toplevel.decode.insert(LEGAL_INSTRUCTION)).setName(LEGAL_INSTRUCTION.getName()))) + // toplevel.getAdditionalNodesRoot.clear() + } + } +} + +object DecodingBench extends App{ + SpinalVerilog{ + val top = GenFull.cpu() + top.service(classOf[DecoderSimplePlugin]).bench(top) + top + } +} + + +object Symplify{ + val cache = mutable.LinkedHashMap[Bits,mutable.LinkedHashMap[Masked,Bool]]() + def getCache(addr : Bits) = cache.getOrElseUpdate(addr,mutable.LinkedHashMap[Masked,Bool]()) + + //Generate terms logic for the given input + def logicOf(input : Bits,terms : Seq[Masked]) = terms.map(t => getCache(input).getOrElseUpdate(t,t === input)).asBits.orR + + //Decode 'input' b using an mapping[key, decoding] specification + def apply(input: Bits, mapping: Iterable[(Masked, Masked)],resultWidth : Int) : Bits = { + val addrWidth = widthOf(input) + (for(bitId <- 0 until resultWidth) yield{ + val trueTerm = mapping.filter { case (k,t) => (t.care.testBit(bitId) && t.value.testBit(bitId))}.map(_._1) + val falseTerm = mapping.filter { case (k,t) => (t.care.testBit(bitId) && !t.value.testBit(bitId))}.map(_._1) + val symplifiedTerms = SymplifyBit.getPrimeImplicantsByTrueAndFalse(trueTerm.toSeq, falseTerm.toSeq, addrWidth) + logicOf(input, symplifiedTerms) + }).asBits + } +} + +object SymplifyBit{ + + //Return a new term with only one bit difference with 'term' and not included in falseTerms. above => 0 to 1 dif, else 1 to 0 diff + def genImplicitDontCare(falseTerms: Seq[Masked], term: Masked, bits: Int, above: Boolean): Masked = { + for (i <- 0 until bits; if term.care.testBit(i)) { + var t: Masked = null + if(above) { + if (!term.value.testBit(i)) + t = Masked(term.value.setBit(i), term.care) + } else { + if (term.value.testBit(i)) + t = Masked(term.value.clearBit(i), term.care) + } + if (t != null && !falseTerms.exists(_.intersects(t))) { + t.isPrime = false + return t + } + } + null + } + + //Return primes implicants for the trueTerms, falseTerms spec. Default value is don't care + def getPrimeImplicantsByTrueAndFalse(trueTerms: Seq[Masked], falseTerms: Seq[Masked], inputWidth : Int): Seq[Masked] = { + val primes = mutable.LinkedHashSet[Masked]() + trueTerms.foreach(_.isPrime = true) + falseTerms.foreach(_.isPrime = true) + val trueTermByCareCount = (inputWidth to 0 by -1).map(b => trueTerms.filter(b == _.care.bitCount)) + //table[Vector[HashSet[Masked]]](careCount)(bitSetCount) + val table = trueTermByCareCount.map(c => (0 to inputWidth).map(b => collection.mutable.Set(c.filter(b == _.value.bitCount): _*))) + for (i <- 0 to inputWidth) { + //Expends explicit terms + for (j <- 0 until inputWidth - i){ + for(term <- table(i)(j)){ + table(i+1)(j) ++= table(i)(j+1).withFilter(_.isSimilarOneBitDifSmaller(term)).map(_.mergeOneBitDifSmaller(term)) + } + } + //Expends implicit don't care terms + for (j <- 0 until inputWidth-i) { + for (prime <- table(i)(j).withFilter(_.isPrime)) { + val dc = genImplicitDontCare(falseTerms, prime, inputWidth, true) + if (dc != null) + table(i+1)(j) += dc mergeOneBitDifSmaller prime + } + for (prime <- table(i)(j+1).withFilter(_.isPrime)) { + val dc = genImplicitDontCare(falseTerms, prime, inputWidth, false) + if (dc != null) + table(i+1)(j) += prime mergeOneBitDifSmaller dc + } + } + for (r <- table(i)) + for (p <- r; if p.isPrime) + primes += p + } + + def optimise() { + val duplicateds = primes.filter(prime => verifyTrueFalse(primes.filterNot(_ == prime), trueTerms, falseTerms)) + if(duplicateds.nonEmpty) { + primes -= duplicateds.maxBy(_.care.bitCount) + optimise() + } + } + + optimise() + + verifyTrueFalse(primes, trueTerms, falseTerms) + var duplication = 0 + for(prime <- primes){ + if(verifyTrueFalse(primes.filterNot(_ == prime), trueTerms, falseTerms)){ + duplication += 1 + } + } + if(duplication != 0){ + PendingError(s"Duplicated primes : $duplication") + } + primes.toSeq + } + + //Verify that the 'terms' doesn't violate the trueTerms ++ falseTerms spec + def verifyTrueFalse(terms : Iterable[Masked], trueTerms : Seq[Masked], falseTerms : Seq[Masked]): Boolean ={ + return (trueTerms.forall(trueTerm => terms.exists(_ covers trueTerm))) && (falseTerms.forall(falseTerm => !terms.exists(_ covers falseTerm))) + } + + def checkTrue(terms : Iterable[Masked], trueTerms : Seq[Masked]): Boolean ={ + return trueTerms.forall(trueTerm => terms.exists(_ covers trueTerm)) + } + + + def getPrimeImplicantsByTrue(trueTerms: Seq[Masked], inputWidth : Int) : Seq[Masked] = getPrimeImplicantsByTrueAndDontCare(trueTerms, Nil, inputWidth) + + // Return primes implicants for the trueTerms, default value is False. + // You can insert don't care values by adding non-prime implicants in the trueTerms + // Will simplify the trueTerms from the most constrained ones to the least constrained ones + def getPrimeImplicantsByTrueAndDontCare(trueTerms: Seq[Masked],dontCareTerms: Seq[Masked], inputWidth : Int): Seq[Masked] = { + val primes = mutable.LinkedHashSet[Masked]() + trueTerms.foreach(_.isPrime = true) + dontCareTerms.foreach(_.isPrime = false) + val termsByCareCount = (inputWidth to 0 by -1).map(b => (trueTerms ++ dontCareTerms).filter(b == _.care.bitCount)) + //table[Vector[HashSet[Masked]]](careCount)(bitSetCount) + val table = termsByCareCount.map(c => (0 to inputWidth).map(b => collection.mutable.Set(c.filter(m => b == m.value.bitCount): _*))) + for (i <- 0 to inputWidth) { + for (j <- 0 until inputWidth - i){ + for(term <- table(i)(j)){ + table(i+1)(j) ++= table(i)(j+1).withFilter(_.isSimilarOneBitDifSmaller(term)).map(_.mergeOneBitDifSmaller(term)) + } + } + for (r <- table(i)) + for (p <- r; if p.isPrime) + primes += p + } + + + def optimise() { + val duplicateds = primes.filter(prime => checkTrue(primes.filterNot(_ == prime), trueTerms)) + if(duplicateds.nonEmpty) { + primes -= duplicateds.maxBy(_.care.bitCount) + optimise() + } + } + + optimise() + + + var duplication = 0 + for(prime <- primes){ + if(checkTrue(primes.filterNot(_ == prime), trueTerms)){ + duplication += 1 + } + } + if(duplication != 0){ + PendingError(s"Duplicated primes : $duplication") + } + primes.toSeq + } + + def main(args: Array[String]) { + { + // val default = Masked(0, 0xF) + // val primeImplicants = List(4, 8, 10, 11, 12, 15).map(v => Masked(v, 0xF)) + // val dcImplicants = List(9, 14).map(v => Masked(v, 0xF).setPrime(false)) + // val reducedPrimeImplicants = getPrimeImplicantsByTrueAndDontCare(primeImplicants, dcImplicants, 4) + // println("UUT") + // println(reducedPrimeImplicants.map(_.toString(4)).mkString("\n")) + // println("REF") + // println("-100\n10--\n1--0\n1-1-") + } + + { + val primeImplicants = List(0).map(v => Masked(v, 0xF)) + val dcImplicants = (1 to 15).map(v => Masked(v, 0xF)) + val reducedPrimeImplicants = getPrimeImplicantsByTrueAndDontCare(primeImplicants, dcImplicants, 4) + println("UUT") + println(reducedPrimeImplicants.map(_.toString(4)).mkString("\n")) + } + { + val trueTerms = List(0, 15).map(v => Masked(v, 0xF)) + val falseTerms = List(3).map(v => Masked(v, 0xF)) + val primes = getPrimeImplicantsByTrueAndFalse(trueTerms, falseTerms, 4) + println(primes.map(_.toString(4)).mkString("\n")) + } + } +} \ No newline at end of file diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/DivPlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/DivPlugin.scala new file mode 100644 index 0000000..c20dcb3 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/DivPlugin.scala @@ -0,0 +1,75 @@ +package vexriscv.plugin + +import vexriscv.{VexRiscv, _} +import spinal.core._ + +// DivPlugin was by the past a standalone plugin, but now it use the MulDivIterativePlugin implementation +class DivPlugin extends MulDivIterativePlugin(genMul = false, genDiv = true, mulUnrollFactor = 1, divUnrollFactor = 1) + +//import spinal.lib.math.MixedDivider +// +//class DivPlugin extends Plugin[VexRiscv]{ +// object IS_DIV extends Stageable(Bool) +// +// override def setup(pipeline: VexRiscv): Unit = { +// import Riscv._ +// import pipeline.config._ +// +// val actions = List[(Stageable[_ <: BaseType],Any)]( +// SRC1_CTRL -> Src1CtrlEnum.RS, +// SRC2_CTRL -> Src2CtrlEnum.RS, +// REGFILE_WRITE_VALID -> True, +// BYPASSABLE_EXECUTE_STAGE -> False, +// BYPASSABLE_MEMORY_STAGE -> True, +// RS1_USE -> True, +// RS2_USE -> True, +// IS_DIV -> True +// ) +// +// val decoderService = pipeline.service(classOf[DecoderService]) +// decoderService.addDefault(IS_DIV, False) +// decoderService.add(List( +// DIVX -> actions +// )) +// +// } +// +// override def build(pipeline: VexRiscv): Unit = { +// import pipeline._ +// import pipeline.config._ +// +// val divider = new MixedDivider(32, 32, true) //cmd >-> rsp +// +// //Send request to the divider component +// execute plug new Area { +// import execute._ +// +// divider.io.cmd.valid := False +// divider.io.cmd.numerator := input(SRC1) +// divider.io.cmd.denominator := input(SRC2) +// divider.io.cmd.signed := !input(INSTRUCTION)(12) +// +// when(arbitration.isValid && input(IS_DIV)) { +// divider.io.cmd.valid := !arbitration.isStuckByOthers && !arbitration.removeIt +// arbitration.haltItself := memory.arbitration.isValid && memory.input(IS_DIV) +// } +// } +// +// //Collect response from the divider component, REGFILE_WRITE_DATA overriding +// memory plug new Area{ +// import memory._ +// +// divider.io.flush := memory.arbitration.removeIt +// divider.io.rsp.ready := !arbitration.isStuckByOthers +// +// when(arbitration.isValid && input(IS_DIV)) { +// arbitration.haltItself := !divider.io.rsp.valid +// +// output(REGFILE_WRITE_DATA) := Mux(input(INSTRUCTION)(13), divider.io.rsp.remainder, divider.io.rsp.quotient).asBits +// } +// +// +// divider.io.rsp.payload.error.allowPruning +// } +// } +// } diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/DummyFencePlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/DummyFencePlugin.scala new file mode 100644 index 0000000..7efbaac --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/DummyFencePlugin.scala @@ -0,0 +1,22 @@ +package vexriscv.plugin + +import spinal.core._ +import vexriscv.{VexRiscv, _} + +class DummyFencePlugin extends Plugin[VexRiscv]{ + + override def setup(pipeline: VexRiscv): Unit = { + import Riscv._ + import pipeline.config._ + + val decoderService = pipeline.service(classOf[DecoderService]) + decoderService.add(FENCE_I, Nil) + decoderService.add(FENCE, Nil) + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + //Dummy + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/ExternalInterruptArrayPlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/ExternalInterruptArrayPlugin.scala new file mode 100644 index 0000000..43d32f0 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/ExternalInterruptArrayPlugin.scala @@ -0,0 +1,30 @@ +package vexriscv.plugin + +import spinal.core._ +import vexriscv.VexRiscv + +class ExternalInterruptArrayPlugin(arrayWidth : Int = 32, + machineMaskCsrId : Int = 0xBC0, + machinePendingsCsrId : Int = 0xFC0, + supervisorMaskCsrId : Int = 0x9C0, + supervisorPendingsCsrId : Int = 0xDC0) extends Plugin[VexRiscv]{ + var externalInterruptArray : Bits = null + + override def setup(pipeline: VexRiscv): Unit = { + externalInterruptArray = in(Bits(arrayWidth bits)).setName("externalInterruptArray") + } + + override def build(pipeline: VexRiscv): Unit = { + val csr = pipeline.service(classOf[CsrPlugin]) + val externalInterruptArrayBuffer = RegNext(externalInterruptArray) + def gen(maskCsrId : Int, pendingsCsrId : Int, interruptPin : Bool) = new Area { + val mask = Reg(Bits(arrayWidth bits)) init(0) + val pendings = mask & externalInterruptArrayBuffer + interruptPin.setAsDirectionLess() := pendings.orR + csr.rw(maskCsrId, mask) + csr.r(pendingsCsrId, pendings) + } + gen(machineMaskCsrId, machinePendingsCsrId, csr.externalInterrupt) + if(csr.config.supervisorGen) gen(supervisorMaskCsrId, supervisorPendingsCsrId, csr.externalInterruptS) + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/Fetcher.scala b/VexRiscv/src/main/scala/vexriscv/plugin/Fetcher.scala new file mode 100644 index 0000000..14450a1 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/Fetcher.scala @@ -0,0 +1,637 @@ +package vexriscv.plugin + +import vexriscv._ +import spinal.core._ +import spinal.lib._ +import vexriscv.Riscv.IMM +import StreamVexPimper._ +import scala.collection.mutable.ArrayBuffer + + +//TODO val killLastStage = jump.pcLoad.valid || decode.arbitration.isRemoved +// DBUSSimple check memory halt execute optimization + +abstract class IBusFetcherImpl(val resetVector : BigInt, + val keepPcPlus4 : Boolean, + val decodePcGen : Boolean, + val compressedGen : Boolean, + val cmdToRspStageCount : Int, + val allowPcRegReusedForSecondStage : Boolean, + val injectorReadyCutGen : Boolean, + val prediction : BranchPrediction, + val historyRamSizeLog2 : Int, + val injectorStage : Boolean, + val relaxPredictorAddress : Boolean, + val fetchRedoGen : Boolean, + val predictionBuffer : Boolean = true) extends Plugin[VexRiscv] with JumpService with IBusFetcher{ + var prefetchExceptionPort : Flow[ExceptionCause] = null + var decodePrediction : DecodePredictionBus = null + var fetchPrediction : FetchPredictionBus = null + var dynamicTargetFailureCorrection : Flow[UInt] = null + var externalResetVector : UInt = null + assert(cmdToRspStageCount >= 1) +// assert(!(cmdToRspStageCount == 1 && !injectorStage)) + assert(!(compressedGen && !decodePcGen)) + var fetcherHalt : Bool = null + var forceNoDecodeCond : Bool = null + var pcValids : Vec[Bool] = null + def pcValid(stage : Stage) = pcValids(pipeline.indexOf(stage)) + var incomingInstruction : Bool = null + override def incoming() = incomingInstruction + + + override def withRvc(): Boolean = compressedGen + + var injectionPort : Stream[Bits] = null + override def getInjectionPort() = { + injectionPort = Stream(Bits(32 bits)) + injectionPort + } + def pcRegReusedForSecondStage = allowPcRegReusedForSecondStage && prediction != DYNAMIC_TARGET //TODO might not be required for DYNAMIC_TARGET + var predictionJumpInterface : Flow[UInt] = null + + override def haltIt(): Unit = fetcherHalt := True + override def forceNoDecode(): Unit = forceNoDecodeCond := True + case class JumpInfo(interface : Flow[UInt], stage: Stage, priority : Int) + val jumpInfos = ArrayBuffer[JumpInfo]() + override def createJumpInterface(stage: Stage, priority : Int = 0): Flow[UInt] = { + assert(stage != null) + val interface = Flow(UInt(32 bits)) + jumpInfos += JumpInfo(interface,stage, priority) + interface + } + + +// var decodeExceptionPort : Flow[ExceptionCause] = null + override def setup(pipeline: VexRiscv): Unit = { + fetcherHalt = False + forceNoDecodeCond = False + incomingInstruction = False + if(resetVector == null) externalResetVector = in(UInt(32 bits).setName("externalResetVector")) + + prediction match { + case NONE => + case STATIC | DYNAMIC => { + predictionJumpInterface = createJumpInterface(pipeline.decode) + decodePrediction = pipeline.service(classOf[PredictionInterface]).askDecodePrediction() + } + case DYNAMIC_TARGET => { + fetchPrediction = pipeline.service(classOf[PredictionInterface]).askFetchPrediction() + } + } + + pcValids = Vec(Bool, pipeline.stages.size) + } + + object IBUS_RSP + object DECOMPRESSOR + object INJECTOR_M2S + + def isDrivingDecode(s : Any): Boolean = { + if(injectorStage) return s == INJECTOR_M2S + s == IBUS_RSP || s == DECOMPRESSOR + } + + + + class FetchArea(pipeline : VexRiscv) extends Area { + import pipeline._ + import pipeline.config._ + val externalFlush = stages.map(_.arbitration.flushNext).orR + + def getFlushAt(s : Any, lastCond : Boolean = true): Bool = { + if(isDrivingDecode(s) && lastCond) pipeline.decode.arbitration.isRemoved else externalFlush + } + + //Arbitrate jump requests into pcLoad + val jump = new Area { + val sortedByStage = jumpInfos.sortWith((a, b) => { + (pipeline.indexOf(a.stage) > pipeline.indexOf(b.stage)) || + (pipeline.indexOf(a.stage) == pipeline.indexOf(b.stage) && a.priority > b.priority) + }) + val valids = sortedByStage.map(_.interface.valid) + val pcs = sortedByStage.map(_.interface.payload) + + val pcLoad = Flow(UInt(32 bits)) + pcLoad.valid := jumpInfos.map(_.interface.valid).orR + pcLoad.payload := MuxOH(OHMasking.first(valids.asBits), pcs) + } + + + + //The fetchPC pcReg can also be use for the second stage of the fetch + //When the fetcherHalt is set and the pipeline isn't stalled,, the pc is propagated to to the pcReg, which allow + //using the pc pipeline to get the next PC value for interrupts + val fetchPc = new Area{ + //PC calculation without Jump + val output = Stream(UInt(32 bits)) + val pcReg = Reg(UInt(32 bits)) init(if(resetVector != null) resetVector else externalResetVector) addAttribute(Verilator.public) + val correction = False + val correctionReg = RegInit(False) setWhen(correction) clearWhen(output.fire) + val corrected = correction || correctionReg + val pcRegPropagate = False + val booted = RegNext(True) init (False) + val inc = RegInit(False) clearWhen(correction || pcRegPropagate) setWhen(output.fire) clearWhen(!output.valid && output.ready) + val pc = pcReg + (inc ## B"00").asUInt + val predictionPcLoad = ifGen(prediction == DYNAMIC_TARGET) (Flow(UInt(32 bits))) + val redo = (fetchRedoGen || prediction == DYNAMIC_TARGET) generate Flow(UInt(32 bits)) + val flushed = False + + if(compressedGen) when(inc) { + pc(1) := False + } + + if(predictionPcLoad != null) { + when(predictionPcLoad.valid) { + correction := True + pc := predictionPcLoad.payload + } + } + if(redo != null) when(redo.valid){ + correction := True + pc := redo.payload + flushed := True + } + when(jump.pcLoad.valid) { + correction := True + pc := jump.pcLoad.payload + flushed := True + } + + when(booted && (output.ready || correction || pcRegPropagate)){ + pcReg := pc + } + + pc(0) := False + if(!compressedGen) pc(1) := False + + output.valid := !fetcherHalt && booted + output.payload := pc + } + + val decodePc = ifGen(decodePcGen)(new Area { + //PC calculation without Jump + val flushed = False + val pcReg = Reg(UInt(32 bits)) init(if(resetVector != null) resetVector else externalResetVector) addAttribute(Verilator.public) + val pcPlus = if(compressedGen) + pcReg + ((decode.input(IS_RVC)) ? U(2) | U(4)) + else + pcReg + 4 + + if (keepPcPlus4) KeepAttribute(pcPlus) + val injectedDecode = False + when(decode.arbitration.isFiring && !injectedDecode) { + pcReg := pcPlus + } + + val predictionPcLoad = ifGen(prediction == DYNAMIC_TARGET) (Flow(UInt(32 bits))) + if(prediction == DYNAMIC_TARGET) { + when(predictionPcLoad.valid && !forceNoDecodeCond) { + pcReg := predictionPcLoad.payload + } + } + + //application of the selected jump request + when(jump.pcLoad.valid && (!decode.arbitration.isStuck || decode.arbitration.isRemoved)) { + pcReg := jump.pcLoad.payload + flushed := True + } + }) + + + case class FetchRsp() extends Bundle { + val pc = UInt(32 bits) + val rsp = IBusSimpleRsp() + val isRvc = Bool() + } + + + val iBusRsp = new Area { + val redoFetch = False + val stages = Array.fill(cmdToRspStageCount + 1)(new Bundle { + val input = Stream(UInt(32 bits)) + val output = Stream(UInt(32 bits)) + val halt = Bool() + }) + + stages(0).input << fetchPc.output + for(s <- stages) { + s.halt := False + s.output << s.input.haltWhen(s.halt) + } + + if(fetchPc.redo != null) { + fetchPc.redo.valid := redoFetch + fetchPc.redo.payload := stages.last.input.payload + } + + val flush = (if(isDrivingDecode(IBUS_RSP)) pipeline.decode.arbitration.isRemoved || decode.arbitration.flushNext && !decode.arbitration.isStuck else externalFlush) || redoFetch + for((s,sNext) <- (stages, stages.tail).zipped) { + val sFlushed = if(s != stages.head) flush else False + val sNextFlushed = flush + if(s == stages.head && pcRegReusedForSecondStage) { + sNext.input.arbitrationFrom(s.output.toEvent().m2sPipeWithFlush(sNextFlushed, false, collapsBubble = false, flushInput = sFlushed)) + sNext.input.payload := fetchPc.pcReg + fetchPc.pcRegPropagate setWhen(sNext.input.ready) + } else { + sNext.input << s.output.m2sPipeWithFlush(sNextFlushed, false, collapsBubble = false, flushInput = sFlushed) + } + } + + val readyForError = True + val output = Stream(FetchRsp()) + incomingInstruction setWhen(stages.tail.map(_.input.valid).reduce(_ || _)) + } + + val decompressor = ifGen(decodePcGen)(new Area{ + val input = iBusRsp.output.clearValidWhen(iBusRsp.redoFetch) + val output = Stream(FetchRsp()) + val flush = getFlushAt(DECOMPRESSOR) + val flushNext = if(isDrivingDecode(DECOMPRESSOR)) decode.arbitration.flushNext else False + val consumeCurrent = if(isDrivingDecode(DECOMPRESSOR)) flushNext && output.ready else False + + val bufferValid = RegInit(False) + val bufferData = Reg(Bits(16 bits)) + + val isInputLowRvc = input.rsp.inst(1 downto 0) =/= 3 + val isInputHighRvc = input.rsp.inst(17 downto 16) =/= 3 + val throw2BytesReg = RegInit(False) + val throw2Bytes = throw2BytesReg || input.pc(1) + val unaligned = throw2Bytes || bufferValid + def aligned = !unaligned + + //Latch and patches are there to ensure that the decoded instruction do not mutate while being halted and unscheduled to ensure FpuPlugin cmd fork from consistancy + val bufferValidLatch = RegNextWhen(bufferValid, input.valid) + val throw2BytesLatch = RegNextWhen(throw2Bytes, input.valid) + val bufferValidPatched = input.valid ? bufferValid | bufferValidLatch + val throw2BytesPatched = input.valid ? throw2Bytes | throw2BytesLatch + + val raw = Mux( + sel = bufferValidPatched, + whenTrue = input.rsp.inst(15 downto 0) ## bufferData, + whenFalse = input.rsp.inst(31 downto 16) ## (throw2BytesPatched ? input.rsp.inst(31 downto 16) | input.rsp.inst(15 downto 0)) + ) + val isRvc = raw(1 downto 0) =/= 3 + val decompressed = RvcDecompressor(raw(15 downto 0), pipeline.config.withRvf, pipeline.config.withRvd) + output.valid := input.valid && !(throw2Bytes && !bufferValid && !isInputHighRvc) + output.pc := input.pc + output.isRvc := isRvc + output.rsp.inst := isRvc ? decompressed | raw + input.ready := output.ready && (!iBusRsp.stages.last.input.valid || flushNext || (!(bufferValid && isInputHighRvc) && !(aligned && isInputLowRvc && isInputHighRvc))) + + when(output.fire){ + throw2BytesReg := (aligned && isInputLowRvc && isInputHighRvc) || (bufferValid && isInputHighRvc) + } + val bufferFill = (aligned && isInputLowRvc && !isInputHighRvc) || (bufferValid && !isInputHighRvc) || (throw2Bytes && !isRvc && !isInputHighRvc) + when(output.ready && input.valid){ + bufferValid := False + } + when(output.ready && input.valid){ + bufferData := input.rsp.inst(31 downto 16) + bufferValid setWhen(bufferFill) + } + + when(flush || consumeCurrent){ + throw2BytesReg := False + bufferValid := False + } + + if(fetchPc.redo != null) { + fetchPc.redo.payload(1) setWhen(throw2BytesReg) + } + }) + + + def condApply[T](that : T, cond : Boolean)(func : (T) => T) = if(cond)func(that) else that + val injector = new Area { + val inputBeforeStage = condApply(if (decodePcGen) decompressor.output else iBusRsp.output, injectorReadyCutGen)(_.s2mPipe(externalFlush)) + if (injectorReadyCutGen) { + iBusRsp.readyForError.clearWhen(inputBeforeStage.valid) //Can't emit error if there is a instruction pending in the s2mPipe + incomingInstruction setWhen (inputBeforeStage.valid) + } + val decodeInput = (if (injectorStage) { + val flushStage = getFlushAt(INJECTOR_M2S) + val decodeInput = inputBeforeStage.m2sPipeWithFlush(flushStage, false, collapsBubble = false, flushInput = externalFlush) + decode.insert(INSTRUCTION_ANTICIPATED) := Mux(decode.arbitration.isStuck, decode.input(INSTRUCTION), inputBeforeStage.rsp.inst) + iBusRsp.readyForError.clearWhen(decodeInput.valid) //Can't emit error when there is a instruction pending in the injector stage buffer + incomingInstruction setWhen (decodeInput.valid) + decodeInput + } else { + inputBeforeStage + }) + + if(!decodePcGen) iBusRsp.readyForError.clearWhen(!pcValid(decode)) //Need to wait a valid PC on the decode stage, as it is use to fill CSR xEPC + + + def pcUpdatedGen(input : Bool, stucks : Seq[Bool], relaxedInput : Boolean, flush : Bool) : Seq[Bool] = { + stucks.scanLeft(input)((i, stuck) => { + val reg = RegInit(False) + if(!relaxedInput) when(flush) { + reg := False + } + when(!stuck) { + reg := i + } + if(relaxedInput || i != input) when(flush) { + reg := False + } + reg + }).tail + } + + val stagesFromExecute = stages.dropWhile(_ != execute).toList + val nextPcCalc = if (decodePcGen) new Area{ + val valids = pcUpdatedGen(True, False :: stagesFromExecute.map(_.arbitration.isStuck), true, decodePc.flushed) + pcValids := Vec(valids.takeRight(stages.size)) + } else new Area{ + val valids = pcUpdatedGen(True, iBusRsp.stages.tail.map(!_.input.ready) ++ (if (injectorStage) List(!decodeInput.ready) else Nil) ++ stagesFromExecute.map(_.arbitration.isStuck), false, fetchPc.flushed) + pcValids := Vec(valids.takeRight(stages.size)) + } + + decodeInput.ready := !decode.arbitration.isStuck + decode.arbitration.isValid := decodeInput.valid + decode.insert(PC) := (if (decodePcGen) decodePc.pcReg else decodeInput.pc) + decode.insert(INSTRUCTION) := decodeInput.rsp.inst + if (compressedGen) decode.insert(IS_RVC) := decodeInput.isRvc + + if (injectionPort != null) { + Component.current.addPrePopTask(() => { + val state = RegInit(U"000") + + injectionPort.ready := False + if(decodePcGen){ + decodePc.injectedDecode setWhen(state =/= 0) + } + switch(state) { + is(0) { //request pipelining + when(injectionPort.valid) { + state := 1 + } + } + is(1) { //Give time to propagate the payload + state := 2 + } + is(2){ //read regfile delay + decode.arbitration.isValid := True + decode.arbitration.haltItself := True + state := 3 + } + is(3){ //Do instruction + decode.arbitration.isValid := True + when(!decode.arbitration.isStuck) { + state := 4 + } + } + is(4){ //request pipelining + injectionPort.ready := True + state := 0 + } + } + + //Check if the decode instruction is driven by a register + val instructionDriver = try { + decode.input(INSTRUCTION).getDrivingReg + } catch { + case _: Throwable => null + } + if (instructionDriver != null) { //If yes => + //Insert the instruction by writing the "fetch to decode instruction register", + // Work even if it need to cross some hierarchy (caches) + instructionDriver.component.rework { + when(state.pull() =/= 0) { + instructionDriver := injectionPort.payload.pull() + } + } + } else { + //Insert the instruction via a mux in the decode stage + when(state =/= 0) { + decode.input(INSTRUCTION) := RegNext(injectionPort.payload) + } + } + }) + } + + Component.current.addPrePopTask(() => { + decode.arbitration.isValid clearWhen(forceNoDecodeCond) + }) + + //Formal verification signals generation, miss prediction stuff ? + val formal = new Area { + val raw = if(compressedGen) decompressor.raw else inputBeforeStage.rsp.inst + val rawInDecode = Delay(raw, if(injectorStage) 1 else 0, when = decodeInput.ready) + decode.insert(FORMAL_INSTRUCTION) := rawInDecode + + decode.insert(FORMAL_PC_NEXT) := (if (compressedGen) + decode.input(PC) + ((decode.input(IS_RVC)) ? U(2) | U(4)) + else + decode.input(PC) + 4) + + if(decodePc != null && decodePc.predictionPcLoad != null){ + when(decodePc.predictionPcLoad.valid){ + decode.insert(FORMAL_PC_NEXT) := decodePc.predictionPcLoad.payload + } + } + + jumpInfos.foreach(info => { + when(info.interface.valid) { + info.stage.output(FORMAL_PC_NEXT) := info.interface.payload + } + }) + } + } + + def stage1ToInjectorPipe[T <: Data](input : T): (T, T, T) ={ + val iBusRspContext = iBusRsp.stages.drop(1).dropRight(1).foldLeft(input)((data,stage) => RegNextWhen(data, stage.output.ready)) + + val iBusRspContextOutput = cloneOf(input) + iBusRspContextOutput := iBusRspContext + val injectorContext = Delay(iBusRspContextOutput, cycleCount=if(injectorStage) 1 else 0, when=injector.decodeInput.ready) + val injectorContextWire = cloneOf(input) //Allow combinatorial override + injectorContextWire := injectorContext + (iBusRspContext, iBusRspContextOutput, injectorContextWire) + } + + val predictor = prediction match { + case NONE => + case STATIC | DYNAMIC => { + def historyWidth = 2 + val dynamic = ifGen(prediction == DYNAMIC) (new Area { + case class BranchPredictorLine() extends Bundle{ + val history = SInt(historyWidth bits) + } + + val historyCache = Mem(BranchPredictorLine(), 1 << historyRamSizeLog2) + val historyWrite = historyCache.writePort + val historyWriteLast = RegNextWhen(historyWrite, iBusRsp.stages(0).output.ready) + val hazard = historyWriteLast.valid && historyWriteLast.address === (iBusRsp.stages(0).input.payload >> 2).resized + + case class DynamicContext() extends Bundle{ + val hazard = Bool + val line = BranchPredictorLine() + } + val fetchContext = DynamicContext() + fetchContext.hazard := hazard + fetchContext.line := historyCache.readSync((fetchPc.output.payload >> 2).resized, iBusRsp.stages(0).output.ready || externalFlush) + + object PREDICTION_CONTEXT extends Stageable(DynamicContext()) + decode.insert(PREDICTION_CONTEXT) := stage1ToInjectorPipe(fetchContext)._3 + val decodeContextPrediction = decode.input(PREDICTION_CONTEXT).line.history.msb + + val branchStage = decodePrediction.stage + val branchContext = branchStage.input(PREDICTION_CONTEXT) + val moreJump = decodePrediction.rsp.wasWrong ^ branchContext.line.history.msb + + historyWrite.address := branchStage.input(PC)(2, historyRamSizeLog2 bits) + (if(pipeline.config.withRvc) + ((!branchStage.input(IS_RVC) && branchStage.input(PC)(1)) ? U(1) | U(0)) + else + U(0)) + + historyWrite.data.history := branchContext.line.history + (moreJump ? S(-1) | S(1)) + val sat = (branchContext.line.history === (moreJump ? S(branchContext.line.history.minValue) | S(branchContext.line.history.maxValue))) + historyWrite.valid := !branchContext.hazard && branchStage.arbitration.isFiring && branchStage.input(BRANCH_CTRL) === BranchCtrlEnum.B && !sat + }) + + + val imm = IMM(decode.input(INSTRUCTION)) + + val conditionalBranchPrediction = prediction match { + case STATIC => imm.b_sext.msb + case DYNAMIC => dynamic.decodeContextPrediction + } + + decodePrediction.cmd.hadBranch := decode.input(BRANCH_CTRL) === BranchCtrlEnum.JAL || (decode.input(BRANCH_CTRL) === BranchCtrlEnum.B && conditionalBranchPrediction) + + val noPredictionOnMissaligned = (!pipeline.config.withRvc) generate new Area{ + val missaligned = decode.input(BRANCH_CTRL).mux( + BranchCtrlEnum.JAL -> imm.j_sext(1), + default -> imm.b_sext(1) + ) + decodePrediction.cmd.hadBranch clearWhen(missaligned) + } + + //TODO no more fireing depedancies + predictionJumpInterface.valid := decode.arbitration.isValid && decodePrediction.cmd.hadBranch + predictionJumpInterface.payload := decode.input(PC) + ((decode.input(BRANCH_CTRL) === BranchCtrlEnum.JAL) ? imm.j_sext | imm.b_sext).asUInt + decode.arbitration.flushNext setWhen(predictionJumpInterface.valid) + + if(relaxPredictorAddress) KeepAttribute(predictionJumpInterface.payload) + } + case DYNAMIC_TARGET => new Area{ +// assert(!compressedGen || cmdToRspStageCount == 1, "Can't combine DYNAMIC_TARGET and RVC as it could stop the instruction fetch mid-air") + + case class BranchPredictorLine() extends Bundle{ + val source = Bits(30 - historyRamSizeLog2 bits) + val branchWish = UInt(2 bits) + val last2Bytes = ifGen(compressedGen)(Bool) + val target = UInt(32 bits) + } + + val history = Mem(BranchPredictorLine(), 1 << historyRamSizeLog2) + val historyWriteDelayPatched = history.writePort + val historyWrite = cloneOf(historyWriteDelayPatched) + historyWriteDelayPatched.valid := historyWrite.valid + historyWriteDelayPatched.address := (if(predictionBuffer) historyWrite.address - 1 else historyWrite.address) + historyWriteDelayPatched.data := historyWrite.data + + + val writeLast = RegNextWhen(historyWriteDelayPatched, iBusRsp.stages(0).output.ready) + + //Avoid write to read hazard + val buffer = predictionBuffer generate new Area{ + val line = history.readSync((iBusRsp.stages(0).input.payload >> 2).resized, iBusRsp.stages(0).output.ready) + val pcCorrected = RegNextWhen(fetchPc.corrected, iBusRsp.stages(0).input.ready) + val hazard = (writeLast.valid && writeLast.address === (iBusRsp.stages(1).input.payload >> 2).resized) + } + + val (line, hazard) = predictionBuffer match { + case true => + (RegNextWhen(buffer.line, iBusRsp.stages(0).output.ready), + RegNextWhen(buffer.hazard, iBusRsp.stages(0).output.ready) || buffer.pcCorrected) + case false => + (history.readSync((iBusRsp.stages(0).input.payload >> 2).resized, + iBusRsp.stages(0).output.ready), writeLast.valid && writeLast.address === (iBusRsp.stages(1).input.payload >> 2).resized) + } + + val hit = line.source === (iBusRsp.stages(1).input.payload.asBits >> 2 + historyRamSizeLog2) + if(compressedGen) hit clearWhen(!line.last2Bytes && iBusRsp.stages(1).input.payload(1)) + + fetchPc.predictionPcLoad.valid := line.branchWish.msb && hit && !hazard && iBusRsp.stages(1).input.valid + fetchPc.predictionPcLoad.payload := line.target + + case class PredictionResult() extends Bundle{ + val hazard = Bool + val hit = Bool + val line = BranchPredictorLine() + } + + val fetchContext = PredictionResult() + fetchContext.hazard := hazard + fetchContext.hit := hit + fetchContext.line := line + + val (iBusRspContext, iBusRspContextOutput, injectorContext) = stage1ToInjectorPipe(fetchContext) + + object PREDICTION_CONTEXT extends Stageable(PredictionResult()) + pipeline.decode.insert(PREDICTION_CONTEXT) := injectorContext + val branchStage = fetchPrediction.stage + val branchContext = branchStage.input(PREDICTION_CONTEXT) + + fetchPrediction.cmd.hadBranch := branchContext.hit && !branchContext.hazard && branchContext.line.branchWish.msb + fetchPrediction.cmd.targetPc := branchContext.line.target + + + historyWrite.valid := False + historyWrite.address := fetchPrediction.rsp.sourceLastWord(2, historyRamSizeLog2 bits) + historyWrite.data.source := fetchPrediction.rsp.sourceLastWord.asBits >> 2 + historyRamSizeLog2 + historyWrite.data.target := fetchPrediction.rsp.finalPc + if(compressedGen) historyWrite.data.last2Bytes := fetchPrediction.stage.input(PC)(1) && fetchPrediction.stage.input(IS_RVC) + + when(fetchPrediction.rsp.wasRight) { + historyWrite.valid := branchContext.hit + historyWrite.data.branchWish := branchContext.line.branchWish + (branchContext.line.branchWish === 2).asUInt - (branchContext.line.branchWish === 1).asUInt + } otherwise { + when(branchContext.hit) { + historyWrite.valid := True + historyWrite.data.branchWish := branchContext.line.branchWish - (branchContext.line.branchWish.msb).asUInt + (!branchContext.line.branchWish.msb).asUInt + } otherwise { + historyWrite.valid := True + historyWrite.data.branchWish := "10" + } + } + + historyWrite.valid clearWhen(branchContext.hazard || !branchStage.arbitration.isFiring) + + val compressor = compressedGen generate new Area{ + val predictionBranch = iBusRspContext.hit && !iBusRspContext.hazard && iBusRspContext.line.branchWish(1) + val unalignedWordIssue = iBusRsp.output.valid && predictionBranch && iBusRspContext.line.last2Bytes && Mux(decompressor.unaligned, !decompressor.isInputHighRvc, decompressor.isInputLowRvc && !decompressor.isInputHighRvc) + + when(unalignedWordIssue){ + historyWrite.valid := True + historyWrite.address := (iBusRsp.stages(1).input.payload >> 2).resized + historyWrite.data.branchWish := 0 + + iBusRsp.redoFetch := True + } + + //Do not trigger prediction hit when it is one for the upper RVC word and we aren't there yet + iBusRspContextOutput.hit clearWhen(iBusRspContext.line.last2Bytes && (decompressor.bufferValid || (!decompressor.throw2Bytes && decompressor.isInputLowRvc))) + + decodePc.predictionPcLoad.valid := injectorContext.line.branchWish.msb && injectorContext.hit && !injectorContext.hazard && injector.decodeInput.fire + decodePc.predictionPcLoad.payload := injectorContext.line.target + + //Clean the RVC buffer when a prediction was made + when(iBusRspContext.line.branchWish.msb && iBusRspContextOutput.hit && !iBusRspContext.hazard && decompressor.output.fire){ + decompressor.bufferValid := False + decompressor.throw2BytesReg := False + decompressor.input.ready := True //Drop the remaining byte if any + } + } + } + } + + def stageXToIBusRsp[T <: Data](stage : Any, input : T): (T) ={ + iBusRsp.stages.dropWhile(_ != stage).tail.foldLeft(input)((data,stage) => RegNextWhen(data, stage.output.ready)) + } + + } +} \ No newline at end of file diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/FormalPlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/FormalPlugin.scala new file mode 100644 index 0000000..2d70ebd --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/FormalPlugin.scala @@ -0,0 +1,135 @@ +package vexriscv.plugin + +import spinal.core._ +import spinal.lib._ +import vexriscv.VexRiscv + +case class RvfiPortRsRead() extends Bundle{ + val addr = UInt(5 bits) + val rdata = Bits(32 bits) +} + +case class RvfiPortRsWrite() extends Bundle{ + val addr = UInt(5 bits) + val wdata = Bits(32 bits) +} + +case class RvfiPortPc() extends Bundle{ + val rdata = UInt(32 bits) + val wdata = UInt(32 bits) +} + + +case class RvfiPortMem() extends Bundle{ + val addr = UInt(32 bits) + val rmask = Bits(4 bits) + val wmask = Bits(4 bits) + val rdata = Bits(32 bits) + val wdata = Bits(32 bits) +} + +case class RvfiPort() extends Bundle with IMasterSlave { + val valid = Bool + val order = UInt(64 bits) + val insn = Bits(32 bits) + val trap = Bool + val halt = Bool + val intr = Bool + val mode = Bits(2 bits) + val ixl = Bits(2 bits) + val rs1 = RvfiPortRsRead() + val rs2 = RvfiPortRsRead() + val rd = RvfiPortRsWrite() + val pc = RvfiPortPc() + val mem = RvfiPortMem() + + override def asMaster(): Unit = out(this) +} + + +//Tool stuff +//https://www.reddit.com/r/yosys/comments/77g5hn/unsupported_cell_type_error_adff/ +//rd_addr == 0 => no rd_wdata check +//instruction that doesn't use RSx have to force the formal port address to zero + +//feature added +//Halt CPU on decoding exception + +//VexRiscv changes +// + +//VexRiscv bug +//1) pcManagerService.createJumpInterface(pipeline.execute) +// pcManagerService.createJumpInterface(if(earlyBranch) pipeline.execute else pipeline.memory) +//2) JALR => clear PC(0) +//3) input(INSTRUCTION)(5) REGFILE_WRITE_VALID memory read with exception would not fire properly + +class FormalPlugin extends Plugin[VexRiscv]{ + + var rvfi : RvfiPort = null + + + override def setup(pipeline: VexRiscv): Unit = { + rvfi = master(RvfiPort()).setName("rvfi") + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + import vexriscv.Riscv._ + + writeBack plug new Area{ + import writeBack._ + + val order = Reg(UInt(64 bits)) init(0) + when(arbitration.isFiring){ + order := order + 1 + } + + + rvfi.valid := arbitration.isFiring + rvfi.order := order + rvfi.insn := output(FORMAL_INSTRUCTION) + rvfi.trap := False + rvfi.halt := False + rvfi.intr := False + rvfi.mode := 3 + rvfi.ixl := 1 +// rvfi.rs1.addr := output(INSTRUCTION)(rs1Range).asUInt +// rvfi.rs2.addr := output(INSTRUCTION)(rs2Range).asUInt +// rvfi.rs1.rdata := output(RS1) +// rvfi.rs2.rdata := output(RS2) + rvfi.rs1.addr := output(RS1_USE) ? output(INSTRUCTION)(rs1Range).asUInt | U(0) + rvfi.rs2.addr := output(RS2_USE) ? output(INSTRUCTION)(rs2Range).asUInt | U(0) + rvfi.rs1.rdata := output(RS1_USE) ? output(RS1) | B(0) + rvfi.rs2.rdata := output(RS2_USE) ? output(RS2) | B(0) + rvfi.rd.addr := output(REGFILE_WRITE_VALID) ? (output(INSTRUCTION)(rdRange).asUInt) | U(0) + rvfi.rd.wdata := output(REGFILE_WRITE_VALID) ? output(REGFILE_WRITE_DATA) | B(0) + rvfi.pc.rdata := output(PC) + rvfi.pc.wdata := output(FORMAL_PC_NEXT) + rvfi.mem.addr := output(FORMAL_MEM_ADDR) + rvfi.mem.rmask := output(FORMAL_MEM_RMASK) + rvfi.mem.wmask := output(FORMAL_MEM_WMASK) + rvfi.mem.rdata := output(FORMAL_MEM_RDATA) + rvfi.mem.wdata := output(FORMAL_MEM_WDATA) + + val haltRequest = False + stages.map(s => { + when(s.arbitration.isValid && s.output(FORMAL_HALT)){ //Stage is exception halted + when(stages.drop(indexOf(s) + 1).map(!_.arbitration.isValid).foldLeft(True)(_ && _)){ //There nothing in futher stages + haltRequest := True + } + } + }) + + when(Delay(haltRequest, 5, init=False)){ //Give time for value propagation from decode stage to writeback stage + rvfi.valid := True + rvfi.trap := True + rvfi.halt := True + } + + val haltFired = RegInit(False) setWhen(rvfi.valid && rvfi.halt) + rvfi.valid clearWhen(haltFired) + } + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/FpuPlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/FpuPlugin.scala new file mode 100644 index 0000000..3e664f5 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/FpuPlugin.scala @@ -0,0 +1,314 @@ +package vexriscv.plugin + +import spinal.core._ +import spinal.core.internals.{BoolLiteral, Literal} +import spinal.lib._ +import vexriscv._ +import vexriscv.Riscv._ +import vexriscv.ip.fpu._ + +import scala.collection.mutable.ArrayBuffer + +class FpuPlugin(externalFpu : Boolean = false, + simHalt : Boolean = false, + val p : FpuParameter) extends Plugin[VexRiscv] with VexRiscvRegressionArg { + + object FPU_ENABLE extends Stageable(Bool()) + object FPU_COMMIT extends Stageable(Bool()) + object FPU_COMMIT_SYNC extends Stageable(Bool()) + object FPU_COMMIT_LOAD extends Stageable(Bool()) + object FPU_RSP extends Stageable(Bool()) + object FPU_FORKED extends Stageable(Bool()) + object FPU_OPCODE extends Stageable(FpuOpcode()) + object FPU_ARG extends Stageable(Bits(2 bits)) + object FPU_FORMAT extends Stageable(FpuFormat()) + + var port : FpuPort = null //Commit port is already isolated + + override def getVexRiscvRegressionArgs(): Seq[String] = { + var args = List[String]() + args :+= "RVF=yes" + if(p.withDouble) args :+= "RVD=yes" + args + } + + override def setup(pipeline: VexRiscv): Unit = { + import pipeline.config._ + + type ENC = (Stageable[_ <: BaseType],Any) + + val intRfWrite = List[ENC]( + FPU_ENABLE -> True, + FPU_COMMIT -> False, + FPU_RSP -> True, + REGFILE_WRITE_VALID -> True, + BYPASSABLE_EXECUTE_STAGE -> False, + BYPASSABLE_MEMORY_STAGE -> False + ) + + val floatRfWrite = List[ENC]( + FPU_ENABLE -> True, + FPU_COMMIT -> True, + FPU_RSP -> False + ) + + val addSub = floatRfWrite :+ FPU_OPCODE -> FpuOpcode.ADD + val mul = floatRfWrite :+ FPU_OPCODE -> FpuOpcode.MUL + val fma = floatRfWrite :+ FPU_OPCODE -> FpuOpcode.FMA + val div = floatRfWrite :+ FPU_OPCODE -> FpuOpcode.DIV + val sqrt = floatRfWrite :+ FPU_OPCODE -> FpuOpcode.SQRT + val fsgnj = floatRfWrite :+ FPU_OPCODE -> FpuOpcode.SGNJ + val fminMax = floatRfWrite :+ FPU_OPCODE -> FpuOpcode.MIN_MAX + val fmvWx = floatRfWrite :+ FPU_OPCODE -> FpuOpcode.FMV_W_X :+ RS1_USE -> True + val fcvtI2f = floatRfWrite :+ FPU_OPCODE -> FpuOpcode.I2F :+ RS1_USE -> True + val fcvtxx = floatRfWrite :+ FPU_OPCODE -> FpuOpcode.FCVT_X_X + + val fcmp = intRfWrite :+ FPU_OPCODE -> FpuOpcode.CMP + val fclass = intRfWrite :+ FPU_OPCODE -> FpuOpcode.FCLASS + val fmvXw = intRfWrite :+ FPU_OPCODE -> FpuOpcode.FMV_X_W + val fcvtF2i = intRfWrite :+ FPU_OPCODE -> FpuOpcode.F2I + + val fl = List[ENC]( + FPU_ENABLE -> True, + FPU_OPCODE -> FpuOpcode.LOAD, + FPU_COMMIT -> True, + FPU_RSP -> False + ) + + val fs = List[ENC]( + FPU_ENABLE -> True, + FPU_OPCODE -> FpuOpcode.STORE, + FPU_COMMIT -> False, + FPU_RSP -> True + ) + + + def arg(v : Int) = FPU_ARG -> B(v, 2 bits) + val decoderService = pipeline.service(classOf[DecoderService]) + decoderService.addDefault(FPU_ENABLE, False) + + val f32 = FPU_FORMAT -> FpuFormat.FLOAT + val f64 = FPU_FORMAT -> FpuFormat.DOUBLE + + decoderService.add(List( + FADD_S -> (addSub :+ f32 :+ arg(0)), + FSUB_S -> (addSub :+ f32 :+ arg(1)), + FMADD_S -> (fma :+ f32 :+ arg(0)), + FMSUB_S -> (fma :+ f32 :+ arg(2)), + FNMADD_S -> (fma :+ f32 :+ arg(3)), + FNMSUB_S -> (fma :+ f32 :+ arg(1)), + FMUL_S -> (mul :+ f32 :+ arg(0)), + FDIV_S -> (div :+ f32 ), + FSQRT_S -> (sqrt :+ f32 ), + FLW -> (fl :+ f32 ), + FSW -> (fs :+ f32 ), + FCVT_S_WU -> (fcvtI2f :+ f32 :+ arg(0)), + FCVT_S_W -> (fcvtI2f :+ f32 :+ arg(1)), + FCVT_WU_S -> (fcvtF2i :+ f32 :+ arg(0)), + FCVT_W_S -> (fcvtF2i :+ f32 :+ arg(1)), + FCLASS_S -> (fclass :+ f32 ), + FLE_S -> (fcmp :+ f32 :+ arg(0)), + FEQ_S -> (fcmp :+ f32 :+ arg(2)), + FLT_S -> (fcmp :+ f32 :+ arg(1)), + FSGNJ_S -> (fsgnj :+ f32 :+ arg(0)), + FSGNJN_S -> (fsgnj :+ f32 :+ arg(1)), + FSGNJX_S -> (fsgnj :+ f32 :+ arg(2)), + FMIN_S -> (fminMax :+ f32 :+ arg(0)), + FMAX_S -> (fminMax :+ f32 :+ arg(1)), + FMV_X_W -> (fmvXw :+ f32 ), + FMV_W_X -> (fmvWx :+ f32 ) + )) + + if(p.withDouble){ + decoderService.add(List( + FADD_D -> (addSub :+ f64 :+ arg(0)), + FSUB_D -> (addSub :+ f64 :+ arg(1)), + FMADD_D -> (fma :+ f64 :+ arg(0)), + FMSUB_D -> (fma :+ f64 :+ arg(2)), + FNMADD_D -> (fma :+ f64 :+ arg(3)), + FNMSUB_D -> (fma :+ f64 :+ arg(1)), + FMUL_D -> (mul :+ f64 :+ arg(0)), + FDIV_D -> (div :+ f64 ), + FSQRT_D -> (sqrt :+ f64 ), + FLD -> (fl :+ f64 ), + FSD -> (fs :+ f64 ), + FCVT_D_WU -> (fcvtI2f :+ f64 :+ arg(0)), + FCVT_D_W -> (fcvtI2f :+ f64 :+ arg(1)), + FCVT_WU_D -> (fcvtF2i :+ f64 :+ arg(0)), + FCVT_W_D -> (fcvtF2i :+ f64 :+ arg(1)), + FCLASS_D -> (fclass :+ f64 ), + FLE_D -> (fcmp :+ f64 :+ arg(0)), + FEQ_D -> (fcmp :+ f64 :+ arg(2)), + FLT_D -> (fcmp :+ f64 :+ arg(1)), + FSGNJ_D -> (fsgnj :+ f64 :+ arg(0)), + FSGNJN_D -> (fsgnj :+ f64 :+ arg(1)), + FSGNJX_D -> (fsgnj :+ f64 :+ arg(2)), + FMIN_D -> (fminMax :+ f64 :+ arg(0)), + FMAX_D -> (fminMax :+ f64 :+ arg(1)), + FCVT_D_S -> (fcvtxx :+ f32), + FCVT_S_D -> (fcvtxx :+ f64) + )) + } + //TODO FMV_X_X + doubles + + port = FpuPort(p).addTag(Verilator.public) + if(externalFpu) master(port) + + val dBusEncoding = pipeline.service(classOf[DBusEncodingService]) + dBusEncoding.addLoadWordEncoding(FLW) + dBusEncoding.addStoreWordEncoding(FSW) + if(p.withDouble) { + dBusEncoding.addLoadWordEncoding(FLD) + dBusEncoding.addStoreWordEncoding(FSD) + } + +// exposeEncoding() + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + import Riscv._ + + val internal = (!externalFpu).generate (pipeline plug new Area{ + val fpu = FpuCore(1, p) + if(simHalt) { + val cmdHalt = in(Bool).setName("fpuCmdHalt").addAttribute(Verilator.public) + val commitHalt = in(Bool).setName("fpuCommitHalt").addAttribute(Verilator.public) + val rspHalt = in(Bool).setName("fpuRspHalt").addAttribute(Verilator.public) + fpu.io.port(0).cmd << port.cmd.haltWhen(cmdHalt) + fpu.io.port(0).commit << port.commit.haltWhen(commitHalt) + fpu.io.port(0).rsp.haltWhen(rspHalt) >> port.rsp + fpu.io.port(0).completion <> port.completion + } else { + fpu.io.port(0).cmd << port.cmd + fpu.io.port(0).commit << port.commit + fpu.io.port(0).rsp >> port.rsp + fpu.io.port(0).completion <> port.completion + } + }) + + + val csr = pipeline plug new Area{ + val pendings = Reg(UInt(6 bits)) init(0) + pendings := pendings + U(port.cmd.fire) - U(port.completion.fire) - U(port.rsp.fire) + + val hasPending = pendings =/= 0 + + val flags = Reg(FpuFlags()) + flags.NV init(False) setWhen(port.completion.fire && port.completion.flags.NV) + flags.DZ init(False) setWhen(port.completion.fire && port.completion.flags.DZ) + flags.OF init(False) setWhen(port.completion.fire && port.completion.flags.OF) + flags.UF init(False) setWhen(port.completion.fire && port.completion.flags.UF) + flags.NX init(False) setWhen(port.completion.fire && port.completion.flags.NX) + + val service = pipeline.service(classOf[CsrInterface]) + val rm = Reg(Bits(3 bits)) init(0) + + service.rw(CSR.FCSR, 5, rm) + service.rw(CSR.FCSR, 0, flags) + service.rw(CSR.FRM, 0, rm) + service.rw(CSR.FFLAGS, 0, flags) + + val csrActive = service.duringAny() + execute.arbitration.haltByOther setWhen(csrActive && hasPending) // pessimistic + + val fs = Reg(Bits(2 bits)) init(1) + val sd = fs === 3 + + when(stages.last.arbitration.isFiring && stages.last.input(FPU_ENABLE) && stages.last.input(FPU_OPCODE) =/= FpuOpcode.STORE){ + fs := 3 //DIRTY + } + + service.rw(CSR.SSTATUS, 13, fs) + service.rw(CSR.MSTATUS, 13, fs) + + service.r(CSR.SSTATUS, 31, sd) + service.r(CSR.MSTATUS, 31, sd) + } + + decode plug new Area{ + import decode._ + + //Maybe it might be better to not fork before fire to avoid RF stall on commits + val forked = Reg(Bool) setWhen(port.cmd.fire) clearWhen(!arbitration.isStuck) init(False) + + val hazard = csr.pendings.msb || csr.csrActive + + arbitration.haltItself setWhen(arbitration.isValid && input(FPU_ENABLE) && hazard) + arbitration.haltItself setWhen(port.cmd.isStall) + + val iRoundMode = input(INSTRUCTION)(funct3Range) + val roundMode = (input(INSTRUCTION)(funct3Range) === B"111") ? csr.rm | input(INSTRUCTION)(funct3Range) + + port.cmd.valid := arbitration.isValid && input(FPU_ENABLE) && !forked && !hazard + port.cmd.opcode := input(FPU_OPCODE) + port.cmd.arg := input(FPU_ARG) + port.cmd.rs1 := input(INSTRUCTION)(rs1Range).asUInt + port.cmd.rs2 := input(INSTRUCTION)(rs2Range).asUInt + port.cmd.rs3 := input(INSTRUCTION)(rs3Range).asUInt + port.cmd.rd := input(INSTRUCTION)(rdRange).asUInt + port.cmd.format := (if(p.withDouble) input(FPU_FORMAT) else FpuFormat.FLOAT()) + port.cmd.roundMode := roundMode.as(FpuRoundMode()) + + insert(FPU_FORKED) := forked || port.cmd.fire + + insert(FPU_COMMIT_SYNC) := List(FpuOpcode.LOAD, FpuOpcode.FMV_W_X, FpuOpcode.I2F).map(_ === input(FPU_OPCODE)).orR + insert(FPU_COMMIT_LOAD) := input(FPU_OPCODE) === FpuOpcode.LOAD + + if(serviceExist(classOf[IWake])) when(forked){ + service(classOf[IWake]).askWake() //Ensure that no WFI followed by a FPU stall the FPU interface for other CPU + } + } + + writeBack plug new Area{ //WARNING IF STAGE CHANGE, update the regression rsp capture filter for the golden model (top->VexRiscv->lastStageIsFiring) + import writeBack._ + + val dBusEncoding = pipeline.service(classOf[DBusEncodingService]) + val isRsp = input(FPU_FORKED) && input(FPU_RSP) + val isCommit = input(FPU_FORKED) && input(FPU_COMMIT) + val storeFormated = CombInit(port.rsp.value) + if(p.withDouble) when(!input(INSTRUCTION)(12)){ + storeFormated(32, 32 bits) := port.rsp.value(0, 32 bits) + } + //Manage $store and port.rsp + port.rsp.ready := False + when(isRsp){ + when(arbitration.isValid) { + dBusEncoding.bypassStore(storeFormated) + output(REGFILE_WRITE_DATA) := port.rsp.value(31 downto 0) + when(!arbitration.isStuck && !arbitration.isRemoved){ + csr.flags.NV setWhen(port.rsp.NV) + csr.flags.NX setWhen(port.rsp.NX) + } + } + when(!port.rsp.valid){ + arbitration.haltByOther := True + } elsewhen(!arbitration.haltItself){ + port.rsp.ready := True + } + } + + // Manage $load + val commit = Stream(FpuCommit(p)).addTag(Verilator.public) + commit.valid := isCommit && !arbitration.isStuck + commit.value(31 downto 0) := (input(FPU_COMMIT_LOAD) ? dBusEncoding.loadData()(31 downto 0) | input(RS1)) + if(p.withDouble) commit.value(63 downto 32) := dBusEncoding.loadData()(63 downto 32) + commit.write := arbitration.isValid && !arbitration.removeIt + commit.opcode := input(FPU_OPCODE) + commit.rd := input(INSTRUCTION)(rdRange).asUInt + + when(isCommit && !commit.ready){ + arbitration.haltByOther := True + } + + port.commit << commit.pipelined(s2m = true, m2s = false) + } + + pipeline.stages.dropRight(1).foreach(s => s.output(FPU_FORKED) clearWhen(s.arbitration.isStuck)) + + Component.current.afterElaboration{ + pipeline.stages.tail.foreach(_.input(FPU_FORKED).init(False)) + } + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/HaltOnExceptionPlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/HaltOnExceptionPlugin.scala new file mode 100644 index 0000000..b104223 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/HaltOnExceptionPlugin.scala @@ -0,0 +1,44 @@ + +package vexriscv.plugin + +import spinal.core._ +import spinal.lib._ +import vexriscv._ +import vexriscv.Riscv._ + +import scala.collection.mutable.ArrayBuffer +import scala.collection.mutable + + +class HaltOnExceptionPlugin() extends Plugin[VexRiscv] with ExceptionService { + def xlen = 32 + + //Mannage ExceptionService calls + val exceptionPortsInfos = ArrayBuffer[ExceptionPortInfo]() + def exceptionCodeWidth = 4 + override def newExceptionPort(stage : Stage, priority : Int = 0, codeWidth : Int = 4) = { + val interface = Flow(ExceptionCause(4)) + exceptionPortsInfos += ExceptionPortInfo(interface,stage,priority, codeWidth) + interface + } + override def isExceptionPending(stage : Stage): Bool = False + + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + stages.head.insert(FORMAL_HALT) := False + stages.foreach(stage => { + val stagePorts = exceptionPortsInfos.filter(_.stage == stage) + if(stagePorts.nonEmpty) { + when(stagePorts.map(info => info.port.valid).orR) { + stage.output(FORMAL_HALT) := True + stage.arbitration.haltItself := True + } + for(stage <- stages){ + stage.output(FORMAL_HALT) clearWhen(stage.arbitration.isFlushed) + } + } + }) + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/HazardPessimisticPlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/HazardPessimisticPlugin.scala new file mode 100644 index 0000000..5a8f4d3 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/HazardPessimisticPlugin.scala @@ -0,0 +1,24 @@ +package vexriscv.plugin + +import vexriscv._ +import spinal.core._ +import spinal.lib._ + + +class HazardPessimisticPlugin() extends Plugin[VexRiscv] { + import Riscv._ + + override def setup(pipeline: VexRiscv): Unit = { + import pipeline.config._ + val decoderService = pipeline.service(classOf[DecoderService]) + decoderService.addDefault(HAS_SIDE_EFFECT, False) + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + + val writesInPipeline = stages.dropWhile(_ != execute).map(s => s.arbitration.isValid && s.input(REGFILE_WRITE_VALID)) :+ RegNext(stages.last.arbitration.isValid && stages.last.input(REGFILE_WRITE_VALID)) + decode.arbitration.haltByOther.setWhen(decode.arbitration.isValid && writesInPipeline.orR) + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/HazardSimplePlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/HazardSimplePlugin.scala new file mode 100644 index 0000000..1b650e3 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/HazardSimplePlugin.scala @@ -0,0 +1,125 @@ +package vexriscv.plugin + +import vexriscv._ +import spinal.core._ +import spinal.lib._ + +trait HazardService{ + def hazardOnExecuteRS : Bool +} + +class HazardSimplePlugin(bypassExecute : Boolean = false, + bypassMemory: Boolean = false, + bypassWriteBack: Boolean = false, + bypassWriteBackBuffer : Boolean = false, + pessimisticUseSrc : Boolean = false, + pessimisticWriteRegFile : Boolean = false, + pessimisticAddressMatch : Boolean = false) extends Plugin[VexRiscv] with HazardService{ + import Riscv._ + + + def hazardOnExecuteRS = { + if(pipeline.service(classOf[RegFileService]).readStage() == pipeline.execute) pipeline.execute.arbitration.isStuckByOthers else False //TODO not so nice + } + + override def setup(pipeline: VexRiscv): Unit = { + import pipeline.config._ + val decoderService = pipeline.service(classOf[DecoderService]) + decoderService.addDefault(HAS_SIDE_EFFECT, False) //TODO implement it in each plugin + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + + pipeline plug new Area { + val src0Hazard = False + val src1Hazard = False + + val readStage = service(classOf[RegFileService]).readStage() + + def trackHazardWithStage(stage: Stage, bypassable: Boolean, runtimeBypassable: Stageable[Bool]): Unit = { + val runtimeBypassableValue = if (runtimeBypassable != null) stage.input(runtimeBypassable) else True + val addr0Match = if (pessimisticAddressMatch) True else stage.input(INSTRUCTION)(rdRange) === readStage.input(INSTRUCTION)(rs1Range) + val addr1Match = if (pessimisticAddressMatch) True else stage.input(INSTRUCTION)(rdRange) === readStage.input(INSTRUCTION)(rs2Range) + when(stage.arbitration.isValid && stage.input(REGFILE_WRITE_VALID)) { + if (bypassable) { + when(runtimeBypassableValue) { + when(addr0Match) { + readStage.input(RS1) := stage.output(REGFILE_WRITE_DATA) + } + when(addr1Match) { + readStage.input(RS2) := stage.output(REGFILE_WRITE_DATA) + } + } + } + } + when(stage.arbitration.isValid && (if (pessimisticWriteRegFile) True else stage.input(REGFILE_WRITE_VALID))) { + when((Bool(!bypassable) || !runtimeBypassableValue)) { + when(addr0Match) { + src0Hazard := True + } + when(addr1Match) { + src1Hazard := True + } + } + } + } + + + val writeBackWrites = Flow(cloneable(new Bundle { + val address = Bits(5 bits) + val data = Bits(32 bits) + })) + writeBackWrites.valid := stages.last.output(REGFILE_WRITE_VALID) && stages.last.arbitration.isFiring + writeBackWrites.address := stages.last.output(INSTRUCTION)(rdRange) + writeBackWrites.data := stages.last.output(REGFILE_WRITE_DATA) + val writeBackBuffer = writeBackWrites.stage() + + val addr0Match = if (pessimisticAddressMatch) True else writeBackBuffer.address === readStage.input(INSTRUCTION)(rs1Range) + val addr1Match = if (pessimisticAddressMatch) True else writeBackBuffer.address === readStage.input(INSTRUCTION)(rs2Range) + when(writeBackBuffer.valid) { + if (bypassWriteBackBuffer) { + when(addr0Match) { + readStage.input(RS1) := writeBackBuffer.data + } + when(addr1Match) { + readStage.input(RS2) := writeBackBuffer.data + } + } else { + when(addr0Match) { + src0Hazard := True + } + when(addr1Match) { + src1Hazard := True + } + } + } + + if (withWriteBackStage) trackHazardWithStage(writeBack, bypassWriteBack, null) + if (withMemoryStage) trackHazardWithStage(memory, bypassMemory, if (stages.last == memory) null else BYPASSABLE_MEMORY_STAGE) + if (readStage != execute) trackHazardWithStage(execute, bypassExecute, if (stages.last == execute) null else BYPASSABLE_EXECUTE_STAGE) + + + if (!pessimisticUseSrc) { + when(!readStage.input(RS1_USE)) { + src0Hazard := False + } + when(!readStage.input(RS2_USE)) { + src1Hazard := False + } + } + + when(readStage.arbitration.isValid && (src0Hazard || src1Hazard)) { + readStage.arbitration.haltByOther := True + } + } + } +} + + +class NoHazardPlugin extends Plugin[VexRiscv] with HazardService { + override def build(pipeline: VexRiscv): Unit = {} + + def hazardOnExecuteRS = False +} \ No newline at end of file diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/IBusCachedPlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/IBusCachedPlugin.scala new file mode 100644 index 0000000..035c5dc --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/IBusCachedPlugin.scala @@ -0,0 +1,290 @@ +package vexriscv.plugin + +import vexriscv.{plugin, _} +import vexriscv.ip._ +import spinal.core._ +import spinal.lib._ + +import scala.collection.mutable.ArrayBuffer + +//class IBusCachedPlugin(config : InstructionCacheConfig, memoryTranslatorPortConfig : Any = null) extends Plugin[VexRiscv] { +// var iBus : InstructionCacheMemBus = null +// override def build(pipeline: VexRiscv): Unit = ??? +//} + +case class TightlyCoupledBus() extends Bundle with IMasterSlave { + val enable = Bool() + val address = UInt(32 bits) + val data = Bits(32 bits) + + override def asMaster(): Unit = { + out(enable, address) + in(data) + } +} + +case class TightlyCoupledPortParameter(name : String, hit : UInt => Bool) +case class TightlyCoupledPort(p : TightlyCoupledPortParameter, var bus : TightlyCoupledBus) +class IBusCachedPlugin(resetVector : BigInt = 0x80000000l, + relaxedPcCalculation : Boolean = false, + prediction : BranchPrediction = NONE, + historyRamSizeLog2 : Int = 10, + compressedGen : Boolean = false, + keepPcPlus4 : Boolean = false, + val config : InstructionCacheConfig, + memoryTranslatorPortConfig : Any = null, + injectorStage : Boolean = false, + withoutInjectorStage : Boolean = false, + relaxPredictorAddress : Boolean = true, + predictionBuffer : Boolean = true) extends IBusFetcherImpl( + resetVector = resetVector, + keepPcPlus4 = keepPcPlus4, + decodePcGen = compressedGen, + compressedGen = compressedGen, + cmdToRspStageCount = (if(config.twoCycleCache) 2 else 1) + (if(relaxedPcCalculation) 1 else 0), + allowPcRegReusedForSecondStage = true, + injectorReadyCutGen = false, + prediction = prediction, + historyRamSizeLog2 = historyRamSizeLog2, + injectorStage = (!config.twoCycleCache && !withoutInjectorStage) || injectorStage, + relaxPredictorAddress = relaxPredictorAddress, + fetchRedoGen = true, + predictionBuffer = predictionBuffer) with VexRiscvRegressionArg{ + import config._ + + + + assert(isPow2(cacheSize)) + assert(!(memoryTranslatorPortConfig != null && config.cacheSize/config.wayCount > 4096), "When the I$ is used with MMU, each way can't be bigger than a page (4096 bytes)") + + + assert(!(withoutInjectorStage && injectorStage)) + + + override def getVexRiscvRegressionArgs(): Seq[String] = { + var args = List[String]() + args :+= "IBUS=CACHED" + args :+= s"IBUS_DATA_WIDTH=$memDataWidth" + args :+= s"COMPRESSED=${if(compressedGen) "yes" else "no"}" + args + } + + var iBus : InstructionCacheMemBus = null + var mmuBus : MemoryTranslatorBus = null + var privilegeService : PrivilegeService = null + var decodeExceptionPort : Flow[ExceptionCause] = null + val tightlyCoupledPorts = ArrayBuffer[TightlyCoupledPort]() + def tightlyGen = tightlyCoupledPorts.nonEmpty + + def newTightlyCoupledPort(p : TightlyCoupledPortParameter) = { + val port = TightlyCoupledPort(p, null) + tightlyCoupledPorts += port + this + } + + + object FLUSH_ALL extends Stageable(Bool) + object IBUS_ACCESS_ERROR extends Stageable(Bool) + object IBUS_MMU_MISS extends Stageable(Bool) + object IBUS_ILLEGAL_ACCESS extends Stageable(Bool) + override def setup(pipeline: VexRiscv): Unit = { + import Riscv._ + import pipeline.config._ + + super.setup(pipeline) + + val decoderService = pipeline.service(classOf[DecoderService]) + decoderService.addDefault(FLUSH_ALL, False) + decoderService.add(FENCE_I, List( + FLUSH_ALL -> True + )) + + if(catchSomething) { + val exceptionService = pipeline.service(classOf[ExceptionService]) + decodeExceptionPort = exceptionService.newExceptionPort(pipeline.decode,1) + } + + if(pipeline.serviceExist(classOf[MemoryTranslator])) + mmuBus = pipeline.service(classOf[MemoryTranslator]).newTranslationPort(MemoryTranslatorPort.PRIORITY_INSTRUCTION, memoryTranslatorPortConfig) + + privilegeService = pipeline.serviceElse(classOf[PrivilegeService], PrivilegeServiceDefault()) + + if(pipeline.serviceExist(classOf[ReportService])){ + val report = pipeline.service(classOf[ReportService]) + report.add("iBus" -> { + val e = new BusReport() + val c = new CacheReport() + e.kind = "cached" + e.flushInstructions.add(0x100F) //FENCE.I + e.flushInstructions.add(0x13) + e.flushInstructions.add(0x13) + e.flushInstructions.add(0x13) + + e.info = c + c.size = cacheSize + c.bytePerLine = bytePerLine + + e + }) + } + } + + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + + pipeline plug new FetchArea(pipeline) { + val cache = new InstructionCache(IBusCachedPlugin.this.config.copy(bypassGen = tightlyGen), if(mmuBus != null) mmuBus.p else MemoryTranslatorBusParameter(0,0)) + iBus = master(new InstructionCacheMemBus(IBusCachedPlugin.this.config)).setName("iBus") + iBus <> cache.io.mem + iBus.cmd.address.allowOverride := cache.io.mem.cmd.address + + //Memory bandwidth counter + val rspCounter = Reg(UInt(32 bits)) init(0) + when(iBus.rsp.valid){ + rspCounter := rspCounter + 1 + } + + val stageOffset = if(relaxedPcCalculation) 1 else 0 + def stages = iBusRsp.stages.drop(stageOffset) + + tightlyCoupledPorts.foreach(p => p.bus = master(TightlyCoupledBus()).setName(p.p.name)) + + val s0 = new Area { + //address decoding + val tightlyCoupledHits = Vec(tightlyCoupledPorts.map(_.p.hit(stages(0).input.payload))) + val tightlyCoupledHit = tightlyCoupledHits.orR + + for((port, hit) <- (tightlyCoupledPorts, tightlyCoupledHits).zipped){ + port.bus.enable := stages(0).input.fire && hit + port.bus.address := stages(0).input.payload(31 downto 2) @@ U"00" + } + + //Connect prefetch cache side + cache.io.cpu.prefetch.isValid := stages(0).input.valid && !tightlyCoupledHit + cache.io.cpu.prefetch.pc := stages(0).input.payload + stages(0).halt setWhen (cache.io.cpu.prefetch.haltIt) + + if(mmuBus != null && mmuBus.p.latency == 1) { + stages(0).halt setWhen(mmuBus.busy) + mmuBus.cmd(0).isValid := cache.io.cpu.prefetch.isValid + mmuBus.cmd(0).isStuck := !stages(0).input.ready + mmuBus.cmd(0).virtualAddress := cache.io.cpu.prefetch.pc + mmuBus.cmd(0).bypassTranslation := False + } + } + + + val s1 = new Area { + val tightlyCoupledHits = RegNextWhen(s0.tightlyCoupledHits, stages(1).input.ready) + val tightlyCoupledHit = RegNextWhen(s0.tightlyCoupledHit, stages(1).input.ready) + + if(tightlyGen) cache.io.cpu.fetch.dataBypassValid := tightlyCoupledHit + if(tightlyGen) cache.io.cpu.fetch.dataBypass := MuxOH(tightlyCoupledHits, tightlyCoupledPorts.map(e => CombInit(e.bus.data))) + + //Connect fetch cache side + cache.io.cpu.fetch.isValid := stages(1).input.valid && !tightlyCoupledHit + cache.io.cpu.fetch.isStuck := !stages(1).input.ready + cache.io.cpu.fetch.pc := stages(1).input.payload + + if(mmuBus != null) { + mmuBus.cmd.last.isValid := cache.io.cpu.fetch.isValid + mmuBus.cmd.last.isStuck := !stages(1).input.ready + mmuBus.cmd.last.virtualAddress := cache.io.cpu.fetch.pc + mmuBus.cmd.last.bypassTranslation := False + mmuBus.end := stages(1).input.ready || externalFlush + if (mmuBus.p.latency == 0) stages(1).halt setWhen (mmuBus.busy) + } + + + if (!twoCycleCache) { + cache.io.cpu.fetch.isUser := privilegeService.isUser() + } + } + + val s2 = twoCycleCache generate new Area { + val tightlyCoupledHit = RegNextWhen(s1.tightlyCoupledHit, stages(2).input.ready) + cache.io.cpu.decode.isValid := stages(2).input.valid && !tightlyCoupledHit + cache.io.cpu.decode.isStuck := !stages(2).input.ready + cache.io.cpu.decode.pc := stages(2).input.payload + cache.io.cpu.decode.isUser := privilegeService.isUser() + + if ((!twoCycleRam || wayCount == 1) && !compressedGen && !injectorStage) { + decode.insert(INSTRUCTION_ANTICIPATED) := Mux(decode.arbitration.isStuck, decode.input(INSTRUCTION), cache.io.cpu.fetch.data) + } + } + + val rsp = new Area { + val iBusRspOutputHalt = False + + val cacheRsp = if (twoCycleCache) cache.io.cpu.decode else cache.io.cpu.fetch + val cacheRspArbitration = stages(if (twoCycleCache) 2 else 1) + var issueDetected = False + val redoFetch = False + + + //Refill / redo + assert(decodePcGen == compressedGen) + cache.io.cpu.fill.valid := redoFetch && !cacheRsp.mmuRefilling + cache.io.cpu.fill.payload := cacheRsp.physicalAddress + + + if (catchSomething) { + decodeExceptionPort.valid := False + decodeExceptionPort.code.assignDontCare() + decodeExceptionPort.badAddr := cacheRsp.pc(31 downto 2) @@ U"00" + } + + when(cacheRsp.isValid && cacheRsp.mmuRefilling && !issueDetected) { + issueDetected \= True + redoFetch := True + } + + if(catchIllegalAccess) when(cacheRsp.isValid && cacheRsp.mmuException && !issueDetected) { + issueDetected \= True + decodeExceptionPort.valid := iBusRsp.readyForError + decodeExceptionPort.code := 12 + } + + when(cacheRsp.isValid && cacheRsp.cacheMiss && !issueDetected) { + issueDetected \= True + cache.io.cpu.fill.valid := True + redoFetch := True + } + + if(catchAccessFault) when(cacheRsp.isValid && cacheRsp.error && !issueDetected) { + issueDetected \= True + decodeExceptionPort.valid := iBusRsp.readyForError + decodeExceptionPort.code := 1 + } + + when(redoFetch) { + iBusRsp.redoFetch := True + } + + + cacheRspArbitration.halt setWhen (issueDetected || iBusRspOutputHalt) + iBusRsp.output.valid := cacheRspArbitration.output.valid + cacheRspArbitration.output.ready := iBusRsp.output.ready + iBusRsp.output.rsp.inst := cacheRsp.data + iBusRsp.output.pc := cacheRspArbitration.output.payload + } + + if (mmuBus != null) { + cache.io.cpu.fetch.mmuRsp <> mmuBus.rsp + } else { + cache.io.cpu.fetch.mmuRsp.physicalAddress := cache.io.cpu.fetch.pc + cache.io.cpu.fetch.mmuRsp.allowExecute := True + cache.io.cpu.fetch.mmuRsp.allowRead := True + cache.io.cpu.fetch.mmuRsp.allowWrite := True + cache.io.cpu.fetch.mmuRsp.isIoAccess := False + cache.io.cpu.fetch.mmuRsp.exception := False + cache.io.cpu.fetch.mmuRsp.refilling := False + } + + val flushStage = decode + cache.io.flush := flushStage.arbitration.isValid && flushStage.input(FLUSH_ALL) + } + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/IBusSimplePlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/IBusSimplePlugin.scala new file mode 100644 index 0000000..1bb02bf --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/IBusSimplePlugin.scala @@ -0,0 +1,418 @@ +package vexriscv.plugin + +import vexriscv._ +import spinal.core._ +import spinal.lib._ +import spinal.lib.bus.amba3.ahblite.{AhbLite3, AhbLite3Config, AhbLite3Master} +import spinal.lib.bus.amba4.axi._ +import spinal.lib.bus.avalon.{AvalonMM, AvalonMMConfig} +import spinal.lib.bus.bmb.{Bmb, BmbParameter} +import spinal.lib.bus.wishbone.{Wishbone, WishboneConfig} +import spinal.lib.bus.simple._ +import vexriscv.Riscv.{FENCE, FENCE_I} + + +case class IBusSimpleCmd() extends Bundle{ + val pc = UInt(32 bits) +} + +case class IBusSimpleRsp() extends Bundle with IMasterSlave{ + val error = Bool + val inst = Bits(32 bits) + + override def asMaster(): Unit = { + out(error,inst) + } +} + + +object IBusSimpleBus{ + def getAxi4Config() = Axi4Config( + addressWidth = 32, + dataWidth = 32, + useId = false, + useRegion = false, + useBurst = false, + useLock = false, + useQos = false, + useLen = false, + useResp = true, + useSize = false + ) + + def getAvalonConfig() = AvalonMMConfig.pipelined( + addressWidth = 32, + dataWidth = 32 + ).getReadOnlyConfig.copy( + useResponse = true, + maximumPendingReadTransactions = 8 + ) + + def getWishboneConfig() = WishboneConfig( + addressWidth = 30, + dataWidth = 32, + selWidth = 4, + useSTALL = false, + useLOCK = false, + useERR = true, + useRTY = false, + tgaWidth = 0, + tgcWidth = 0, + tgdWidth = 0, + useBTE = true, + useCTI = true + ) + + def getPipelinedMemoryBusConfig() = PipelinedMemoryBusConfig( + addressWidth = 32, + dataWidth = 32 + ) + + + def getAhbLite3Config() = AhbLite3Config( + addressWidth = 32, + dataWidth = 32 + ) + + def getBmbParameter(plugin : IBusSimplePlugin = null) = BmbParameter( + addressWidth = 32, + dataWidth = 32, + lengthWidth = 2, + sourceWidth = 0, + contextWidth = 0, + canRead = true, + canWrite = false, + alignment = BmbParameter.BurstAlignement.LENGTH, + maximumPendingTransaction = if(plugin != null) plugin.pendingMax else Int.MaxValue + ) +} + + +case class IBusSimpleBus(plugin: IBusSimplePlugin) extends Bundle with IMasterSlave { + var cmd = Stream(IBusSimpleCmd()) + var rsp = Flow(IBusSimpleRsp()) + + override def asMaster(): Unit = { + master(cmd) + slave(rsp) + } + + + def cmdS2mPipe() : IBusSimpleBus = { + val s = IBusSimpleBus(plugin) + s.cmd << this.cmd.s2mPipe() + this.rsp << s.rsp + s + } + + + def toAxi4ReadOnly(): Axi4ReadOnly = { + assert(plugin.cmdForkPersistence) + val axi = Axi4ReadOnly(IBusSimpleBus.getAxi4Config()) + + axi.ar.valid := cmd.valid + axi.ar.addr := cmd.pc(axi.readCmd.addr.getWidth -1 downto 2) @@ U"00" + axi.ar.prot := "110" + axi.ar.cache := "1111" + cmd.ready := axi.ar.ready + + + rsp.valid := axi.r.valid + rsp.inst := axi.r.data + rsp.error := !axi.r.isOKAY() + axi.r.ready := True + + axi + } + + def toAvalon(): AvalonMM = { + assert(plugin.cmdForkPersistence) + val avalonConfig = IBusSimpleBus.getAvalonConfig() + val mm = AvalonMM(avalonConfig) + + mm.read := cmd.valid + mm.address := (cmd.pc >> 2) @@ U"00" + cmd.ready := mm.waitRequestn + + rsp.valid := mm.readDataValid + rsp.inst := mm.readData + rsp.error := mm.response =/= AvalonMM.Response.OKAY + + mm + } + + def toWishbone(): Wishbone = { + val wishboneConfig = IBusSimpleBus.getWishboneConfig() + val bus = Wishbone(wishboneConfig) + val cmdPipe = cmd.stage() + + bus.ADR := (cmdPipe.pc >> 2) + bus.CTI := B"000" + bus.BTE := "00" + bus.SEL := "1111" + bus.WE := False + bus.DAT_MOSI.assignDontCare() + bus.CYC := cmdPipe.valid + bus.STB := cmdPipe.valid + + + cmdPipe.ready := cmdPipe.valid && bus.ACK + rsp.valid := bus.CYC && bus.ACK + rsp.inst := bus.DAT_MISO + rsp.error := False //TODO + bus + } + + def toPipelinedMemoryBus(): PipelinedMemoryBus = { + val pipelinedMemoryBusConfig = IBusSimpleBus.getPipelinedMemoryBusConfig() + val bus = PipelinedMemoryBus(pipelinedMemoryBusConfig) + bus.cmd.arbitrationFrom(cmd) + bus.cmd.address := cmd.pc.resized + bus.cmd.write := False + bus.cmd.mask.assignDontCare() + bus.cmd.data.assignDontCare() + rsp.valid := bus.rsp.valid + rsp.inst := bus.rsp.payload.data + rsp.error := False + bus + } + + + //cmdForkPersistence need to bet set + def toAhbLite3Master(): AhbLite3Master = { + assert(plugin.cmdForkPersistence) + val bus = AhbLite3Master(IBusSimpleBus.getAhbLite3Config()) + bus.HADDR := this.cmd.pc + bus.HWRITE := False + bus.HSIZE := 2 + bus.HBURST := 0 + bus.HPROT := "1110" + bus.HTRANS := this.cmd.valid ## B"0" + bus.HMASTLOCK := False + bus.HWDATA.assignDontCare() + this.cmd.ready := bus.HREADY + + val pending = RegInit(False) clearWhen(bus.HREADY) setWhen(this.cmd.fire) + this.rsp.valid := bus.HREADY && pending + this.rsp.inst := bus.HRDATA + this.rsp.error := bus.HRESP + bus + } + + def toBmb() : Bmb = { + val pipelinedMemoryBusConfig = IBusSimpleBus.getBmbParameter(plugin) + val bus = Bmb(pipelinedMemoryBusConfig) + bus.cmd.arbitrationFrom(cmd) + bus.cmd.opcode := Bmb.Cmd.Opcode.READ + bus.cmd.address := cmd.pc.resized + bus.cmd.length := 3 + bus.cmd.last := True + rsp.valid := bus.rsp.valid + rsp.inst := bus.rsp.data + rsp.error := bus.rsp.isError + bus.rsp.ready := True + bus + } +} + + + + + + +class IBusSimplePlugin( resetVector : BigInt, + val cmdForkOnSecondStage : Boolean, + val cmdForkPersistence : Boolean, + val catchAccessFault : Boolean = false, + prediction : BranchPrediction = NONE, + historyRamSizeLog2 : Int = 10, + keepPcPlus4 : Boolean = false, + compressedGen : Boolean = false, + val busLatencyMin : Int = 1, + val pendingMax : Int = 7, + injectorStage : Boolean = true, + val rspHoldValue : Boolean = false, + val singleInstructionPipeline : Boolean = false, + val memoryTranslatorPortConfig : Any = null, + relaxPredictorAddress : Boolean = true, + predictionBuffer : Boolean = true, + bigEndian : Boolean = false, + vecRspBuffer : Boolean = false + ) extends IBusFetcherImpl( + resetVector = resetVector, + keepPcPlus4 = keepPcPlus4, + decodePcGen = compressedGen, + compressedGen = compressedGen, + cmdToRspStageCount = busLatencyMin + (if(cmdForkOnSecondStage) 1 else 0), + allowPcRegReusedForSecondStage = !(cmdForkOnSecondStage && cmdForkPersistence), + injectorReadyCutGen = false, + prediction = prediction, + historyRamSizeLog2 = historyRamSizeLog2, + injectorStage = injectorStage, + relaxPredictorAddress = relaxPredictorAddress, + fetchRedoGen = memoryTranslatorPortConfig != null, + predictionBuffer = predictionBuffer){ + + var iBus : IBusSimpleBus = null + var decodeExceptionPort : Flow[ExceptionCause] = null + val catchSomething = memoryTranslatorPortConfig != null || catchAccessFault + var mmuBus : MemoryTranslatorBus = null + +// if(rspHoldValue) assert(busLatencyMin <= 1) + assert(!rspHoldValue, "rspHoldValue not supported yet") + assert(!singleInstructionPipeline) + + override def setup(pipeline: VexRiscv): Unit = { + super.setup(pipeline) + iBus = master(IBusSimpleBus(this)).setName("iBus") + + val decoderService = pipeline.service(classOf[DecoderService]) + decoderService.add(FENCE_I, Nil) + + if(catchSomething) { + decodeExceptionPort = pipeline.service(classOf[ExceptionService]).newExceptionPort(pipeline.decode,1) + } + + if(memoryTranslatorPortConfig != null) { + mmuBus = pipeline.service(classOf[MemoryTranslator]).newTranslationPort(MemoryTranslatorPort.PRIORITY_INSTRUCTION, memoryTranslatorPortConfig) + } + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + + pipeline plug new FetchArea(pipeline) { + var cmd = Stream(IBusSimpleCmd()) + val cmdWithS2mPipe = cmdForkPersistence && (!cmdForkOnSecondStage || mmuBus != null) + iBus.cmd << (if(cmdWithS2mPipe) cmd.s2mPipe() else cmd) + + //Avoid sending to many iBus cmd + val pending = new Area{ + val inc, dec = Bool() + val value = Reg(UInt(log2Up(pendingMax + 1) bits)) init (0) + val next = value + U(inc) - U(dec) + value := next + } + + val secondStagePersistence = cmdForkPersistence && cmdForkOnSecondStage && !cmdWithS2mPipe + def cmdForkStage = if(!secondStagePersistence) iBusRsp.stages(if(cmdForkOnSecondStage) 1 else 0) else iBusRsp.stages(1) + + val cmdFork = if(!secondStagePersistence) new Area { + //This implementation keep the cmd on the bus until it's executed or the the pipeline is flushed + def stage = cmdForkStage + val canEmit = stage.output.ready && pending.value =/= pendingMax + stage.halt setWhen(stage.input.valid && (!canEmit || !cmd.ready)) + cmd.valid := stage.input.valid && canEmit + pending.inc := cmd.fire + } else new Area{ + //This implementation keep the cmd on the bus until it's executed, even if the pipeline is flushed + def stage = cmdForkStage + val pendingFull = pending.value === pendingMax + val enterTheMarket = Bool() + val cmdKeep = RegInit(False) setWhen(enterTheMarket) clearWhen(cmd.ready) + val cmdFired = RegInit(False) setWhen(cmd.fire) clearWhen(stage.input.ready) + enterTheMarket := stage.input.valid && !pendingFull && !cmdFired && !cmdKeep +// stage.halt setWhen(cmd.isStall || (pendingFull && !cmdFired)) //(cmd.isStall) + stage.halt setWhen(pendingFull && !cmdFired && !cmdKeep) + stage.halt setWhen(!cmd.ready && !cmdFired) + cmd.valid := enterTheMarket || cmdKeep + pending.inc := enterTheMarket + } + + val mmu = (mmuBus != null) generate new Area { + mmuBus.cmd.last.isValid := cmdForkStage.input.valid + mmuBus.cmd.last.virtualAddress := cmdForkStage.input.payload + mmuBus.cmd.last.bypassTranslation := False + mmuBus.end := cmdForkStage.output.fire || externalFlush + + cmd.pc := mmuBus.rsp.physicalAddress(31 downto 2) @@ U"00" + + //do not emit memory request if MMU had issues + when(cmdForkStage.input.valid) { + when(mmuBus.rsp.refilling) { + cmdForkStage.halt := True + cmd.valid := False + } + when(mmuBus.rsp.exception) { + cmdForkStage.halt := False + cmd.valid := False + } + } + + val joinCtx = stageXToIBusRsp(cmdForkStage, mmuBus.rsp) + } + + val mmuLess = (mmuBus == null) generate new Area{ + cmd.pc := cmdForkStage.input.payload(31 downto 2) @@ U"00" + } + + val rspJoin = new Area { + import iBusRsp._ + //Manage flush for iBus transactions in flight + val rspBuffer = new Area { + val output = Stream(IBusSimpleRsp()) + val c = new StreamFifoLowLatency(IBusSimpleRsp(), busLatencyMin + (if(cmdForkOnSecondStage && cmdForkPersistence) 1 else 0), useVec = vecRspBuffer) + val discardCounter = Reg(UInt(log2Up(pendingMax + 1) bits)) init (0) + discardCounter := discardCounter - (c.io.pop.valid && discardCounter =/= 0).asUInt + when(iBusRsp.flush) { + discardCounter := (if(cmdForkOnSecondStage) pending.next else pending.value - U(pending.dec)) + } + + c.io.push << iBus.rsp.toStream +// if(compressedGen) c.io.flush setWhen(decompressor.consumeCurrent) +// if(!compressedGen && isDrivingDecode(IBUS_RSP)) c.io.flush setWhen(decode.arbitration.flushNext && iBusRsp.output.ready) + val flush = discardCounter =/= 0 || iBusRsp.flush + output.valid := c.io.pop.valid && discardCounter === 0 + output.payload := c.io.pop.payload + c.io.pop.ready := output.ready || flush + + pending.dec := c.io.pop.fire // iBus.rsp.valid && flush || c.io.pop.valid && output.ready instead to avoid unecessary dependancies ? + } + + val fetchRsp = FetchRsp() + fetchRsp.pc := stages.last.output.payload + fetchRsp.rsp := rspBuffer.output.payload + fetchRsp.rsp.error.clearWhen(!rspBuffer.output.valid) //Avoid interference with instruction injection from the debug plugin + if(bigEndian){ + // instructions are stored in little endian byteorder + fetchRsp.rsp.inst.allowOverride + fetchRsp.rsp.inst := EndiannessSwap(rspBuffer.output.payload.inst) + } + + val join = Stream(FetchRsp()) + val exceptionDetected = False + join.valid := stages.last.output.valid && rspBuffer.output.valid + join.payload := fetchRsp + stages.last.output.ready := stages.last.output.valid ? join.fire | join.ready + rspBuffer.output.ready := join.fire + output << join.haltWhen(exceptionDetected) + + if(memoryTranslatorPortConfig != null){ + when(stages.last.input.valid && mmu.joinCtx.refilling) { + iBusRsp.redoFetch := True + } + } + + + if(catchSomething){ + decodeExceptionPort.code.assignDontCare() + decodeExceptionPort.badAddr := join.pc(31 downto 2) @@ U"00" + + if(catchAccessFault) when(join.valid && join.rsp.error){ + decodeExceptionPort.code := 1 + exceptionDetected := True + } + if(memoryTranslatorPortConfig != null) { + val privilegeService = pipeline.serviceElse(classOf[PrivilegeService], PrivilegeServiceDefault()) + when(stages.last.input.valid && !mmu.joinCtx.refilling && (mmu.joinCtx.exception || !mmu.joinCtx.allowExecute)){ + decodeExceptionPort.code := 12 + exceptionDetected := True + } + } + decodeExceptionPort.valid := exceptionDetected && iBusRsp.readyForError + } + } + } + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/IntAluPlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/IntAluPlugin.scala new file mode 100644 index 0000000..0520c2f --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/IntAluPlugin.scala @@ -0,0 +1,100 @@ +package vexriscv.plugin + +import vexriscv._ +import spinal.core._ +object IntAluPlugin{ + object AluBitwiseCtrlEnum extends SpinalEnum(binarySequential){ + val XOR, OR, AND = newElement() + } + object AluCtrlEnum extends SpinalEnum(binarySequential){ + val ADD_SUB, SLT_SLTU, BITWISE = newElement() + } + + object ALU_BITWISE_CTRL extends Stageable(AluBitwiseCtrlEnum()) + object ALU_CTRL extends Stageable(AluCtrlEnum()) +} + +class IntAluPlugin extends Plugin[VexRiscv]{ + import IntAluPlugin._ + + + override def setup(pipeline: VexRiscv): Unit = { + import Riscv._ + import pipeline.config._ + + val immediateActions = List[(Stageable[_ <: BaseType],Any)]( + SRC1_CTRL -> Src1CtrlEnum.RS, + SRC2_CTRL -> Src2CtrlEnum.IMI, + REGFILE_WRITE_VALID -> True, + BYPASSABLE_EXECUTE_STAGE -> True, + BYPASSABLE_MEMORY_STAGE -> True, + RS1_USE -> True + ) + + val nonImmediateActions = List[(Stageable[_ <: BaseType],Any)]( + SRC1_CTRL -> Src1CtrlEnum.RS, + SRC2_CTRL -> Src2CtrlEnum.RS, + REGFILE_WRITE_VALID -> True, + BYPASSABLE_EXECUTE_STAGE -> True, + BYPASSABLE_MEMORY_STAGE -> True, + RS1_USE -> True, + RS2_USE -> True + ) + + val otherAction = List[(Stageable[_ <: BaseType],Any)]( + REGFILE_WRITE_VALID -> True, + BYPASSABLE_EXECUTE_STAGE -> True, + BYPASSABLE_MEMORY_STAGE -> True + ) + + + + val decoderService = pipeline.service(classOf[DecoderService]) + decoderService.add(List( + ADD -> (nonImmediateActions ++ List(ALU_CTRL -> AluCtrlEnum.ADD_SUB, SRC_USE_SUB_LESS -> False)), + SUB -> (nonImmediateActions ++ List(ALU_CTRL -> AluCtrlEnum.ADD_SUB, SRC_USE_SUB_LESS -> True)), + SLT -> (nonImmediateActions ++ List(ALU_CTRL -> AluCtrlEnum.SLT_SLTU, SRC_USE_SUB_LESS -> True, SRC_LESS_UNSIGNED -> False)), + SLTU -> (nonImmediateActions ++ List(ALU_CTRL -> AluCtrlEnum.SLT_SLTU, SRC_USE_SUB_LESS -> True, SRC_LESS_UNSIGNED -> True)), + XOR -> (nonImmediateActions ++ List(ALU_CTRL -> AluCtrlEnum.BITWISE, ALU_BITWISE_CTRL -> AluBitwiseCtrlEnum.XOR)), + OR -> (nonImmediateActions ++ List(ALU_CTRL -> AluCtrlEnum.BITWISE, ALU_BITWISE_CTRL -> AluBitwiseCtrlEnum.OR)), + AND -> (nonImmediateActions ++ List(ALU_CTRL -> AluCtrlEnum.BITWISE, ALU_BITWISE_CTRL -> AluBitwiseCtrlEnum.AND)) + )) + + decoderService.add(List( + ADDI -> (immediateActions ++ List(ALU_CTRL -> AluCtrlEnum.ADD_SUB, SRC_USE_SUB_LESS -> False)), + SLTI -> (immediateActions ++ List(ALU_CTRL -> AluCtrlEnum.SLT_SLTU, SRC_USE_SUB_LESS -> True, SRC_LESS_UNSIGNED -> False)), + SLTIU -> (immediateActions ++ List(ALU_CTRL -> AluCtrlEnum.SLT_SLTU, SRC_USE_SUB_LESS -> True, SRC_LESS_UNSIGNED -> True)), + XORI -> (immediateActions ++ List(ALU_CTRL -> AluCtrlEnum.BITWISE, ALU_BITWISE_CTRL -> AluBitwiseCtrlEnum.XOR)), + ORI -> (immediateActions ++ List(ALU_CTRL -> AluCtrlEnum.BITWISE, ALU_BITWISE_CTRL -> AluBitwiseCtrlEnum.OR)), + ANDI -> (immediateActions ++ List(ALU_CTRL -> AluCtrlEnum.BITWISE, ALU_BITWISE_CTRL -> AluBitwiseCtrlEnum.AND)) + )) + + decoderService.add(List( + LUI -> (otherAction ++ List(ALU_CTRL -> AluCtrlEnum.ADD_SUB, SRC1_CTRL -> Src1CtrlEnum.IMU, SRC_USE_SUB_LESS -> False, SRC_ADD_ZERO -> True)), + AUIPC -> (otherAction ++ List(ALU_CTRL -> AluCtrlEnum.ADD_SUB, SRC_USE_SUB_LESS -> False, SRC1_CTRL -> Src1CtrlEnum.IMU, SRC2_CTRL -> Src2CtrlEnum.PC)) + )) + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + + + execute plug new Area{ + import execute._ + + val bitwise = input(ALU_BITWISE_CTRL).mux( + AluBitwiseCtrlEnum.AND -> (input(SRC1) & input(SRC2)), + AluBitwiseCtrlEnum.OR -> (input(SRC1) | input(SRC2)), + AluBitwiseCtrlEnum.XOR -> (input(SRC1) ^ input(SRC2)) + ) + + // mux results + insert(REGFILE_WRITE_DATA) := input(ALU_CTRL).mux( + AluCtrlEnum.BITWISE -> bitwise, + AluCtrlEnum.SLT_SLTU -> input(SRC_LESS).asBits(32 bit), + AluCtrlEnum.ADD_SUB -> input(SRC_ADD_SUB) + ) + } + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/MemoryTranslatorPlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/MemoryTranslatorPlugin.scala new file mode 100644 index 0000000..081b11d --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/MemoryTranslatorPlugin.scala @@ -0,0 +1,159 @@ +package vexriscv.plugin + +import vexriscv.{VexRiscv, _} +import spinal.core._ +import spinal.lib._ + +import scala.collection.mutable.ArrayBuffer + +object MemoryTranslatorPort{ + val PRIORITY_DATA = 1 + val PRIORITY_INSTRUCTION = 0 +} +case class MemoryTranslatorPort(bus : MemoryTranslatorBus, priority : Int, args : MemoryTranslatorPortConfig/*, exceptionBus: Flow[ExceptionCause]*/) + +case class MemoryTranslatorPortConfig(portTlbSize : Int) + +class MemoryTranslatorPlugin(tlbSize : Int, + virtualRange : UInt => Bool, + ioRange : UInt => Bool) extends Plugin[VexRiscv] with MemoryTranslator { + assert(isPow2(tlbSize)) + + val portsInfo = ArrayBuffer[MemoryTranslatorPort]() + + override def newTranslationPort(priority : Int,args : Any): MemoryTranslatorBus = { + val config = args.asInstanceOf[MemoryTranslatorPortConfig] + val port = MemoryTranslatorPort(MemoryTranslatorBus(MemoryTranslatorBusParameter(wayCount = 0)),priority, config/*,exceptionBus*/) + portsInfo += port + port.bus + } + + object IS_TLB extends Stageable(Bool) + override def setup(pipeline: VexRiscv): Unit = { + import Riscv._ + import pipeline.config._ + def TLBW0 = M"0000000----------111-----0001111" + def TLBW1 = M"0000001----------111-----0001111" + val decoderService = pipeline.service(classOf[DecoderService]) + decoderService.addDefault(IS_TLB, False) + decoderService.add(TLBW0, List(IS_TLB -> True, RS1_USE -> True, SRC1_CTRL -> Src1CtrlEnum.RS)) + decoderService.add(TLBW1, List(IS_TLB -> True, RS1_USE -> True, RS2_USE -> True, SRC1_CTRL -> Src1CtrlEnum.RS)) + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + import Riscv._ + + //Sorted by priority + val sortedPortsInfo = portsInfo.sortWith((a,b) => a.priority > b.priority) + + case class CacheLine() extends Bundle { + val valid = Bool + val virtualAddress = UInt(20 bits) + val physicalAddress = UInt(20 bits) + val allowRead, allowWrite, allowExecute, allowUser = Bool + + def init = { + valid init (False) + this + } + } + + val core = pipeline plug new Area { + val shared = new Area { + val cache = Mem(CacheLine(), tlbSize) + var free = True + val readAddr = cache.addressType().assignDontCare() + val readData = RegNext(cache.readSync(readAddr)) + } + + val ports = for ((port, portId) <- sortedPortsInfo.zipWithIndex) yield new Area { + val cache = Vec(Reg(CacheLine()) init, port.args.portTlbSize) + val cacheHits = cache.map(line => line.valid && line.virtualAddress === port.bus.cmd.last.virtualAddress(31 downto 12)) + val cacheHit = cacheHits.asBits.orR + val cacheLine = MuxOH(cacheHits, cache) + val isInMmuRange = virtualRange(port.bus.cmd.last.virtualAddress) && !port.bus.cmd.last.bypassTranslation + + val sharedMiss = RegInit(False) + val sharedIterator = Reg(UInt(log2Up(tlbSize + 1) bits)) + val sharedAccessed = RegInit(B"00") + val entryToReplace = Counter(port.args.portTlbSize) + + val sharedAccessAsked = RegNext(port.bus.cmd.last.isValid && !cacheHit && sharedIterator < tlbSize && isInMmuRange) + val sharedAccessGranted = sharedAccessAsked && shared.free + when(sharedAccessGranted) { + shared.readAddr := sharedIterator.resized + sharedIterator := sharedIterator + 1 + } + sharedAccessed := (sharedAccessed ## sharedAccessGranted).resized + when(sharedAccessAsked){ + shared.free \= False + } + + when(sharedAccessed.msb){ + when(shared.readData.virtualAddress === port.bus.cmd.last.virtualAddress(31 downto 12)){ + cache(entryToReplace) := shared.readData + entryToReplace.increment() + } + } + + sharedMiss.setWhen(sharedIterator >= tlbSize && sharedAccessed === B"00") + when(port.bus.end){ + sharedIterator := 0 + sharedMiss.clear() + sharedAccessAsked.clear() + sharedAccessed := 0 + } + + + when(isInMmuRange) { + port.bus.rsp.physicalAddress := cacheLine.physicalAddress @@ port.bus.cmd.last.virtualAddress(11 downto 0) + port.bus.rsp.allowRead := cacheLine.allowRead + port.bus.rsp.allowWrite := cacheLine.allowWrite + port.bus.rsp.allowExecute := cacheLine.allowExecute + ??? +// port.bus.rsp.hit := cacheHit +// port.stage.arbitration.haltItself setWhen (port.bus.cmd.isValid && !cacheHit && !sharedMiss) + } otherwise { + port.bus.rsp.physicalAddress := port.bus.cmd.last.virtualAddress + port.bus.rsp.allowRead := True + port.bus.rsp.allowWrite := True + port.bus.rsp.allowExecute := True + ??? +// port.bus.rsp.hit := True + } + port.bus.rsp.isIoAccess := ioRange(port.bus.rsp.physicalAddress) + ??? +// port.bus.rsp.miss := sharedMiss + } + } + + //Manage TLBW0 and TLBW1 instructions + //TODO not exception safe (sideeffect) + execute plug new Area{ + import execute._ + val tlbWriteBuffer = Reg(UInt(20 bits)) + when(arbitration.isFiring && input(IS_TLB)){ + switch(input(INSTRUCTION)(25 downto 25)){ + is(0){ + tlbWriteBuffer := input(SRC1).asUInt.resized + } + is(1){ + val line = CacheLine() + line.virtualAddress := tlbWriteBuffer + line.physicalAddress := input(RS2)(19 downto 0).asUInt + line.allowUser := input(RS2)(27) + line.allowRead := input(RS2)(28) + line.allowWrite := input(RS2)(29) + line.allowExecute := input(RS2)(30) + line.valid := input(RS2)(31) + core.shared.cache(input(SRC1)(log2Up(tlbSize)-1 downto 0).asUInt) := line + + core.ports.foreach(_.cache.foreach(_.valid := False)) //Invalidate all ports caches + } + } + } + } + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/Misc.scala b/VexRiscv/src/main/scala/vexriscv/plugin/Misc.scala new file mode 100644 index 0000000..979d246 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/Misc.scala @@ -0,0 +1,214 @@ +package vexriscv.plugin + +import spinal.core._ +import spinal.lib._ + +object RvcDecompressor{ + + def main(args: Array[String]): Unit = { + SpinalVerilog(new Component{ + out(Delay((apply(Delay(in Bits(16 bits),2), false, false)),2)) + }.setDefinitionName("Decompressor")) + } + + def apply(i : Bits, rvf : Boolean, rvd : Boolean): Bits ={ + val ret = Bits(32 bits).assignDontCare() + + val rch = B"01" ## i(9 downto 7) + val rcl = B"01" ## i(4 downto 2) + + val addi5spnImm = B"00" ## i(10 downto 7) ## i(12 downto 11) ## i(5) ## i(6) ## B"00" + val lwImm = B"00000" ## i(5) ## i(12 downto 10) ## i(6) ## B"00" + def swImm = lwImm + val ldImm = B"0000" ## i(6 downto 5) ## i(12 downto 10) ## B"000" + def sdImm = ldImm + val addImm = B((11 downto 5) -> i(12), (4 downto 0) -> i(6 downto 2)) + def lImm = addImm + val jalImm = B((9 downto 0) -> i(12)) ## i(8) ## i(10 downto 9) ## i(6) ## i(7) ## i(2) ## i(11) ## i(5 downto 3) ## B"0" + val luiImm = B((14 downto 0) -> i(12)) ## i(6 downto 2) ## B"0000_0000_0000" + val shiftImm = i(6 downto 2) + val addi16spImm = B((2 downto 0) -> i(12)) ## i(4 downto 3) ## i(5) ## i(2) ## i(6) ## B"0000" + val jImm = B((9 downto 0) -> i(12)) ## i(8) ## i(10 downto 9) ## i(6) ## i(7) ## i(2) ## i(11) ## i(5 downto 3) ## B"0" + val bImm = B((4 downto 0) -> i(12)) ## i(6 downto 5) ## i(2) ## i(11 downto 10) ## i(4 downto 3) ## B"0" + + def lwspImm = B"0000" ## i(3 downto 2) ## i(12) ## i(6 downto 4) ## B"00" + def swspImm = B"0000" ## i(8 downto 7) ## i(12 downto 9) ## B"00" + def ldspImm = B"000" ## i(4 downto 2) ## i(12) ## i(6 downto 5) ## B"000" + def sdspImm = B"000" ## i(9 downto 7) ## i(12 downto 10) ## B"000" + + + val x0 = B"00000" + val x1 = B"00001" + val x2 = B"00010" + + switch(i(1 downto 0) ## i(15 downto 13)){ + is(0){ret := addi5spnImm ## B"00010" ## B"000" ## rcl ## B"0010011"} //C.ADDI4SPN -> addi rd0, x2, nzuimm[9:2]. + if(rvd) is(1){ret := ldImm ## rch ## B"011" ## rcl ## B"0000111"} // C.FLD + is(2){ret := lwImm ## rch ## B"010" ## rcl ## B"0000011"} //C.LW -> lw rd', offset[6:2](rs1') + if(rvf) is(3){ret := lwImm ## rch ## B"010" ## rcl ## B"0000111"} // C.FLW + if(rvd) is(5){ret := sdImm(11 downto 5) ## rcl ## rch ## B"011" ## sdImm(4 downto 0) ## B"0100111"} // C.FSD + is(6){ret := swImm(11 downto 5) ## rcl ## rch ## B"010" ## swImm(4 downto 0) ## B"0100011"} //C.SW -> sw rs2',offset[6:2](rs1') + if(rvf) is(7){ret := swImm(11 downto 5) ## rcl ## rch ## B"010" ## swImm(4 downto 0) ## B"0100111"} // C.FSW + is(8){ret := addImm ## i(11 downto 7) ## B"000" ## i(11 downto 7) ## B"0010011"} //C.ADDI -> addi rd, rd, nzimm[5:0]. + is(9){ret := jalImm(20) ## jalImm(10 downto 1) ## jalImm(11) ## jalImm(19 downto 12) ## x1 ## B"1101111"} //C.JAL -> jalr x1, rs1, 0. + is(10){ret := lImm ## B"00000" ## B"000" ## i(11 downto 7) ## B"0010011"} //C.LI -> addi rd, x0, imm[5:0]. + is(11){ //C.ADDI16SP C.LUI -> + val addi16sp = addi16spImm ## i(11 downto 7) ## B"000" ## i(11 downto 7) ## B"0010011" + val lui = luiImm(31 downto 12) ## i(11 downto 7) ## B"0110111" + ret := (i(11 downto 7) === 2) ? addi16sp | lui + } + is(12){ + val isImmediate = i(11 downto 10) =/= B"11" + val isShift = !i(11) + val func3 = i(11 downto 10).mux( + 0 -> B"101", + 1 -> B"101", + 2 -> B"111", + 3 -> i(6 downto 5).mux( + 0 -> B"000", + 1 -> B"100", + 2 -> B"110", + 3 -> B"111" + ) + ) + val msbs = Mux( + sel = i(11 downto 10) === B"10", + whenTrue = B((6 downto 0) -> i(12)), //andi + whenFalse = B"0" ## (i(11 downto 10) === B"01" || (i(11 downto 10) === B"11" && i(6 downto 5) === B"00")) ## B"00000" + ) + val rs2Shift = (isShift || isImmediate) ? shiftImm | rcl + val opc = (isImmediate ? B"0010011" | B"0110011") + ret := msbs ## rs2Shift ## rch ## func3 ## rch ## opc + } + is(13){ ret := jImm(20) ## jImm(10 downto 1) ## jImm(11) ## jImm(19 downto 12) ## x0 ## B"1101111"} + is(14){ ret := bImm(12) ## bImm(10 downto 5) ## x0 ## rch ## B"000" ## bImm(4 downto 1) ## bImm(11) ## B"1100011" } + is(15){ ret := bImm(12) ## bImm(10 downto 5) ## x0 ## rch ## B"001" ## bImm(4 downto 1) ## bImm(11) ## B"1100011" } + is(16){ ret := B"0000000" ## i(6 downto 2) ## i(11 downto 7) ## B"001" ## i(11 downto 7) ## B"0010011" } + if(rvd) is(17){ret := ldspImm ## x2 ## B"011" ## i(11 downto 7) ## B"0000111" } // C.FLDSP + is(18){ ret := lwspImm ## x2 ## B"010" ## i(11 downto 7) ## B"0000011" } + if(rvf) is(19){ret := lwspImm ## x2 ## B"010" ## i(11 downto 7) ## B"0000111" } // C.FLWSP + is(20) { + val add = B"000_0000" ## i(6 downto 2) ## (i(12) ? i(11 downto 7) | x0) ## B"000" ## i(11 downto 7) ## B"0110011" //add => add rd, rd, rs2 mv => add rd, x0, rs2 + val j = B"0000_0000_0000" ## i(11 downto 7) ## B"000" ## (i(12) ? x1 | x0) ## B"1100111" //jr => jalr x0, rs1, 0. jalr => jalr x1, rs1, 0. + val ebreak = B"000000000001_00000_000_00000_1110011" //EBREAK + val addJ = (i(6 downto 2) === 0) ? j | add + ret := (i(12 downto 2) === B"100_0000_0000") ? ebreak | addJ + } + + if(rvd) is(21){ret := sdspImm(11 downto 5) ## i(6 downto 2) ## x2 ## B"011" ## sdspImm(4 downto 0) ## B"0100111" } // C.FSDSP + is(22){ ret := swspImm(11 downto 5) ## i(6 downto 2) ## x2 ## B"010" ## swspImm(4 downto 0) ## B"0100011" } + if(rvf) is(23){ret := swspImm(11 downto 5) ## i(6 downto 2) ## x2 ## B"010" ## swspImm(4 downto 0) ## B"0100111" } // C.FSwSP + } + + ret + } +} + + +object StreamForkVex{ + def apply[T <: Data](input : Stream[T], portCount: Int, flush : Bool/*, flushDiscardInput : Boolean*/) : Vec[Stream[T]] = { + val outputs = Vec(cloneOf(input), portCount) + val linkEnable = Vec(RegInit(True), portCount) + + input.ready := True + for (i <- 0 until portCount) { + when(!outputs(i).ready && linkEnable(i)) { + input.ready := False + } + } + + for (i <- 0 until portCount) { + outputs(i).valid := input.valid && linkEnable(i) + outputs(i).payload := input.payload + when(outputs(i).fire) { + linkEnable(i) := False + } + } + + when(input.ready || flush) { + linkEnable.foreach(_ := True) + } + outputs + } +} + + +object StreamVexPimper{ + implicit class StreamFlushPimper[T <: Data](pimped : Stream[T]){ + def m2sPipeWithFlush(flush : Bool, discardInput : Boolean = true, collapsBubble : Boolean = true, flushInput : Bool = null): Stream[T] = { + val ret = cloneOf(pimped).setCompositeName(pimped, "m2sPipe", true) + + val rValid = RegInit(False) + val rData = Reg(pimped.payloadType) + if(!discardInput) rValid.clearWhen(flush) + + pimped.ready := (Bool(collapsBubble) && !ret.valid) || ret.ready + + when(pimped.ready) { + if(flushInput == null) + rValid := pimped.valid + else + rValid := pimped.valid && !flushInput + rData := pimped.payload + } + + ret.valid := rValid + ret.payload := rData + + if(discardInput) rValid.clearWhen(flush) + + ret + } + + def s2mPipe(flush : Bool): Stream[T] = { + val ret = cloneOf(pimped) + + val rValid = RegInit(False) + val rBits = Reg(pimped.payloadType) + + ret.valid := pimped.valid || rValid + pimped.ready := !rValid + ret.payload := Mux(rValid, rBits, pimped.payload) + + when(ret.ready) { + rValid := False + } + + when(pimped.ready && (!ret.ready)) { + rValid := pimped.valid + rBits := pimped.payload + } + + rValid.clearWhen(flush) + + ret + } + } + +} + + + +//case class FlowFifoLowLatency[T <: Data](dataType: T, depth: Int) extends Component { +// require(depth >= 1) +// val io = new Bundle { +// val push = slave Flow (dataType) +// val pop = master Stream (dataType) +// val flush = in Bool() +// } +// +// +// val mem = Vec(Reg(dataType), depth) +// val rPtr, wPtr = Counter(depth + 1) +// when(io.push.valid){ +// mem(wPtr) := io.push.payload +// wPtr.increment() +// } +// +// when(io.pop.fire){ +// rPtr.increment() +// } +// io.pop.valid := rPtr =/= wPtr +// +// +//} \ No newline at end of file diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/MmuPlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/MmuPlugin.scala new file mode 100644 index 0000000..093f59a --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/MmuPlugin.scala @@ -0,0 +1,313 @@ +package vexriscv.plugin + +import vexriscv.{VexRiscv, _} +import spinal.core._ +import spinal.lib._ + +import scala.collection.mutable.ArrayBuffer + +trait DBusAccessService{ + def newDBusAccess() : DBusAccess +} + +case class DBusAccessCmd() extends Bundle { + val address = UInt(32 bits) + val size = UInt(2 bits) + val write = Bool + val data = Bits(32 bits) + val writeMask = Bits(4 bits) +} + +case class DBusAccessRsp() extends Bundle { + val data = Bits(32 bits) + val error = Bool() + val redo = Bool() +} + +case class DBusAccess() extends Bundle { + val cmd = Stream(DBusAccessCmd()) + val rsp = Flow(DBusAccessRsp()) +} + + +object MmuPort{ + val PRIORITY_DATA = 1 + val PRIORITY_INSTRUCTION = 0 +} +case class MmuPort(bus : MemoryTranslatorBus, priority : Int, args : MmuPortConfig, id : Int) + +case class MmuPortConfig(portTlbSize : Int, latency : Int = 0, earlyRequireMmuLockup : Boolean = false, earlyCacheHits : Boolean = false) + +class MmuPlugin(ioRange : UInt => Bool, + virtualRange : UInt => Bool = address => True, +// allowUserIo : Boolean = false, + enableMmuInMachineMode : Boolean = false) extends Plugin[VexRiscv] with MemoryTranslator { + + var dBusAccess : DBusAccess = null + val portsInfo = ArrayBuffer[MmuPort]() + + override def newTranslationPort(priority : Int,args : Any): MemoryTranslatorBus = { + val config = args.asInstanceOf[MmuPortConfig] + val port = MmuPort(MemoryTranslatorBus(MemoryTranslatorBusParameter(wayCount = config.portTlbSize, latency = config.latency)),priority, config, portsInfo.length) + portsInfo += port + port.bus + } + + object IS_SFENCE_VMA2 extends Stageable(Bool) + override def setup(pipeline: VexRiscv): Unit = { + import Riscv._ + import pipeline.config._ + val decoderService = pipeline.service(classOf[DecoderService]) + decoderService.addDefault(IS_SFENCE_VMA2, False) + decoderService.add(SFENCE_VMA, List(IS_SFENCE_VMA2 -> True)) + + + dBusAccess = pipeline.service(classOf[DBusAccessService]).newDBusAccess() + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + import Riscv._ + val csrService = pipeline.service(classOf[CsrInterface]) + + //Sorted by priority + val sortedPortsInfo = portsInfo.sortBy(_.priority) + + case class CacheLine() extends Bundle { + val valid, exception, superPage = Bool + val virtualAddress = Vec(UInt(10 bits), UInt(10 bits)) + val physicalAddress = Vec(UInt(10 bits), UInt(10 bits)) + val allowRead, allowWrite, allowExecute, allowUser = Bool + + def init = { + valid init (False) + this + } + } + + val csr = pipeline plug new Area{ + val status = new Area{ + val sum, mxr, mprv = RegInit(False) + } + val satp = new Area { + val mode = RegInit(False) + val asid = Reg(Bits(9 bits)) + val ppn = Reg(UInt(20 bits)) + } + + for(offset <- List(CSR.MSTATUS, CSR.SSTATUS)) csrService.rw(offset, 19 -> status.mxr, 18 -> status.sum, 17 -> status.mprv) + csrService.rw(CSR.SATP, 31 -> satp.mode, 22 -> satp.asid, 0 -> satp.ppn) + } + + val core = pipeline plug new Area { + val ports = for (port <- sortedPortsInfo) yield new Area { + val handle = port + val id = port.id + val privilegeService = pipeline.serviceElse(classOf[PrivilegeService], PrivilegeServiceDefault()) + val cache = Vec(Reg(CacheLine()) init, port.args.portTlbSize) + val dirty = RegInit(False).allowUnsetRegToAvoidLatch + if(port.args.earlyRequireMmuLockup){ + dirty clearWhen(!port.bus.cmd.last.isStuck) + } + + def toRsp[T <: Data](data : T, from : MemoryTranslatorCmd) : T = from match { + case _ if from == port.bus.cmd.last => data + case _ => { + val next = port.bus.cmd.dropWhile(_ != from)(1) + toRsp(RegNextWhen(data, !next.isStuck), next) + } + } + val requireMmuLockupCmd = port.bus.cmd.takeRight(if(port.args.earlyRequireMmuLockup) 2 else 1).head + + val requireMmuLockupCalc = virtualRange(requireMmuLockupCmd.virtualAddress) && !requireMmuLockupCmd.bypassTranslation && csr.satp.mode + if(!enableMmuInMachineMode) { + requireMmuLockupCalc clearWhen(!csr.status.mprv && privilegeService.isMachine()) + when(privilegeService.isMachine()) { + if (port.priority == MmuPort.PRIORITY_DATA) { + requireMmuLockupCalc clearWhen (!csr.status.mprv || pipeline(MPP) === 3) + } else { + requireMmuLockupCalc := False + } + } + } + + val cacheHitsCmd = port.bus.cmd.takeRight(if(port.args.earlyCacheHits) 2 else 1).head + val cacheHitsCalc = B(cache.map(line => line.valid && line.virtualAddress(1) === cacheHitsCmd.virtualAddress(31 downto 22) && (line.superPage || line.virtualAddress(0) === cacheHitsCmd.virtualAddress(21 downto 12)))) + + + val requireMmuLockup = toRsp(requireMmuLockupCalc, requireMmuLockupCmd) + val cacheHits = toRsp(cacheHitsCalc, cacheHitsCmd) + + val cacheHit = cacheHits.asBits.orR + val cacheLine = MuxOH(cacheHits, cache) + val entryToReplace = Counter(port.args.portTlbSize) + + + when(requireMmuLockup) { + port.bus.rsp.physicalAddress := cacheLine.physicalAddress(1) @@ (cacheLine.superPage ? port.bus.cmd.last.virtualAddress(21 downto 12) | cacheLine.physicalAddress(0)) @@ port.bus.cmd.last.virtualAddress(11 downto 0) + port.bus.rsp.allowRead := cacheLine.allowRead || csr.status.mxr && cacheLine.allowExecute + port.bus.rsp.allowWrite := cacheLine.allowWrite + port.bus.rsp.allowExecute := cacheLine.allowExecute + port.bus.rsp.exception := !dirty && cacheHit && (cacheLine.exception || cacheLine.allowUser && privilegeService.isSupervisor() && !csr.status.sum || !cacheLine.allowUser && privilegeService.isUser()) + port.bus.rsp.refilling := dirty || !cacheHit + port.bus.rsp.isPaging := True + } otherwise { + port.bus.rsp.physicalAddress := port.bus.cmd.last.virtualAddress + port.bus.rsp.allowRead := True + port.bus.rsp.allowWrite := True + port.bus.rsp.allowExecute := True + port.bus.rsp.exception := False + port.bus.rsp.refilling := False + port.bus.rsp.isPaging := False + } + port.bus.rsp.isIoAccess := ioRange(port.bus.rsp.physicalAddress) + + port.bus.rsp.bypassTranslation := !requireMmuLockup + for(wayId <- 0 until port.args.portTlbSize){ + port.bus.rsp.ways(wayId).sel := cacheHits(wayId) + port.bus.rsp.ways(wayId).physical := cache(wayId).physicalAddress(1) @@ (cache(wayId).superPage ? port.bus.cmd.last.virtualAddress(21 downto 12) | cache(wayId).physicalAddress(0)) @@ port.bus.cmd.last.virtualAddress(11 downto 0) + } + + // Avoid keeping any invalid line in the cache after an exception. + // https://github.com/riscv/riscv-linux/blob/8fe28cb58bcb235034b64cbbb7550a8a43fd88be/arch/riscv/include/asm/pgtable.h#L276 + when(service(classOf[IContextSwitching]).isContextSwitching) { + for (line <- cache) { + when(line.exception) { + line.valid := False + } + } + } + } + + val shared = new Area { + val State = new SpinalEnum{ + val IDLE, L1_CMD, L1_RSP, L0_CMD, L0_RSP = newElement() + } + val state = RegInit(State.IDLE) + val vpn = Reg(Vec(UInt(10 bits), UInt(10 bits))) + val portSortedOh = Reg(Bits(portsInfo.length bits)) + case class PTE() extends Bundle { + val V, R, W ,X, U, G, A, D = Bool() + val RSW = Bits(2 bits) + val PPN0 = UInt(10 bits) + val PPN1 = UInt(12 bits) + } + + val dBusRspStaged = dBusAccess.rsp.stage() + val dBusRsp = new Area{ + val pte = PTE() + pte.assignFromBits(dBusRspStaged.data) + val exception = !pte.V || (!pte.R && pte.W) || dBusRspStaged.error + val leaf = pte.R || pte.X + } + + val pteBuffer = RegNextWhen(dBusRsp.pte, dBusRspStaged.valid && !dBusRspStaged.redo) + + dBusAccess.cmd.valid := False + dBusAccess.cmd.write := False + dBusAccess.cmd.size := 2 + dBusAccess.cmd.address.assignDontCare() + dBusAccess.cmd.data.assignDontCare() + dBusAccess.cmd.writeMask.assignDontCare() + + val refills = OHMasking.last(B(ports.map(port => port.handle.bus.cmd.last.isValid && port.requireMmuLockup && !port.dirty && !port.cacheHit))) + switch(state){ + is(State.IDLE){ + when(refills.orR){ + portSortedOh := refills + state := State.L1_CMD + val address = MuxOH(refills, sortedPortsInfo.map(_.bus.cmd.last.virtualAddress)) + vpn(1) := address(31 downto 22) + vpn(0) := address(21 downto 12) + } +// for(port <- portsInfo.sortBy(_.priority)){ +// when(port.bus.cmd.isValid && port.bus.rsp.refilling){ +// vpn(1) := port.bus.cmd.virtualAddress(31 downto 22) +// vpn(0) := port.bus.cmd.virtualAddress(21 downto 12) +// portId := port.id +// state := State.L1_CMD +// } +// } + } + is(State.L1_CMD){ + dBusAccess.cmd.valid := True + dBusAccess.cmd.address := csr.satp.ppn @@ vpn(1) @@ U"00" + when(dBusAccess.cmd.ready){ + state := State.L1_RSP + } + } + is(State.L1_RSP){ + when(dBusRspStaged.valid){ + state := State.L0_CMD + when(dBusRsp.leaf || dBusRsp.exception){ + state := State.IDLE + } + when(dBusRspStaged.redo){ + state := State.L1_CMD + } + } + } + is(State.L0_CMD){ + dBusAccess.cmd.valid := True + dBusAccess.cmd.address := pteBuffer.PPN1(9 downto 0) @@ pteBuffer.PPN0 @@ vpn(0) @@ U"00" + when(dBusAccess.cmd.ready){ + state := State.L0_RSP + } + } + is(State.L0_RSP){ + when(dBusRspStaged.valid) { + state := State.IDLE + when(dBusRspStaged.redo){ + state := State.L0_CMD + } + } + } + } + + for((port, id) <- sortedPortsInfo.zipWithIndex) { + port.bus.busy := state =/= State.IDLE && portSortedOh(id) + } + + when(dBusRspStaged.valid && !dBusRspStaged.redo && (dBusRsp.leaf || dBusRsp.exception)){ + for((port, id) <- ports.zipWithIndex) { + when(portSortedOh(id)) { + port.entryToReplace.increment() + if(port.handle.args.earlyRequireMmuLockup) { + port.dirty := True + } //Avoid having non coherent TLB lookup + for ((line, lineId) <- port.cache.zipWithIndex) { + when(port.entryToReplace === lineId){ + val superPage = state === State.L1_RSP + line.valid := True + line.exception := dBusRsp.exception || (superPage && dBusRsp.pte.PPN0 =/= 0) + line.virtualAddress := vpn + line.physicalAddress := Vec(dBusRsp.pte.PPN0, dBusRsp.pte.PPN1(9 downto 0)) + line.allowRead := dBusRsp.pte.R + line.allowWrite := dBusRsp.pte.W + line.allowExecute := dBusRsp.pte.X + line.allowUser := dBusRsp.pte.U + line.superPage := state === State.L1_RSP + } + } + } + } + } + } + } + + val fenceStage = execute + + //Both SFENCE_VMA and SATP reschedule the next instruction in the CsrPlugin itself with one extra cycle to ensure side effect propagation. + fenceStage plug new Area{ + import fenceStage._ + when(arbitration.isValid && arbitration.isFiring && input(IS_SFENCE_VMA2)){ + for(port <- core.ports; line <- port.cache) line.valid := False + } + + csrService.onWrite(CSR.SATP){ + for(port <- core.ports; line <- port.cache) line.valid := False + } + } + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/Mul16Plugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/Mul16Plugin.scala new file mode 100644 index 0000000..f2a63c3 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/Mul16Plugin.scala @@ -0,0 +1,119 @@ +package vexriscv.plugin + +import vexriscv._ +import vexriscv.plugin._ +import spinal.core._ + +/** + * A multiplication plugin using only 16-bit multiplications + */ +class Mul16Plugin extends Plugin[VexRiscv]{ + + object MUL_LL extends Stageable(UInt(32 bits)) + object MUL_LH extends Stageable(UInt(32 bits)) + object MUL_HL extends Stageable(UInt(32 bits)) + object MUL_HH extends Stageable(UInt(32 bits)) + + object MUL extends Stageable(Bits(64 bits)) + + object IS_MUL extends Stageable(Bool) + + override def setup(pipeline: VexRiscv): Unit = { + import Riscv._ + import pipeline.config._ + + + val actions = List[(Stageable[_ <: BaseType],Any)]( + SRC1_CTRL -> Src1CtrlEnum.RS, + SRC2_CTRL -> Src2CtrlEnum.RS, + REGFILE_WRITE_VALID -> True, + BYPASSABLE_EXECUTE_STAGE -> False, + BYPASSABLE_MEMORY_STAGE -> False, + RS1_USE -> True, + RS2_USE -> True, + IS_MUL -> True + ) + + val decoderService = pipeline.service(classOf[DecoderService]) + decoderService.addDefault(IS_MUL, False) + decoderService.add(List( + MULX -> actions + )) + + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + + // Prepare signed inputs for the multiplier in the next stage. + // This will map them best to an FPGA DSP. + execute plug new Area { + import execute._ + val a,b = Bits(32 bit) + + a := input(SRC1) + b := input(SRC2) + + val aLow = a(15 downto 0).asUInt + val bLow = b(15 downto 0).asUInt + val aHigh = a(31 downto 16).asUInt + val bHigh = b(31 downto 16).asUInt + + insert(MUL_LL) := aLow * bLow + insert(MUL_LH) := aLow * bHigh + insert(MUL_HL) := aHigh * bLow + insert(MUL_HH) := aHigh * bHigh + } + + memory plug new Area { + import memory._ + + val ll = UInt(32 bits) + val lh = UInt(33 bits) + val hl = UInt(32 bits) + val hh = UInt(32 bits) + + ll := input(MUL_LL) + lh := input(MUL_LH).resized + hl := input(MUL_HL) + hh := input(MUL_HH) + + val hllh = lh + hl + insert(MUL) := ((hh ## ll(31 downto 16)).asUInt + hllh) ## ll(15 downto 0) + } + + writeBack plug new Area { + import writeBack._ + val aSigned,bSigned = Bool + switch(input(INSTRUCTION)(13 downto 12)) { + is(B"01") { + aSigned := True + bSigned := True + } + is(B"10") { + aSigned := True + bSigned := False + } + default { + aSigned := False + bSigned := False + } + } + + val a = (aSigned && input(SRC1).msb) ? input(SRC2).asUInt | U(0) + val b = (bSigned && input(SRC2).msb) ? input(SRC1).asUInt | U(0) + + when(arbitration.isValid && input(IS_MUL)){ + switch(input(INSTRUCTION)(13 downto 12)){ + is(B"00"){ + output(REGFILE_WRITE_DATA) := input(MUL)(31 downto 0) + } + is(B"01",B"10",B"11"){ + output(REGFILE_WRITE_DATA) := (((input(MUL)(63 downto 32)).asUInt + ~a) + (~b + 2)).asBits + } + } + } + } + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/MulDivIterativePlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/MulDivIterativePlugin.scala new file mode 100644 index 0000000..fff12ef --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/MulDivIterativePlugin.scala @@ -0,0 +1,188 @@ +package vexriscv.plugin + +import spinal.core._ +import spinal.lib._ +import vexriscv.{VexRiscv, _} + +object MulDivIterativePlugin{ + object IS_MUL extends Stageable(Bool) + object IS_DIV extends Stageable(Bool) + object IS_REM extends Stageable(Bool) + object IS_RS1_SIGNED extends Stageable(Bool) + object IS_RS2_SIGNED extends Stageable(Bool) + object FAST_DIV_VALID extends Stageable(Bool) + object FAST_DIV_VALUE extends Stageable(UInt(4 bits)) +} + +class MulDivIterativePlugin(genMul : Boolean = true, + genDiv : Boolean = true, + mulUnrollFactor : Int = 1, + divUnrollFactor : Int = 1, + dhrystoneOpt : Boolean = false, + customMul : (UInt, UInt, Stage, VexRiscv) => Area = null) extends Plugin[VexRiscv] with VexRiscvRegressionArg { + import MulDivIterativePlugin._ + + override def getVexRiscvRegressionArgs(): Seq[String] = { + var args = List[String]() + if(genMul) args :+= "MUL=yes" + if(genDiv) args :+= "DIV=yes" + args + } + + override def setup(pipeline: VexRiscv): Unit = { + import Riscv._ + import pipeline.config._ + + + val commonActions = List[(Stageable[_ <: BaseType],Any)]( + SRC1_CTRL -> Src1CtrlEnum.RS, + SRC2_CTRL -> Src2CtrlEnum.RS, + REGFILE_WRITE_VALID -> True, + BYPASSABLE_EXECUTE_STAGE -> Bool(pipeline.stages.last == pipeline.execute), + BYPASSABLE_MEMORY_STAGE -> True, + RS1_USE -> True, + RS2_USE -> True + ) + + + val decoderService = pipeline.service(classOf[DecoderService]) + + if(genMul) { + val mulActions = commonActions ++ List(IS_MUL -> True) + decoderService.addDefault(IS_MUL, False) + decoderService.add(List( + MUL -> (mulActions ++ List(IS_RS1_SIGNED -> False, IS_RS2_SIGNED -> False)), + MULH -> (mulActions ++ List(IS_RS1_SIGNED -> True, IS_RS2_SIGNED -> True)), + MULHSU -> (mulActions ++ List(IS_RS1_SIGNED -> True, IS_RS2_SIGNED -> False)), + MULHU -> (mulActions ++ List(IS_RS1_SIGNED -> False, IS_RS2_SIGNED -> False)) + )) + } + + if(genDiv) { + val divActions = commonActions ++ List(IS_DIV -> True) + decoderService.addDefault(IS_DIV, False) + decoderService.add(List( + DIV -> (divActions ++ List(IS_RS1_SIGNED -> True, IS_RS2_SIGNED -> True)), + DIVU -> (divActions ++ List(IS_RS1_SIGNED -> False, IS_RS2_SIGNED -> False)), + REM -> (divActions ++ List(IS_RS1_SIGNED -> True, IS_RS2_SIGNED -> True)), + REMU -> (divActions ++ List(IS_RS1_SIGNED -> False, IS_RS2_SIGNED -> False)) + )) + } + + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + if(!genMul && !genDiv) return + + val flushStage = if(memory != null) memory else execute + flushStage plug new Area { + import flushStage._ + + //Shared ressources + val rs1 = Reg(UInt(33 bits)) + val rs2 = Reg(UInt(32 bits)) + val accumulator = Reg(UInt(65 bits)) + + //FrontendOK is only used for CPU configs without memory/writeback stages, were it is required to wait one extra cycle + // to let's the frontend process rs1 rs2 registers + val frontendOk = if(flushStage != execute) True else RegInit(False) setWhen(arbitration.isValid && !pipeline.service(classOf[HazardService]).hazardOnExecuteRS && ((if(genDiv) input(IS_DIV) else False) || (if(genMul) input(IS_MUL) else False))) clearWhen(arbitration.isMoving) + + val mul = ifGen(genMul) (if(customMul != null) customMul(rs1,rs2,memory,pipeline) else new Area{ + assert(isPow2(mulUnrollFactor)) + val counter = Counter(32 / mulUnrollFactor + 1) + val done = counter.willOverflowIfInc + when(arbitration.isValid && input(IS_MUL)){ + when(!frontendOk || !done){ + arbitration.haltItself := True + } + when(frontendOk && !done){ + arbitration.haltItself := True + counter.increment() + rs2 := rs2 |>> mulUnrollFactor + val sumElements = ((0 until mulUnrollFactor).map(i => rs2(i) ? (rs1 << i) | U(0)) :+ (accumulator >> 32)) + val sumResult = sumElements.map(_.asSInt.resize(32 + mulUnrollFactor + 1).asUInt).reduceBalancedTree(_ + _) + accumulator := (sumResult @@ accumulator(31 downto 0)) >> mulUnrollFactor + } + output(REGFILE_WRITE_DATA) := ((input(INSTRUCTION)(13 downto 12) === B"00") ? accumulator(31 downto 0) | accumulator(63 downto 32)).asBits + } + when(!arbitration.isStuck) { + counter.clear() + } + }) + + + val div = ifGen(genDiv) (new Area{ + assert(isPow2(divUnrollFactor)) + def area = this + //register allocation + def numerator = rs1(31 downto 0) + def denominator = rs2 + def remainder = accumulator(31 downto 0) + + val needRevert = Reg(Bool) + val counter = Counter(32 / divUnrollFactor + 2) + val done = Reg(Bool) setWhen(counter === counter.end-1) clearWhen(!arbitration.isStuck) + val result = Reg(Bits(32 bits)) + when(arbitration.isValid && input(IS_DIV)){ + when(!frontendOk || !done){ + arbitration.haltItself := True + } + when(frontendOk && !done){ + counter.increment() + + def stages(inNumerator: UInt, inRemainder: UInt, stage: Int): Unit = stage match { + case 0 => { + numerator := inNumerator + remainder := inRemainder + } + case _ => new Area { + val remainderShifted = (inRemainder ## inNumerator.msb).asUInt + val remainderMinusDenominator = remainderShifted - denominator + val outRemainder = !remainderMinusDenominator.msb ? remainderMinusDenominator.resize(32 bits) | remainderShifted.resize(32 bits) + val outNumerator = (inNumerator ## !remainderMinusDenominator.msb).asUInt.resize(32 bits) + stages(outNumerator, outRemainder, stage - 1) + }.setCompositeName(area, "stage_" + (divUnrollFactor-stage)) + } + + stages(numerator, remainder, divUnrollFactor) + + when(counter === 32 / divUnrollFactor){ + val selectedResult = (input(INSTRUCTION)(13) ? remainder | numerator) + result := selectedResult.twoComplement(needRevert).asBits.resized + } + } + + output(REGFILE_WRITE_DATA) := result + } + }) + + //Execute stage logic to drive memory stage's input regs + when(if(flushStage != execute) !arbitration.isStuck else !frontendOk){ + accumulator := 0 + def twoComplement(that : Bits, enable: Bool): UInt = (Mux(enable, ~that, that).asUInt + enable.asUInt) + val rs2NeedRevert = execute.input(RS2).msb && execute.input(IS_RS2_SIGNED) + val rs1NeedRevert = (if(genMul)(execute.input(IS_MUL) && rs2NeedRevert) else False) || + (if(genDiv)(execute.input(IS_DIV) && execute.input(RS1).msb && execute.input(IS_RS1_SIGNED)) else False) + val rs1Extended = B((32 downto 32) -> (execute.input(IS_RS1_SIGNED) && execute.input(RS1).msb), (31 downto 0) -> execute.input(RS1)) + + rs1 := twoComplement(rs1Extended, rs1NeedRevert).resized + rs2 := twoComplement(execute.input(RS2), rs2NeedRevert) + if(genDiv) div.needRevert := (rs1NeedRevert ^ (rs2NeedRevert && !execute.input(INSTRUCTION)(13))) && !(execute.input(RS2) === 0 && execute.input(IS_RS2_SIGNED) && !execute.input(INSTRUCTION)(13)) + if(genDiv) div.counter.clear() + } + + if(dhrystoneOpt) { + execute.insert(FAST_DIV_VALID) := execute.input(IS_DIV) && execute.input(INSTRUCTION)(13 downto 12) === B"00" && !execute.input(RS1).msb && !execute.input(RS2).msb && execute.input(RS1).asUInt < 16 && execute.input(RS2).asUInt < 16 && execute.input(RS2) =/= 0 + execute.insert(FAST_DIV_VALUE) := (0 to 15).flatMap(n => (0 to 15).map(d => U(if (d == 0) 0 else n / d, 4 bits))).read(U(execute.input(RS1)(3 downto 0)) @@ U(execute.input(RS2)(3 downto 0))) //(U(execute.input(RS1)(3 downto 0)) / U(execute.input(RS2)(3 downto 0)) + when(execute.input(FAST_DIV_VALID)) { + execute.output(IS_DIV) := False + } + when(input(FAST_DIV_VALID)) { + output(REGFILE_WRITE_DATA) := B(0, 28 bits) ## input(FAST_DIV_VALUE) + } + } + } + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/MulPlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/MulPlugin.scala new file mode 100644 index 0000000..3e909a0 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/MulPlugin.scala @@ -0,0 +1,159 @@ +package vexriscv.plugin +import vexriscv._ +import vexriscv.VexRiscv +import spinal.core._ +import spinal.lib.KeepAttribute + +//Input buffer generaly avoid the FPGA synthesis to duplicate reg inside the DSP cell, which could stress timings quite much. +class MulPlugin(var inputBuffer : Boolean = false, + var outputBuffer : Boolean = false) extends Plugin[VexRiscv] with VexRiscvRegressionArg { + object MUL_LL extends Stageable(UInt(32 bits)) + object MUL_LH extends Stageable(SInt(34 bits)) + object MUL_HL extends Stageable(SInt(34 bits)) + object MUL_HH extends Stageable(SInt(34 bits)) + + object MUL_LOW extends Stageable(SInt(34+16+2 bits)) + + object IS_MUL extends Stageable(Bool) + + override def getVexRiscvRegressionArgs(): Seq[String] = { + List("MUL=yes") + } + + override def setup(pipeline: VexRiscv): Unit = { + import Riscv._ + import pipeline.config._ + + + val actions = List[(Stageable[_ <: BaseType],Any)]( +// SRC1_CTRL -> Src1CtrlEnum.RS, +// SRC2_CTRL -> Src2CtrlEnum.RS, + REGFILE_WRITE_VALID -> True, + BYPASSABLE_EXECUTE_STAGE -> False, + BYPASSABLE_MEMORY_STAGE -> False, + RS1_USE -> True, + RS2_USE -> True, + IS_MUL -> True + ) + + val decoderService = pipeline.service(classOf[DecoderService]) + decoderService.addDefault(IS_MUL, False) + decoderService.add(List( + MULX -> actions + )) + + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + + + //Do partial multiplication, four times 16 bits * 16 bits + execute plug new Area { + import execute._ + val aSigned,bSigned = Bool + val a,b = Bits(32 bit) + +// a := input(SRC1) +// b := input(SRC2) + + val delay = (if(inputBuffer) 1 else 0) + (if(outputBuffer) 1 else 0) + + val delayLogic = (delay != 0) generate new Area{ + val counter = Reg(UInt(log2Up(delay+1) bits)) + when(arbitration.isValid && input(IS_MUL) && counter =/= delay){ + arbitration.haltItself := True + } + + counter := counter + 1 + when(!arbitration.isStuck || arbitration.isStuckByOthers){ + counter := 0 + } + } + + val withInputBuffer = inputBuffer generate new Area{ + val rs1 = RegNext(input(RS1)) + val rs2 = RegNext(input(RS2)) + a := rs1 + b := rs2 + } + + val noInputBuffer = (!inputBuffer) generate new Area{ + a := input(RS1) + b := input(RS2) + } + + switch(input(INSTRUCTION)(13 downto 12)) { + is(B"01") { + aSigned := True + bSigned := True + } + is(B"10") { + aSigned := True + bSigned := False + } + default { + aSigned := False + bSigned := False + } + } + + val aULow = a(15 downto 0).asUInt + val bULow = b(15 downto 0).asUInt + val aSLow = (False ## a(15 downto 0)).asSInt + val bSLow = (False ## b(15 downto 0)).asSInt + val aHigh = (((aSigned && a.msb) ## a(31 downto 16))).asSInt + val bHigh = (((bSigned && b.msb) ## b(31 downto 16))).asSInt + + val withOuputBuffer = outputBuffer generate new Area{ + val mul_ll = RegNext(aULow * bULow) + val mul_lh = RegNext(aSLow * bHigh) + val mul_hl = RegNext(aHigh * bSLow) + val mul_hh = RegNext(aHigh * bHigh) + + insert(MUL_LL) := mul_ll + insert(MUL_LH) := mul_lh + insert(MUL_HL) := mul_hl + insert(MUL_HH) := mul_hh + } + + val noOutputBuffer = (!outputBuffer) generate new Area{ + insert(MUL_LL) := aULow * bULow + insert(MUL_LH) := aSLow * bHigh + insert(MUL_HL) := aHigh * bSLow + insert(MUL_HH) := aHigh * bHigh + } + + Component.current.afterElaboration{ + //Avoid synthesis tools to retime RS1 RS2 from execute stage to decode stage leading to bad timings (ex : Vivado, even if retiming is disabled) + KeepAttribute(input(RS1)) + KeepAttribute(input(RS2)) + } + } + + //First aggregation of partial multiplication + memory plug new Area { + import memory._ + insert(MUL_LOW) := S(0, MUL_HL.dataType.getWidth + 16 + 2 bit) + (False ## input(MUL_LL)).asSInt + (input(MUL_LH) << 16) + (input(MUL_HL) << 16) + } + + //Final aggregation of partial multiplications, REGFILE_WRITE_DATA overriding + writeBack plug new Area { + import writeBack._ + val result = input(MUL_LOW) + (input(MUL_HH) << 32) + + + when(arbitration.isValid && input(IS_MUL)){ + switch(input(INSTRUCTION)(13 downto 12)){ + is(B"00"){ + output(REGFILE_WRITE_DATA) := input(MUL_LOW)(31 downto 0).asBits + } + is(B"01",B"10",B"11"){ + output(REGFILE_WRITE_DATA) := result(63 downto 32).asBits + } + } + } + } + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/MulSimplePlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/MulSimplePlugin.scala new file mode 100644 index 0000000..3b407e1 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/MulSimplePlugin.scala @@ -0,0 +1,92 @@ +package vexriscv.plugin +import vexriscv._ +import vexriscv.VexRiscv +import spinal.core._ + +class MulSimplePlugin extends Plugin[VexRiscv]{ + object MUL_OPA extends Stageable(SInt(33 bits)) + object MUL_OPB extends Stageable(SInt(33 bits)) + object MUL extends Stageable(Bits(64 bits)) + + object IS_MUL extends Stageable(Bool) + + override def setup(pipeline: VexRiscv): Unit = { + import Riscv._ + import pipeline.config._ + + + val actions = List[(Stageable[_ <: BaseType],Any)]( + SRC1_CTRL -> Src1CtrlEnum.RS, + SRC2_CTRL -> Src2CtrlEnum.RS, + REGFILE_WRITE_VALID -> True, + BYPASSABLE_EXECUTE_STAGE -> Bool(pipeline.stages.last == pipeline.execute), + BYPASSABLE_MEMORY_STAGE -> Bool(pipeline.stages.last == pipeline.memory), + RS1_USE -> True, + RS2_USE -> True, + IS_MUL -> True + ) + + val decoderService = pipeline.service(classOf[DecoderService]) + decoderService.addDefault(IS_MUL, False) + decoderService.add(List( + MULX -> actions + )) + + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + + // Prepare signed inputs for the multiplier in the next stage. + // This will map them best to an FPGA DSP. + execute plug new Area { + import execute._ + val aSigned,bSigned = Bool + val a,b = Bits(32 bit) + + a := input(SRC1) + b := input(SRC2) + switch(input(INSTRUCTION)(13 downto 12)) { + is(B"01") { + aSigned := True + bSigned := True + } + is(B"10") { + aSigned := True + bSigned := False + } + default { + aSigned := False + bSigned := False + } + } + + insert(MUL_OPA) := ((aSigned ? a.msb | False) ## a).asSInt + insert(MUL_OPB) := ((bSigned ? b.msb | False) ## b).asSInt + } + + val injectionStage = if(pipeline.memory != null) pipeline.memory else pipeline.execute + injectionStage plug new Area { + import injectionStage._ + + insert(MUL) := (input(MUL_OPA) * input(MUL_OPB))(63 downto 0).asBits + } + + val memStage = stages.last + memStage plug new Area { + import memStage._ + + when(arbitration.isValid && input(IS_MUL)){ + switch(input(INSTRUCTION)(13 downto 12)){ + is(B"00"){ + output(REGFILE_WRITE_DATA) := input(MUL)(31 downto 0) + } + is(B"01",B"10",B"11"){ + output(REGFILE_WRITE_DATA) := input(MUL)(63 downto 32) + } + } + } + } + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/NoPipeliningPlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/NoPipeliningPlugin.scala new file mode 100644 index 0000000..b4ad22b --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/NoPipeliningPlugin.scala @@ -0,0 +1,23 @@ +package vexriscv.plugin + +import spinal.core._ +import spinal.lib._ +import vexriscv._ + + +class NoPipeliningPlugin() extends Plugin[VexRiscv] { + + override def setup(pipeline: VexRiscv): Unit = { + import pipeline.config._ + val decoderService = pipeline.service(classOf[DecoderService]) + decoderService.addDefault(HAS_SIDE_EFFECT, False) + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + + val writesInPipeline = stages.dropWhile(_ != execute).map(s => s.arbitration.isValid && s.input(REGFILE_WRITE_VALID)) :+ RegNext(stages.last.arbitration.isValid && stages.last.input(REGFILE_WRITE_VALID)) + decode.arbitration.haltByOther.setWhen(stagesFromExecute.map(_.arbitration.isValid).orR) + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/PcManagerSimplePlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/PcManagerSimplePlugin.scala new file mode 100644 index 0000000..5b1226a --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/PcManagerSimplePlugin.scala @@ -0,0 +1,145 @@ +package vexriscv.plugin + +import vexriscv._ +import spinal.core._ +import spinal.lib._ + +import scala.collection.mutable.ArrayBuffer + + + + +class PcManagerSimplePlugin(resetVector : BigInt, + relaxedPcCalculation : Boolean = false, + keepPcPlus4 : Boolean = true) extends Plugin[VexRiscv]{ + override def build(pipeline: VexRiscv): Unit = {println("PcManagerSimplePlugin is now useless")} +} + + +//class PcManagerSimplePlugin(resetVector : BigInt, +// relaxedPcCalculation : Boolean = false, +// keepPcPlus4 : Boolean = true) extends Plugin[VexRiscv] with JumpService{ +// //FetchService interface +// case class JumpInfo(interface : Flow[UInt], stage: Stage, priority : Int) +// val jumpInfos = ArrayBuffer[JumpInfo]() +// override def createJumpInterface(stage: Stage, priority : Int = 0): Flow[UInt] = { +// val interface = Flow(UInt(32 bits)) +// jumpInfos += JumpInfo(interface,stage, priority) +// interface +// } +// var prefetchExceptionPort : Flow[ExceptionCause] = null +// +// override def setup(pipeline: VexRiscv): Unit = { +// if(!relaxedPcCalculation) pipeline.unremovableStages += pipeline.prefetch +// } +// +// +// override def build(pipeline: VexRiscv): Unit = { +// import pipeline.config._ +// import pipeline._ +// +// if(relaxedPcCalculation) +// relaxedImpl(pipeline) +// else +// cycleEffectiveImpl(pipeline) +// +// //Formal verification signals generation +// prefetch.insert(FORMAL_PC_NEXT) := prefetch.input(PC) + 4 +// jumpInfos.foreach(info => { +// when(info.interface.valid){ +// info.stage.output(FORMAL_PC_NEXT) := info.interface.payload +// } +// }) +// } +// +// //reduce combinatorial path, and expose the PC to the pipeline as a register +// def relaxedImpl(pipeline: VexRiscv): Unit = { +// import pipeline.config._ +// import pipeline._ +// +// prefetch plug new Area { +// import prefetch._ +// //Stage always valid +// arbitration.isValid := True +// +// //PC calculation without Jump +// val pcReg = Reg(UInt(32 bits)) init(resetVector) addAttribute(Verilator.public) +// val pcPlus4 = pcReg + 4 +// if(keepPcPlus4) KeepAttribute(pcPlus4) +// when(arbitration.isFiring){ +// pcReg := pcPlus4 +// } +// +// //JumpService hardware implementation +// val jump = if(jumpInfos.length != 0) new Area { +// val sortedByStage = jumpInfos.sortWith((a, b) => { +// (pipeline.indexOf(a.stage) > pipeline.indexOf(b.stage)) || +// (pipeline.indexOf(a.stage) == pipeline.indexOf(b.stage) && a.priority > b.priority) +// }) +// val valids = sortedByStage.map(_.interface.valid) +// val pcs = sortedByStage.map(_.interface.payload) +// +// val pcLoad = Flow(UInt(32 bits)) +// pcLoad.valid := jumpInfos.map(_.interface.valid).orR +// pcLoad.payload := MuxOH(OHMasking.first(valids.asBits), pcs) +// +// //application of the selected jump request +// when(pcLoad.valid) { +// pcReg := pcLoad.payload +// } +// } +// +// insert(PC_CALC_WITHOUT_JUMP) := pcReg +// insert(PC) := pcReg +// } +// } +// +// //Jump take effect instantly (save one cycle), but expose the PC to the pipeline as a 'long' combinatorial path +// def cycleEffectiveImpl(pipeline: VexRiscv): Unit = { +// import pipeline.config._ +// import pipeline.prefetch +// +// prefetch plug new Area { +// import prefetch._ +// //Stage always valid +// arbitration.isValid := True +// +// //PC calculation without Jump +// val pcReg = Reg(UInt(32 bits)) init(resetVector) addAttribute(Verilator.public) +// val inc = RegInit(False) +// val pcBeforeJumps = pcReg + (inc ## B"00").asUInt +// insert(PC_CALC_WITHOUT_JUMP) := pcBeforeJumps +// val pc = UInt(32 bits) +// pc := input(PC_CALC_WITHOUT_JUMP) +// +// val samplePcNext = False +// +// //JumpService hardware implementation +// val jump = if(jumpInfos.length != 0) new Area { +// val sortedByStage = jumpInfos.sortWith((a, b) => pipeline.indexOf(a.stage) > pipeline.indexOf(b.stage)) +// val valids = sortedByStage.map(_.interface.valid) +// val pcs = sortedByStage.map(_.interface.payload) +// +// val pcLoad = Flow(UInt(32 bits)) +// pcLoad.valid := jumpInfos.map(_.interface.valid).orR +// pcLoad.payload := MuxOH(OHMasking.first(valids.asBits), pcs) +// +// //application of the selected jump request +// when(pcLoad.valid) { +// inc := False +// samplePcNext := True +// pc := pcLoad.payload +// } +// } +// +// when(arbitration.isFiring){ +// inc := True +// samplePcNext := True +// } +// +// when(samplePcNext) { pcReg := pc } +// +// insert(PC) := pc +// } +// } +//} \ No newline at end of file diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/Plugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/Plugin.scala new file mode 100644 index 0000000..96d2bc6 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/Plugin.scala @@ -0,0 +1,25 @@ +package vexriscv.plugin + +import vexriscv.{Pipeline, Stage} +import spinal.core.{Area, Nameable} + +/** + * Created by PIC32F_USER on 03/03/2017. + */ +trait Plugin[T <: Pipeline] extends Nameable{ + var pipeline : T = null.asInstanceOf[T] + setName(this.getClass.getSimpleName.replace("$","")) + + // Used to setup things with other plugins + def setup(pipeline: T) : Unit = {} + + //Used to flush out the required hardware (called after setup) + def build(pipeline: T) : Unit + + implicit class implicitsStage(stage: Stage){ + def plug[T <: Area](area : T) : T = {area.setCompositeName(stage,getName()).reflectNames();area} + } + implicit class implicitsPipeline(stage: Pipeline){ + def plug[T <: Area](area : T) = {area.setName(getName()).reflectNames();area} + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/PmpPlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/PmpPlugin.scala new file mode 100644 index 0000000..35951e5 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/PmpPlugin.scala @@ -0,0 +1,307 @@ +/* + * Copyright (c) 2021 Samuel Lindemer + * + * SPDX-License-Identifier: MIT + */ + +package vexriscv.plugin + +import vexriscv.{VexRiscv, _} +import vexriscv.plugin.MemoryTranslatorPort.{_} +import spinal.core._ +import spinal.lib._ +import spinal.lib.fsm._ + +/* Each 32-bit pmpcfg# register contains four 8-bit configuration sections. + * These section numbers contain flags which apply to regions defined by the + * corresponding pmpaddr# register. + * + * 3 2 1 + * 1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0 + * +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + * | pmp3cfg | pmp2cfg | pmp1cfg | pmp0cfg | pmpcfg0 + * +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + * | pmp7cfg | pmp6cfg | pmp5cfg | pmp4cfg | pmpcfg2 + * +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + * + * 7 6 5 4 3 2 1 0 + * +-------+-------+-------+-------+-------+-------+-------+-------+ + * | L | 0 | A | X | W | R | pmp#cfg + * +-------+-------+-------+-------+-------+-------+-------+-------+ + * + * L: locks configuration until system reset (including M-mode) + * 0: hardwired to zero + * A: 0 = OFF (null region / disabled) + * 1 = TOR (top of range) + * 2 = NA4 (naturally aligned four-byte region) + * 3 = NAPOT (naturally aligned power-of-two region, > 7 bytes) + * X: execute + * W: write + * R: read + * + * TOR: Each 32-bit pmpaddr# register defines the upper bound of the pmp region + * right-shifted by two bits. The lower bound of the region is the previous + * pmpaddr# register. In the case of pmpaddr0, the lower bound is address 0x0. + * + * 3 2 1 + * 1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0 + * +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + * | address[33:2] | pmpaddr# + * +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + * + * NAPOT: Each 32-bit pmpaddr# register defines the region address and the size + * of the pmp region. The number of concurrent 1s begging at the LSB indicates + * the size of the region as a power of two (e.g. 0x...0 = 8-byte, 0x...1 = + * 16-byte, 0x...11 = 32-byte, etc.). + * + * 3 2 1 + * 1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0 + * +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + * | address[33:2] |0|1|1|1|1| pmpaddr# + * +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + * + * NA4: This is essentially an edge case of NAPOT where the entire pmpaddr# + * register defines a 4-byte wide region. + * + * N.B. THIS IMPLEMENTATION ONLY SUPPORTS NAPOT ADDRESSING. REGIONS ARE NOT + * ORDERED BY PRIORITY. A PERMISSION IS GRANTED TO AN ACCESS IF ANY MATCHING + * PMP REGION HAS THAT PERMISSION ENABLED. + */ + +trait Pmp { + def OFF = 0 + def TOR = 1 + def NA4 = 2 + def NAPOT = 3 + + def xlen = 32 + def rBit = 0 + def wBit = 1 + def xBit = 2 + def aBits = 4 downto 3 + def lBit = 7 +} + +class PmpSetter(cutoff : Int) extends Component with Pmp { + val io = new Bundle { + val addr = in UInt(xlen bits) + val base, mask = out UInt(xlen - cutoff bits) + } + + val ones = io.addr & ~(io.addr + 1) + io.base := io.addr(xlen - 3 downto cutoff - 2) ^ ones(xlen - 3 downto cutoff - 2) + io.mask := ~(ones(xlen - 4 downto cutoff - 2) @@ U"1") +} + +case class ProtectedMemoryTranslatorPort(bus : MemoryTranslatorBus) + +class PmpPlugin(regions : Int, granularity : Int, ioRange : UInt => Bool) extends Plugin[VexRiscv] with MemoryTranslator with Pmp { + assert(regions % 4 == 0 & regions <= 16) + assert(granularity >= 8) + + var setter : PmpSetter = null + var dPort, iPort : ProtectedMemoryTranslatorPort = null + val cutoff = log2Up(granularity) - 1 + + override def newTranslationPort(priority : Int, args : Any): MemoryTranslatorBus = { + val port = ProtectedMemoryTranslatorPort(MemoryTranslatorBus(new MemoryTranslatorBusParameter(0, 0))) + priority match { + case PRIORITY_INSTRUCTION => iPort = port + case PRIORITY_DATA => dPort = port + } + port.bus + } + + override def setup(pipeline: VexRiscv): Unit = { + setter = new PmpSetter(cutoff) + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline.config._ + import pipeline._ + import Riscv._ + + val csrService = pipeline.service(classOf[CsrInterface]) + val privilegeService = pipeline.service(classOf[PrivilegeService]) + + val state = pipeline plug new Area { + val pmpaddr = Mem(UInt(xlen bits), regions) + val pmpcfg = Vector.fill(regions)(Reg(Bits(8 bits)) init (0)) + val base, mask = Vector.fill(regions)(Reg(UInt(xlen - cutoff bits))) + } + + def machineMode : Bool = privilegeService.isMachine() + + execute plug new Area { + import execute._ + + val fsmPending = RegInit(False) clearWhen(!arbitration.isStuck) + val fsmComplete = False + val hazardFree = csrService.isHazardFree() + + val csrAddress = input(INSTRUCTION)(csrRange) + val pmpNcfg = csrAddress(log2Up(regions) - 1 downto 0).asUInt + val pmpcfgN = pmpNcfg(log2Up(regions) - 3 downto 0) + val pmpcfgCsr = input(INSTRUCTION)(31 downto 24) === 0x3a + val pmpaddrCsr = input(INSTRUCTION)(31 downto 24) === 0x3b + + val pmpNcfg_ = Reg(UInt(log2Up(regions) bits)) + val pmpcfgN_ = Reg(UInt(log2Up(regions) - 2 bits)) + val pmpcfgCsr_ = RegInit(False) + val pmpaddrCsr_ = RegInit(False) + val writeData_ = Reg(Bits(xlen bits)) + + csrService.duringAnyRead { + when (machineMode) { + when (pmpcfgCsr) { + csrService.allowCsr() + csrService.readData() := + state.pmpcfg(pmpcfgN @@ U(3, 2 bits)) ## + state.pmpcfg(pmpcfgN @@ U(2, 2 bits)) ## + state.pmpcfg(pmpcfgN @@ U(1, 2 bits)) ## + state.pmpcfg(pmpcfgN @@ U(0, 2 bits)) + } + when (pmpaddrCsr) { + csrService.allowCsr() + csrService.readData() := state.pmpaddr(pmpNcfg).asBits + } + } + } + + csrService.duringAnyWrite { + when ((pmpcfgCsr | pmpaddrCsr) & machineMode) { + csrService.allowCsr() + arbitration.haltItself := !fsmComplete + when (!fsmPending && hazardFree) { + fsmPending := True + writeData_ := csrService.writeData() + pmpNcfg_ := pmpNcfg + pmpcfgN_ := pmpcfgN + pmpcfgCsr_ := pmpcfgCsr + pmpaddrCsr_ := pmpaddrCsr + } + } + } + + val fsm = new StateMachine { + val fsmEnable = RegInit(False) + val fsmCounter = Reg(UInt(log2Up(regions) bits)) init(0) + + val stateIdle : State = new State with EntryPoint { + onEntry { + fsmPending := False + fsmEnable := False + fsmComplete := True + fsmCounter := 0 + } + whenIsActive { + when (fsmPending) { + goto(stateWrite) + } + } + } + + val stateWrite : State = new State { + whenIsActive { + when (pmpcfgCsr_) { + val overwrite = writeData_.subdivideIn(8 bits) + for (i <- 0 until 4) { + when (~state.pmpcfg(pmpcfgN_ @@ U(i, 2 bits))(lBit)) { + state.pmpcfg(pmpcfgN_ @@ U(i, 2 bits)).assignFromBits(overwrite(i)) + } + } + goto(stateCfg) + } + when (pmpaddrCsr_) { + when (~state.pmpcfg(pmpNcfg_)(lBit)) { + state.pmpaddr(pmpNcfg_) := writeData_.asUInt + } + goto(stateAddr) + } + } + onExit (fsmEnable := True) + } + + val stateCfg : State = new State { + onEntry (fsmCounter := pmpcfgN_ @@ U(0, 2 bits)) + whenIsActive { + fsmCounter := fsmCounter + 1 + when (fsmCounter(1 downto 0) === 3) { + goto(stateIdle) + } + } + } + + val stateAddr : State = new State { + onEntry (fsmCounter := pmpNcfg_) + whenIsActive (goto(stateIdle)) + } + + when (pmpaddrCsr_) { + setter.io.addr := writeData_.asUInt + } otherwise { + setter.io.addr := state.pmpaddr(fsmCounter) + } + + when (fsmEnable & ~state.pmpcfg(fsmCounter)(lBit)) { + state.base(fsmCounter) := setter.io.base + state.mask(fsmCounter) := setter.io.mask + } + } + } + + pipeline plug new Area { + def getHits(address : UInt) = { + (0 until regions).map(i => + ((address & state.mask(U(i, log2Up(regions) bits))) === state.base(U(i, log2Up(regions) bits))) & + (state.pmpcfg(i)(lBit) | ~machineMode) & (state.pmpcfg(i)(aBits) === NAPOT) + ) + } + + def getPermission(hits : IndexedSeq[Bool], bit : Int) = { + MuxOH(OHMasking.first(hits), state.pmpcfg.map(_(bit))) + } + + val dGuard = new Area { + val address = dPort.bus.cmd(0).virtualAddress + dPort.bus.rsp.physicalAddress := address + dPort.bus.rsp.isIoAccess := ioRange(address) + dPort.bus.rsp.isPaging := False + dPort.bus.rsp.exception := False + dPort.bus.rsp.refilling := False + dPort.bus.rsp.allowExecute := False + dPort.bus.busy := False + + val hits = getHits(address(31 downto cutoff)) + + when(~hits.orR) { + dPort.bus.rsp.allowRead := machineMode + dPort.bus.rsp.allowWrite := machineMode + } otherwise { + dPort.bus.rsp.allowRead := getPermission(hits, rBit) + dPort.bus.rsp.allowWrite := getPermission(hits, wBit) + } + } + + val iGuard = new Area { + val address = iPort.bus.cmd(0).virtualAddress + iPort.bus.rsp.physicalAddress := address + iPort.bus.rsp.isIoAccess := ioRange(address) + iPort.bus.rsp.isPaging := False + iPort.bus.rsp.exception := False + iPort.bus.rsp.refilling := False + iPort.bus.rsp.allowRead := False + iPort.bus.rsp.allowWrite := False + iPort.bus.busy := False + + val hits = getHits(address(31 downto cutoff)) + + when(~hits.orR) { + iPort.bus.rsp.allowExecute := machineMode + } otherwise { + iPort.bus.rsp.allowExecute := getPermission(hits, xBit) + } + } + } + } +} \ No newline at end of file diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/PmpPluginOld.scala b/VexRiscv/src/main/scala/vexriscv/plugin/PmpPluginOld.scala new file mode 100644 index 0000000..0426902 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/PmpPluginOld.scala @@ -0,0 +1,244 @@ +/* + * Copyright (c) 2020 Samuel Lindemer + * + * SPDX-License-Identifier: MIT + */ + +package vexriscv.plugin + +import vexriscv.{VexRiscv, _} +import spinal.core._ +import spinal.lib._ +import scala.collection.mutable.ArrayBuffer + +/* Each 32-bit pmpcfg# register contains four 8-bit configuration sections. + * These section numbers contain flags which apply to regions defined by the + * corresponding pmpaddr# register. + * + * 3 2 1 + * 1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0 + * +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + * | pmp3cfg | pmp2cfg | pmp1cfg | pmp0cfg | pmpcfg0 + * +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + * | pmp7cfg | pmp6cfg | pmp5cfg | pmp4cfg | pmpcfg2 + * +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + * + * 7 6 5 4 3 2 1 0 + * +-------+-------+-------+-------+-------+-------+-------+-------+ + * | L | 0 | A | X | W | R | pmp#cfg + * +-------+-------+-------+-------+-------+-------+-------+-------+ + * + * L: locks configuration until system reset (including M-mode) + * 0: hardwired to zero + * A: 0 = OFF (null region / disabled) + * 1 = TOR (top of range) + * 2 = NA4 (naturally aligned four-byte region) + * 3 = NAPOT (naturally aligned power-of-two region, > 7 bytes) + * X: execute + * W: write + * R: read + * + * TOR: Each 32-bit pmpaddr# register defines the upper bound of the pmp region + * right-shifted by two bits. The lower bound of the region is the previous + * pmpaddr# register. In the case of pmpaddr0, the lower bound is address 0x0. + * + * 3 2 1 + * 1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0 + * +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + * | address[33:2] | pmpaddr# + * +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + * + * NAPOT: Each 32-bit pmpaddr# register defines the region address and the size + * of the pmp region. The number of concurrent 1s begging at the LSB indicates + * the size of the region as a power of two (e.g. 0x...0 = 8-byte, 0x...1 = + * 16-byte, 0x...11 = 32-byte, etc.). + * + * 3 2 1 + * 1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0 + * +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + * | address[33:2] |0|1|1|1|1| pmpaddr# + * +-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+-+ + * + * NA4: This is essentially an edge case of NAPOT where the entire pmpaddr# + * register defines a 4-byte wide region. + */ + +case class PmpRegister(previous : PmpRegister) extends Area { + + def OFF = 0 + def TOR = 1 + def NA4 = 2 + def NAPOT = 3 + + val state = new Area { + val r, w, x = Reg(Bool) + val l = RegInit(False) + val a = Reg(UInt(2 bits)) init(0) + val addr = Reg(UInt(32 bits)) + } + + // CSR writes connect to these signals rather than the internal state + // registers. This makes locking and WARL possible. + val csr = new Area { + val r, w, x = Bool + val l = Bool + val a = UInt(2 bits) + val addr = UInt(32 bits) + } + + // Last valid assignment wins; nothing happens if a user-initiated write did + // not occur on this clock cycle. + csr.r := state.r + csr.w := state.w + csr.x := state.x + csr.l := state.l + csr.a := state.a + csr.addr := state.addr + + // Computed PMP region bounds + val region = new Area { + val valid, locked = Bool + val start, end = UInt(32 bits) + } + + when(~state.l) { + state.r := csr.r + state.w := csr.w + state.x := csr.x + state.l := csr.l + state.a := csr.a + state.addr := csr.addr + + if (csr.l == True & csr.a == TOR) { + previous.state.l := True + } + } + + val shifted = state.addr |<< 2 + val mask = state.addr & ~(state.addr + 1) + val masked = (state.addr & ~mask) |<< 2 + + // PMP changes take effect two clock cycles after the initial CSR write (i.e., + // settings propagate from csr -> state -> region). + region.locked := state.l + region.valid := True + + switch(csr.a) { + is(TOR) { + if (previous == null) region.start := 0 + else region.start := previous.region.end + region.end := shifted + } + is(NA4) { + region.start := shifted + region.end := shifted + 4 + } + is(NAPOT) { + region.start := masked + region.end := masked + ((mask + 1) |<< 3) + } + default { + region.start := 0 + region.end := shifted + region.valid := False + } + } +} + + +class PmpPluginOld(regions : Int, ioRange : UInt => Bool) extends Plugin[VexRiscv] with MemoryTranslator { + + // Each pmpcfg# CSR configures four regions. + assert((regions % 4) == 0) + + val pmps = ArrayBuffer[PmpRegister]() + val portsInfo = ArrayBuffer[ProtectedMemoryTranslatorPort]() + + override def newTranslationPort(priority : Int, args : Any): MemoryTranslatorBus = { + val port = ProtectedMemoryTranslatorPort(MemoryTranslatorBus(new MemoryTranslatorBusParameter(0, 0))) + portsInfo += port + port.bus + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline.config._ + import pipeline._ + import Riscv._ + + val csrService = pipeline.service(classOf[CsrInterface]) + val privilegeService = pipeline.service(classOf[PrivilegeService]) + + val core = pipeline plug new Area { + + // Instantiate pmpaddr0 ... pmpaddr# CSRs. + for (i <- 0 until regions) { + if (i == 0) { + pmps += PmpRegister(null) + } else { + pmps += PmpRegister(pmps.last) + } + csrService.r(0x3b0 + i, pmps(i).state.addr) + csrService.w(0x3b0 + i, pmps(i).csr.addr) + } + + // Instantiate pmpcfg0 ... pmpcfg# CSRs. + for (i <- 0 until (regions / 4)) { + csrService.r(0x3a0 + i, + 31 -> pmps((i * 4) + 3).state.l, 23 -> pmps((i * 4) + 2).state.l, + 15 -> pmps((i * 4) + 1).state.l, 7 -> pmps((i * 4) ).state.l, + 27 -> pmps((i * 4) + 3).state.a, 26 -> pmps((i * 4) + 3).state.x, + 25 -> pmps((i * 4) + 3).state.w, 24 -> pmps((i * 4) + 3).state.r, + 19 -> pmps((i * 4) + 2).state.a, 18 -> pmps((i * 4) + 2).state.x, + 17 -> pmps((i * 4) + 2).state.w, 16 -> pmps((i * 4) + 2).state.r, + 11 -> pmps((i * 4) + 1).state.a, 10 -> pmps((i * 4) + 1).state.x, + 9 -> pmps((i * 4) + 1).state.w, 8 -> pmps((i * 4) + 1).state.r, + 3 -> pmps((i * 4) ).state.a, 2 -> pmps((i * 4) ).state.x, + 1 -> pmps((i * 4) ).state.w, 0 -> pmps((i * 4) ).state.r + ) + csrService.w(0x3a0 + i, + 31 -> pmps((i * 4) + 3).csr.l, 23 -> pmps((i * 4) + 2).csr.l, + 15 -> pmps((i * 4) + 1).csr.l, 7 -> pmps((i * 4) ).csr.l, + 27 -> pmps((i * 4) + 3).csr.a, 26 -> pmps((i * 4) + 3).csr.x, + 25 -> pmps((i * 4) + 3).csr.w, 24 -> pmps((i * 4) + 3).csr.r, + 19 -> pmps((i * 4) + 2).csr.a, 18 -> pmps((i * 4) + 2).csr.x, + 17 -> pmps((i * 4) + 2).csr.w, 16 -> pmps((i * 4) + 2).csr.r, + 11 -> pmps((i * 4) + 1).csr.a, 10 -> pmps((i * 4) + 1).csr.x, + 9 -> pmps((i * 4) + 1).csr.w, 8 -> pmps((i * 4) + 1).csr.r, + 3 -> pmps((i * 4) ).csr.a, 2 -> pmps((i * 4) ).csr.x, + 1 -> pmps((i * 4) ).csr.w, 0 -> pmps((i * 4) ).csr.r + ) + } + + // Connect memory ports to PMP logic. + val ports = for ((port, portId) <- portsInfo.zipWithIndex) yield new Area { + + val address = port.bus.cmd(0).virtualAddress + port.bus.rsp.physicalAddress := address + + // Only the first matching PMP region applies. + val hits = pmps.map(pmp => pmp.region.valid & + pmp.region.start <= address & + pmp.region.end > address & + (pmp.region.locked | ~privilegeService.isMachine())) + + // M-mode has full access by default, others have none. + when(CountOne(hits) === 0) { + port.bus.rsp.allowRead := privilegeService.isMachine() + port.bus.rsp.allowWrite := privilegeService.isMachine() + port.bus.rsp.allowExecute := privilegeService.isMachine() + } otherwise { + port.bus.rsp.allowRead := MuxOH(OHMasking.first(hits), pmps.map(_.state.r)) + port.bus.rsp.allowWrite := MuxOH(OHMasking.first(hits), pmps.map(_.state.w)) + port.bus.rsp.allowExecute := MuxOH(OHMasking.first(hits), pmps.map(_.state.x)) + } + + port.bus.rsp.isIoAccess := ioRange(port.bus.rsp.physicalAddress) + port.bus.rsp.isPaging := False + port.bus.rsp.exception := False + port.bus.rsp.refilling := False + port.bus.busy := False + + } + } + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/RegFilePlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/RegFilePlugin.scala new file mode 100644 index 0000000..94a3f32 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/RegFilePlugin.scala @@ -0,0 +1,122 @@ +package vexriscv.plugin + +import vexriscv._ +import spinal.core._ +import spinal.lib._ + +import scala.collection.mutable + + +trait RegFileReadKind +object ASYNC extends RegFileReadKind +object SYNC extends RegFileReadKind + + +class RegFilePlugin(regFileReadyKind : RegFileReadKind, + zeroBoot : Boolean = false, + x0Init : Boolean = true, + writeRfInMemoryStage : Boolean = false, + readInExecute : Boolean = false, + syncUpdateOnStall : Boolean = true, + rv32e : Boolean = false, + withShadow : Boolean = false //shadow registers aren't transition hazard free + ) extends Plugin[VexRiscv] with RegFileService{ + import Riscv._ + + override def readStage(): Stage = if(readInExecute) pipeline.execute else pipeline.decode + + override def setup(pipeline: VexRiscv): Unit = { + import pipeline.config._ + val decoderService = pipeline.service(classOf[DecoderService]) + decoderService.addDefault(RS1_USE,False) + decoderService.addDefault(RS2_USE,False) + decoderService.addDefault(REGFILE_WRITE_VALID,False) + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + + val readStage = if(readInExecute) execute else decode + val writeStage = if(writeRfInMemoryStage) memory else stages.last + + val numRegisters = if(rv32e) 16 else 32 + def clipRange(that : Range) = if(rv32e) that.tail else that + + val global = pipeline plug new Area{ + val regFileSize = if(withShadow) numRegisters * 2 else numRegisters + val regFile = Mem(Bits(32 bits),regFileSize) addAttribute(Verilator.public) + if(zeroBoot) regFile.init(List.fill(regFileSize)(B(0, 32 bits))) + + val shadow = ifGen(withShadow)(new Area{ + val write, read, clear = RegInit(False) + + read clearWhen(clear && !readStage.arbitration.isStuck) + write clearWhen(clear && !writeStage.arbitration.isStuck) + + val csrService = pipeline.service(classOf[CsrInterface]) + csrService.w(0x7C0,2 -> clear, 1 -> read, 0 -> write) + }) + } + + //Disable rd0 write in decoding stage + when(decode.input(INSTRUCTION)(rdRange) === 0) { + decode.input(REGFILE_WRITE_VALID) := False + } + if(rv32e) when(decode.input(INSTRUCTION)(rdRange.head)) { + decode.input(REGFILE_WRITE_VALID) := False + } + + //Read register file + readStage plug new Area{ + import readStage._ + + //read register file + val srcInstruction = regFileReadyKind match{ + case `ASYNC` => input(INSTRUCTION) + case `SYNC` if !readInExecute => input(INSTRUCTION_ANTICIPATED) + case `SYNC` if readInExecute => if(syncUpdateOnStall) Mux(execute.arbitration.isStuck, execute.input(INSTRUCTION), decode.input(INSTRUCTION)) else decode.input(INSTRUCTION) + } + + def shadowPrefix(that : Bits) = if(withShadow) global.shadow.read ## that else that + val regFileReadAddress1 = U(shadowPrefix(srcInstruction(clipRange(Riscv.rs1Range)))) + val regFileReadAddress2 = U(shadowPrefix(srcInstruction(clipRange(Riscv.rs2Range)))) + + val (rs1Data,rs2Data) = regFileReadyKind match{ + case `ASYNC` => (global.regFile.readAsync(regFileReadAddress1),global.regFile.readAsync(regFileReadAddress2)) + case `SYNC` => + val enable = if(!syncUpdateOnStall) !readStage.arbitration.isStuck else null + (global.regFile.readSync(regFileReadAddress1, enable),global.regFile.readSync(regFileReadAddress2, enable)) + } + + insert(RS1) := rs1Data + insert(RS2) := rs2Data + } + + //Write register file + writeStage plug new Area { + import writeStage._ + + def shadowPrefix(that : Bits) = if(withShadow) global.shadow.write ## that else that + val regFileWrite = global.regFile.writePort.addAttribute(Verilator.public).setName("lastStageRegFileWrite") + regFileWrite.valid := output(REGFILE_WRITE_VALID) && arbitration.isFiring + regFileWrite.address := U(shadowPrefix(output(INSTRUCTION)(clipRange(rdRange)))) + regFileWrite.data := output(REGFILE_WRITE_DATA) + + //Ensure no boot glitches modify X0 + if(!x0Init && zeroBoot) when(regFileWrite.address === 0){ + regFileWrite.valid := False + } + + //CPU will initialise constant register zero in the first cycle + if(x0Init) { + val boot = RegNext(False) init (True) + regFileWrite.valid setWhen (boot) + when(boot) { + regFileWrite.address := 0 + regFileWrite.data := 0 + } + } + } + } +} \ No newline at end of file diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/ShiftPlugins.scala b/VexRiscv/src/main/scala/vexriscv/plugin/ShiftPlugins.scala new file mode 100644 index 0000000..a4ae716 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/ShiftPlugins.scala @@ -0,0 +1,193 @@ +package vexriscv.plugin + +import vexriscv._ +import spinal.core._ +import spinal.lib.Reverse + + + +class FullBarrelShifterPlugin(earlyInjection : Boolean = false) extends Plugin[VexRiscv]{ + object ShiftCtrlEnum extends SpinalEnum(binarySequential){ + val DISABLE, SLL, SRL, SRA = newElement() + } + + object SHIFT_CTRL extends Stageable(ShiftCtrlEnum()) + object SHIFT_RIGHT extends Stageable(Bits(32 bits)) + + override def setup(pipeline: VexRiscv): Unit = { + import Riscv._ + import pipeline.config._ + + + + val immediateActions = List[(Stageable[_ <: BaseType],Any)]( + SRC1_CTRL -> Src1CtrlEnum.RS, + SRC2_CTRL -> Src2CtrlEnum.IMI, + REGFILE_WRITE_VALID -> True, + BYPASSABLE_EXECUTE_STAGE -> Bool(earlyInjection), + BYPASSABLE_MEMORY_STAGE -> True, + RS1_USE -> True + ) + + val nonImmediateActions = List[(Stageable[_ <: BaseType],Any)]( + SRC1_CTRL -> Src1CtrlEnum.RS, + SRC2_CTRL -> Src2CtrlEnum.RS, + REGFILE_WRITE_VALID -> True, + BYPASSABLE_EXECUTE_STAGE -> Bool(earlyInjection), + BYPASSABLE_MEMORY_STAGE -> True, + RS1_USE -> True, + RS2_USE -> True + ) + + val decoderService = pipeline.service(classOf[DecoderService]) + decoderService.addDefault(SHIFT_CTRL, ShiftCtrlEnum.DISABLE) + decoderService.add(List( + SLL -> (nonImmediateActions ++ List(SHIFT_CTRL -> ShiftCtrlEnum.SLL)), + SRL -> (nonImmediateActions ++ List(SHIFT_CTRL -> ShiftCtrlEnum.SRL)), + SRA -> (nonImmediateActions ++ List(SHIFT_CTRL -> ShiftCtrlEnum.SRA)) + )) + + decoderService.add(List( + SLLI -> (immediateActions ++ List(SHIFT_CTRL -> ShiftCtrlEnum.SLL)), + SRLI -> (immediateActions ++ List(SHIFT_CTRL -> ShiftCtrlEnum.SRL)), + SRAI -> (immediateActions ++ List(SHIFT_CTRL -> ShiftCtrlEnum.SRA)) + )) + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + + + execute plug new Area{ + import execute._ + val amplitude = input(SRC2)(4 downto 0).asUInt + val reversed = Mux(input(SHIFT_CTRL) === ShiftCtrlEnum.SLL, Reverse(input(SRC1)), input(SRC1)) + insert(SHIFT_RIGHT) := (Cat(input(SHIFT_CTRL) === ShiftCtrlEnum.SRA & reversed.msb, reversed).asSInt >> amplitude)(31 downto 0).asBits + } + + val injectionStage = if(earlyInjection) execute else memory + injectionStage plug new Area{ + import injectionStage._ + when(arbitration.isValid){ + switch(input(SHIFT_CTRL)) { + is(ShiftCtrlEnum.SLL) { + output(REGFILE_WRITE_DATA) := Reverse(input(SHIFT_RIGHT)) + } + is(ShiftCtrlEnum.SRL, ShiftCtrlEnum.SRA) { + output(REGFILE_WRITE_DATA) := input(SHIFT_RIGHT) + } + } + } + } + } +} + + + + + + + + + + +class LightShifterPlugin extends Plugin[VexRiscv]{ + object ShiftCtrlEnum extends SpinalEnum(binarySequential){ + val DISABLE, SLL, SRL, SRA = newElement() + } + + object SHIFT_CTRL extends Stageable(ShiftCtrlEnum()) + + override def setup(pipeline: VexRiscv): Unit = { + import Riscv._ + import pipeline.config._ + import IntAluPlugin._ + + val immediateActions = List[(Stageable[_ <: BaseType],Any)]( + SRC1_CTRL -> Src1CtrlEnum.RS, + SRC2_CTRL -> Src2CtrlEnum.IMI, + REGFILE_WRITE_VALID -> True, + BYPASSABLE_EXECUTE_STAGE -> True, + BYPASSABLE_MEMORY_STAGE -> True, + RS1_USE -> True, + + //Get SRC1 through the MMU to the RF write path + ALU_CTRL -> AluCtrlEnum.ADD_SUB, + SRC_USE_SUB_LESS -> False, + SRC_ADD_ZERO -> True + ) + + val nonImmediateActions = List[(Stageable[_ <: BaseType],Any)]( + SRC1_CTRL -> Src1CtrlEnum.RS, + SRC2_CTRL -> Src2CtrlEnum.RS, + REGFILE_WRITE_VALID -> True, + BYPASSABLE_EXECUTE_STAGE -> True, + BYPASSABLE_MEMORY_STAGE -> True, + RS1_USE -> True, + RS2_USE -> True, + + //Get SRC1 through the MMU to the RF write path + ALU_CTRL -> AluCtrlEnum.ADD_SUB, + SRC_USE_SUB_LESS -> False, + SRC_ADD_ZERO -> True + ) + + val decoderService = pipeline.service(classOf[DecoderService]) + decoderService.addDefault(SHIFT_CTRL, ShiftCtrlEnum.DISABLE) + decoderService.add(List( + SLL -> (nonImmediateActions ++ List(SHIFT_CTRL -> ShiftCtrlEnum.SLL)), + SRL -> (nonImmediateActions ++ List(SHIFT_CTRL -> ShiftCtrlEnum.SRL)), + SRA -> (nonImmediateActions ++ List(SHIFT_CTRL -> ShiftCtrlEnum.SRA)) + )) + + decoderService.add(List( + SLLI -> (immediateActions ++ List(SHIFT_CTRL -> ShiftCtrlEnum.SLL)), + SRLI -> (immediateActions ++ List(SHIFT_CTRL -> ShiftCtrlEnum.SRL)), + SRAI -> (immediateActions ++ List(SHIFT_CTRL -> ShiftCtrlEnum.SRA)) + )) + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + + + execute plug new Area{ + import execute._ + + val isActive = RegInit(False) + val isShift = input(SHIFT_CTRL) =/= ShiftCtrlEnum.DISABLE + val amplitudeReg = Reg(UInt(5 bits)) + val amplitude = isActive ? amplitudeReg | input(SRC2)(4 downto 0).asUInt + val shiftReg = ifGen(!withMemoryStage) (RegNextWhen(execute.output(REGFILE_WRITE_DATA), !arbitration.isStuckByOthers)) + val shiftInput = isActive ? (if(withMemoryStage) memory.input(REGFILE_WRITE_DATA) else shiftReg) | input(SRC1) + val done = amplitude(4 downto 1) === 0 + + if(withMemoryStage) memory.dontSampleStageable(REGFILE_WRITE_DATA, arbitration.isStuckByOthers) + + when(arbitration.isValid && isShift && input(SRC2)(4 downto 0) =/= 0){ + output(REGFILE_WRITE_DATA) := input(SHIFT_CTRL).mux( + ShiftCtrlEnum.SLL -> (shiftInput |<< 1), + default -> (((input(SHIFT_CTRL) === ShiftCtrlEnum.SRA && shiftInput.msb) ## shiftInput).asSInt >> 1).asBits //ALU.SRL,ALU.SRA + ) + + when(!arbitration.isStuckByOthers){ + isActive := True + amplitudeReg := amplitude - 1 + + when(done){ + isActive := False + } + } + + when(!done){ + arbitration.haltItself := True + } + } + when(arbitration.removeIt){ + isActive := False + } + } + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/SingleInstructionLimiterPlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/SingleInstructionLimiterPlugin.scala new file mode 100644 index 0000000..c6c9706 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/SingleInstructionLimiterPlugin.scala @@ -0,0 +1,17 @@ +package vexriscv.plugin + +import vexriscv._ +import spinal.core._ +import spinal.lib._ + + +class SingleInstructionLimiterPlugin() extends Plugin[VexRiscv] { + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + val fetcher = pipeline.service(classOf[IBusFetcher]) + when(fetcher.incoming() || List(decode,execute,memory,writeBack).map(_.arbitration.isValid).orR) { + fetcher.haltIt() + } + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/SrcPlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/SrcPlugin.scala new file mode 100644 index 0000000..d67e7cc --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/SrcPlugin.scala @@ -0,0 +1,83 @@ +package vexriscv.plugin + +import vexriscv._ +import spinal.core._ +import spinal.lib.KeepAttribute + + +class SrcPlugin(separatedAddSub : Boolean = false, executeInsertion : Boolean = false, decodeAddSub : Boolean = false) extends Plugin[VexRiscv]{ + object SRC2_FORCE_ZERO extends Stageable(Bool) + + + override def setup(pipeline: VexRiscv): Unit = { + import pipeline.config._ + + val decoderService = pipeline.service(classOf[DecoderService]) + decoderService.addDefault(SRC_ADD_ZERO, False) //TODO avoid this default to simplify decoding ? + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + + decode.insert(SRC2_FORCE_ZERO) := decode.input(SRC_ADD_ZERO) && !decode.input(SRC_USE_SUB_LESS) + + val insertionStage = if(executeInsertion) execute else decode + insertionStage plug new Area{ + import insertionStage._ + + val imm = Riscv.IMM(input(INSTRUCTION)) + insert(SRC1) := input(SRC1_CTRL).mux( + Src1CtrlEnum.RS -> output(RS1), + Src1CtrlEnum.PC_INCREMENT -> (if(pipeline.config.withRvc) Mux(input(IS_RVC), B(2), B(4)) else B(4)).resized, + Src1CtrlEnum.IMU -> imm.u.resized, + Src1CtrlEnum.URS1 -> input(INSTRUCTION)(Riscv.rs1Range).resized + ) + insert(SRC2) := input(SRC2_CTRL).mux( + Src2CtrlEnum.RS -> output(RS2), + Src2CtrlEnum.IMI -> imm.i_sext.resized, + Src2CtrlEnum.IMS -> imm.s_sext.resized, + Src2CtrlEnum.PC -> output(PC).asBits + ) + } + + val addSubStage = if(decodeAddSub) decode else execute + if(separatedAddSub) { + addSubStage plug new Area { + import addSubStage._ + + // ADD, SUB + val add = (U(input(SRC1)) + U(input(SRC2))).asBits.addAttribute("keep") + val sub = (U(input(SRC1)) - U(input(SRC2))).asBits.addAttribute("keep") + when(input(SRC_ADD_ZERO)){ add := input(SRC1) } + + // SLT, SLTU + val less = Mux(input(SRC1).msb === input(SRC2).msb, sub.msb, + Mux(input(SRC_LESS_UNSIGNED), input(SRC2).msb, input(SRC1).msb)) + + insert(SRC_ADD_SUB) := input(SRC_USE_SUB_LESS) ? sub | add + insert(SRC_ADD) := add + insert(SRC_SUB) := sub + insert(SRC_LESS) := less + } + }else{ + addSubStage plug new Area { + import addSubStage._ + + // ADD, SUB + val addSub = (input(SRC1).asSInt + Mux(input(SRC_USE_SUB_LESS), ~input(SRC2), input(SRC2)).asSInt + Mux(input(SRC_USE_SUB_LESS), S(1, 32 bits), S(0, 32 bits))).asBits + when(input(SRC2_FORCE_ZERO)){ addSub := input(SRC1) } + + + // SLT, SLTU + val less = Mux(input(SRC1).msb === input(SRC2).msb, addSub.msb, + Mux(input(SRC_LESS_UNSIGNED), input(SRC2).msb, input(SRC1).msb)) + + insert(SRC_ADD_SUB) := addSub + insert(SRC_ADD) := addSub + insert(SRC_SUB) := addSub + insert(SRC_LESS) := less + } + } + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/StaticMemoryTranslatorPlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/StaticMemoryTranslatorPlugin.scala new file mode 100644 index 0000000..cafd8de --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/StaticMemoryTranslatorPlugin.scala @@ -0,0 +1,41 @@ +package vexriscv.plugin + +import vexriscv.{VexRiscv, _} +import spinal.core._ +import spinal.lib._ + +import scala.collection.mutable.ArrayBuffer +case class StaticMemoryTranslatorPort(bus : MemoryTranslatorBus, priority : Int) + +class StaticMemoryTranslatorPlugin(ioRange : UInt => Bool) extends Plugin[VexRiscv] with MemoryTranslator { + val portsInfo = ArrayBuffer[StaticMemoryTranslatorPort]() + + override def newTranslationPort(priority : Int,args : Any): MemoryTranslatorBus = { + val port = StaticMemoryTranslatorPort(MemoryTranslatorBus(MemoryTranslatorBusParameter(wayCount = 0)),priority) + portsInfo += port + port.bus + } + + override def setup(pipeline: VexRiscv): Unit = { + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + import Riscv._ + + val core = pipeline plug new Area { + val ports = for ((port, portId) <- portsInfo.zipWithIndex) yield new Area { + port.bus.rsp.physicalAddress := port.bus.cmd.last.virtualAddress + port.bus.rsp.allowRead := True + port.bus.rsp.allowWrite := True + port.bus.rsp.allowExecute := True + port.bus.rsp.isIoAccess := ioRange(port.bus.rsp.physicalAddress) + port.bus.rsp.isPaging := False + port.bus.rsp.exception := False + port.bus.rsp.refilling := False + port.bus.busy := False + } + } + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/VfuPlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/VfuPlugin.scala new file mode 100644 index 0000000..a2c0930 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/VfuPlugin.scala @@ -0,0 +1,136 @@ +package vexriscv.plugin + +import vexriscv.{DecoderService, ExceptionCause, ExceptionService, Stage, Stageable, VexRiscv} +import spinal.core._ +import spinal.lib._ +import spinal.lib.bus.bmb.WeakConnector +import spinal.lib.bus.misc.{AddressMapping, DefaultMapping} +import vexriscv.Riscv.IMM + + +object VfuPlugin{ + val ROUND_MODE_WIDTH = 3 + +} + + +case class VfuParameter() //Empty for now + +case class VfuCmd( p : VfuParameter ) extends Bundle{ + val instruction = Bits(32 bits) + val inputs = Vec(Bits(32 bits), 2) + val rounding = Bits(VfuPlugin.ROUND_MODE_WIDTH bits) +} + +case class VfuRsp(p : VfuParameter) extends Bundle{ + val output = Bits(32 bits) +} + +case class VfuBus(p : VfuParameter) extends Bundle with IMasterSlave{ + val cmd = Stream(VfuCmd(p)) + val rsp = Stream(VfuRsp(p)) + + def <<(m : VfuBus) : Unit = { + val s = this + s.cmd << m.cmd + m.rsp << s.rsp + } + + override def asMaster(): Unit = { + master(cmd) + slave(rsp) + } +} + + + +class VfuPlugin(val stageCount : Int, + val allowZeroLatency : Boolean, + val parameter : VfuParameter) extends Plugin[VexRiscv]{ + def p = parameter + + var bus : VfuBus = null + + lazy val forkStage = pipeline.execute + lazy val joinStage = pipeline.stages(Math.min(pipeline.stages.length - 1, pipeline.indexOf(forkStage) + stageCount)) + + + object VFU_ENABLE extends Stageable(Bool()) + object VFU_IN_FLIGHT extends Stageable(Bool()) + + override def setup(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + + bus = master(VfuBus(p)) + + val decoderService = pipeline.service(classOf[DecoderService]) + decoderService.addDefault(VFU_ENABLE, False) + + decoderService.add( + key = M"-------------------------0001011", + values = List( + VFU_ENABLE -> True, + REGFILE_WRITE_VALID -> True, //If you want to write something back into the integer register file + BYPASSABLE_EXECUTE_STAGE -> Bool(stageCount == 0), + BYPASSABLE_MEMORY_STAGE -> Bool(stageCount <= 1), + RS1_USE -> True, + RS2_USE -> True + ) + ) + } + + override def build(pipeline: VexRiscv): Unit = { + import pipeline._ + import pipeline.config._ + + val csr = pipeline plug new Area{ + val factory = pipeline.service(classOf[CsrInterface]) + val rounding = Reg(Bits(VfuPlugin.ROUND_MODE_WIDTH bits)) + + factory.rw(csrAddress = 0xBC0, bitOffset = 0, that = rounding) + } + + + forkStage plug new Area{ + import forkStage._ + val hazard = stages.dropWhile(_ != forkStage).tail.map(s => s.arbitration.isValid && s.input(HAS_SIDE_EFFECT)).orR + val scheduleWish = arbitration.isValid && input(VFU_ENABLE) + val schedule = scheduleWish && !hazard + arbitration.haltItself setWhen(scheduleWish && hazard) + + val hold = RegInit(False) setWhen(schedule) clearWhen(bus.cmd.ready) + val fired = RegInit(False) setWhen(bus.cmd.fire) clearWhen(!arbitration.isStuck) + insert(VFU_IN_FLIGHT) := schedule || hold || fired + + bus.cmd.valid := (schedule || hold) && !fired + arbitration.haltItself setWhen(bus.cmd.valid && !bus.cmd.ready) + + bus.cmd.instruction := input(INSTRUCTION) + bus.cmd.inputs(0) := input(RS1) + bus.cmd.inputs(1) := input(RS2) + bus.cmd.rounding := csr.rounding + } + + joinStage plug new Area{ + import joinStage._ + + val rsp = if(forkStage != joinStage && allowZeroLatency) { + bus.rsp.s2mPipe() + } else { + bus.rsp.combStage() + } + + rsp.ready := False + when(input(VFU_IN_FLIGHT) && input(REGFILE_WRITE_VALID)){ + arbitration.haltItself setWhen(!bus.rsp.valid) + rsp.ready := !arbitration.isStuckByOthers + output(REGFILE_WRITE_DATA) := bus.rsp.output + } + } + + pipeline.stages.drop(1).foreach(s => s.output(VFU_IN_FLIGHT) clearWhen(s.arbitration.isStuck)) + addPrePopTask(() => stages.dropWhile(_ != memory).reverse.dropWhile(_ != joinStage).foreach(s => s.input(VFU_IN_FLIGHT).init(False))) + } +} + diff --git a/VexRiscv/src/main/scala/vexriscv/plugin/YamlPlugin.scala b/VexRiscv/src/main/scala/vexriscv/plugin/YamlPlugin.scala new file mode 100644 index 0000000..ca53e42 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/plugin/YamlPlugin.scala @@ -0,0 +1,32 @@ +package vexriscv.plugin + +import java.util + +import vexriscv.{ReportService, VexRiscv} +import org.yaml.snakeyaml.{DumperOptions, Yaml} + + +/** + * Created by spinalvm on 09.06.17. + */ +class YamlPlugin(path : String) extends Plugin[VexRiscv] with ReportService{ + + val content = new util.HashMap[String, Object]() + + def add(that : (String,Object)) : Unit = content.put(that._1,that._2) + + override def setup(pipeline: VexRiscv): Unit = { + + } + + override def build(pipeline: VexRiscv): Unit = { + val options = new DumperOptions() + options.setWidth(50) + options.setIndent(4) + options.setCanonical(true) + options.setDefaultFlowStyle(DumperOptions.FlowStyle.BLOCK) + + val yaml = new Yaml() + yaml.dump(content, new java.io.FileWriter(path)) + } +} diff --git a/VexRiscv/src/main/scala/vexriscv/test/Swing.scala b/VexRiscv/src/main/scala/vexriscv/test/Swing.scala new file mode 100644 index 0000000..b3a1637 --- /dev/null +++ b/VexRiscv/src/main/scala/vexriscv/test/Swing.scala @@ -0,0 +1,55 @@ +package vexriscv.test + +import java.awt.event.{MouseEvent, MouseListener} +import java.awt.{Color, Dimension, Graphics} +import javax.swing.JPanel + +abstract class JLedArray(ledCount : Int,ledDiameter : Int = 20, blackThickness : Int = 2) extends JPanel{ + def getValue() : BigInt + + override def paintComponent(g : Graphics) : Unit = { + val value = getValue() + for(i <- 0 to ledCount-1) { + g.setColor(Color.BLACK) + val x = i*ledDiameter + 1 + g.fillOval(x,1,ledDiameter,ledDiameter) + if (((value >> (ledCount-1-i)) & 1) != 0) { + g.setColor(Color.GREEN.darker()) + g.fillOval(x+blackThickness,3,ledDiameter-blackThickness*2,ledDiameter-blackThickness*2); + } + } + g.setColor(Color.BLACK) + } + this.setPreferredSize(new Dimension(ledDiameter*ledCount+2, ledDiameter+2)) +} + +class JSwitchArray(ledCount : Int,switchDiameter : Int = 20, blackThickness : Int = 2) extends JPanel{ + var value = BigInt(0) + def getValue() = value + addMouseListener(new MouseListener { + override def mouseExited(mouseEvent: MouseEvent): Unit = {} + override def mousePressed(mouseEvent: MouseEvent): Unit = {} + override def mouseReleased(mouseEvent: MouseEvent): Unit = {} + override def mouseEntered(mouseEvent: MouseEvent): Unit = {} + override def mouseClicked(mouseEvent: MouseEvent): Unit = { + val idx = ledCount-1-(mouseEvent.getX-2)/switchDiameter + value ^= BigInt(1) << idx + } + }) + override def paintComponent(g : Graphics) : Unit = { + for(i <- 0 to ledCount-1) { + g.setColor(Color.GRAY.darker()) + val x = i*switchDiameter + 1 + g.fillRect(x,1,switchDiameter,switchDiameter) + if (((value >> (ledCount-1-i)) & 1) != 0) { + g.setColor(Color.GRAY) + }else{ + g.setColor(Color.GRAY.brighter()) + } + g.fillRect(x+blackThickness,3,switchDiameter-blackThickness*2,switchDiameter-blackThickness*2); + + } + g.setColor(Color.BLACK) + } + this.setPreferredSize(new Dimension(switchDiameter*ledCount+2, switchDiameter+2)) +} \ No newline at end of file diff --git a/VexRiscv/src/test/cpp/briey/installs.txt b/VexRiscv/src/test/cpp/briey/installs.txt new file mode 100644 index 0000000..3cef523 --- /dev/null +++ b/VexRiscv/src/test/cpp/briey/installs.txt @@ -0,0 +1,22 @@ +sudo apt-get install libYAML-dev + + +sudo apt-get update +sudo apt-get install build-essential software-properties-common -y +sudo add-apt-repository ppa:ubuntu-toolchain-r/test -y +sudo apt-get update +sudo apt-get install gcc-6 g++-6 -y +sudo update-alternatives --install /usr/bin/gcc gcc /usr/bin/gcc-6 60 --slave /usr/bin/g++ g++ /usr/bin/g++-6 + + +wget -O boost_1_64_0.tar.gz http://sourceforge.net/projects/boost/files/boost/1.64.0/boost_1_64_0.tar.gz/download +tar xzvf boost_1_64_0.tar.gz +cd boost_1_64_0/ +./bootstrap.sh --prefix=/usr/local +./b2 +sudo ./b2 install + + + +echo "using gcc : 6.3 : /usr/bin/g++-6 ; " >> tools/build/src/user-config.jam +bjam --toolset=gcc-6 diff --git a/VexRiscv/src/test/cpp/briey/jtag.gtkw b/VexRiscv/src/test/cpp/briey/jtag.gtkw new file mode 100644 index 0000000..6ce65f3 --- /dev/null +++ b/VexRiscv/src/test/cpp/briey/jtag.gtkw @@ -0,0 +1,40 @@ +[*] +[*] GTKWave Analyzer v3.3.58 (w)1999-2014 BSI +[*] Fri Jun 9 08:05:42 2017 +[*] +[dumpfile] "/home/spinalvm/Spinal/VexRiscv/src/test/cpp/briey/Briey.vcd" +[dumpfile_mtime] "Fri Jun 9 08:05:37 2017" +[dumpfile_size] 2070466159 +[savefile] "/home/spinalvm/Spinal/VexRiscv/src/test/cpp/briey/jtag.gtkw" +[timestart] 51980000000 +[size] 1776 953 +[pos] -1 -353 +*-33.000000 62611680000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] TOP. +[treeopen] TOP.Briey. +[treeopen] TOP.Briey.axi_jtagCtrl. +[sst_width] 288 +[signals_width] 302 +[sst_expanded] 1 +[sst_vpaned_height] 503 +@28 +TOP.io_jtag_tck +TOP.io_jtag_tdi +TOP.io_jtag_tdo +TOP.io_jtag_tms +@22 +TOP.Briey.axi_jtagCtrl.jtagBridge_1.jtag_tap_fsm_state[3:0] +TOP.Briey.axi_core_cpu.debug_bus_cmd_payload_address[7:0] +TOP.Briey.axi_core_cpu.debug_bus_cmd_payload_data[31:0] +@29 +TOP.Briey.axi_core_cpu.debug_bus_cmd_payload_wr +@28 +TOP.Briey.axi_core_cpu.debug_bus_cmd_ready +TOP.Briey.axi_core_cpu.debug_bus_cmd_valid +@22 +TOP.Briey.axi_core_cpu.debug_bus_rsp_data[31:0] +@28 +TOP.Briey.axi_core_cpu.DebugPlugin_haltIt +TOP.Briey.axi_core_cpu.DebugPlugin_haltedByBreak +[pattern_trace] 1 +[pattern_trace] 0 diff --git a/VexRiscv/src/test/cpp/briey/main.cpp b/VexRiscv/src/test/cpp/briey/main.cpp new file mode 100644 index 0000000..bebe880 --- /dev/null +++ b/VexRiscv/src/test/cpp/briey/main.cpp @@ -0,0 +1,472 @@ +#include "VBriey.h" +#include "VBriey_Briey.h" +//#include "VBriey_Axi4VgaCtrl.h" +//#include "VBriey_VgaCtrl.h" +#ifdef REF +#include "VBriey_RiscvCore.h" +#endif +#include "verilated.h" +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#include "VBriey_VexRiscv.h" + + +#include "../common/framework.h" +#include "../common/jtag.h" +#include "../common/uart.h" + + + +class SdramConfig{ +public: + uint32_t byteCount; + uint32_t bankCount; + uint32_t rowSize; + uint32_t colSize; + + SdramConfig(uint32_t byteCount, + uint32_t bankCount, + uint32_t rowSize, + uint32_t colSize){ + this->byteCount = byteCount; + this->bankCount = bankCount; + this->rowSize = rowSize; + this->colSize = colSize; + } +}; + +class SdramIo{ +public: + CData *BA; + CData *DQM; + CData *CASn; + CData *CKE; + CData *CSn; + CData *RASn; + CData *WEn; + SData *ADDR; + CData *DQ_read; + CData *DQ_write; + CData *DQ_writeEnable; +}; + +class Sdram : public SimElement{ +public: + + SdramConfig *config; + SdramIo *io; + + uint32_t CAS; + uint32_t burstLength; + + class Bank{ + public: + uint8_t *data; + SdramConfig *config; + + bool opened; + uint32_t openedRow; + void init(SdramConfig *config){ + this->config = config; + data = new uint8_t[config->rowSize * config->colSize * config->byteCount]; + opened = false; + } + + virtual ~Bank(){ + delete data; + } + + void activate(uint32_t row){ + if(opened) + cout << "SDRAM error open unclosed bank" << endl; + openedRow = row; + opened = true; + } + + void precharge(){ + opened = false; + } + + void write(uint32_t column, CData byteId, CData data){ + if(!opened) + cout << "SDRAM : write in closed bank" << endl; + uint32_t addr = byteId + (column + openedRow * config->colSize) * config->byteCount; + //printf("SDRAM : Write A=%08x D=%02x\n",addr,data); + this->data[addr] = data; + + } + + CData read(uint32_t column, CData byteId){ + if(!opened) + cout << "SDRAM : write in closed bank" << endl; + uint32_t addr = byteId + (column + openedRow * config->colSize) * config->byteCount; + //printf("SDRAM : Read A=%08x D=%02x\n",addr,data[addr]); + return data[addr]; + } + }; + + Bank* banks; + + CData * readShifter; + + Sdram(SdramConfig *config,SdramIo* io){ + this->config = config; + this->io = io; + banks = new Bank[config->bankCount]; + for(uint32_t bankId = 0;bankId < config->bankCount;bankId++) banks[bankId].init(config); + readShifter = new CData[config->byteCount*3]; + } + + virtual ~Sdram(){ + delete banks; + delete readShifter; + } + + + uint8_t ckeLast = 0; + + + virtual void postCycle(){ + if(CAS >= 2 && CAS <=3){ + for(uint32_t byteId = 0;byteId != config->byteCount;byteId++){ + io->DQ_read[byteId] = readShifter[byteId + (CAS-1)*config->byteCount]; + } + for(uint32_t latency = CAS-1;latency != 0;latency--){ //missing CKE + for(uint32_t byteId = 0;byteId != config->byteCount;byteId++){ + readShifter[byteId+latency*config->byteCount] = readShifter[byteId+(latency-1)*config->byteCount]; + } + } + } + } + + virtual void preCycle(){ + if(!*io->CSn && ckeLast){ + uint32_t code = ((*io->RASn) << 2) | ((*io->CASn) << 1) | ((*io->WEn) << 0); + switch(code){ + case 0: //Mode register set + if(*io->BA == 0 && (*io->ADDR & 0x400) == 0){ + CAS = ((*io->ADDR) >> 4) & 0x7; + burstLength = ((*io->ADDR) >> 0) & 0x7; + if((*io->ADDR & 0x388) != 0) + cout << "SDRAM : ???" << endl; + printf("SDRAM : MODE REGISTER DEFINITION CAS=%d burstLength=%d\n",CAS,burstLength); + } + break; + case 2: //Bank precharge + if((*io->ADDR & 0x400) != 0){ //all + for(uint32_t bankId = 0;bankId < config->bankCount;bankId++) + banks[bankId].precharge(); + } else { //single + banks[*io->BA].precharge(); + } + break; + case 3: //Bank activate + banks[*io->BA].activate(*io->ADDR & 0x7FF); + break; + case 4: //Write + if((*io->ADDR & 0x400) != 0) + cout << "SDRAM : Write autoprecharge not supported" << endl; + + if(*io->DQ_writeEnable == 0) + cout << "SDRAM : Write Wrong DQ direction" << endl; + + for(uint32_t byteId = 0;byteId < config->byteCount;byteId++){ + if(((*io->DQM >> byteId) & 1) == 0) + banks[*io->BA].write(*io->ADDR, byteId ,io->DQ_write[byteId]); + } + break; + + case 5: //Read + if((*io->ADDR & 0x400) != 0) + cout << "SDRAM : READ autoprecharge not supported" << endl; + + if(*io->DQ_writeEnable != 0) + cout << "SDRAM : READ Wrong DQ direction" << endl; + + //if(*io->DQM != config->byteCount-1) + //cout << "SDRAM : READ wrong DQM" << endl; + + for(uint32_t byteId = 0;byteId < config->byteCount;byteId++){ + readShifter[byteId] = banks[*io->BA].read(*io->ADDR, byteId); + } + break; + case 1: // Self refresh + break; + case 7: // NOP + break; + default: + cout << "SDRAM : unknown code" << endl; + break; + } + } + ckeLast = *io->CKE; + } +}; + + +class VexRiscvTracer : public SimElement{ +public: + VBriey_VexRiscv *cpu; + ofstream instructionTraces; + ofstream regTraces; + + VexRiscvTracer(VBriey_VexRiscv *cpu){ + this->cpu = cpu; +#ifdef TRACE_INSTRUCTION + instructionTraces.open ("instructionTrace.log"); +#endif +#ifdef TRACE_REG + regTraces.open ("regTraces.log"); +#endif + } + + + + virtual void preCycle(){ +#ifdef TRACE_INSTRUCTION + if(cpu->writeBack_arbitration_isFiring){ + instructionTraces << hex << setw(8) << cpu->writeBack_INSTRUCTION << endl; + } +#endif +#ifdef TRACE_REG + if(cpu->writeBack_RegFilePlugin_regFileWrite_valid == 1 && cpu->writeBack_RegFilePlugin_regFileWrite_payload_address != 0){ + regTraces << " PC " << hex << setw(8) << cpu->writeBack_PC << " : reg[" << dec << setw(2) << (uint32_t)cpu->writeBack_RegFilePlugin_regFileWrite_payload_address << "] = " << hex << setw(8) << cpu->writeBack_RegFilePlugin_regFileWrite_payload_data << endl; + } + +#endif + } +}; + + + + +#include +#include +#include +#include + + +class Display : public SimElement{ +public: + int width, height; + uint32_t *pixels; + SDL_Window* window; + SDL_Renderer* renderer; + SDL_Texture * texture; + uint32_t x,y; + uint32_t refreshCounter = 0; + + Display(int width, int height){ + this->width = width; + this->height = height; + x = y = 0; + init(); + } + + virtual ~Display(){ + delete[] pixels; + SDL_DestroyTexture(texture); + SDL_DestroyRenderer(renderer); + SDL_DestroyWindow(window); + SDL_Quit(); + } + + void init(){ + + /* Initialize SDL. */ + if (SDL_Init(SDL_INIT_VIDEO) < 0) + return; + + /* Create the window where we will draw. */ + window = SDL_CreateWindow("VGA", + SDL_WINDOWPOS_CENTERED, SDL_WINDOWPOS_CENTERED, + width, height, + SDL_WINDOW_SHOWN); + + /* We must call SDL_CreateRenderer in order for draw calls to affect this window. */ + renderer = SDL_CreateRenderer(window, -1, 0); + + texture = SDL_CreateTexture(renderer, + SDL_PIXELFORMAT_ARGB8888, SDL_TEXTUREACCESS_STATIC, width, height); + pixels = new Uint32[width * height]; + memset(pixels, 0, width * height * sizeof(Uint32)); + } + + void set(uint32_t color){ + pixels[x + y*width] = color; + } + + void incX(){ + x++; + if(x >= width) x = width; + } + + void incY(){ + y++; + if(y >= height) y = height; + } + + void refresh(){ + //cout << "Display refresh " << refreshCounter++ << endl; + SDL_UpdateTexture(texture, NULL, pixels, 640 * sizeof(Uint32)); + SDL_RenderClear(renderer); + SDL_RenderCopy(renderer, texture, NULL, NULL); + SDL_RenderPresent(renderer); + memset(pixels, 0, width * height * sizeof(Uint32)); + } + + virtual void postCycle(){ + + } + + virtual void preCycle(){ + + } +}; + +class Vga : public Display{ +public: + VBriey* top; + Vga(VBriey* top,int width, int height) : Display(width, height){ + this->top = top; + } + + virtual ~Vga(){ + } + + virtual void postCycle(){ + + } + + uint32_t lastvSync = 0,lasthSync = 0; + virtual void preCycle(){ + if(!top->io_vga_vSync && lastvSync) { + y = 0; + refresh(); + } + if(!top->io_vga_hSync && lasthSync && x != 0) { + incY(); + x = 0; + } + if(top->io_vga_colorEn){ + this->set((top->io_vga_color_r << 19) + (top->io_vga_color_g << 10) + (top->io_vga_color_b << 3)); + incX(); + } + + lastvSync = top->io_vga_vSync; + lasthSync = top->io_vga_hSync; + } +}; + +class BrieyWorkspace : public Workspace{ +public: + BrieyWorkspace() : Workspace("Briey"){ + ClockDomain *axiClk = new ClockDomain(&top->io_axiClk,NULL,20000,100000); + ClockDomain *vgaClk = new ClockDomain(&top->io_vgaClk,NULL,40000,100000); + AsyncReset *asyncReset = new AsyncReset(&top->io_asyncReset,50000); + Jtag *jtag = new Jtag(&top->io_jtag_tms,&top->io_jtag_tdi,&top->io_jtag_tdo,&top->io_jtag_tck,80000); + UartRx *uartRx = new UartRx(&top->io_uart_txd,1.0e12/115200); + timeProcesses.push_back(axiClk); + timeProcesses.push_back(vgaClk); + timeProcesses.push_back(asyncReset); + timeProcesses.push_back(jtag); + timeProcesses.push_back(uartRx); + top->io_uart_rxd = 1; + + + SdramConfig *sdramConfig = new SdramConfig( + 2, //byteCount + 4, //bankCount + 1 << 13, //rowSize + 1 << 10 //colSize + ); + SdramIo *sdramIo = new SdramIo(); + sdramIo->BA = &top->io_sdram_BA ; + sdramIo->DQM = &top->io_sdram_DQM ; + sdramIo->CASn = &top->io_sdram_CASn ; + sdramIo->CKE = &top->io_sdram_CKE ; + sdramIo->CSn = &top->io_sdram_CSn ; + sdramIo->RASn = &top->io_sdram_RASn ; + sdramIo->WEn = &top->io_sdram_WEn ; + sdramIo->ADDR = &top->io_sdram_ADDR ; + sdramIo->DQ_read = (CData*)&top->io_sdram_DQ_read ; + sdramIo->DQ_write = (CData*)&top->io_sdram_DQ_write ; + sdramIo->DQ_writeEnable = (CData*)&top->io_sdram_DQ_writeEnable; + Sdram *sdram = new Sdram(sdramConfig, sdramIo); + + axiClk->add(sdram); + #ifdef TRACE + //speedFactor = 100e-6; + //cout << "Simulation caped to " << timeToSec << " of real time"<< endl; + #endif + + axiClk->add(new VexRiscvTracer(top->Briey->axi_core_cpu)); + + #ifdef VGA + Vga *vga = new Vga(top,640,480); + vgaClk->add(vga); + #endif + + top->io_coreInterrupt = 0; + } + + + /*bool trigged = false; + uint32_t frameStartCounter = 0; + virtual void dump(uint64_t i){ + if(!trigged) { + if(top->Briey->axi_vgaCtrl->vga_ctrl->io_frameStart) { + frameStartCounter++; + if(frameStartCounter < 3*32) cout << "**\n" << endl; + } + if(top->Briey->axi_vgaCtrl->vga_ctrl->io_error && frameStartCounter > 3*32) trigged = true; + } + if(trigged)Workspace::dump(i); + }*/ + + +}; + + +struct timespec timer_start(){ + struct timespec start_time; + clock_gettime(CLOCK_PROCESS_CPUTIME_ID, &start_time); + return start_time; +} + +long timer_end(struct timespec start_time){ + struct timespec end_time; + clock_gettime(CLOCK_PROCESS_CPUTIME_ID, &end_time); + uint64_t diffInNanos = end_time.tv_sec*1e9 + end_time.tv_nsec - start_time.tv_sec*1e9 - start_time.tv_nsec; + return diffInNanos; +} + + + +int main(int argc, char **argv, char **env) { + + Verilated::randReset(2); + Verilated::commandArgs(argc, argv); + + printf("BOOT\n"); + timespec startedAt = timer_start(); + + BrieyWorkspace().run(1e9); + + uint64_t duration = timer_end(startedAt); + cout << endl << "****************************************************************" << endl; + + + exit(0); +} diff --git a/VexRiscv/src/test/cpp/briey/makefile b/VexRiscv/src/test/cpp/briey/makefile new file mode 100644 index 0000000..e0a024b --- /dev/null +++ b/VexRiscv/src/test/cpp/briey/makefile @@ -0,0 +1,59 @@ +DEBUG?=no +TRACE?=no +TRACE_INSTRUCTION?=no +TRACE_REG?=no +PRINT_PERF?=no +VGA?=yes +TRACE_START=0 +ADDCFLAGS += -CFLAGS -pthread +ADDCFLAGS += -CFLAGS -lSDL2 +ADDCFLAGS += -LDFLAGS -lSDL2 + + + +ifeq ($(TRACE),yes) + VERILATOR_ARGS += --trace + ADDCFLAGS += -CFLAGS -DTRACE --trace-fst +endif +ifeq ($(DEBUG),yes) + ADDCFLAGS += -CFLAGS "-g3 -O0" +endif +ifneq ($(DEBUG),yes) + ADDCFLAGS += -CFLAGS "-O3" +endif +ifeq ($(PRINT_PERF),yes) + ADDCFLAGS += -CFLAGS -DPRINT_PERF +endif + +ifeq ($(VGA),yes) + ADDCFLAGS += -CFLAGS -DVGA +endif +ifeq ($(TRACE_INSTRUCTION),yes) + ADDCFLAGS += -CFLAGS -DTRACE_INSTRUCTION +endif + +ifeq ($(TRACE_REG),yes) + ADDCFLAGS += -CFLAGS -DTRACE_REG +endif + +ADDCFLAGS += -CFLAGS -DTRACE_START=${TRACE_START} + + + +all: clean compile + +run: compile + ./obj_dir/VBriey + +verilate: ../../../../Briey.v + rm -f Briey.v*.bin + cp ../../../../Briey.v*.bin . | true + verilator -cc ../../../../Briey.v -CFLAGS -std=c++11 ${ADDCFLAGS} --gdbbt ${VERILATOR_ARGS} -Wno-WIDTH -Wno-UNOPTFLAT --x-assign unique --exe main.cpp + +compile: verilate + make -j -C obj_dir/ -f VBriey.mk VBriey + +clean: + rm -f Briey.v*.bin + rm -rf obj_dir + diff --git a/VexRiscv/src/test/cpp/briey/sdram.gtkw b/VexRiscv/src/test/cpp/briey/sdram.gtkw new file mode 100644 index 0000000..e3fcbc8 --- /dev/null +++ b/VexRiscv/src/test/cpp/briey/sdram.gtkw @@ -0,0 +1,115 @@ +[*] +[*] GTKWave Analyzer v3.3.58 (w)1999-2014 BSI +[*] Wed Jun 7 01:18:28 2017 +[*] +[dumpfile] "/home/spinalvm/Spinal/VexRiscv/src/test/cpp/briey/Briey.vcd" +[dumpfile_mtime] "Wed Jun 7 01:17:07 2017" +[dumpfile_size] 1021433582 +[savefile] "/home/spinalvm/Spinal/VexRiscv/src/test/cpp/briey/sdram.gtkw" +[timestart] 20762992700 +[size] 1776 953 +[pos] -1 -353 +*-16.000000 20763117800 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] TOP. +[treeopen] TOP.Briey. +[treeopen] TOP.Briey.axi_jtagCtrl. +[treeopen] TOP.Briey.axi_sdramCtrl. +[sst_width] 325 +[signals_width] 456 +[sst_expanded] 1 +[sst_vpaned_height] 503 +@28 +TOP.Briey.axi_core_cpu.DebugPlugin_haltIt +TOP.Briey.axi_sdramCtrl.io_sdram_BA[1:0] +TOP.Briey.axi_sdramCtrl.io_sdram_CKE +TOP.Briey.axi_sdramCtrl.io_sdram_CSn +TOP.Briey.axi_sdramCtrl.io_sdram_DQM[1:0] +@22 +TOP.Briey.axi_sdramCtrl.io_sdram_DQ_read[15:0] +@28 +TOP.Briey.axi_sdramCtrl.io_sdram_DQ_writeEnable +@22 +TOP.Briey.axi_sdramCtrl.io_sdram_DQ_write[15:0] +@28 +TOP.Briey.axi_sdramCtrl.io_sdram_RASn +TOP.Briey.axi_sdramCtrl.io_sdram_CASn +TOP.Briey.axi_sdramCtrl.io_sdram_WEn +@24 +TOP.Briey.axi_sdramCtrl.io_sdram_ADDR[12:0] +@22 +TOP.Briey.axi_sdramCtrl.ctrl.io_bus_cmd_payload_context_id[3:0] +@28 +TOP.Briey.axi_sdramCtrl.ctrl.io_bus_cmd_payload_context_last +@22 +TOP.Briey.axi_sdramCtrl.ctrl.io_bus_cmd_payload_data[15:0] +@28 +TOP.Briey.axi_sdramCtrl.ctrl.io_bus_cmd_payload_mask[1:0] +TOP.Briey.axi_sdramCtrl.ctrl.io_bus_cmd_valid +TOP.Briey.axi_sdramCtrl.ctrl.io_bus_cmd_ready +@22 +TOP.Briey.axi_sdramCtrl.ctrl.io_bus_cmd_payload_address[24:0] +@28 +TOP.Briey.axi_sdramCtrl.ctrl.io_bus_cmd_payload_write +@22 +TOP.Briey.axi_sdramCtrl.ctrl.io_bus_rsp_payload_context_id[3:0] +@28 +TOP.Briey.axi_sdramCtrl.ctrl.io_bus_rsp_payload_context_last +@22 +TOP.Briey.axi_sdramCtrl.ctrl.io_bus_rsp_payload_data[15:0] +@28 +TOP.Briey.axi_sdramCtrl.ctrl.io_bus_rsp_ready +TOP.Briey.axi_sdramCtrl.ctrl.io_bus_rsp_valid +TOP.Briey.axi_core_cpu.DebugPlugin_haltIt +TOP.Briey.axi_core_cpu.DebugPlugin_haltedByBreak +TOP.Briey.axi_core_cpu.DebugPlugin_isPipBusy +TOP.Briey.axi_core_cpu.DebugPlugin_resetIt +TOP.Briey.axi_core_cpu.DebugPlugin_stepIt +TOP.Briey.axi_core_cpu.DebugPlugin_insertDecodeInstruction +@22 +TOP.Briey.axi_jtagCtrl.debugger.io_mem_cmd_payload_address[31:0] +TOP.Briey.axi_jtagCtrl.debugger.io_mem_cmd_payload_data[31:0] +@28 +TOP.Briey.axi_jtagCtrl.debugger.io_mem_cmd_payload_size[1:0] +TOP.Briey.axi_jtagCtrl.debugger.io_mem_cmd_payload_wr +@29 +TOP.Briey.axi_jtagCtrl.debugger.io_mem_cmd_ready +@28 +TOP.Briey.axi_jtagCtrl.debugger.io_mem_cmd_valid +@22 +TOP.Briey.axi_jtagCtrl.debugger.io_mem_rsp_payload[31:0] +@28 +TOP.Briey.axi_jtagCtrl.debugger.io_mem_rsp_valid +@22 +TOP.Briey.axi_core_cpu.prefetch_PC[31:0] +TOP.Briey.axi_core_cpu.execute_PC[31:0] +@28 +TOP.Briey.axi_core_cpu.execute_IS_EBREAK +TOP.Briey.axi_core_cpu.execute_arbitration_isValid +@22 +TOP.Briey.axi_core_cpu.DebugPlugin_busReadDataReg[31:0] +@28 +TOP.Briey.axi_core_cpu.writeBack_arbitration_isValid +@22 +TOP.Briey.axi_core_cpu.writeBack_REGFILE_WRITE_DATA[31:0] +TOP.Briey.axi_core_cpu.writeBack_PC[31:0] +TOP.Briey.axi_core_cpu.execute_REGFILE_WRITE_DATA[31:0] +TOP.Briey.axi_core_cpu.execute_SRC1[31:0] +TOP.Briey.axi_core_cpu.execute_SRC2[31:0] +TOP.Briey.axi_core_cpu.decode_SRC1[31:0] +@28 +TOP.Briey.axi_core_cpu.decode_SRC1_CTRL[1:0] +@22 +TOP.Briey.axi_core_cpu.decode_SRC2[31:0] +@28 +TOP.Briey.axi_core_cpu.decode_SRC2_CTRL[1:0] +@22 +TOP.Briey.axi_core_cpu.decode_REG1[31:0] +TOP.Briey.axi_core_cpu.RegFilePlugin_regFile(0)[31:0] +TOP.Briey.axi_core_cpu.decode_RegFilePlugin_regFileReadAddress1[4:0] +@28 +TOP.Briey.axi_core_cpu.decode_IS_EBREAK +TOP.Briey.axi_core_cpu.decode_arbitration_isValid +@22 +TOP.Briey.axi_core_cpu.decode_INSTRUCTION[31:0] +[pattern_trace] 1 +[pattern_trace] 0 diff --git a/VexRiscv/src/test/cpp/briey/wip.gtkw b/VexRiscv/src/test/cpp/briey/wip.gtkw new file mode 100644 index 0000000..b26d6e2 --- /dev/null +++ b/VexRiscv/src/test/cpp/briey/wip.gtkw @@ -0,0 +1,30 @@ +[*] +[*] GTKWave Analyzer v3.3.58 (w)1999-2014 BSI +[*] Sun Jul 9 22:38:21 2017 +[*] +[dumpfile] "/home/spinalvm/Spinal/VexRiscv/src/test/cpp/briey/Briey.vcd" +[dumpfile_mtime] "Sun Jul 9 22:38:03 2017" +[dumpfile_size] 1880556694 +[savefile] "/home/spinalvm/Spinal/VexRiscv/src/test/cpp/briey/wip.gtkw" +[timestart] 225385490000 +[size] 1776 953 +[pos] -775 -1 +*-24.000000 225374620001 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] TOP. +[treeopen] TOP.Briey. +[treeopen] TOP.Briey.axi_vgaCtrl. +[sst_width] 358 +[signals_width] 150 +[sst_expanded] 1 +[sst_vpaned_height] 279 +@28 +TOP.Briey.axi_vgaCtrl.vga_ctrl.io_frameStart +TOP.Briey.axi_vgaCtrl.vga_ctrl.io_pixels_ready +TOP.Briey.axi_vgaCtrl.vga_ctrl.io_pixels_valid +TOP.Briey.axi_vgaCtrl.vga_ctrl.io_softReset +TOP.Briey.axi_vgaCtrl.vga_ctrl.io_vgaClk +TOP.Briey.axi_vgaCtrl.vga_ctrl.io_vga_colorEn +@29 +TOP.Briey.axi_vgaCtrl.vga_run +[pattern_trace] 1 +[pattern_trace] 0 diff --git a/VexRiscv/src/test/cpp/common/framework.h b/VexRiscv/src/test/cpp/common/framework.h new file mode 100644 index 0000000..ed419ad --- /dev/null +++ b/VexRiscv/src/test/cpp/common/framework.h @@ -0,0 +1,287 @@ + +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include "verilated_fst_c.h" + +using namespace std; + +class SimElement{ +public: + virtual ~SimElement(){} + virtual void onReset(){} + virtual void postReset(){} + virtual void preCycle(){} + virtual void postCycle(){} +}; + +//#include +class TimeProcess{ +public: + uint64_t wakeDelay = 0; + bool wakeEnable = false; +// std::function lambda; + virtual ~TimeProcess(){} + virtual void schedule(uint64_t delay){ + wakeDelay = delay; + wakeEnable = true; + } + virtual void tick(){ +// lambda = [this](double x) { return x+1 + this->wakeDelay; }; +// lambda(1.0); + } +}; + + +class SensitiveProcess{ +public: + + virtual ~SensitiveProcess(){} + virtual void tick(uint64_t time){ + + } +}; + +class ClockDomain : public TimeProcess{ +public: + CData* clk; + CData* reset; + uint64_t tooglePeriod; + vector simElements; + ClockDomain(CData *clk, CData *reset, uint64_t period, uint64_t delay){ + this->clk = clk; + this->reset = reset; + *clk = 0; + this->tooglePeriod = period/2; + schedule(delay); + } + + + bool postCycle = false; + virtual void tick(){ + if(*clk == 0){ + for(SimElement* simElement : simElements){ + simElement->preCycle(); + } + postCycle = true; + *clk = 1; + schedule(0); + }else{ + if(postCycle){ + postCycle = false; + for(SimElement* simElement : simElements){ + simElement->postCycle(); + } + }else{ + *clk = 0; + } + schedule(tooglePeriod); + } + + } + + void add(SimElement *that){ + simElements.push_back(that); + } + +}; + +class AsyncReset : public TimeProcess{ +public: + CData* reset; + uint32_t state; + uint64_t duration; + AsyncReset(CData *reset, uint64_t duration){ + this->reset = reset; + *reset = 0; + state = 0; + this->duration = duration; + schedule(0); + } + + virtual void tick(){ + switch(state){ + case 0: + *reset = 1; + state = 1; + schedule(duration); + break; + case 1: + *reset = 0; + state = 2; + break; + } + } + +}; + + + +class success : public std::exception { }; +template class Workspace{ +public: + + vector timeProcesses; + vector checkProcesses; + T* top; + bool resetDone = false; + double timeToSec = 1e-12; + double speedFactor = 1.0; + uint64_t allowedTime = 0; + string name; + uint64_t time = 0; + #ifdef TRACE + VerilatedFstC* tfp; + #endif + + ofstream logTraces; + + Workspace(string name){ + this->name = name; + top = new T; + logTraces.open (name + ".logTrace"); + } + + virtual ~Workspace(){ + delete top; + #ifdef TRACE + delete tfp; + #endif + + for(auto* p : timeProcesses) delete p; + for(auto* p : checkProcesses) delete p; + + } + + Workspace* setSpeedFactor(double value){ + speedFactor = value; + return this; + } + + + virtual void postReset() {} + virtual void checks(){} + virtual void pass(){ throw success();} + virtual void fail(){ throw std::exception();} + + virtual void dump(uint64_t i){ + #ifdef TRACE + if(i >= TRACE_START) tfp->dump(i); + #endif + } + + Workspace* run(double timeout = 1e6){ + + // init trace dump + #ifdef TRACE + Verilated::traceEverOn(true); + tfp = new VerilatedFstC; + top->trace(tfp, 99); + tfp->open((string(name)+ ".fst").c_str()); + #endif + + struct timespec start_time,tick_time; + uint64_t tickLastSimTime = 0; + top->eval(); + + clock_gettime(CLOCK_PROCESS_CPUTIME_ID, &start_time); + clock_gettime(CLOCK_PROCESS_CPUTIME_ID, &tick_time); + + uint32_t flushCounter = 0; + try { + while(1){ + uint64_t delay = ~0l; + for(TimeProcess* p : timeProcesses) + if(p->wakeEnable && p->wakeDelay < delay) + delay = p->wakeDelay; + + if(time*timeToSec > timeout){ + printf("Simulation timeout triggered (%f)\n", time*timeToSec); + fail(); + } + if(delay == ~0l){ + fail(); + } + if(delay != 0){ + dump(time); + } + for(TimeProcess* p : timeProcesses) { + p->wakeDelay -= delay; + if(p->wakeDelay == 0){ + p->wakeEnable = false; + p->tick(); + } + } + + top->eval(); + for(auto* p : checkProcesses) p->tick(time); + + if(delay != 0){ + if(time - tickLastSimTime > 1000*400000 || time - tickLastSimTime > 1.0*speedFactor/timeToSec){ + struct timespec end_time; + clock_gettime(CLOCK_PROCESS_CPUTIME_ID, &end_time); + uint64_t diffInNanos = end_time.tv_sec*1e9 + end_time.tv_nsec - tick_time.tv_sec*1e9 - tick_time.tv_nsec; + tick_time = end_time; + double dt = diffInNanos*1e-9; + #ifdef PRINT_PERF + printf("Simulation speed : %f ms/realTime\n",(time - tickLastSimTime)/dt*timeToSec*1e3); + #endif + tickLastSimTime = time; + } + time += delay; + while(allowedTime < delay){ + struct timespec end_time; + clock_gettime(CLOCK_PROCESS_CPUTIME_ID, &end_time); + uint64_t diffInNanos = end_time.tv_sec*1e9 + end_time.tv_nsec - start_time.tv_sec*1e9 - start_time.tv_nsec; + start_time = end_time; + double dt = diffInNanos*1e-9; + allowedTime += dt*speedFactor/timeToSec; + if(allowedTime > 0.01*speedFactor/timeToSec) + allowedTime = 0.01*speedFactor/timeToSec; + + } + allowedTime-=delay; + + flushCounter++; + if(flushCounter > 100000){ + #ifdef TRACE + tfp->flush(); + //printf("flush\n"); + #endif + flushCounter = 0; + } + } + + + if (Verilated::gotFinish()) + exit(0); + } + cout << "timeout" << endl; + fail(); + } catch (const success e) { + cout <<"SUCCESS " << name << endl; + } catch (const std::exception& e) { + cout << "FAIL " << name << endl; + } + + + + dump(time); + dump(time+10); + #ifdef TRACE + tfp->close(); + #endif + return this; + } +}; + + diff --git a/VexRiscv/src/test/cpp/common/jtag.h b/VexRiscv/src/test/cpp/common/jtag.h new file mode 100644 index 0000000..868c745 --- /dev/null +++ b/VexRiscv/src/test/cpp/common/jtag.h @@ -0,0 +1,177 @@ + +#include +#include +#include +#include +#include +#include +#include +#include + +/** Returns true on success, or false if there was an error */ +bool SetSocketBlockingEnabled(int fd, bool blocking) +{ + if (fd < 0) return false; + +#ifdef WIN32 + unsigned long mode = blocking ? 0 : 1; + return (ioctlsocket(fd, FIONBIO, &mode) == 0) ? true : false; +#else + int flags = fcntl(fd, F_GETFL, 0); + if (flags < 0) return false; + flags = blocking ? (flags&~O_NONBLOCK) : (flags|O_NONBLOCK); + return (fcntl(fd, F_SETFL, flags) == 0) ? true : false; +#endif +} + +class Jtag : public TimeProcess{ +public: + CData *tms, *tdi, *tdo, *tck; + enum State {reset}; + uint32_t state; + + int serverSocket, clientHandle; + struct sockaddr_in serverAddr; + struct sockaddr_storage serverStorage; + socklen_t addr_size; + uint64_t tooglePeriod; +// char buffer[1024]; + + Jtag(CData *tms, CData *tdi, CData *tdo, CData* tck,uint64_t period){ + this->tms = tms; + this->tdi = tdi; + this->tdo = tdo; + this->tck = tck; + this->tooglePeriod = period/2; + *tms = 0; + *tdi = 0; + *tdo = 0; + *tck = 0; + state = 0; + schedule(0); + + //---- Create the socket. The three arguments are: ----// + // 1) Internet domain 2) Stream socket 3) Default protocol (TCP in this case) // + serverSocket = socket(PF_INET, SOCK_STREAM, 0); + assert(serverSocket != -1); + int flag = 1; + setsockopt( serverSocket, /* socket affected */ + IPPROTO_TCP, /* set option at TCP level */ + TCP_NODELAY, /* name of option */ + (char *) &flag, /* the cast is historical + cruft */ + sizeof(int)); /* length of option value */ + + /*int a = 0xFFF; + if (setsockopt(serverSocket, SOL_SOCKET, SO_RCVBUF, &a, sizeof(int)) == -1) { + fprintf(stderr, "Error setting socket opts: %s\n", strerror(errno)); + } + a = 0xFFFFFF; + if (setsockopt(serverSocket, SOL_SOCKET, SO_SNDBUF, &a, sizeof(int)) == -1) { + fprintf(stderr, "Error setting socket opts: %s\n", strerror(errno)); + }*/ + + SetSocketBlockingEnabled(serverSocket,0); + + + //---- Configure settings of the server address struct ----// + // Address family = Internet // + serverAddr.sin_family = AF_INET; + serverAddr.sin_port = htons(7894); + serverAddr.sin_addr.s_addr = inet_addr("127.0.0.1"); + memset(serverAddr.sin_zero, '\0', sizeof serverAddr.sin_zero); + + //---- Bind the address struct to the socket ----// + bind(serverSocket, (struct sockaddr *) &serverAddr, sizeof(serverAddr)); + + //---- Listen on the socket, with 5 max connection requests queued ----// + listen(serverSocket,1); + + //---- Accept call creates a new socket for the incoming connection ----// + addr_size = sizeof serverStorage; + clientHandle = -1; + + } + void connectionReset(){ + printf("CONNECTION RESET\n"); + shutdown(clientHandle,SHUT_RDWR); + clientHandle = -1; + } + + + virtual ~Jtag(){ + if(clientHandle != -1) { + shutdown(clientHandle,SHUT_RDWR); + usleep(100); + } + if(serverSocket != -1) { + close(serverSocket); + usleep(100); + } + } + + uint32_t selfSleep = 0; + uint32_t checkNewConnectionsTimer = 0; + uint8_t rxBuffer[100]; + int32_t rxBufferSize = 0; + int32_t rxBufferRemaining = 0; + virtual void tick(){ + checkNewConnectionsTimer++; + if(checkNewConnectionsTimer == 5000){ + checkNewConnectionsTimer = 0; + int newclientHandle = accept(serverSocket, (struct sockaddr *) &serverStorage, &addr_size); + if(newclientHandle != -1){ + if(clientHandle != -1){ + connectionReset(); + } + clientHandle = newclientHandle; + printf("CONNECTED\n"); + } + else{ + if(clientHandle == -1) + selfSleep = 1000; + } + } + if(selfSleep) + selfSleep--; + else{ + if(clientHandle != -1){ + uint8_t buffer; + int n; + + if(rxBufferRemaining == 0){ + if(ioctl(clientHandle,FIONREAD,&n) != 0) + connectionReset(); + else if(n >= 1){ + rxBufferSize = read(clientHandle,&rxBuffer,100); + if(rxBufferSize < 0){ + connectionReset(); + }else { + rxBufferRemaining = rxBufferSize; + } + }else { + selfSleep = 30; + } + } + + if(rxBufferRemaining != 0){ + uint8_t buffer = rxBuffer[rxBufferSize - (rxBufferRemaining--)]; + *tms = (buffer & 1) != 0; + *tdi = (buffer & 2) != 0; + *tck = (buffer & 8) != 0; + if(buffer & 4){ + buffer = (*tdo != 0); + //printf("TDO=%d\n",buffer); + if(-1 == send(clientHandle,&buffer,1,0)) + connectionReset(); + }else { + + // printf("\n"); + } + } + } + } + schedule(tooglePeriod); + } + +}; \ No newline at end of file diff --git a/VexRiscv/src/test/cpp/common/uart.h b/VexRiscv/src/test/cpp/common/uart.h new file mode 100644 index 0000000..05021ca --- /dev/null +++ b/VexRiscv/src/test/cpp/common/uart.h @@ -0,0 +1,126 @@ + + + +class UartRx : public TimeProcess{ +public: + + CData *rx; + uint32_t uartTimeRate; + UartRx(CData *rx, uint32_t uartTimeRate){ + this->rx = rx; + this->uartTimeRate = uartTimeRate; + schedule(uartTimeRate); + } + + enum State {START, DATA, STOP}; + State state = START; + char data; + uint32_t counter; + + + virtual void tick(){ + switch(state){ + case START: + if(*rx == 0){ + state = DATA; + counter = 0; + data = 0; + schedule(uartTimeRate*5/4); + } else { + schedule(uartTimeRate/4); + } + break; + case DATA: + data |= (*rx) << counter++; + if(counter == 8){ + state = STOP; + } + schedule(uartTimeRate); + break; + case STOP: + if(*rx){ + cout << data << flush; + } else { + cout << "UART RX FRAME ERROR at " << time << endl; + } + + schedule(uartTimeRate/4); + state = START; + break; + } + } +}; + +#include +#include +#include + +class UartTx : public TimeProcess{ +public: + + CData *tx; + uint32_t uartTimeRate; + + enum State {START, DATA, STOP}; + State state = START; + char data; + uint32_t counter; + pthread_t inputThreadId; + queue inputsQueue; + mutex inputsMutex; + + UartTx(CData *tx, uint32_t uartTimeRate){ + this->tx = tx; + this->uartTimeRate = uartTimeRate; + schedule(uartTimeRate); + pthread_create(&inputThreadId, NULL, &inputThreadWrapper, this); + *tx = 1; + } + + static void* inputThreadWrapper(void *uartTx){ + ((UartTx*)uartTx)->inputThread(); + return NULL; + } + + void inputThread(){ + while(1){ + uint8_t c = getchar(); + inputsMutex.lock(); + inputsQueue.push(c); + inputsMutex.unlock(); + } + } + + virtual void tick(){ + switch(state){ + case START: + inputsMutex.lock(); + if(!inputsQueue.empty()){ + data = inputsQueue.front(); + inputsQueue.pop(); + inputsMutex.unlock(); + state = DATA; + counter = 0; + *tx = 0; + schedule(uartTimeRate); + } else { + inputsMutex.unlock(); + schedule(uartTimeRate*50); + } + break; + case DATA: + *tx = (data >> counter) & 1; + counter++; + if(counter == 8){ + state = STOP; + } + schedule(uartTimeRate); + break; + case STOP: + *tx = 1; + schedule(uartTimeRate); + state = START; + break; + } + } +}; diff --git a/VexRiscv/src/test/cpp/custom/atomic/build/atomic.asm b/VexRiscv/src/test/cpp/custom/atomic/build/atomic.asm new file mode 100644 index 0000000..b2e9937 --- /dev/null +++ b/VexRiscv/src/test/cpp/custom/atomic/build/atomic.asm @@ -0,0 +1,246 @@ + +build/atomic.elf: file format elf32-littleriscv + + +Disassembly of section .crt_section: + +00000000 : + 0: 04c0006f j 4c <_start> + 4: 00000013 nop + 8: 00000013 nop + c: 00000013 nop + 10: 00000013 nop + 14: 00000013 nop + 18: 00000013 nop + 1c: 00000013 nop + +00000020 : + 20: 30002ef3 csrr t4,mstatus + 24: 080efe93 andi t4,t4,128 + 28: 000e8a63 beqz t4,3c + 2c: 00002eb7 lui t4,0x2 + 30: 800e8e93 addi t4,t4,-2048 # 1800 + 34: 300e9073 csrw mstatus,t4 + 38: 30200073 mret + +0000003c : + 3c: 34102ef3 csrr t4,mepc + 40: 004e8e93 addi t4,t4,4 + 44: 341e9073 csrw mepc,t4 + 48: 30200073 mret + +0000004c <_start>: + 4c: 00100e13 li t3,1 + 50: 10000537 lui a0,0x10000 + 54: 06400593 li a1,100 + 58: 06500613 li a2,101 + 5c: 06600693 li a3,102 + 60: 00d52023 sw a3,0(a0) # 10000000 + 64: 18b5262f sc.w a2,a1,(a0) + 68: 00100713 li a4,1 + 6c: 2ee61863 bne a2,a4,35c + 70: 00052703 lw a4,0(a0) + 74: 2ee69463 bne a3,a4,35c + 78: 00200e13 li t3,2 + 7c: 10000537 lui a0,0x10000 + 80: 00450513 addi a0,a0,4 # 10000004 + 84: 06700593 li a1,103 + 88: 06800613 li a2,104 + 8c: 06900693 li a3,105 + 90: 00d52023 sw a3,0(a0) + 94: 18b5262f sc.w a2,a1,(a0) + 98: 00100713 li a4,1 + 9c: 2ce61063 bne a2,a4,35c + a0: 00052703 lw a4,0(a0) + a4: 2ae69c63 bne a3,a4,35c + a8: 00300e13 li t3,3 + ac: 10000537 lui a0,0x10000 + b0: 00450513 addi a0,a0,4 # 10000004 + b4: 06700593 li a1,103 + b8: 06800613 li a2,104 + bc: 06900693 li a3,105 + c0: 18b5262f sc.w a2,a1,(a0) + c4: 00100713 li a4,1 + c8: 28e61a63 bne a2,a4,35c + cc: 00052703 lw a4,0(a0) + d0: 28e69663 bne a3,a4,35c + d4: 00400e13 li t3,4 + d8: 10000537 lui a0,0x10000 + dc: 00850513 addi a0,a0,8 # 10000008 + e0: 06a00593 li a1,106 + e4: 06b00613 li a2,107 + e8: 06c00693 li a3,108 + ec: 00d52023 sw a3,0(a0) + f0: 100527af lr.w a5,(a0) + f4: 18b5262f sc.w a2,a1,(a0) + f8: 26d79263 bne a5,a3,35c + fc: 26061063 bnez a2,35c + 100: 00052703 lw a4,0(a0) + 104: 24e59c63 bne a1,a4,35c + 108: 00500e13 li t3,5 + 10c: 10000537 lui a0,0x10000 + 110: 00850513 addi a0,a0,8 # 10000008 + 114: 06d00593 li a1,109 + 118: 06e00613 li a2,110 + 11c: 06f00693 li a3,111 + 120: 00d52023 sw a3,0(a0) + 124: 18b5262f sc.w a2,a1,(a0) + 128: 22061a63 bnez a2,35c + 12c: 00052703 lw a4,0(a0) + 130: 22e59663 bne a1,a4,35c + 134: 00600e13 li t3,6 + 138: 10000537 lui a0,0x10000 + 13c: 00c50513 addi a0,a0,12 # 1000000c + 140: 07000593 li a1,112 + 144: 07100613 li a2,113 + 148: 07200693 li a3,114 + 14c: 10000437 lui s0,0x10000 + 150: 01040413 addi s0,s0,16 # 10000010 + 154: 07300493 li s1,115 + 158: 07400913 li s2,116 + 15c: 07500993 li s3,117 + 160: 00d52023 sw a3,0(a0) + 164: 01342023 sw s3,0(s0) + 168: 100527af lr.w a5,(a0) + 16c: 10042aaf lr.w s5,(s0) + 170: 18b5262f sc.w a2,a1,(a0) + 174: 1894292f sc.w s2,s1,(s0) + 178: 1ed79263 bne a5,a3,35c + 17c: 1e061063 bnez a2,35c + 180: 00052703 lw a4,0(a0) + 184: 1ce59c63 bne a1,a4,35c + 188: 1d3a9a63 bne s5,s3,35c + 18c: 1c091863 bnez s2,35c + 190: 00042a03 lw s4,0(s0) + 194: 1d449463 bne s1,s4,35c + 198: 00700e13 li t3,7 + 19c: 10000537 lui a0,0x10000 + 1a0: 01450513 addi a0,a0,20 # 10000014 + 1a4: 07800593 li a1,120 + 1a8: 07900613 li a2,121 + 1ac: 07a00693 li a3,122 + 1b0: 01000e93 li t4,16 + +000001b4 : + 1b4: 00d52023 sw a3,0(a0) + 1b8: 100527af lr.w a5,(a0) + 1bc: 18b5262f sc.w a2,a1,(a0) + 1c0: 18d79e63 bne a5,a3,35c + 1c4: 18061c63 bnez a2,35c + 1c8: 00052703 lw a4,0(a0) + 1cc: 18e59863 bne a1,a4,35c + 1d0: fffe8e93 addi t4,t4,-1 + 1d4: 00450513 addi a0,a0,4 + 1d8: 00358593 addi a1,a1,3 + 1dc: 00360613 addi a2,a2,3 + 1e0: 00368693 addi a3,a3,3 + 1e4: fc0e98e3 bnez t4,1b4 + 1e8: 00800e13 li t3,8 + 1ec: 10000537 lui a0,0x10000 + 1f0: 01850513 addi a0,a0,24 # 10000018 + 1f4: 07800593 li a1,120 + 1f8: 07900613 li a2,121 + 1fc: 07a00693 li a3,122 + 200: 00052783 lw a5,0(a0) + 204: 18b5262f sc.w a2,a1,(a0) + 208: 00100713 li a4,1 + 20c: 14e61863 bne a2,a4,35c + 210: 00052703 lw a4,0(a0) + 214: 14e79463 bne a5,a4,35c + 218: 00900e13 li t3,9 + 21c: 10000537 lui a0,0x10000 + 220: 10050513 addi a0,a0,256 # 10000100 + 224: 07b00593 li a1,123 + 228: 07c00613 li a2,124 + 22c: 07d00693 li a3,125 + 230: 00d52023 sw a3,0(a0) + 234: 100527af lr.w a5,(a0) + 238: 00000073 ecall + 23c: 18b5262f sc.w a2,a1,(a0) + 240: 00100713 li a4,1 + 244: 10e61c63 bne a2,a4,35c + 248: 00052703 lw a4,0(a0) + 24c: 10e69863 bne a3,a4,35c + 250: 00a00e13 li t3,10 + 254: 10000537 lui a0,0x10000 + 258: 20050513 addi a0,a0,512 # 10000200 + 25c: 10000837 lui a6,0x10000 + 260: 20480813 addi a6,a6,516 # 10000204 + 264: 07e00593 li a1,126 + 268: 07f00613 li a2,127 + 26c: 08000693 li a3,128 + 270: 08100893 li a7,129 + 274: 00d52023 sw a3,0(a0) + 278: 01182023 sw a7,0(a6) + 27c: 100827af lr.w a5,(a6) + 280: 18b5262f sc.w a2,a1,(a0) + 284: 00100713 li a4,1 + 288: 0ce61a63 bne a2,a4,35c + 28c: 00082703 lw a4,0(a6) + 290: 0ce89663 bne a7,a4,35c + 294: 00b00e13 li t3,11 + 298: 10000537 lui a0,0x10000 + 29c: 30050513 addi a0,a0,768 # 10000300 + 2a0: 08200593 li a1,130 + 2a4: 08300613 li a2,131 + 2a8: 08400693 li a3,132 + 2ac: 00d52023 sw a3,0(a0) + 2b0: 00001eb7 lui t4,0x1 + 2b4: 800e8e93 addi t4,t4,-2048 # 800 + 2b8: 304e9073 csrw mie,t4 + 2bc: 00800e93 li t4,8 + 2c0: 100527af lr.w a5,(a0) + 2c4: 300e9073 csrw mstatus,t4 + 2c8: 00000013 nop + 2cc: 00000013 nop + 2d0: 00000013 nop + 2d4: 00000013 nop + 2d8: 00000013 nop + 2dc: 00000013 nop + 2e0: 18b5262f sc.w a2,a1,(a0) + 2e4: 00100713 li a4,1 + 2e8: 06e61a63 bne a2,a4,35c + 2ec: 00052703 lw a4,0(a0) + 2f0: 06e69663 bne a3,a4,35c + 2f4: 00c00e13 li t3,12 + 2f8: 10000537 lui a0,0x10000 + 2fc: 40050513 addi a0,a0,1024 # 10000400 + 300: 08c00593 li a1,140 + 304: 08d00613 li a2,141 + 308: 08e00693 li a3,142 + 30c: 00d52023 sw a3,0(a0) + 310: 00001eb7 lui t4,0x1 + 314: 800e8e93 addi t4,t4,-2048 # 800 + 318: 304e9073 csrw mie,t4 + 31c: 00002eb7 lui t4,0x2 + 320: 808e8e93 addi t4,t4,-2040 # 1808 + 324: 100527af lr.w a5,(a0) + 328: 300e9073 csrw mstatus,t4 + 32c: 00000013 nop + 330: 00000013 nop + 334: 00000013 nop + 338: 00000013 nop + 33c: 00000013 nop + 340: 00000013 nop + 344: 18b5262f sc.w a2,a1,(a0) + 348: 00100713 li a4,1 + 34c: 00e61863 bne a2,a4,35c + 350: 00052703 lw a4,0(a0) + 354: 00e69463 bne a3,a4,35c + 358: 0100006f j 368 + +0000035c : + 35c: f0100137 lui sp,0xf0100 + 360: f2410113 addi sp,sp,-220 # f00fff24 + 364: 01c12023 sw t3,0(sp) + +00000368 : + 368: f0100137 lui sp,0xf0100 + 36c: f2010113 addi sp,sp,-224 # f00fff20 + 370: 00012023 sw zero,0(sp) + 374: 00000013 nop + 378: 00000013 nop + 37c: 00000013 nop + 380: 00000013 nop + 384: 00000013 nop + 388: 00000013 nop diff --git a/VexRiscv/src/test/cpp/custom/atomic/build/atomic.elf b/VexRiscv/src/test/cpp/custom/atomic/build/atomic.elf new file mode 100755 index 0000000..a67f244 Binary files /dev/null and b/VexRiscv/src/test/cpp/custom/atomic/build/atomic.elf differ diff --git a/VexRiscv/src/test/cpp/custom/atomic/build/atomic.hex b/VexRiscv/src/test/cpp/custom/atomic/build/atomic.hex new file mode 100644 index 0000000..593eef7 --- /dev/null +++ b/VexRiscv/src/test/cpp/custom/atomic/build/atomic.hex @@ -0,0 +1,59 @@ +:100000006F00C00413000000130000001300000084 +:100010001300000013000000130000001300000094 +:10002000F32E003093FE0E08638A0E00B72E0000F8 +:10003000938E0E8073900E3073002030F32E1034A8 +:10004000938E4E0073901E3473002030130E1000F8 +:100050003705001093054006130650069306600608 +:100060002320D5002F26B518130710006318E62E9D +:10007000032705006394E62E130E200037050010B9 +:100080001305450093057006130680069306900637 +:100090002320D5002F26B518130710006310E62C77 +:1000A00003270500639CE62A130E30003705001075 +:1000B0001305450093057006130680069306900607 +:1000C0002F26B51813071000631AE628032705002A +:1000D0006396E628130E40003705001013058500CF +:1000E0009305A0061306B0069306C0062320D5008C +:1000F000AF2705102F26B5186392D7266310062662 +:1001000003270500639CE524130E500037050010FB +:10011000130585009305D0061306E0069306F00646 +:100120002320D5002F26B518631A062203270500C1 +:100130006396E522130E6000370500101305C50015 +:1001400093050007130610079306200737040010D5 +:10015000130404019304300713094007930950075F +:100160002320D50023203401AF270510AF2A041027 +:100170002F26B5182F2994186392D71E6310061ED8 +:1001800003270500639CE51C639A3A1D6318091C4C +:10019000032A04006394441D130E700037050010F9 +:1001A0001305450193058007130690079306A007E2 +:1001B000930E00012320D500AF2705102F26B51878 +:1001C000639ED718631C0618032705006398E5187B +:1001D000938EFEFF13054500938535001306360008 +:1001E00093863600E3980EFC130E8000370500104E +:1001F0001305850193058007130690079306A00752 +:10020000832705002F26B518130710006318E6147E +:10021000032705006394E714130E900037050010C0 +:10022000130505109305B0071306C0079306D00702 +:100230002320D500AF270510730000002F26B51826 +:1002400013071000631CE610032705006398E610EF +:10025000130EA00037050010130505203708001005 +:10026000130848209305E0071306F00793060008DB +:10027000930810082320D50023201801AF27081069 +:100280002F26B51813071000631AE60C0327080081 +:100290006396E80C130EB000370500101305053007 +:1002A0009305200813063008930640082320D50044 +:1002B000B71E0000938E0E8073904E30930E800018 +:1002C000AF27051073900E301300000013000000DC +:1002D00013000000130000001300000013000000D2 +:1002E0002F26B51813071000631AE606032705002A +:1002F0006396E606130EC00037050010130505408F +:100300009305C0081306D0089306E0082320D50003 +:10031000B71E0000938E0E8073904E30B72E0000F3 +:10032000938E8E80AF27051073900E30130000005F +:100330001300000013000000130000001300000071 +:10034000130000002F26B518130710006318E600ED +:10035000032705006394E6006F000001370110F0E9 +:10036000130141F22320C101370110F0130101F202 +:100370002320010013000000130000001300000000 +:0C03800013000000130000001300000038 +:040000030000004CAD +:00000001FF diff --git a/VexRiscv/src/test/cpp/custom/atomic/build/atomic.map b/VexRiscv/src/test/cpp/custom/atomic/build/atomic.map new file mode 100644 index 0000000..5c6ed9f --- /dev/null +++ b/VexRiscv/src/test/cpp/custom/atomic/build/atomic.map @@ -0,0 +1,31 @@ + +Memory Configuration + +Name Origin Length Attributes +onChipRam 0x0000000000000000 0x0000000000002000 w !xr +*default* 0x0000000000000000 0xffffffffffffffff + +Linker script and memory map + +LOAD build/src/crt.o +LOAD /opt/riscv/bin/../lib/gcc/riscv64-unknown-elf/7.1.1/libgcc.a +START GROUP +LOAD /opt/riscv/bin/../lib/gcc/riscv64-unknown-elf/7.1.1/../../../../riscv64-unknown-elf/lib/libc.a +LOAD /opt/riscv/bin/../lib/gcc/riscv64-unknown-elf/7.1.1/../../../../riscv64-unknown-elf/lib/libgloss.a +END GROUP +LOAD /opt/riscv/bin/../lib/gcc/riscv64-unknown-elf/7.1.1/libgcc.a + 0x0000000000000000 . = 0x0 + +.crt_section 0x0000000000000000 0x38c + 0x0000000000000000 . = ALIGN (0x4) + *crt.o(.text) + .text 0x0000000000000000 0x38c build/src/crt.o + 0x0000000000000020 trap_entry + 0x000000000000004c _start +OUTPUT(build/atomic.elf elf32-littleriscv) + +.data 0x000000000000038c 0x0 + .data 0x000000000000038c 0x0 build/src/crt.o + +.bss 0x000000000000038c 0x0 + .bss 0x000000000000038c 0x0 build/src/crt.o diff --git a/VexRiscv/src/test/cpp/custom/atomic/build/atomic.v b/VexRiscv/src/test/cpp/custom/atomic/build/atomic.v new file mode 100755 index 0000000..1d8d7a8 --- /dev/null +++ b/VexRiscv/src/test/cpp/custom/atomic/build/atomic.v @@ -0,0 +1,58 @@ +@00000000 +6F 00 C0 04 13 00 00 00 13 00 00 00 13 00 00 00 +13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 +F3 2E 00 30 93 FE 0E 08 63 8A 0E 00 B7 2E 00 00 +93 8E 0E 80 73 90 0E 30 73 00 20 30 F3 2E 10 34 +93 8E 4E 00 73 90 1E 34 73 00 20 30 13 0E 10 00 +37 05 00 10 93 05 40 06 13 06 50 06 93 06 60 06 +23 20 D5 00 2F 26 B5 18 13 07 10 00 63 18 E6 2E +03 27 05 00 63 94 E6 2E 13 0E 20 00 37 05 00 10 +13 05 45 00 93 05 70 06 13 06 80 06 93 06 90 06 +23 20 D5 00 2F 26 B5 18 13 07 10 00 63 10 E6 2C +03 27 05 00 63 9C E6 2A 13 0E 30 00 37 05 00 10 +13 05 45 00 93 05 70 06 13 06 80 06 93 06 90 06 +2F 26 B5 18 13 07 10 00 63 1A E6 28 03 27 05 00 +63 96 E6 28 13 0E 40 00 37 05 00 10 13 05 85 00 +93 05 A0 06 13 06 B0 06 93 06 C0 06 23 20 D5 00 +AF 27 05 10 2F 26 B5 18 63 92 D7 26 63 10 06 26 +03 27 05 00 63 9C E5 24 13 0E 50 00 37 05 00 10 +13 05 85 00 93 05 D0 06 13 06 E0 06 93 06 F0 06 +23 20 D5 00 2F 26 B5 18 63 1A 06 22 03 27 05 00 +63 96 E5 22 13 0E 60 00 37 05 00 10 13 05 C5 00 +93 05 00 07 13 06 10 07 93 06 20 07 37 04 00 10 +13 04 04 01 93 04 30 07 13 09 40 07 93 09 50 07 +23 20 D5 00 23 20 34 01 AF 27 05 10 AF 2A 04 10 +2F 26 B5 18 2F 29 94 18 63 92 D7 1E 63 10 06 1E +03 27 05 00 63 9C E5 1C 63 9A 3A 1D 63 18 09 1C +03 2A 04 00 63 94 44 1D 13 0E 70 00 37 05 00 10 +13 05 45 01 93 05 80 07 13 06 90 07 93 06 A0 07 +93 0E 00 01 23 20 D5 00 AF 27 05 10 2F 26 B5 18 +63 9E D7 18 63 1C 06 18 03 27 05 00 63 98 E5 18 +93 8E FE FF 13 05 45 00 93 85 35 00 13 06 36 00 +93 86 36 00 E3 98 0E FC 13 0E 80 00 37 05 00 10 +13 05 85 01 93 05 80 07 13 06 90 07 93 06 A0 07 +83 27 05 00 2F 26 B5 18 13 07 10 00 63 18 E6 14 +03 27 05 00 63 94 E7 14 13 0E 90 00 37 05 00 10 +13 05 05 10 93 05 B0 07 13 06 C0 07 93 06 D0 07 +23 20 D5 00 AF 27 05 10 73 00 00 00 2F 26 B5 18 +13 07 10 00 63 1C E6 10 03 27 05 00 63 98 E6 10 +13 0E A0 00 37 05 00 10 13 05 05 20 37 08 00 10 +13 08 48 20 93 05 E0 07 13 06 F0 07 93 06 00 08 +93 08 10 08 23 20 D5 00 23 20 18 01 AF 27 08 10 +2F 26 B5 18 13 07 10 00 63 1A E6 0C 03 27 08 00 +63 96 E8 0C 13 0E B0 00 37 05 00 10 13 05 05 30 +93 05 20 08 13 06 30 08 93 06 40 08 23 20 D5 00 +B7 1E 00 00 93 8E 0E 80 73 90 4E 30 93 0E 80 00 +AF 27 05 10 73 90 0E 30 13 00 00 00 13 00 00 00 +13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 +2F 26 B5 18 13 07 10 00 63 1A E6 06 03 27 05 00 +63 96 E6 06 13 0E C0 00 37 05 00 10 13 05 05 40 +93 05 C0 08 13 06 D0 08 93 06 E0 08 23 20 D5 00 +B7 1E 00 00 93 8E 0E 80 73 90 4E 30 B7 2E 00 00 +93 8E 8E 80 AF 27 05 10 73 90 0E 30 13 00 00 00 +13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 +13 00 00 00 2F 26 B5 18 13 07 10 00 63 18 E6 00 +03 27 05 00 63 94 E6 00 6F 00 00 01 37 01 10 F0 +13 01 41 F2 23 20 C1 01 37 01 10 F0 13 01 01 F2 +23 20 01 00 13 00 00 00 13 00 00 00 13 00 00 00 +13 00 00 00 13 00 00 00 13 00 00 00 diff --git a/VexRiscv/src/test/cpp/custom/atomic/makefile b/VexRiscv/src/test/cpp/custom/atomic/makefile new file mode 100644 index 0000000..217a057 --- /dev/null +++ b/VexRiscv/src/test/cpp/custom/atomic/makefile @@ -0,0 +1,73 @@ +PROJ_NAME=atomic + + +RISCV_PATH=/opt/riscv/ +CFLAGS += -march=rv32ia -mabi=ilp32 +RISCV_NAME = riscv64-unknown-elf +RISCV_OBJCOPY = $(RISCV_PATH)/bin/$(RISCV_NAME)-objcopy +RISCV_OBJDUMP = $(RISCV_PATH)/bin/$(RISCV_NAME)-objdump +RISCV_CLIB=$(RISCV_PATH)$(RISCV_NAME)/lib/ +RISCV_CC=$(RISCV_PATH)/bin/$(RISCV_NAME)-gcc +LDSCRIPT=src/ld + + +SRCS = $(wildcard src/*.c) \ + $(wildcard src/*.cpp) \ + $(wildcard src/*.S) + + +CFLAGS += -static +LDFLAGS += -e_start -T $(LDSCRIPT) -nostartfiles -Wl,-Map,$(OBJDIR)/$(PROJ_NAME).map -Wl,--print-memory-usage +OBJDIR = build +OBJS := $(SRCS) +OBJS := $(OBJS:.c=.o) +OBJS := $(OBJS:.cpp=.o) +OBJS := $(OBJS:.S=.o) +OBJS := $(addprefix $(OBJDIR)/,$(OBJS)) + + + +all: $(OBJDIR)/$(PROJ_NAME).elf $(OBJDIR)/$(PROJ_NAME).hex $(OBJDIR)/$(PROJ_NAME).asm $(OBJDIR)/$(PROJ_NAME).v + @echo "done" + +$(OBJDIR)/%.elf: $(OBJS) | $(OBJDIR) + $(RISCV_CC) $(CFLAGS) -o $@ $^ $(LDFLAGS) $(LIBS) + +%.hex: %.elf + $(RISCV_OBJCOPY) -O ihex $^ $@ + +%.bin: %.elf + $(RISCV_OBJCOPY) -O binary $^ $@ + +%.v: %.elf + $(RISCV_OBJCOPY) -O verilog $^ $@ + +%.asm: %.elf + $(RISCV_OBJDUMP) -S -d $^ > $@ + +$(OBJDIR)/%.o: %.c + mkdir -p $(dir $@) + $(RISCV_CC) -c $(CFLAGS) $(INC) -o $@ $^ + +$(OBJDIR)/%.o: %.cpp + mkdir -p $(dir $@) + $(RISCV_CC) -c $(CFLAGS) $(INC) -o $@ $^ + +$(OBJDIR)/%.o: %.S + mkdir -p $(dir $@) + $(RISCV_CC) -c $(CFLAGS) -o $@ $^ -D__ASSEMBLY__=1 + +$(OBJDIR): + mkdir -p $@ + +clean: + rm -f $(OBJDIR)/$(PROJ_NAME).elf + rm -f $(OBJDIR)/$(PROJ_NAME).hex + rm -f $(OBJDIR)/$(PROJ_NAME).map + rm -f $(OBJDIR)/$(PROJ_NAME).v + rm -f $(OBJDIR)/$(PROJ_NAME).asm + find $(OBJDIR) -type f -name '*.o' -print0 | xargs -0 -r rm + +.SECONDARY: $(OBJS) + + diff --git a/VexRiscv/src/test/cpp/custom/atomic/src/crt.S b/VexRiscv/src/test/cpp/custom/atomic/src/crt.S new file mode 100644 index 0000000..1462dd2 --- /dev/null +++ b/VexRiscv/src/test/cpp/custom/atomic/src/crt.S @@ -0,0 +1,265 @@ +.globl _start + + + j _start + nop + nop + nop + nop + nop + nop + nop + +.global trap_entry +trap_entry: + csrr x29, mstatus + and x29, x29, 0x080 + beqz x29, notExternalInterrupt + li x29, 0x1800 //000 disable interrupts + csrw mstatus,x29 + mret + +notExternalInterrupt: + csrr x29, mepc + addi x29, x29, 4 + csrw mepc, x29 + mret + +_start: +//Test 1 SC on unreserved area should fail and not write memory + li x28, 1 + li a0, 0x10000000 + li a1, 100 + li a2, 101 + li a3, 102 + sw a3, 0(a0) + sc.w a2, a1, (a0) + li a4, 1 + bne a2, a4, fail + lw a4, 0(a0) + bne a3, a4, fail + +//Test 2 SC on another unreserved area should fail and not write memory + li x28, 2 + li a0, 0x10000004 + li a1, 103 + li a2, 104 + li a3, 105 + sw a3, 0(a0) + sc.w a2, a1, (a0) + li a4, 1 + bne a2, a4, fail + lw a4, 0(a0) + bne a3, a4, fail + + +//Test 3 retrying SC on unreserved area should fail and not write memory + li x28, 3 + li a0, 0x10000004 + li a1, 103 + li a2, 104 + li a3, 105 + sc.w a2, a1, (a0) + li a4, 1 + bne a2, a4, fail + lw a4, 0(a0) + bne a3, a4, fail + + +//Test 4 SC on reserved area should pass and should be written write memory + li x28, 4 + li a0, 0x10000008 + li a1, 106 + li a2, 107 + li a3, 108 + sw a3, 0(a0) + lr.w a5, (a0) + sc.w a2, a1, (a0) + bne a5, a3, fail + bne a2, x0, fail + lw a4, 0(a0) + bne a1, a4, fail + + +//Test 5 redo SC on reserved area should pass and should be written write memory + li x28, 5 + li a0, 0x10000008 + li a1, 109 + li a2, 110 + li a3, 111 + sw a3, 0(a0) + sc.w a2, a1, (a0) + bne a2, x0, fail + lw a4, 0(a0) + bne a1, a4, fail + +//Test 6 Allow two entries at the same time + li x28, 6 + li a0, 0x1000000C + li a1, 112 + li a2, 113 + li a3, 114 + li s0, 0x10000010 + li s1, 115 + li s2, 116 + li s3, 117 + + sw a3, 0(a0) + sw s3, 0(s0) + lr.w a5, (a0) + lr.w s5, (s0) + sc.w a2, a1, (a0) + sc.w s2, s1, (s0) + bne a5, a3, fail + bne a2, x0, fail + lw a4, 0(a0) + bne a1, a4, fail + + bne s5, s3, fail + bne s2, x0, fail + lw s4, 0(s0) + bne s1, s4, fail + +//Test 7 do a lot of allocation to clear the entries + li x28, 7 + li a0, 0x10000014 + li a1, 120 + li a2, 121 + li a3, 122 + li x29, 16 +test7: + sw a3, 0(a0) + lr.w a5, (a0) + sc.w a2, a1, (a0) + bne a5, a3, fail + bne a2, x0, fail + lw a4, 0(a0) + bne a1, a4, fail + add x29, x29, -1 + add a0, a0, 4 + add a1, a1, 3 + add a2, a2, 3 + add a3, a3, 3 + bnez x29, test7 + + +//Test 8 SC on discarded entries should fail + li x28, 8 + li a0, 0x10000018 + li a1, 120 + li a2, 121 + li a3, 122 + lw a5, 0(a0) + sc.w a2, a1, (a0) + li a4, 1 + bne a2, a4, fail + lw a4, 0(a0) + bne a5, a4, fail + + +//Test 9 SC should fail after a context switching + li x28, 9 + li a0, 0x10000100 + li a1, 123 + li a2, 124 + li a3, 125 + sw a3, 0(a0) + lr.w a5, (a0) + scall + sc.w a2, a1, (a0) + li a4, 1 + bne a2, a4, fail + lw a4, 0(a0) + bne a3, a4, fail + + + +//Test 10 SC should fail if the address doesn't match + li x28, 10 + li a0, 0x10000200 + li a6, 0x10000204 + li a1, 126 + li a2, 127 + li a3, 128 + li a7, 129 + sw a3, 0(a0) + sw a7, 0(a6) + lr.w a5, (a6) + sc.w a2, a1, (a0) + li a4, 1 + bne a2, a4, fail + lw a4, 0(a6) + bne a7, a4, fail + + + +//Test 11 SC should fail after a external interrupt context switching + li x28, 11 + li a0, 0x10000300 + li a1, 130 + li a2, 131 + li a3, 132 + sw a3, 0(a0) + li x29, 0x800 //800 external interrupts + csrw mie,x29 + li x29, 0x008 //008 enable interrupts + lr.w a5, (a0) + csrw mstatus,x29 //Enable external interrupt (will jump instantly due to testbench setup) + nop + nop + nop + nop + nop + nop + sc.w a2, a1, (a0) + li a4, 1 + bne a2, a4, fail + lw a4, 0(a0) + bne a3, a4, fail + + +//Test 12 SC should fail after a external interrupt context switching (callback on lr) + li x28, 12 + li a0, 0x10000400 + li a1, 140 + li a2, 141 + li a3, 142 + sw a3, 0(a0) + li x29, 0x800 //800 external interrupts + csrw mie,x29 + li x29, 0x1808 //008 enable interrupts + lr.w a5, (a0) + csrw mstatus,x29 //Enable external interrupt (will jump instantly due to testbench setup) + nop + nop + nop + nop + nop + nop + sc.w a2, a1, (a0) + li a4, 1 + bne a2, a4, fail + lw a4, 0(a0) + bne a3, a4, fail + + + + j pass + + +fail: //x28 => error code + li x2, 0xF00FFF24 + sw x28, 0(x2) + +pass: + li x2, 0xF00FFF20 + sw x0, 0(x2) + + + + nop + nop + nop + nop + nop + nop diff --git a/VexRiscv/src/test/cpp/custom/atomic/src/ld b/VexRiscv/src/test/cpp/custom/atomic/src/ld new file mode 100644 index 0000000..8d95523 --- /dev/null +++ b/VexRiscv/src/test/cpp/custom/atomic/src/ld @@ -0,0 +1,17 @@ +OUTPUT_ARCH( "riscv" ) + +MEMORY { + onChipRam (W!RX)/*(RX)*/ : ORIGIN = 0x00000000, LENGTH = 8K +} + +SECTIONS +{ + . = 0x000; + + .crt_section : + { + . = ALIGN(4); + *crt.o(.text) + } > onChipRam + +} diff --git a/VexRiscv/src/test/cpp/custom/custom_csr/build/custom_csr.asm b/VexRiscv/src/test/cpp/custom/custom_csr/build/custom_csr.asm new file mode 100644 index 0000000..cf186d1 --- /dev/null +++ b/VexRiscv/src/test/cpp/custom/custom_csr/build/custom_csr.asm @@ -0,0 +1,55 @@ + +build/custom_csr.elf: file format elf32-littleriscv + + +Disassembly of section .crt_section: + +00000000 <_start>: + 0: 00100e13 li t3,1 + 4: b04020f3 csrr ra,mhpmcounter4 + 8: b0402173 csrr sp,mhpmcounter4 + c: b04021f3 csrr gp,mhpmcounter4 + 10: 06114863 blt sp,ra,80 + 14: 0621c663 blt gp,sp,80 + 18: 00200e13 li t3,2 + 1c: 005dc0b7 lui ra,0x5dc + 20: 98a08093 addi ra,ra,-1654 # 5db98a + 24: b0409073 csrw mhpmcounter4,ra + 28: b0402173 csrr sp,mhpmcounter4 + 2c: 04114a63 blt sp,ra,80 + 30: 00300e13 li t3,3 + 34: b05020f3 csrr ra,mhpmcounter5 + 38: b0502173 csrr sp,mhpmcounter5 + 3c: b05021f3 csrr gp,mhpmcounter5 + 40: 0420d063 ble sp,ra,80 + 44: 02315e63 ble gp,sp,80 + 48: 00400e13 li t3,4 + 4c: b0609073 csrw mhpmcounter6,ra + 50: b04020f3 csrr ra,mhpmcounter4 + 54: 10000113 li sp,256 + 58: 0220f463 bleu sp,ra,80 + 5c: 00500e13 li t3,5 + 60: b07020f3 csrr ra,mhpmcounter7 + 64: b04020f3 csrr ra,mhpmcounter4 + 68: 40000137 lui sp,0x40000 + 6c: 10010113 addi sp,sp,256 # 40000100 + 70: 400001b7 lui gp,0x40000 + 74: 0020f663 bleu sp,ra,80 + 78: 0030e463 bltu ra,gp,80 + 7c: 0100006f j 8c + +00000080 : + 80: f0100137 lui sp,0xf0100 + 84: f2410113 addi sp,sp,-220 # f00fff24 + 88: 01c12023 sw t3,0(sp) + +0000008c : + 8c: f0100137 lui sp,0xf0100 + 90: f2010113 addi sp,sp,-224 # f00fff20 + 94: 00012023 sw zero,0(sp) + 98: 00000013 nop + 9c: 00000013 nop + a0: 00000013 nop + a4: 00000013 nop + a8: 00000013 nop + ac: 00000013 nop diff --git a/VexRiscv/src/test/cpp/custom/custom_csr/build/custom_csr.elf b/VexRiscv/src/test/cpp/custom/custom_csr/build/custom_csr.elf new file mode 100755 index 0000000..61da8ff Binary files /dev/null and b/VexRiscv/src/test/cpp/custom/custom_csr/build/custom_csr.elf differ diff --git a/VexRiscv/src/test/cpp/custom/custom_csr/build/custom_csr.hex b/VexRiscv/src/test/cpp/custom/custom_csr/build/custom_csr.hex new file mode 100644 index 0000000..16b3a39 --- /dev/null +++ b/VexRiscv/src/test/cpp/custom/custom_csr/build/custom_csr.hex @@ -0,0 +1,12 @@ +:10000000130E1000F32040B0732140B0F32140B034 +:100010006348110663C62106130E2000B7C05D00B9 +:100020009380A098739040B0732140B0634A11044C +:10003000130E3000F32050B0732150B0F32150B0B4 +:1000400063D02004635E3102130E4000739060B0F1 +:10005000F32040B01301001063F42002130E50008F +:10006000F32070B0F32040B03701004013010110BD +:10007000B701004063F6200063E430006F00000128 +:10008000370110F0130141F22320C101370110F0B4 +:10009000130101F2232001001300000013000000EF +:1000A0001300000013000000130000001300000004 +:00000001FF diff --git a/VexRiscv/src/test/cpp/custom/custom_csr/build/custom_csr.map b/VexRiscv/src/test/cpp/custom/custom_csr/build/custom_csr.map new file mode 100644 index 0000000..ec2b738 --- /dev/null +++ b/VexRiscv/src/test/cpp/custom/custom_csr/build/custom_csr.map @@ -0,0 +1,30 @@ + +Memory Configuration + +Name Origin Length Attributes +onChipRam 0x0000000000000000 0x0000000000002000 w !xr +*default* 0x0000000000000000 0xffffffffffffffff + +Linker script and memory map + +LOAD build/src/crt.o +LOAD /opt/riscv/bin/../lib/gcc/riscv64-unknown-elf/7.2.0/rv32i/ilp32/libgcc.a +START GROUP +LOAD /opt/riscv/bin/../lib/gcc/riscv64-unknown-elf/7.2.0/../../../../riscv64-unknown-elf/lib/rv32i/ilp32/libc.a +LOAD /opt/riscv/bin/../lib/gcc/riscv64-unknown-elf/7.2.0/../../../../riscv64-unknown-elf/lib/rv32i/ilp32/libgloss.a +END GROUP +LOAD /opt/riscv/bin/../lib/gcc/riscv64-unknown-elf/7.2.0/rv32i/ilp32/libgcc.a + 0x0000000000000000 . = 0x0 + +.crt_section 0x0000000000000000 0xb0 + 0x0000000000000000 . = ALIGN (0x4) + *crt.o(.text) + .text 0x0000000000000000 0xb0 build/src/crt.o + 0x0000000000000000 _start +OUTPUT(build/custom_csr.elf elf32-littleriscv) + +.data 0x00000000000000b0 0x0 + .data 0x00000000000000b0 0x0 build/src/crt.o + +.bss 0x00000000000000b0 0x0 + .bss 0x00000000000000b0 0x0 build/src/crt.o diff --git a/VexRiscv/src/test/cpp/custom/custom_csr/build/custom_csr.v b/VexRiscv/src/test/cpp/custom/custom_csr/build/custom_csr.v new file mode 100755 index 0000000..81c1861 --- /dev/null +++ b/VexRiscv/src/test/cpp/custom/custom_csr/build/custom_csr.v @@ -0,0 +1,12 @@ +@00000000 +13 0E 10 00 F3 20 40 B0 73 21 40 B0 F3 21 40 B0 +63 48 11 06 63 C6 21 06 13 0E 20 00 B7 C0 5D 00 +93 80 A0 98 73 90 40 B0 73 21 40 B0 63 4A 11 04 +13 0E 30 00 F3 20 50 B0 73 21 50 B0 F3 21 50 B0 +63 D0 20 04 63 5E 31 02 13 0E 40 00 73 90 60 B0 +F3 20 40 B0 13 01 00 10 63 F4 20 02 13 0E 50 00 +F3 20 70 B0 F3 20 40 B0 37 01 00 40 13 01 01 10 +B7 01 00 40 63 F6 20 00 63 E4 30 00 6F 00 00 01 +37 01 10 F0 13 01 41 F2 23 20 C1 01 37 01 10 F0 +13 01 01 F2 23 20 01 00 13 00 00 00 13 00 00 00 +13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 diff --git a/VexRiscv/src/test/cpp/custom/custom_csr/makefile b/VexRiscv/src/test/cpp/custom/custom_csr/makefile new file mode 100644 index 0000000..5621ec5 --- /dev/null +++ b/VexRiscv/src/test/cpp/custom/custom_csr/makefile @@ -0,0 +1,73 @@ +PROJ_NAME=custom_csr + + +RISCV_PATH=/opt/riscv/ +CFLAGS += -march=rv32i -mabi=ilp32 +RISCV_NAME = riscv64-unknown-elf +RISCV_OBJCOPY = $(RISCV_PATH)/bin/$(RISCV_NAME)-objcopy +RISCV_OBJDUMP = $(RISCV_PATH)/bin/$(RISCV_NAME)-objdump +RISCV_CLIB=$(RISCV_PATH)$(RISCV_NAME)/lib/ +RISCV_CC=$(RISCV_PATH)/bin/$(RISCV_NAME)-gcc +LDSCRIPT=src/ld + + +SRCS = $(wildcard src/*.c) \ + $(wildcard src/*.cpp) \ + $(wildcard src/*.S) + + +CFLAGS += -static +LDFLAGS += -e_start -T $(LDSCRIPT) -nostartfiles -Wl,-Map,$(OBJDIR)/$(PROJ_NAME).map -Wl,--print-memory-usage +OBJDIR = build +OBJS := $(SRCS) +OBJS := $(OBJS:.c=.o) +OBJS := $(OBJS:.cpp=.o) +OBJS := $(OBJS:.S=.o) +OBJS := $(addprefix $(OBJDIR)/,$(OBJS)) + + + +all: $(OBJDIR)/$(PROJ_NAME).elf $(OBJDIR)/$(PROJ_NAME).hex $(OBJDIR)/$(PROJ_NAME).asm $(OBJDIR)/$(PROJ_NAME).v + @echo "done" + +$(OBJDIR)/%.elf: $(OBJS) | $(OBJDIR) + $(RISCV_CC) $(CFLAGS) -o $@ $^ $(LDFLAGS) $(LIBS) + +%.hex: %.elf + $(RISCV_OBJCOPY) -O ihex $^ $@ + +%.bin: %.elf + $(RISCV_OBJCOPY) -O binary $^ $@ + +%.v: %.elf + $(RISCV_OBJCOPY) -O verilog $^ $@ + +%.asm: %.elf + $(RISCV_OBJDUMP) -S -d $^ > $@ + +$(OBJDIR)/%.o: %.c + mkdir -p $(dir $@) + $(RISCV_CC) -c $(CFLAGS) $(INC) -o $@ $^ + +$(OBJDIR)/%.o: %.cpp + mkdir -p $(dir $@) + $(RISCV_CC) -c $(CFLAGS) $(INC) -o $@ $^ + +$(OBJDIR)/%.o: %.S + mkdir -p $(dir $@) + $(RISCV_CC) -c $(CFLAGS) -o $@ $^ -D__ASSEMBLY__=1 + +$(OBJDIR): + mkdir -p $@ + +clean: + rm -f $(OBJDIR)/$(PROJ_NAME).elf + rm -f $(OBJDIR)/$(PROJ_NAME).hex + rm -f $(OBJDIR)/$(PROJ_NAME).map + rm -f $(OBJDIR)/$(PROJ_NAME).v + rm -f $(OBJDIR)/$(PROJ_NAME).asm + find $(OBJDIR) -type f -name '*.o' -print0 | xargs -0 -r rm + +.SECONDARY: $(OBJS) + + diff --git a/VexRiscv/src/test/cpp/custom/custom_csr/src/crt.S b/VexRiscv/src/test/cpp/custom/custom_csr/src/crt.S new file mode 100644 index 0000000..8b7e43b --- /dev/null +++ b/VexRiscv/src/test/cpp/custom/custom_csr/src/crt.S @@ -0,0 +1,64 @@ +.globl _start +_start: + +//Test 1 + li x28, 1 + csrr x1, 0xB04 + csrr x2, 0xB04 + csrr x3, 0xB04 + blt x2, x1, fail + blt x3, x2, fail + + +//Test 2 + li x28, 2 + li x1, 6142346 + csrw 0xB04, x1 + csrr x2, 0xB04 + blt x2, x1, fail + + +//Test 3 + li x28, 3 + csrr x1, 0xB05 + csrr x2, 0xB05 + csrr x3, 0xB05 + bge x1, x2, fail + bge x2, x3, fail + + + +//Test 4 + li x28, 4 + csrw 0xB06, x1 + csrr x1, 0xB04 + li x2, 0x100 + bgeu x1, x2, fail + +//Test 5 + li x28, 5 + csrr x1, 0xB07 + csrr x1, 0xB04 + li x2, 0x40000100 + li x3, 0x40000000 + bgeu x1, x2, fail + bltu x1, x3, fail + + j pass + +fail: //x28 => error code + li x2, 0xF00FFF24 + sw x28, 0(x2) + +pass: + li x2, 0xF00FFF20 + sw x0, 0(x2) + + + + nop + nop + nop + nop + nop + nop diff --git a/VexRiscv/src/test/cpp/custom/custom_csr/src/ld b/VexRiscv/src/test/cpp/custom/custom_csr/src/ld new file mode 100644 index 0000000..8d95523 --- /dev/null +++ b/VexRiscv/src/test/cpp/custom/custom_csr/src/ld @@ -0,0 +1,17 @@ +OUTPUT_ARCH( "riscv" ) + +MEMORY { + onChipRam (W!RX)/*(RX)*/ : ORIGIN = 0x00000000, LENGTH = 8K +} + +SECTIONS +{ + . = 0x000; + + .crt_section : + { + . = ALIGN(4); + *crt.o(.text) + } > onChipRam + +} diff --git a/VexRiscv/src/test/cpp/custom/simd_add/build/custom_simd_add.asm b/VexRiscv/src/test/cpp/custom/simd_add/build/custom_simd_add.asm new file mode 100644 index 0000000..f993948 --- /dev/null +++ b/VexRiscv/src/test/cpp/custom/simd_add/build/custom_simd_add.asm @@ -0,0 +1,63 @@ + +build/custom_simd_add.elf: file format elf32-littleriscv + + +Disassembly of section .crt_section: + +00000000 <_start>: + 0: 00100e13 li t3,1 + 4: 060000b3 0x60000b3 + 8: 08009c63 bnez ra,a0 + c: 00200e13 li t3,2 + 10: 00000093 li ra,0 + 14: 00000113 li sp,0 + 18: 062080b3 0x62080b3 + 1c: 08009263 bnez ra,a0 + 20: 00300e13 li t3,3 + 24: 010200b7 lui ra,0x1020 + 28: 30408093 addi ra,ra,772 # 1020304 + 2c: 00000113 li sp,0 + 30: 062081b3 0x62081b3 + 34: 06119663 bne gp,ra,a0 + 38: 00400e13 li t3,4 + 3c: 03061237 lui tp,0x3061 + 40: 90c20213 addi tp,tp,-1780 # 306090c + 44: 010200b7 lui ra,0x1020 + 48: 30408093 addi ra,ra,772 # 1020304 + 4c: 02040137 lui sp,0x2040 + 50: 60810113 addi sp,sp,1544 # 2040608 + 54: 062081b3 0x62081b3 + 58: 04419463 bne gp,tp,a0 + 5c: 00500e13 li t3,5 + 60: ff000237 lui tp,0xff000 + 64: 10220213 addi tp,tp,258 # ff000102 + 68: fff00093 li ra,-1 + 6c: 00010137 lui sp,0x10 + 70: 20310113 addi sp,sp,515 # 10203 + 74: 062081b3 0x62081b3 + 78: 02419463 bne gp,tp,a0 + 7c: 00600e13 li t3,6 + 80: 00600293 li t0,6 + 84: 00100093 li ra,1 + 88: 00200113 li sp,2 + 8c: 00300193 li gp,3 + 90: 062080b3 0x62080b3 + 94: 063080b3 0x63080b3 + 98: 00509463 bne ra,t0,a0 + 9c: 0100006f j ac + +000000a0 : + a0: f0100137 lui sp,0xf0100 + a4: f2410113 addi sp,sp,-220 # f00fff24 + a8: 01c12023 sw t3,0(sp) + +000000ac : + ac: f0100137 lui sp,0xf0100 + b0: f2010113 addi sp,sp,-224 # f00fff20 + b4: 00012023 sw zero,0(sp) + b8: 00000013 nop + bc: 00000013 nop + c0: 00000013 nop + c4: 00000013 nop + c8: 00000013 nop + cc: 00000013 nop diff --git a/VexRiscv/src/test/cpp/custom/simd_add/build/custom_simd_add.elf b/VexRiscv/src/test/cpp/custom/simd_add/build/custom_simd_add.elf new file mode 100755 index 0000000..889f618 Binary files /dev/null and b/VexRiscv/src/test/cpp/custom/simd_add/build/custom_simd_add.elf differ diff --git a/VexRiscv/src/test/cpp/custom/simd_add/build/custom_simd_add.hex b/VexRiscv/src/test/cpp/custom/simd_add/build/custom_simd_add.hex new file mode 100644 index 0000000..91ef7e3 --- /dev/null +++ b/VexRiscv/src/test/cpp/custom/simd_add/build/custom_simd_add.hex @@ -0,0 +1,14 @@ +:10000000130E1000B3000006639C0008130E2000BE +:100010009300000013010000B380200663920008E3 +:10002000130E3000B700020193804030130100002E +:10003000B381200663961106130E400037120603A3 +:100040001302C290B70002019380403037010402CE +:1000500013018160B381200663944104130E5000A4 +:10006000370200FF130222109300F0FF3701010056 +:1000700013013120B381200663944102130E600006 +:1000800093026000930010001301200093013000E0 +:10009000B3802006B3803006639450006F000001E7 +:1000A000370110F0130141F22320C101370110F094 +:1000B000130101F2232001001300000013000000CF +:1000C00013000000130000001300000013000000E4 +:00000001FF diff --git a/VexRiscv/src/test/cpp/custom/simd_add/build/custom_simd_add.map b/VexRiscv/src/test/cpp/custom/simd_add/build/custom_simd_add.map new file mode 100644 index 0000000..0f47c3f --- /dev/null +++ b/VexRiscv/src/test/cpp/custom/simd_add/build/custom_simd_add.map @@ -0,0 +1,30 @@ + +Memory Configuration + +Name Origin Length Attributes +onChipRam 0x0000000000000000 0x0000000000002000 w !xr +*default* 0x0000000000000000 0xffffffffffffffff + +Linker script and memory map + +LOAD build/src/crt.o +LOAD /opt/riscv/bin/../lib/gcc/riscv64-unknown-elf/7.2.0/rv32i/ilp32/libgcc.a +START GROUP +LOAD /opt/riscv/bin/../lib/gcc/riscv64-unknown-elf/7.2.0/../../../../riscv64-unknown-elf/lib/rv32i/ilp32/libc.a +LOAD /opt/riscv/bin/../lib/gcc/riscv64-unknown-elf/7.2.0/../../../../riscv64-unknown-elf/lib/rv32i/ilp32/libgloss.a +END GROUP +LOAD /opt/riscv/bin/../lib/gcc/riscv64-unknown-elf/7.2.0/rv32i/ilp32/libgcc.a + 0x0000000000000000 . = 0x0 + +.crt_section 0x0000000000000000 0xd0 + 0x0000000000000000 . = ALIGN (0x4) + *crt.o(.text) + .text 0x0000000000000000 0xd0 build/src/crt.o + 0x0000000000000000 _start +OUTPUT(build/custom_simd_add.elf elf32-littleriscv) + +.data 0x00000000000000d0 0x0 + .data 0x00000000000000d0 0x0 build/src/crt.o + +.bss 0x00000000000000d0 0x0 + .bss 0x00000000000000d0 0x0 build/src/crt.o diff --git a/VexRiscv/src/test/cpp/custom/simd_add/build/custom_simd_add.v b/VexRiscv/src/test/cpp/custom/simd_add/build/custom_simd_add.v new file mode 100755 index 0000000..07cf05d --- /dev/null +++ b/VexRiscv/src/test/cpp/custom/simd_add/build/custom_simd_add.v @@ -0,0 +1,14 @@ +@00000000 +13 0E 10 00 B3 00 00 06 63 9C 00 08 13 0E 20 00 +93 00 00 00 13 01 00 00 B3 80 20 06 63 92 00 08 +13 0E 30 00 B7 00 02 01 93 80 40 30 13 01 00 00 +B3 81 20 06 63 96 11 06 13 0E 40 00 37 12 06 03 +13 02 C2 90 B7 00 02 01 93 80 40 30 37 01 04 02 +13 01 81 60 B3 81 20 06 63 94 41 04 13 0E 50 00 +37 02 00 FF 13 02 22 10 93 00 F0 FF 37 01 01 00 +13 01 31 20 B3 81 20 06 63 94 41 02 13 0E 60 00 +93 02 60 00 93 00 10 00 13 01 20 00 93 01 30 00 +B3 80 20 06 B3 80 30 06 63 94 50 00 6F 00 00 01 +37 01 10 F0 13 01 41 F2 23 20 C1 01 37 01 10 F0 +13 01 01 F2 23 20 01 00 13 00 00 00 13 00 00 00 +13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 diff --git a/VexRiscv/src/test/cpp/custom/simd_add/makefile b/VexRiscv/src/test/cpp/custom/simd_add/makefile new file mode 100644 index 0000000..49c9179 --- /dev/null +++ b/VexRiscv/src/test/cpp/custom/simd_add/makefile @@ -0,0 +1,73 @@ +PROJ_NAME=custom_simd_add + + +RISCV_PATH=/opt/riscv/ +CFLAGS += -march=rv32i -mabi=ilp32 +RISCV_NAME = riscv64-unknown-elf +RISCV_OBJCOPY = $(RISCV_PATH)/bin/$(RISCV_NAME)-objcopy +RISCV_OBJDUMP = $(RISCV_PATH)/bin/$(RISCV_NAME)-objdump +RISCV_CLIB=$(RISCV_PATH)$(RISCV_NAME)/lib/ +RISCV_CC=$(RISCV_PATH)/bin/$(RISCV_NAME)-gcc +LDSCRIPT=src/ld + + +SRCS = $(wildcard src/*.c) \ + $(wildcard src/*.cpp) \ + $(wildcard src/*.S) + + +CFLAGS += -static +LDFLAGS += -e_start -T $(LDSCRIPT) -nostartfiles -Wl,-Map,$(OBJDIR)/$(PROJ_NAME).map -Wl,--print-memory-usage +OBJDIR = build +OBJS := $(SRCS) +OBJS := $(OBJS:.c=.o) +OBJS := $(OBJS:.cpp=.o) +OBJS := $(OBJS:.S=.o) +OBJS := $(addprefix $(OBJDIR)/,$(OBJS)) + + + +all: $(OBJDIR)/$(PROJ_NAME).elf $(OBJDIR)/$(PROJ_NAME).hex $(OBJDIR)/$(PROJ_NAME).asm $(OBJDIR)/$(PROJ_NAME).v + @echo "done" + +$(OBJDIR)/%.elf: $(OBJS) | $(OBJDIR) + $(RISCV_CC) $(CFLAGS) -o $@ $^ $(LDFLAGS) $(LIBS) + +%.hex: %.elf + $(RISCV_OBJCOPY) -O ihex $^ $@ + +%.bin: %.elf + $(RISCV_OBJCOPY) -O binary $^ $@ + +%.v: %.elf + $(RISCV_OBJCOPY) -O verilog $^ $@ + +%.asm: %.elf + $(RISCV_OBJDUMP) -S -d $^ > $@ + +$(OBJDIR)/%.o: %.c + mkdir -p $(dir $@) + $(RISCV_CC) -c $(CFLAGS) $(INC) -o $@ $^ + +$(OBJDIR)/%.o: %.cpp + mkdir -p $(dir $@) + $(RISCV_CC) -c $(CFLAGS) $(INC) -o $@ $^ + +$(OBJDIR)/%.o: %.S + mkdir -p $(dir $@) + $(RISCV_CC) -c $(CFLAGS) -o $@ $^ -D__ASSEMBLY__=1 + +$(OBJDIR): + mkdir -p $@ + +clean: + rm -f $(OBJDIR)/$(PROJ_NAME).elf + rm -f $(OBJDIR)/$(PROJ_NAME).hex + rm -f $(OBJDIR)/$(PROJ_NAME).map + rm -f $(OBJDIR)/$(PROJ_NAME).v + rm -f $(OBJDIR)/$(PROJ_NAME).asm + find $(OBJDIR) -type f -name '*.o' -print0 | xargs -0 -r rm + +.SECONDARY: $(OBJS) + + diff --git a/VexRiscv/src/test/cpp/custom/simd_add/src/crt.S b/VexRiscv/src/test/cpp/custom/simd_add/src/crt.S new file mode 100644 index 0000000..99fa3d3 --- /dev/null +++ b/VexRiscv/src/test/cpp/custom/simd_add/src/crt.S @@ -0,0 +1,72 @@ +.globl _start +_start: + +#define r_type_insn(_f7, _rs2, _rs1, _f3, _rd, _opc) \ +.word (((_f7) << 25) | ((_rs2) << 20) | ((_rs1) << 15) | ((_f3) << 12) | ((_rd) << 7) | ((_opc) << 0)) + +#define SIMD_ADD(_rd, _rs1, _rs2 ) \ +r_type_insn(0b0000011, _rs2, _rs1, 0b000, _rd, 0b0110011) + +//Test 1 + li x28, 1 + SIMD_ADD(1, 0, 0) + bne x1, x0, fail + +//Test 2 + li x28, 2 + li x1, 0x00000000 + li x2, 0x00000000 + SIMD_ADD(1, 1, 2) + bne x1, x0, fail + +//Test 3 + li x28, 3 + li x1, 0x01020304 + li x2, 0x00000000 + SIMD_ADD(3, 1, 2) + bne x3, x1, fail + +//Test 4 + li x28, 4 + li x4, 0x0306090C + li x1, 0x01020304 + li x2, 0x02040608 + SIMD_ADD(3, 1, 2) + bne x3, x4, fail + +//Test 5 + li x28, 5 + li x4, 0xFF000102 + li x1, 0xFFFFFFFF + li x2, 0x00010203 + SIMD_ADD(3, 1, 2) + bne x3, x4, fail + +//Test 5 + li x28, 6 + li x5, 0x00000006 + li x1, 0x00000001 + li x2, 0x00000002 + li x3, 0x00000003 + SIMD_ADD(1, 1, 2) + SIMD_ADD(1, 1, 3) + bne x1, x5, fail + + j pass + +fail: //x28 => error code + li x2, 0xF00FFF24 + sw x28, 0(x2) + +pass: + li x2, 0xF00FFF20 + sw x0, 0(x2) + + + + nop + nop + nop + nop + nop + nop diff --git a/VexRiscv/src/test/cpp/custom/simd_add/src/ld b/VexRiscv/src/test/cpp/custom/simd_add/src/ld new file mode 100644 index 0000000..3a4f112 --- /dev/null +++ b/VexRiscv/src/test/cpp/custom/simd_add/src/ld @@ -0,0 +1,15 @@ +OUTPUT_ARCH( "riscv" ) + +MEMORY { + onChipRam (W!RX)/*(RX)*/ : ORIGIN = 0x80000000, LENGTH = 8K +} + +SECTIONS +{ + .crt_section : + { + . = ALIGN(4); + *crt.o(.text) + } > onChipRam + +} diff --git a/VexRiscv/src/test/cpp/fpu/math/.gitignore b/VexRiscv/src/test/cpp/fpu/math/.gitignore new file mode 100644 index 0000000..56d9c77 --- /dev/null +++ b/VexRiscv/src/test/cpp/fpu/math/.gitignore @@ -0,0 +1,2 @@ +*.so +*.a diff --git a/VexRiscv/src/test/cpp/fpu/math/fpu_math.c b/VexRiscv/src/test/cpp/fpu/math/fpu_math.c new file mode 100644 index 0000000..da45fe2 --- /dev/null +++ b/VexRiscv/src/test/cpp/fpu/math/fpu_math.c @@ -0,0 +1,79 @@ +#include +#include +#include +#include +#include + +extern void miaou(); + + +//#include +//#pragma STDC FENV_ACCESS ON +//int applyRounding(int rounding){ +// int ret = fegetround( ); +// switch(rounding){ +// case 0: fesetround(FE_TONEAREST); break; +// case 1: fesetround(FE_TOWARDZERO); break; +// case 2: fesetround(FE_DOWNWARD); break; +// case 3: fesetround(FE_UPWARD); break; +// } +// return ret; +//} +// const int originalRounding = applyRounding(rounding); +// fesetround(originalRounding); + +void applyRounding(int rounding){ + switch(rounding){ + case 0: softfloat_roundingMode = 0; break; + case 1: softfloat_roundingMode = 1; break; + case 2: softfloat_roundingMode = 2; break; + case 3: softfloat_roundingMode = 3; break; + case 4: softfloat_roundingMode = 4; break; + } +} + +#define API __attribute__((visibility("default"))) + +//float32_t toF32(float v){ +// float32_t x; +// x.v = ; +// return x; +//} + +#define toF32(v) (*((float32_t*)&v)) +#define fromF32(x) (*((float*)&(x.v))) + + +#define toF64(v) (*((float64_t*)&v)) +#define fromF64(x) (*((double*)&(x.v))) + +JNIEXPORT jfloat API JNICALL Java_vexriscv_ip_fpu_FpuMath_addF32(JNIEnv * env, jobject obj, jfloat a, jfloat b, jint rounding){ + applyRounding(rounding); + float32_t v = f32_add(toF32(a), toF32(b)); + return fromF32(v); +} + +JNIEXPORT jfloat API JNICALL Java_vexriscv_ip_fpu_FpuMath_mulF32(JNIEnv * env, jobject obj, jfloat a, jfloat b, jint rounding){ + applyRounding(rounding); + float32_t v = f32_mul(toF32(a), toF32(b)); + return fromF32(v); +} +JNIEXPORT jint API JNICALL Java_vexriscv_ip_fpu_FpuMath_mulFlagF32(JNIEnv * env, jobject obj, jfloat a, jfloat b, jint rounding){ + applyRounding(rounding); + softfloat_exceptionFlags = 0; + float32_t v = f32_mul(toF32(a), toF32(b)); + return softfloat_exceptionFlags; +} + + +JNIEXPORT jfloat API JNICALL Java_vexriscv_ip_fpu_FpuMath_d2f(JNIEnv * env, jobject obj, jdouble a, jint rounding){ + applyRounding(rounding); + float32_t v = f64_to_f32(toF64(a)); + return fromF32(v); +} +JNIEXPORT jint API JNICALL Java_vexriscv_ip_fpu_FpuMath_d2fFlag(JNIEnv * env, jobject obj, jdouble a, jint rounding){ + applyRounding(rounding); + softfloat_exceptionFlags = 0; + float32_t v = f64_to_f32(toF64(a)); + return softfloat_exceptionFlags; +} \ No newline at end of file diff --git a/VexRiscv/src/test/cpp/fpu/math/libcode.version b/VexRiscv/src/test/cpp/fpu/math/libcode.version new file mode 100644 index 0000000..f039be6 --- /dev/null +++ b/VexRiscv/src/test/cpp/fpu/math/libcode.version @@ -0,0 +1,4 @@ +CODEABI_1.0 { + global: FpuMath_*; + local: *; +} \ No newline at end of file diff --git a/VexRiscv/src/test/cpp/murax/main.cpp b/VexRiscv/src/test/cpp/murax/main.cpp new file mode 100644 index 0000000..735875f --- /dev/null +++ b/VexRiscv/src/test/cpp/murax/main.cpp @@ -0,0 +1,64 @@ +#include "VMurax.h" +#include "VMurax_Murax.h" +#include "verilated.h" +#include "verilated_vcd_c.h" + +#include "../common/framework.h" +#include "../common/jtag.h" +#include "../common/uart.h" + +class MuraxWorkspace : public Workspace{ +public: + MuraxWorkspace() : Workspace("Murax"){ + ClockDomain *mainClk = new ClockDomain(&top->io_mainClk,NULL,83333,300000); + AsyncReset *asyncReset = new AsyncReset(&top->io_asyncReset,50000); + UartRx *uartRx = new UartRx(&top->io_uart_txd,1.0e12/115200); + UartTx *uartTx = new UartTx(&top->io_uart_rxd,1.0e12/115200); + + timeProcesses.push_back(mainClk); + timeProcesses.push_back(asyncReset); + timeProcesses.push_back(uartRx); + timeProcesses.push_back(uartTx); + + Jtag *jtag = new Jtag(&top->io_jtag_tms,&top->io_jtag_tdi,&top->io_jtag_tdo,&top->io_jtag_tck,83333*4); + timeProcesses.push_back(jtag); + + #ifdef TRACE + //speedFactor = 10e-3; + //cout << "Simulation caped to " << speedFactor << " of real time"<< endl; + #endif + } +}; + + +struct timespec timer_start(){ + struct timespec start_time; + clock_gettime(CLOCK_PROCESS_CPUTIME_ID, &start_time); + return start_time; +} + +long timer_end(struct timespec start_time){ + struct timespec end_time; + clock_gettime(CLOCK_PROCESS_CPUTIME_ID, &end_time); + uint64_t diffInNanos = end_time.tv_sec*1e9 + end_time.tv_nsec - start_time.tv_sec*1e9 - start_time.tv_nsec; + return diffInNanos; +} + + + +int main(int argc, char **argv, char **env) { + + Verilated::randReset(2); + Verilated::commandArgs(argc, argv); + + printf("BOOT\n"); + timespec startedAt = timer_start(); + + MuraxWorkspace().run(1e9); + + uint64_t duration = timer_end(startedAt); + cout << endl << "****************************************************************" << endl; + + + exit(0); +} diff --git a/VexRiscv/src/test/cpp/murax/makefile b/VexRiscv/src/test/cpp/murax/makefile new file mode 100644 index 0000000..7c946ae --- /dev/null +++ b/VexRiscv/src/test/cpp/murax/makefile @@ -0,0 +1,42 @@ +DEBUG?=no +TRACE?=no +PRINT_PERF?=no +TRACE_START=0 +ADDCFLAGS += -CFLAGS -pthread -LDFLAGS -pthread + + +ifeq ($(TRACE),yes) + VERILATOR_ARGS += --trace + ADDCFLAGS += -CFLAGS -DTRACE --trace-fst +endif +ifeq ($(DEBUG),yes) + ADDCFLAGS += -CFLAGS "-g3 -O0" +endif +ifneq ($(DEBUG),yes) + ADDCFLAGS += -CFLAGS "-O3" +endif +ifeq ($(PRINT_PERF),yes) + ADDCFLAGS += -CFLAGS -DPRINT_PERF +endif + +ADDCFLAGS += -CFLAGS -DTRACE_START=${TRACE_START} + + + +all: clean compile + +run: compile + ./obj_dir/VMurax + +verilate: ../../../../Murax.v + rm -f Murax.v*.bin + cp ../../../../Murax.v*.bin . | true + verilator -I../../../.. -cc ../../../../Murax.v -CFLAGS -std=c++11 ${ADDCFLAGS} --gdbbt ${VERILATOR_ARGS} -Wno-WIDTH -Wno-UNOPTFLAT --x-assign unique --exe main.cpp + +compile: verilate + make -j -C obj_dir/ -f VMurax.mk VMurax + +clean: + rm -rf obj_dir + rm -f Murax.v*.bin + diff --git a/VexRiscv/src/test/cpp/murax/murax.gtkw b/VexRiscv/src/test/cpp/murax/murax.gtkw new file mode 100644 index 0000000..5fa869b --- /dev/null +++ b/VexRiscv/src/test/cpp/murax/murax.gtkw @@ -0,0 +1,51 @@ +[*] +[*] GTKWave Analyzer v3.3.58 (w)1999-2014 BSI +[*] Mon Jul 31 17:03:11 2017 +[*] +[dumpfile] "/home/spinalvm/Spinal/VexRiscv/src/test/cpp/murax/Murax.vcd" +[dumpfile_mtime] "Mon Jul 31 17:03:01 2017" +[dumpfile_size] 1539276802 +[savefile] "/home/spinalvm/Spinal/VexRiscv/src/test/cpp/murax/murax.gtkw" +[timestart] 300964770000 +[size] 1776 953 +[pos] -1 -353 +*-23.000000 300989600000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] TOP. +[treeopen] TOP.Murax. +[treeopen] TOP.Murax.system_uartCtrl. +[treeopen] TOP.Murax.system_uartCtrl.uartCtrl_1. +[sst_width] 454 +[signals_width] 327 +[sst_expanded] 1 +[sst_vpaned_height] 279 +@28 +TOP.Murax.system_uartCtrl.io_uart_rxd +TOP.Murax.system_uartCtrl.io_uart_txd +TOP.Murax.system_uartCtrl.io_interrupt +TOP.Murax.system_cpu.CsrPlugin_mstatus_MIE +@22 +TOP.Murax.system_uartCtrl.streamFifo_2.io_push_payload[7:0] +@28 +TOP.Murax.system_uartCtrl.streamFifo_2.io_push_valid +TOP.Murax.system_uartCtrl.streamFifo_2.io_pop_valid +TOP.Murax.system_uartCtrl.streamFifo_2.io_pop_ready +@22 +TOP.Murax.system_uartCtrl.streamFifo_2.io_pop_payload[7:0] +TOP.Murax.system_uartCtrl.uartCtrl_1.rx.io_read_payload[7:0] +@28 +TOP.Murax.system_uartCtrl.uartCtrl_1.rx.io_read_valid +TOP.Murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state[2:0] +@22 +TOP.Murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter[7:0] +@28 +TOP.Murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_tick +TOP.Murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_counter[2:0] +@29 +TOP.Murax.system_uartCtrl.uartCtrl_1.rx.io_rxd +@28 +TOP.Murax.system_uartCtrl.uartCtrl_1.rx.sampler_value +TOP.Murax.system_uartCtrl.uartCtrl_1.rx.sampler_tick +TOP.Murax.system_uartCtrl.uartCtrl_1.rx.sampler_samples_1 +TOP.Murax.system_uartCtrl.uartCtrl_1.rx.sampler_samples_2 +[pattern_trace] 1 +[pattern_trace] 0 diff --git a/VexRiscv/src/test/cpp/raw/amo/.gitignore b/VexRiscv/src/test/cpp/raw/amo/.gitignore new file mode 100644 index 0000000..c12cb2c --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/amo/.gitignore @@ -0,0 +1,4 @@ +*.map +*.v +*.elf +*.o \ No newline at end of file diff --git a/VexRiscv/src/test/cpp/raw/amo/build/amo.asm b/VexRiscv/src/test/cpp/raw/amo/build/amo.asm new file mode 100644 index 0000000..d86b61c --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/amo/build/amo.asm @@ -0,0 +1,247 @@ + +build/amo.elf: file format elf32-littleriscv + + +Disassembly of section .crt_section: + +80000000 <_start>: +80000000: 00100e13 li t3,1 +80000004: 00000097 auipc ra,0x0 +80000008: 27408093 addi ra,ra,628 # 80000278 +8000000c: 02d00113 li sp,45 +80000010: 0820a1af amoswap.w gp,sp,(ra) +80000014: 0000a203 lw tp,0(ra) +80000018: 02d00a13 li s4,45 +8000001c: 224a1663 bne s4,tp,80000248 +80000020: 00b00a13 li s4,11 +80000024: 223a1263 bne s4,gp,80000248 + +80000028 : +80000028: 00200e13 li t3,2 +8000002c: 00000097 auipc ra,0x0 +80000030: 25008093 addi ra,ra,592 # 8000027c +80000034: 03700113 li sp,55 +80000038: 0820a1af amoswap.w gp,sp,(ra) +8000003c: 0000a203 lw tp,0(ra) +80000040: 03700a13 li s4,55 +80000044: 204a1263 bne s4,tp,80000248 +80000048: 01600a13 li s4,22 +8000004c: 1e3a1e63 bne s4,gp,80000248 + +80000050 : +80000050: 00300e13 li t3,3 +80000054: 00000097 auipc ra,0x0 +80000058: 22c08093 addi ra,ra,556 # 80000280 +8000005c: 04200113 li sp,66 +80000060: 0020a1af amoadd.w gp,sp,(ra) +80000064: 0000a203 lw tp,0(ra) +80000068: 08b00a13 li s4,139 +8000006c: 1c4a1e63 bne s4,tp,80000248 +80000070: 04900a13 li s4,73 +80000074: 1c3a1a63 bne s4,gp,80000248 + +80000078 : +80000078: 00400e13 li t3,4 +8000007c: 00000097 auipc ra,0x0 +80000080: 20808093 addi ra,ra,520 # 80000284 +80000084: 05700113 li sp,87 +80000088: 2020a1af amoxor.w gp,sp,(ra) +8000008c: 0000a203 lw tp,0(ra) +80000090: 06d00a13 li s4,109 +80000094: 1a4a1a63 bne s4,tp,80000248 +80000098: 03a00a13 li s4,58 +8000009c: 1a3a1663 bne s4,gp,80000248 + +800000a0 : +800000a0: 00500e13 li t3,5 +800000a4: 00000097 auipc ra,0x0 +800000a8: 1e408093 addi ra,ra,484 # 80000288 +800000ac: 02c00113 li sp,44 +800000b0: 6020a1af amoand.w gp,sp,(ra) +800000b4: 0000a203 lw tp,0(ra) +800000b8: 02800a13 li s4,40 +800000bc: 184a1663 bne s4,tp,80000248 +800000c0: 03800a13 li s4,56 +800000c4: 183a1263 bne s4,gp,80000248 + +800000c8 : +800000c8: 00600e13 li t3,6 +800000cc: 00000097 auipc ra,0x0 +800000d0: 1c008093 addi ra,ra,448 # 8000028c +800000d4: 01800113 li sp,24 +800000d8: 4020a1af amoor.w gp,sp,(ra) +800000dc: 0000a203 lw tp,0(ra) +800000e0: 05b00a13 li s4,91 +800000e4: 164a1263 bne s4,tp,80000248 +800000e8: 04b00a13 li s4,75 +800000ec: 143a1e63 bne s4,gp,80000248 + +800000f0 : +800000f0: 00700e13 li t3,7 +800000f4: 00000097 auipc ra,0x0 +800000f8: 19c08093 addi ra,ra,412 # 80000290 +800000fc: 01800113 li sp,24 +80000100: 8020a1af amomin.w gp,sp,(ra) +80000104: 0000a203 lw tp,0(ra) +80000108: 01800a13 li s4,24 +8000010c: 124a1e63 bne s4,tp,80000248 +80000110: 03800a13 li s4,56 +80000114: 123a1a63 bne s4,gp,80000248 + +80000118 : +80000118: 00800e13 li t3,8 +8000011c: 00000097 auipc ra,0x0 +80000120: 17808093 addi ra,ra,376 # 80000294 +80000124: 05800113 li sp,88 +80000128: 8020a1af amomin.w gp,sp,(ra) +8000012c: 0000a203 lw tp,0(ra) +80000130: 05300a13 li s4,83 +80000134: 104a1a63 bne s4,tp,80000248 +80000138: 05300a13 li s4,83 +8000013c: 103a1663 bne s4,gp,80000248 + +80000140 : +80000140: 00900e13 li t3,9 +80000144: 00000097 auipc ra,0x0 +80000148: 15408093 addi ra,ra,340 # 80000298 +8000014c: fca00113 li sp,-54 +80000150: 8020a1af amomin.w gp,sp,(ra) +80000154: 0000a203 lw tp,0(ra) +80000158: fca00a13 li s4,-54 +8000015c: 0e4a1663 bne s4,tp,80000248 +80000160: 02100a13 li s4,33 +80000164: 0e3a1263 bne s4,gp,80000248 + +80000168 : +80000168: 00a00e13 li t3,10 +8000016c: 00000097 auipc ra,0x0 +80000170: 13008093 addi ra,ra,304 # 8000029c +80000174: 03400113 li sp,52 +80000178: 8020a1af amomin.w gp,sp,(ra) +8000017c: 0000a203 lw tp,0(ra) +80000180: fbf00a13 li s4,-65 +80000184: 0c4a1263 bne s4,tp,80000248 +80000188: fbf00a13 li s4,-65 +8000018c: 0a3a1e63 bne s4,gp,80000248 + +80000190 : +80000190: 00b00e13 li t3,11 +80000194: 00000097 auipc ra,0x0 +80000198: 10c08093 addi ra,ra,268 # 800002a0 +8000019c: fcc00113 li sp,-52 +800001a0: a020a1af amomax.w gp,sp,(ra) +800001a4: 0000a203 lw tp,0(ra) +800001a8: fcc00a13 li s4,-52 +800001ac: 084a1e63 bne s4,tp,80000248 +800001b0: fa900a13 li s4,-87 +800001b4: 083a1a63 bne s4,gp,80000248 + +800001b8 : +800001b8: 00c00e13 li t3,12 +800001bc: 00000097 auipc ra,0x0 +800001c0: 0e808093 addi ra,ra,232 # 800002a4 +800001c4: 03400113 li sp,52 +800001c8: a020a1af amomax.w gp,sp,(ra) +800001cc: 0000a203 lw tp,0(ra) +800001d0: 03400a13 li s4,52 +800001d4: 064a1a63 bne s4,tp,80000248 +800001d8: fc900a13 li s4,-55 +800001dc: 063a1663 bne s4,gp,80000248 + +800001e0 : +800001e0: 00d00e13 li t3,13 +800001e4: 00000097 auipc ra,0x0 +800001e8: 0c408093 addi ra,ra,196 # 800002a8 +800001ec: ffff0137 lui sp,0xffff0 +800001f0: c020a1af amominu.w gp,sp,(ra) +800001f4: 0000a203 lw tp,0(ra) +800001f8: ffff0a37 lui s4,0xffff0 +800001fc: 044a1663 bne s4,tp,80000248 +80000200: ffff0a37 lui s4,0xffff0 +80000204: 004a0a13 addi s4,s4,4 # ffff0004 +80000208: 043a1063 bne s4,gp,80000248 +8000020c: 0480006f j 80000254 + +80000210 : +80000210: 00e00e13 li t3,14 +80000214: 00000097 auipc ra,0x0 +80000218: 09808093 addi ra,ra,152 # 800002ac +8000021c: ffff0137 lui sp,0xffff0 +80000220: 00c10113 addi sp,sp,12 # ffff000c +80000224: e020a1af amomaxu.w gp,sp,(ra) +80000228: 0000a203 lw tp,0(ra) +8000022c: ffff0a37 lui s4,0xffff0 +80000230: 00ca0a13 addi s4,s4,12 # ffff000c +80000234: 004a1a63 bne s4,tp,80000248 +80000238: ffff0a37 lui s4,0xffff0 +8000023c: 005a0a13 addi s4,s4,5 # ffff0005 +80000240: 003a1463 bne s4,gp,80000248 +80000244: 0100006f j 80000254 + +80000248 : +80000248: f0100137 lui sp,0xf0100 +8000024c: f2410113 addi sp,sp,-220 # f00fff24 +80000250: 01c12023 sw t3,0(sp) + +80000254 : +80000254: f0100137 lui sp,0xf0100 +80000258: f2010113 addi sp,sp,-224 # f00fff20 +8000025c: 00012023 sw zero,0(sp) +80000260: 00000013 nop +80000264: 00000013 nop +80000268: 00000013 nop +8000026c: 00000013 nop +80000270: 00000013 nop +80000274: 00000013 nop + +80000278 : +80000278: 0000000b 0xb + +8000027c : +8000027c: 0016 c.slli zero,0x5 + ... + +80000280 : +80000280: 0049 c.nop 18 + ... + +80000284 : +80000284: 003a c.slli zero,0xe + ... + +80000288 : +80000288: 0038 addi a4,sp,8 + ... + +8000028c : +8000028c: 0000004b fnmsub.s ft0,ft0,ft0,ft0,rne + +80000290 : +80000290: 0038 addi a4,sp,8 + ... + +80000294 : +80000294: 00000053 fadd.s ft0,ft0,ft0,rne + +80000298 : +80000298: 0021 c.nop 8 + ... + +8000029c : +8000029c: ffffffbf 0xffffffbf + +800002a0 : +800002a0: ffa9 bnez a5,800001fa +800002a2: ffff 0xffff + +800002a4 : +800002a4: ffc9 bnez a5,8000023e +800002a6: ffff 0xffff + +800002a8 : +800002a8: 0004 0x4 +800002aa: ffff 0xffff + +800002ac : +800002ac: 0005 c.nop 1 +800002ae: ffff 0xffff diff --git a/VexRiscv/src/test/cpp/raw/amo/build/amo.hex b/VexRiscv/src/test/cpp/raw/amo/build/amo.hex new file mode 100644 index 0000000..74d3567 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/amo/build/amo.hex @@ -0,0 +1,45 @@ +:0200000480007A +:10000000130E100097000000938040271301D002C8 +:10001000AFA1200803A20000130AD00263164A22EF +:10002000130AB00063123A22130E2000970000005A +:100030009380002513017003AFA1200803A20000E4 +:10004000130A700363124A20130A6001631E3A1EEA +:10005000130E3000970000009380C022130120048B +:10006000AFA1200003A20000130AB008631E4A1CBF +:10007000130A9004631A3A1C130E40009700000004 +:100080009380802013017005AFA1202003A20000FF +:10009000130AD006631A4A1A130AA00363163A1AFF +:1000A000130E5000970000009380401E1301C00201 +:1000B000AFA1206003A20000130A800263164A1851 +:1000C000130A800363123A18130E600097000000B1 +:1000D0009380001C13018001AFA1204003A2000007 +:1000E000130AB00563124A16130AB004631E3A14C9 +:1000F000130E7000970000009380C0191301800157 +:10010000AFA1208003A20000130A8001631E4A12DF +:10011000130A8003631A3A12130E8000970000003E +:100120009380801713018005AFA1208003A20000F7 +:10013000130A3005631A4A10130A300563163A1081 +:10014000130E900097000000938040151301A0FC4F +:10015000AFA1208003A20000130AA0FC63164A0E80 +:10016000130A100263123A0E130EA000970000004B +:100170009380001313014003AFA1208003A200006D +:10018000130AF0FB63124A0C130AF0FB631E3A0ACF +:10019000130EB000970000009380C0101301C0FC44 +:1001A000AFA120A003A20000130AC0FC631E4A08EE +:1001B000130A90FA631A3A08130EC0009700000061 +:1001C0009380800E13014003AFA120A003A2000082 +:1001D000130A4003631A4A06130A90FC63163A0690 +:1001E000130ED000970000009380400C3701FFFFF2 +:1001F000AFA120C003A20000370AFFFF63164A0424 +:10020000370AFFFF130A4A0063103A046F008004A4 +:10021000130EE00097000000938080093701FFFF74 +:100220001301C100AFA120E003A20000370AFFFFC5 +:10023000130ACA00631A4A00370AFFFF130A5A005A +:1002400063143A006F000001370110F0130141F20E +:100250002320C101370110F0130101F22320010016 +:100260001300000013000000130000001300000042 +:1002700013000000130000000B0000001600000037 +:10028000490000003A000000380000004B00000068 +:10029000380000005300000021000000BFFFFFFFF6 +:1002A000A9FFFFFFC9FFFFFF0400FFFF0500FFFFDD +:00000001FF diff --git a/VexRiscv/src/test/cpp/raw/amo/makefile b/VexRiscv/src/test/cpp/raw/amo/makefile new file mode 100644 index 0000000..6e9afc5 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/amo/makefile @@ -0,0 +1,5 @@ +PROJ_NAME=amo + +ATOMIC=yes + +include ../common/asm.mk \ No newline at end of file diff --git a/VexRiscv/src/test/cpp/raw/amo/src/crt.S b/VexRiscv/src/test/cpp/raw/amo/src/crt.S new file mode 100644 index 0000000..5696f1d --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/amo/src/crt.S @@ -0,0 +1,174 @@ +.globl _star +#define TEST_ID x28 + +_start: + +#define assert(reg, value) \ + li x20, value; \ + bne x20, reg, fail; + +test1: + li TEST_ID, 1 + la x1, test1_data + li x2, 45 + amoswap.w x3,x2,(x1) + lw x4, 0(x1) + assert(x4, 45) + assert(x3, 11) + +test2: + li TEST_ID, 2 + la x1, test2_data + li x2, 55 + amoswap.w x3,x2,(x1) + lw x4, 0(x1) + assert(x4, 55) + assert(x3, 22) + + +test3: + li TEST_ID,3 + la x1, test3_data + li x2, 66 + amoadd.w x3,x2,(x1) + lw x4, 0(x1) + assert(x4, 66+73) + assert(x3, 73) + +test4: + li TEST_ID,4 + la x1, test4_data + li x2, 87 + amoxor.w x3,x2,(x1) + lw x4, 0(x1) + assert(x4, 87^58) + assert(x3, 58) + +test5: + li TEST_ID,5 + la x1, test5_data + li x2, 44 + amoand.w x3,x2,(x1) + lw x4, 0(x1) + assert(x4, 44 & 56) + assert(x3, 56) + +test6: + li TEST_ID,6 + la x1, test6_data + li x2, 24 + amoor.w x3,x2,(x1) + lw x4, 0(x1) + assert(x4, 24 | 75) + assert(x3, 75) + +test7: + li TEST_ID,7 + la x1, test7_data + li x2, 24 + amomin.w x3,x2,(x1) + lw x4, 0(x1) + assert(x4, 24) + assert(x3, 56) + + +test8: + li TEST_ID,8 + la x1, test8_data + li x2, 88 + amomin.w x3,x2,(x1) + lw x4, 0(x1) + assert(x4, 83) + assert(x3, 83) + +test9: + li TEST_ID,9 + la x1, test9_data + li x2, -54 + amomin.w x3,x2,(x1) + lw x4, 0(x1) + assert(x4, -54) + assert(x3, 33) + +test10: + li TEST_ID,10 + la x1, test10_data + li x2, 52 + amomin.w x3,x2,(x1) + lw x4, 0(x1) + assert(x4, -65) + assert(x3, -65) + +test11: + li TEST_ID,11 + la x1, test11_data + li x2, -52 + amomax.w x3,x2,(x1) + lw x4, 0(x1) + assert(x4, -52) + assert(x3, -87) + +test12: + li TEST_ID,12 + la x1, test12_data + li x2, 52 + amomax.w x3,x2,(x1) + lw x4, 0(x1) + assert(x4, 52) + assert(x3, -55) + + +test13: + li TEST_ID,13 + la x1, test13_data + li x2, 0xFFFF0000 + amominu.w x3,x2,(x1) + lw x4, 0(x1) + assert(x4, 0xFFFF0000) + assert(x3, 0xFFFF0004) + + j pass + + +test14: + li TEST_ID,14 + la x1, test14_data + li x2, 0xFFFF000C + amomaxu.w x3,x2,(x1) + lw x4, 0(x1) + assert(x4, 0xFFFF000C) + assert(x3, 0xFFFF0005) + + j pass + + +fail: + li x2, 0xF00FFF24 + sw TEST_ID, 0(x2) + +pass: + li x2, 0xF00FFF20 + sw x0, 0(x2) + + nop + nop + nop + nop + nop + nop + + +test1_data: .word 11 +test2_data: .word 22 +test3_data: .word 73 +test4_data: .word 58 +test5_data: .word 56 +test6_data: .word 75 +test7_data: .word 56 +test8_data: .word 83 +test9_data: .word 33 +test10_data: .word -65 +test11_data: .word -87 +test12_data: .word -55 +test13_data: .word 0xFFFF0004 +test14_data: .word 0xFFFF0005 \ No newline at end of file diff --git a/VexRiscv/src/test/cpp/raw/amo/src/ld b/VexRiscv/src/test/cpp/raw/amo/src/ld new file mode 100644 index 0000000..93d8de8 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/amo/src/ld @@ -0,0 +1,16 @@ +OUTPUT_ARCH( "riscv" ) + +MEMORY { + onChipRam (W!RX)/*(RX)*/ : ORIGIN = 0x80000000, LENGTH = 128K +} + +SECTIONS +{ + + .crt_section : + { + . = ALIGN(4); + *crt.o(.text) + } > onChipRam + +} diff --git a/VexRiscv/src/test/cpp/raw/common/asm.mk b/VexRiscv/src/test/cpp/raw/common/asm.mk new file mode 100644 index 0000000..92b51ce --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/common/asm.mk @@ -0,0 +1,89 @@ + +RISCV_PATH?=/opt/riscv/ +RISCV_NAME = riscv64-unknown-elf +RISCV_OBJCOPY = $(RISCV_PATH)/bin/$(RISCV_NAME)-objcopy +RISCV_OBJDUMP = $(RISCV_PATH)/bin/$(RISCV_NAME)-objdump +RISCV_CLIB=$(RISCV_PATH)$(RISCV_NAME)/lib/ +RISCV_CC=$(RISCV_PATH)/bin/$(RISCV_NAME)-gcc +LDSCRIPT=src/ld + +MABI=ilp32 +MARCH := rv32i +ifeq ($(MULDIV),yes) + MARCH := $(MARCH)m +endif +ifeq ($(ATOMIC),yes) + MARCH := $(MARCH)a +endif +ifeq ($(COMPRESSED),yes) + MARCH := $(MARCH)c +endif +ifeq ($(FLOATING),yes) + MARCH := $(MARCH)fd +endif + +CFLAGS += -march=$(MARCH) -mabi=$(MABI) +LDFLAGS += -march=$(MARCH) -mabi=$(MABI) + + + +SRCS = $(wildcard src/*.c) \ + $(wildcard src/*.cpp) \ + $(wildcard src/*.S) + + +CFLAGS += -static +LDFLAGS += -e_start -T $(LDSCRIPT) -nostartfiles -Wl,-Map,$(OBJDIR)/$(PROJ_NAME).map -Wl,--print-memory-usage +OBJDIR = build +OBJS := $(SRCS) +OBJS := $(OBJS:.c=.o) +OBJS := $(OBJS:.cpp=.o) +OBJS := $(OBJS:.S=.o) +OBJS := $(addprefix $(OBJDIR)/,$(OBJS)) + + + +all: $(OBJDIR)/$(PROJ_NAME).elf $(OBJDIR)/$(PROJ_NAME).hex $(OBJDIR)/$(PROJ_NAME).asm $(OBJDIR)/$(PROJ_NAME).bin + @echo "done" + +$(OBJDIR)/%.elf: $(OBJS) | $(OBJDIR) + $(RISCV_CC) $(CFLAGS) -o $@ $^ $(LDFLAGS) $(LIBS) + +%.hex: %.elf + $(RISCV_OBJCOPY) -O ihex $^ $@ + +%.bin: %.elf + $(RISCV_OBJCOPY) -O binary $^ $@ + +%.v: %.elf + $(RISCV_OBJCOPY) -O verilog $^ $@ + +%.asm: %.elf + $(RISCV_OBJDUMP) -S -d $^ > $@ + +$(OBJDIR)/%.o: %.c + mkdir -p $(dir $@) + $(RISCV_CC) -c $(CFLAGS) $(INC) -o $@ $^ + +$(OBJDIR)/%.o: %.cpp + mkdir -p $(dir $@) + $(RISCV_CC) -c $(CFLAGS) $(INC) -o $@ $^ + +$(OBJDIR)/%.o: %.S + mkdir -p $(dir $@) + $(RISCV_CC) -c $(CFLAGS) -o $@ $^ -D__ASSEMBLY__=1 + +$(OBJDIR): + mkdir -p $@ + +clean: + rm -f $(OBJDIR)/$(PROJ_NAME).elf + rm -f $(OBJDIR)/$(PROJ_NAME).hex + rm -f $(OBJDIR)/$(PROJ_NAME).map + rm -f $(OBJDIR)/$(PROJ_NAME).v + rm -f $(OBJDIR)/$(PROJ_NAME).asm + find $(OBJDIR) -type f -name '*.o' -print0 | xargs -0 -r rm + +.SECONDARY: $(OBJS) + + diff --git a/VexRiscv/src/test/cpp/raw/dcache/.gitignore b/VexRiscv/src/test/cpp/raw/dcache/.gitignore new file mode 100644 index 0000000..c12cb2c --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/dcache/.gitignore @@ -0,0 +1,4 @@ +*.map +*.v +*.elf +*.o \ No newline at end of file diff --git a/VexRiscv/src/test/cpp/raw/dcache/build/dcache.asm b/VexRiscv/src/test/cpp/raw/dcache/build/dcache.asm new file mode 100644 index 0000000..202a1e6 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/dcache/build/dcache.asm @@ -0,0 +1,78 @@ + +build/dcache.elf: file format elf32-littleriscv + + +Disassembly of section .crt_section: + +80000000 <_start>: +80000000: 00000097 auipc ra,0x0 +80000004: 0b408093 addi ra,ra,180 # 800000b4 + +80000008 : +80000008: 00100e13 li t3,1 +8000000c: 00100093 li ra,1 +80000010: 00300113 li sp,3 +80000014: 00208093 addi ra,ra,2 +80000018: 08209e63 bne ra,sp,800000b4 + +8000001c : +8000001c: 00200e13 li t3,2 +80000020: f56700b7 lui ra,0xf5670 +80000024: 900ff137 lui sp,0x900ff +80000028: 40000313 li t1,1024 + +8000002c : +8000002c: 00100193 li gp,1 +80000030: 00200293 li t0,2 +80000034: 006303b3 add t2,t1,t1 +80000038: 007181b3 add gp,gp,t2 +8000003c: 007282b3 add t0,t0,t2 +80000040: 00312023 sw gp,0(sp) # 900ff000 +80000044: 0000a023 sw zero,0(ra) # f5670000 +80000048: 00012203 lw tp,0(sp) +8000004c: 06429463 bne t0,tp,800000b4 +80000050: ffc30313 addi t1,t1,-4 +80000054: 01008093 addi ra,ra,16 +80000058: 01010113 addi sp,sp,16 +8000005c: 0000500f 0x500f +80000060: fc0316e3 bnez t1,8000002c + +80000064 : +80000064: 00300e13 li t3,3 +80000068: f56700b7 lui ra,0xf5670 +8000006c: 900ff137 lui sp,0x900ff +80000070: 40000313 li t1,1024 + +80000074 : +80000074: 00200193 li gp,2 +80000078: 00300293 li t0,3 +8000007c: 006303b3 add t2,t1,t1 +80000080: 007181b3 add gp,gp,t2 +80000084: 007282b3 add t0,t0,t2 +80000088: 00012203 lw tp,0(sp) # 900ff000 +8000008c: 00312023 sw gp,0(sp) +80000090: 0000a023 sw zero,0(ra) # f5670000 +80000094: 0000500f 0x500f +80000098: 00012203 lw tp,0(sp) +8000009c: 00429c63 bne t0,tp,800000b4 +800000a0: ffc30313 addi t1,t1,-4 +800000a4: 01008093 addi ra,ra,16 +800000a8: 01010113 addi sp,sp,16 +800000ac: fc0314e3 bnez t1,80000074 +800000b0: 0100006f j 800000c0 + +800000b4 : +800000b4: f0100137 lui sp,0xf0100 +800000b8: f2410113 addi sp,sp,-220 # f00fff24 +800000bc: 01c12023 sw t3,0(sp) + +800000c0 : +800000c0: f0100137 lui sp,0xf0100 +800000c4: f2010113 addi sp,sp,-224 # f00fff20 +800000c8: 00012023 sw zero,0(sp) +800000cc: 00000013 nop +800000d0: 00000013 nop +800000d4: 00000013 nop +800000d8: 00000013 nop +800000dc: 00000013 nop +800000e0: 00000013 nop diff --git a/VexRiscv/src/test/cpp/raw/dcache/build/dcache.hex b/VexRiscv/src/test/cpp/raw/dcache/build/dcache.hex new file mode 100644 index 0000000..2bc8fe9 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/dcache/build/dcache.hex @@ -0,0 +1,17 @@ +:0200000480007A +:10000000970000009380400B130E10009300100027 +:100010001301300093802000639E2008130E2000FF +:10002000B70067F537F10F901303004093011000FC +:1000300093022000B3036300B3817100B3827200A6 +:100040002320310023A00000032201006394420614 +:100050001303C3FF93800001130101010F5000003F +:10006000E31603FC130E3000B70067F537F10F906D +:10007000130300409301200093023000B303630098 +:10008000B3817100B382720003220100232031008A +:1000900023A000000F50000003220100639C4200D7 +:1000A0001303C3FF9380000113010101E31403FC58 +:1000B0006F000001370110F0130141F22320C1014C +:1000C000370110F0130101F223200100130000009A +:1000D00013000000130000001300000013000000D4 +:0400E0001300000009 +:00000001FF diff --git a/VexRiscv/src/test/cpp/raw/dcache/makefile b/VexRiscv/src/test/cpp/raw/dcache/makefile new file mode 100644 index 0000000..5ebb942 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/dcache/makefile @@ -0,0 +1,3 @@ +PROJ_NAME=dcache + +include ../common/asm.mk \ No newline at end of file diff --git a/VexRiscv/src/test/cpp/raw/dcache/src/crt.S b/VexRiscv/src/test/cpp/raw/dcache/src/crt.S new file mode 100644 index 0000000..054b1dd --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/dcache/src/crt.S @@ -0,0 +1,75 @@ +.globl _star +#define TEST_ID x28 + +_start: + la x1, fail + //csrw mtvec, x1 + +test1: //Dummy test + li TEST_ID, 1 + li x1, 1 + li x2, 3 + addi x1, x1, 2 + bne x1, x2, fail + +test2: //No invalidate, without load => new one + li TEST_ID, 2 + li x1, 0xF5670000 + li x2, 0x900FF000 + li x6, 4096/4 +test2_repeat: + la x3, 1 + la x5, 2 + add x7, x6, x6 + add x3, x3, x7 + add x5, x5, x7 + sw x3, 0(x2) + sw x0, 0(x1) + lw x4, 0(x2) + bne x5,x4, fail + addi x6, x6, -4 + addi x1, x1, 16 + addi x2, x2, 16 +.word 0x000500F // dcache flush + bnez x6, test2_repeat + +test3: //with invalidate, with preload + li TEST_ID, 3 + li x1, 0xF5670000 + li x2, 0x900FF000 + li x6, 4096/4 +test3_repeat: + la x3, 2 + la x5, 3 + add x7, x6, x6 + add x3, x3, x7 + add x5, x5, x7 + lw x4, 0(x2) + sw x3, 0(x2) + sw x0, 0(x1) +.word 0x000500F // dcache flush + lw x4, 0(x2) + bne x5,x4, fail + addi x6, x6, -4 + addi x1, x1, 16 + addi x2, x2, 16 + bnez x6, test3_repeat + + + + j pass + +fail: + li x2, 0xF00FFF24 + sw TEST_ID, 0(x2) + +pass: + li x2, 0xF00FFF20 + sw x0, 0(x2) + + nop + nop + nop + nop + nop + nop diff --git a/VexRiscv/src/test/cpp/raw/dcache/src/ld b/VexRiscv/src/test/cpp/raw/dcache/src/ld new file mode 100644 index 0000000..93d8de8 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/dcache/src/ld @@ -0,0 +1,16 @@ +OUTPUT_ARCH( "riscv" ) + +MEMORY { + onChipRam (W!RX)/*(RX)*/ : ORIGIN = 0x80000000, LENGTH = 128K +} + +SECTIONS +{ + + .crt_section : + { + . = ALIGN(4); + *crt.o(.text) + } > onChipRam + +} diff --git a/VexRiscv/src/test/cpp/raw/deleg/.gitignore b/VexRiscv/src/test/cpp/raw/deleg/.gitignore new file mode 100644 index 0000000..c12cb2c --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/deleg/.gitignore @@ -0,0 +1,4 @@ +*.map +*.v +*.elf +*.o \ No newline at end of file diff --git a/VexRiscv/src/test/cpp/raw/deleg/build/deleg.asm b/VexRiscv/src/test/cpp/raw/deleg/build/deleg.asm new file mode 100644 index 0000000..e64c374 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/deleg/build/deleg.asm @@ -0,0 +1,749 @@ + +build/deleg.elf: file format elf32-littleriscv + + +Disassembly of section .crt_section: + +80000000 <_start>: +80000000: 00100e93 li t4,1 +80000004: 00001097 auipc ra,0x1 +80000008: a3c08093 addi ra,ra,-1476 # 80000a40 +8000000c: 30509073 csrw mtvec,ra +80000010: 00001097 auipc ra,0x1 +80000014: a6808093 addi ra,ra,-1432 # 80000a78 +80000018: 10509073 csrw stvec,ra +8000001c: f00110b7 lui ra,0xf0011 +80000020: 00000113 li sp,0 +80000024: 0020a023 sw sp,0(ra) # f0011000 +80000028: 00000013 nop +8000002c: 00000013 nop +80000030: 00000013 nop +80000034: 00000013 nop +80000038: 00000013 nop +8000003c: 00000013 nop +80000040: 00000013 nop +80000044: 00000013 nop + +80000048 : +80000048: 00100e13 li t3,1 +8000004c: 00000f17 auipc t5,0x0 +80000050: 00cf0f13 addi t5,t5,12 # 80000058 +80000054: 00000073 ecall + +80000058 : +80000058: 00200e13 li t3,2 +8000005c: 000020b7 lui ra,0x2 +80000060: 80008093 addi ra,ra,-2048 # 1800 <_start-0x7fffe800> +80000064: 00000113 li sp,0 +80000068: 3000b073 csrc mstatus,ra +8000006c: 30012073 csrs mstatus,sp +80000070: 00000097 auipc ra,0x0 +80000074: 01408093 addi ra,ra,20 # 80000084 +80000078: 34109073 csrw mepc,ra +8000007c: 30200073 mret +80000080: 1a90006f j 80000a28 +80000084: 00000f17 auipc t5,0x0 +80000088: 024f0f13 addi t5,t5,36 # 800000a8 +8000008c: 00000073 ecall +80000090: 1990006f j 80000a28 + +80000094 : +80000094: 00300e13 li t3,3 +80000098: 00000f17 auipc t5,0x0 +8000009c: 010f0f13 addi t5,t5,16 # 800000a8 +800000a0: 00102083 lw ra,1(zero) # 1 <_start-0x7fffffff> +800000a4: 1850006f j 80000a28 + +800000a8 : +800000a8: 00400e13 li t3,4 +800000ac: 000020b7 lui ra,0x2 +800000b0: 80008093 addi ra,ra,-2048 # 1800 <_start-0x7fffe800> +800000b4: 00001137 lui sp,0x1 +800000b8: 80010113 addi sp,sp,-2048 # 800 <_start-0x7ffff800> +800000bc: 3000b073 csrc mstatus,ra +800000c0: 30012073 csrs mstatus,sp +800000c4: 00000097 auipc ra,0x0 +800000c8: 01408093 addi ra,ra,20 # 800000d8 +800000cc: 34109073 csrw mepc,ra +800000d0: 30200073 mret +800000d4: 1550006f j 80000a28 +800000d8: 00000f17 auipc t5,0x0 +800000dc: 010f0f13 addi t5,t5,16 # 800000e8 +800000e0: 00102083 lw ra,1(zero) # 1 <_start-0x7fffffff> +800000e4: 1450006f j 80000a28 + +800000e8 : +800000e8: 00500e13 li t3,5 +800000ec: 000020b7 lui ra,0x2 +800000f0: 80008093 addi ra,ra,-2048 # 1800 <_start-0x7fffe800> +800000f4: 00000113 li sp,0 +800000f8: 3000b073 csrc mstatus,ra +800000fc: 30012073 csrs mstatus,sp +80000100: 00000097 auipc ra,0x0 +80000104: 01408093 addi ra,ra,20 # 80000114 +80000108: 34109073 csrw mepc,ra +8000010c: 30200073 mret +80000110: 1190006f j 80000a28 +80000114: 00000f17 auipc t5,0x0 +80000118: 010f0f13 addi t5,t5,16 # 80000124 +8000011c: 00102083 lw ra,1(zero) # 1 <_start-0x7fffffff> +80000120: 1090006f j 80000a28 + +80000124 : +80000124: 00600e13 li t3,6 +80000128: 01000093 li ra,16 +8000012c: 30209073 csrw medeleg,ra + +80000130 : +80000130: 00700e13 li t3,7 +80000134: 00000f17 auipc t5,0x0 +80000138: 010f0f13 addi t5,t5,16 # 80000144 +8000013c: 00102083 lw ra,1(zero) # 1 <_start-0x7fffffff> +80000140: 0e90006f j 80000a28 + +80000144 : +80000144: 00800e13 li t3,8 +80000148: 00000f17 auipc t5,0x0 +8000014c: 03cf0f13 addi t5,t5,60 # 80000184 +80000150: 000020b7 lui ra,0x2 +80000154: 80008093 addi ra,ra,-2048 # 1800 <_start-0x7fffe800> +80000158: 00001137 lui sp,0x1 +8000015c: 80010113 addi sp,sp,-2048 # 800 <_start-0x7ffff800> +80000160: 3000b073 csrc mstatus,ra +80000164: 30012073 csrs mstatus,sp +80000168: 00000097 auipc ra,0x0 +8000016c: 01408093 addi ra,ra,20 # 8000017c +80000170: 34109073 csrw mepc,ra +80000174: 30200073 mret +80000178: 0b10006f j 80000a28 +8000017c: 00102083 lw ra,1(zero) # 1 <_start-0x7fffffff> +80000180: 0a90006f j 80000a28 + +80000184 : +80000184: 00900e13 li t3,9 +80000188: 00000f17 auipc t5,0x0 +8000018c: 038f0f13 addi t5,t5,56 # 800001c0 +80000190: 000020b7 lui ra,0x2 +80000194: 80008093 addi ra,ra,-2048 # 1800 <_start-0x7fffe800> +80000198: 00000113 li sp,0 +8000019c: 3000b073 csrc mstatus,ra +800001a0: 30012073 csrs mstatus,sp +800001a4: 00000097 auipc ra,0x0 +800001a8: 01408093 addi ra,ra,20 # 800001b8 +800001ac: 34109073 csrw mepc,ra +800001b0: 30200073 mret +800001b4: 0750006f j 80000a28 +800001b8: 00102083 lw ra,1(zero) # 1 <_start-0x7fffffff> +800001bc: 06d0006f j 80000a28 + +800001c0 : +800001c0: 00a00e13 li t3,10 +800001c4: 00000f17 auipc t5,0x0 +800001c8: 07cf0f13 addi t5,t5,124 # 80000240 +800001cc: f00110b7 lui ra,0xf0011 +800001d0: 00000113 li sp,0 +800001d4: 0020a023 sw sp,0(ra) # f0011000 +800001d8: 00000013 nop +800001dc: 00000013 nop +800001e0: 00000013 nop +800001e4: 00000013 nop +800001e8: 00000013 nop +800001ec: 00000013 nop +800001f0: 00000013 nop +800001f4: 00000013 nop +800001f8: 00800093 li ra,8 +800001fc: 30009073 csrw mstatus,ra +80000200: 000010b7 lui ra,0x1 +80000204: 80008093 addi ra,ra,-2048 # 800 <_start-0x7ffff800> +80000208: 30409073 csrw mie,ra +8000020c: f00110b7 lui ra,0xf0011 +80000210: 00100113 li sp,1 +80000214: 0020a023 sw sp,0(ra) # f0011000 +80000218: 00000013 nop +8000021c: 00000013 nop +80000220: 00000013 nop +80000224: 00000013 nop +80000228: 00000013 nop +8000022c: 00000013 nop +80000230: 00000013 nop +80000234: 00000013 nop +80000238: 10500073 wfi +8000023c: 7ec0006f j 80000a28 + +80000240 : +80000240: 00b00e13 li t3,11 +80000244: 00000f17 auipc t5,0x0 +80000248: 0a8f0f13 addi t5,t5,168 # 800002ec +8000024c: f00110b7 lui ra,0xf0011 +80000250: 00000113 li sp,0 +80000254: 0020a023 sw sp,0(ra) # f0011000 +80000258: 00000013 nop +8000025c: 00000013 nop +80000260: 00000013 nop +80000264: 00000013 nop +80000268: 00000013 nop +8000026c: 00000013 nop +80000270: 00000013 nop +80000274: 00000013 nop +80000278: 00800093 li ra,8 +8000027c: 30009073 csrw mstatus,ra +80000280: 000010b7 lui ra,0x1 +80000284: 80008093 addi ra,ra,-2048 # 800 <_start-0x7ffff800> +80000288: 30409073 csrw mie,ra +8000028c: 000020b7 lui ra,0x2 +80000290: 80008093 addi ra,ra,-2048 # 1800 <_start-0x7fffe800> +80000294: 00001137 lui sp,0x1 +80000298: 80010113 addi sp,sp,-2048 # 800 <_start-0x7ffff800> +8000029c: 3000b073 csrc mstatus,ra +800002a0: 30012073 csrs mstatus,sp +800002a4: 00000097 auipc ra,0x0 +800002a8: 01408093 addi ra,ra,20 # 800002b8 +800002ac: 34109073 csrw mepc,ra +800002b0: 30200073 mret +800002b4: 7740006f j 80000a28 +800002b8: f00110b7 lui ra,0xf0011 +800002bc: 00100113 li sp,1 +800002c0: 0020a023 sw sp,0(ra) # f0011000 +800002c4: 00000013 nop +800002c8: 00000013 nop +800002cc: 00000013 nop +800002d0: 00000013 nop +800002d4: 00000013 nop +800002d8: 00000013 nop +800002dc: 00000013 nop +800002e0: 00000013 nop +800002e4: 10500073 wfi +800002e8: 7400006f j 80000a28 + +800002ec : +800002ec: 00c00e13 li t3,12 +800002f0: 00000f17 auipc t5,0x0 +800002f4: 0a4f0f13 addi t5,t5,164 # 80000394 +800002f8: f00110b7 lui ra,0xf0011 +800002fc: 00000113 li sp,0 +80000300: 0020a023 sw sp,0(ra) # f0011000 +80000304: 00000013 nop +80000308: 00000013 nop +8000030c: 00000013 nop +80000310: 00000013 nop +80000314: 00000013 nop +80000318: 00000013 nop +8000031c: 00000013 nop +80000320: 00000013 nop +80000324: 00800093 li ra,8 +80000328: 30009073 csrw mstatus,ra +8000032c: 000010b7 lui ra,0x1 +80000330: 80008093 addi ra,ra,-2048 # 800 <_start-0x7ffff800> +80000334: 30409073 csrw mie,ra +80000338: 000020b7 lui ra,0x2 +8000033c: 80008093 addi ra,ra,-2048 # 1800 <_start-0x7fffe800> +80000340: 00000113 li sp,0 +80000344: 3000b073 csrc mstatus,ra +80000348: 30012073 csrs mstatus,sp +8000034c: 00000097 auipc ra,0x0 +80000350: 01408093 addi ra,ra,20 # 80000360 +80000354: 34109073 csrw mepc,ra +80000358: 30200073 mret +8000035c: 6cc0006f j 80000a28 +80000360: f00110b7 lui ra,0xf0011 +80000364: 00100113 li sp,1 +80000368: 0020a023 sw sp,0(ra) # f0011000 +8000036c: 00000013 nop +80000370: 00000013 nop +80000374: 00000013 nop +80000378: 00000013 nop +8000037c: 00000013 nop +80000380: 00000013 nop +80000384: 00000013 nop +80000388: 00000013 nop +8000038c: 10500073 wfi +80000390: 6980006f j 80000a28 + +80000394 : +80000394: 00200093 li ra,2 +80000398: 10009073 csrw sstatus,ra +8000039c: 00e00e13 li t3,14 +800003a0: 00000f17 auipc t5,0x0 +800003a4: 080f0f13 addi t5,t5,128 # 80000420 +800003a8: f00120b7 lui ra,0xf0012 +800003ac: 00000113 li sp,0 +800003b0: 0020a023 sw sp,0(ra) # f0012000 +800003b4: 00000013 nop +800003b8: 00000013 nop +800003bc: 00000013 nop +800003c0: 00000013 nop +800003c4: 00000013 nop +800003c8: 00000013 nop +800003cc: 00000013 nop +800003d0: 00000013 nop +800003d4: 00200093 li ra,2 +800003d8: 30009073 csrw mstatus,ra +800003dc: 20000093 li ra,512 +800003e0: 30409073 csrw mie,ra +800003e4: 00000e93 li t4,0 +800003e8: f00120b7 lui ra,0xf0012 +800003ec: 00100113 li sp,1 +800003f0: 0020a023 sw sp,0(ra) # f0012000 +800003f4: 00000013 nop +800003f8: 00000013 nop +800003fc: 00000013 nop +80000400: 00000013 nop +80000404: 00000013 nop +80000408: 00000013 nop +8000040c: 00000013 nop +80000410: 00000013 nop +80000414: 06400093 li ra,100 +80000418: fff08093 addi ra,ra,-1 +8000041c: fe104ee3 bgtz ra,80000418 + +80000420 : +80000420: 00f00e13 li t3,15 +80000424: 00000f17 auipc t5,0x0 +80000428: 0a8f0f13 addi t5,t5,168 # 800004cc +8000042c: f00120b7 lui ra,0xf0012 +80000430: 00000113 li sp,0 +80000434: 0020a023 sw sp,0(ra) # f0012000 +80000438: 00000013 nop +8000043c: 00000013 nop +80000440: 00000013 nop +80000444: 00000013 nop +80000448: 00000013 nop +8000044c: 00000013 nop +80000450: 00000013 nop +80000454: 00000013 nop +80000458: 00200093 li ra,2 +8000045c: 30009073 csrw mstatus,ra +80000460: 20000093 li ra,512 +80000464: 30409073 csrw mie,ra +80000468: 000020b7 lui ra,0x2 +8000046c: 80008093 addi ra,ra,-2048 # 1800 <_start-0x7fffe800> +80000470: 00001137 lui sp,0x1 +80000474: 80010113 addi sp,sp,-2048 # 800 <_start-0x7ffff800> +80000478: 3000b073 csrc mstatus,ra +8000047c: 30012073 csrs mstatus,sp +80000480: 00000097 auipc ra,0x0 +80000484: 01408093 addi ra,ra,20 # 80000494 +80000488: 34109073 csrw mepc,ra +8000048c: 30200073 mret +80000490: 5980006f j 80000a28 +80000494: 00100e93 li t4,1 +80000498: f00120b7 lui ra,0xf0012 +8000049c: 00100113 li sp,1 +800004a0: 0020a023 sw sp,0(ra) # f0012000 +800004a4: 00000013 nop +800004a8: 00000013 nop +800004ac: 00000013 nop +800004b0: 00000013 nop +800004b4: 00000013 nop +800004b8: 00000013 nop +800004bc: 00000013 nop +800004c0: 00000013 nop +800004c4: 10500073 wfi +800004c8: 5600006f j 80000a28 + +800004cc : +800004cc: 01000e13 li t3,16 +800004d0: 00000f17 auipc t5,0x0 +800004d4: 0a0f0f13 addi t5,t5,160 # 80000570 +800004d8: f00120b7 lui ra,0xf0012 +800004dc: 00000113 li sp,0 +800004e0: 0020a023 sw sp,0(ra) # f0012000 +800004e4: 00000013 nop +800004e8: 00000013 nop +800004ec: 00000013 nop +800004f0: 00000013 nop +800004f4: 00000013 nop +800004f8: 00000013 nop +800004fc: 00000013 nop +80000500: 00000013 nop +80000504: 00200093 li ra,2 +80000508: 30009073 csrw mstatus,ra +8000050c: 20000093 li ra,512 +80000510: 30409073 csrw mie,ra +80000514: 000020b7 lui ra,0x2 +80000518: 80008093 addi ra,ra,-2048 # 1800 <_start-0x7fffe800> +8000051c: 00000113 li sp,0 +80000520: 3000b073 csrc mstatus,ra +80000524: 30012073 csrs mstatus,sp +80000528: 00000097 auipc ra,0x0 +8000052c: 01408093 addi ra,ra,20 # 8000053c +80000530: 34109073 csrw mepc,ra +80000534: 30200073 mret +80000538: 4f00006f j 80000a28 +8000053c: f00120b7 lui ra,0xf0012 +80000540: 00100113 li sp,1 +80000544: 0020a023 sw sp,0(ra) # f0012000 +80000548: 00000013 nop +8000054c: 00000013 nop +80000550: 00000013 nop +80000554: 00000013 nop +80000558: 00000013 nop +8000055c: 00000013 nop +80000560: 00000013 nop +80000564: 00000013 nop +80000568: 10500073 wfi +8000056c: 4bc0006f j 80000a28 + +80000570 : +80000570: 01100e13 li t3,17 +80000574: 20000093 li ra,512 +80000578: 30309073 csrw mideleg,ra +8000057c: 00000f17 auipc t5,0x0 +80000580: 080f0f13 addi t5,t5,128 # 800005fc +80000584: f00120b7 lui ra,0xf0012 +80000588: 00000113 li sp,0 +8000058c: 0020a023 sw sp,0(ra) # f0012000 +80000590: 00000013 nop +80000594: 00000013 nop +80000598: 00000013 nop +8000059c: 00000013 nop +800005a0: 00000013 nop +800005a4: 00000013 nop +800005a8: 00000013 nop +800005ac: 00000013 nop +800005b0: 00200093 li ra,2 +800005b4: 30009073 csrw mstatus,ra +800005b8: 20000093 li ra,512 +800005bc: 30409073 csrw mie,ra +800005c0: 00000e93 li t4,0 +800005c4: f00120b7 lui ra,0xf0012 +800005c8: 00100113 li sp,1 +800005cc: 0020a023 sw sp,0(ra) # f0012000 +800005d0: 00000013 nop +800005d4: 00000013 nop +800005d8: 00000013 nop +800005dc: 00000013 nop +800005e0: 00000013 nop +800005e4: 00000013 nop +800005e8: 00000013 nop +800005ec: 00000013 nop +800005f0: 06400093 li ra,100 +800005f4: fff08093 addi ra,ra,-1 +800005f8: fe104ee3 bgtz ra,800005f4 + +800005fc : +800005fc: 01200e13 li t3,18 +80000600: 00000f17 auipc t5,0x0 +80000604: 0a8f0f13 addi t5,t5,168 # 800006a8 +80000608: f00120b7 lui ra,0xf0012 +8000060c: 00000113 li sp,0 +80000610: 0020a023 sw sp,0(ra) # f0012000 +80000614: 00000013 nop +80000618: 00000013 nop +8000061c: 00000013 nop +80000620: 00000013 nop +80000624: 00000013 nop +80000628: 00000013 nop +8000062c: 00000013 nop +80000630: 00000013 nop +80000634: 00200093 li ra,2 +80000638: 30009073 csrw mstatus,ra +8000063c: 20000093 li ra,512 +80000640: 30409073 csrw mie,ra +80000644: 000020b7 lui ra,0x2 +80000648: 80008093 addi ra,ra,-2048 # 1800 <_start-0x7fffe800> +8000064c: 00001137 lui sp,0x1 +80000650: 80010113 addi sp,sp,-2048 # 800 <_start-0x7ffff800> +80000654: 3000b073 csrc mstatus,ra +80000658: 30012073 csrs mstatus,sp +8000065c: 00000097 auipc ra,0x0 +80000660: 01408093 addi ra,ra,20 # 80000670 +80000664: 34109073 csrw mepc,ra +80000668: 30200073 mret +8000066c: 3bc0006f j 80000a28 +80000670: 00100e93 li t4,1 +80000674: f00120b7 lui ra,0xf0012 +80000678: 00100113 li sp,1 +8000067c: 0020a023 sw sp,0(ra) # f0012000 +80000680: 00000013 nop +80000684: 00000013 nop +80000688: 00000013 nop +8000068c: 00000013 nop +80000690: 00000013 nop +80000694: 00000013 nop +80000698: 00000013 nop +8000069c: 00000013 nop +800006a0: 10500073 wfi +800006a4: 3840006f j 80000a28 + +800006a8 : +800006a8: 01300e13 li t3,19 +800006ac: 00000f17 auipc t5,0x0 +800006b0: 0a0f0f13 addi t5,t5,160 # 8000074c +800006b4: f00120b7 lui ra,0xf0012 +800006b8: 00000113 li sp,0 +800006bc: 0020a023 sw sp,0(ra) # f0012000 +800006c0: 00000013 nop +800006c4: 00000013 nop +800006c8: 00000013 nop +800006cc: 00000013 nop +800006d0: 00000013 nop +800006d4: 00000013 nop +800006d8: 00000013 nop +800006dc: 00000013 nop +800006e0: 00200093 li ra,2 +800006e4: 30009073 csrw mstatus,ra +800006e8: 20000093 li ra,512 +800006ec: 30409073 csrw mie,ra +800006f0: 000020b7 lui ra,0x2 +800006f4: 80008093 addi ra,ra,-2048 # 1800 <_start-0x7fffe800> +800006f8: 00000113 li sp,0 +800006fc: 3000b073 csrc mstatus,ra +80000700: 30012073 csrs mstatus,sp +80000704: 00000097 auipc ra,0x0 +80000708: 01408093 addi ra,ra,20 # 80000718 +8000070c: 34109073 csrw mepc,ra +80000710: 30200073 mret +80000714: 3140006f j 80000a28 +80000718: f00120b7 lui ra,0xf0012 +8000071c: 00100113 li sp,1 +80000720: 0020a023 sw sp,0(ra) # f0012000 +80000724: 00000013 nop +80000728: 00000013 nop +8000072c: 00000013 nop +80000730: 00000013 nop +80000734: 00000013 nop +80000738: 00000013 nop +8000073c: 00000013 nop +80000740: 00000013 nop +80000744: 10500073 wfi +80000748: 2e00006f j 80000a28 + +8000074c : +8000074c: f00120b7 lui ra,0xf0012 +80000750: 00000113 li sp,0 +80000754: 0020a023 sw sp,0(ra) # f0012000 +80000758: 00000013 nop +8000075c: 00000013 nop +80000760: 00000013 nop +80000764: 00000013 nop +80000768: 00000013 nop +8000076c: 00000013 nop +80000770: 00000013 nop +80000774: 00000013 nop +80000778: 01400e13 li t3,20 +8000077c: 00000f17 auipc t5,0x0 +80000780: 030f0f13 addi t5,t5,48 # 800007ac +80000784: 00200093 li ra,2 +80000788: 30009073 csrw mstatus,ra +8000078c: 20000093 li ra,512 +80000790: 30409073 csrw mie,ra +80000794: 00000e93 li t4,0 +80000798: 20000093 li ra,512 +8000079c: 1440a073 csrs sip,ra +800007a0: 06400093 li ra,100 +800007a4: fff08093 addi ra,ra,-1 +800007a8: fe104ee3 bgtz ra,800007a4 + +800007ac : +800007ac: 01500e13 li t3,21 +800007b0: 00000f17 auipc t5,0x0 +800007b4: 060f0f13 addi t5,t5,96 # 80000810 +800007b8: 20000093 li ra,512 +800007bc: 1440b073 csrc sip,ra +800007c0: 00200093 li ra,2 +800007c4: 30009073 csrw mstatus,ra +800007c8: 20000093 li ra,512 +800007cc: 30409073 csrw mie,ra +800007d0: 000020b7 lui ra,0x2 +800007d4: 80008093 addi ra,ra,-2048 # 1800 <_start-0x7fffe800> +800007d8: 00001137 lui sp,0x1 +800007dc: 80010113 addi sp,sp,-2048 # 800 <_start-0x7ffff800> +800007e0: 3000b073 csrc mstatus,ra +800007e4: 30012073 csrs mstatus,sp +800007e8: 00000097 auipc ra,0x0 +800007ec: 01408093 addi ra,ra,20 # 800007fc +800007f0: 34109073 csrw mepc,ra +800007f4: 30200073 mret +800007f8: 2300006f j 80000a28 +800007fc: 00100e93 li t4,1 +80000800: 20000093 li ra,512 +80000804: 1440a073 csrs sip,ra +80000808: 10500073 wfi +8000080c: 21c0006f j 80000a28 + +80000810 : +80000810: 01600e13 li t3,22 +80000814: 00000f17 auipc t5,0x0 +80000818: 058f0f13 addi t5,t5,88 # 8000086c +8000081c: 20000093 li ra,512 +80000820: 1440b073 csrc sip,ra +80000824: 00200093 li ra,2 +80000828: 30009073 csrw mstatus,ra +8000082c: 20000093 li ra,512 +80000830: 30409073 csrw mie,ra +80000834: 20000093 li ra,512 +80000838: 1440a073 csrs sip,ra +8000083c: 000020b7 lui ra,0x2 +80000840: 80008093 addi ra,ra,-2048 # 1800 <_start-0x7fffe800> +80000844: 00000113 li sp,0 +80000848: 3000b073 csrc mstatus,ra +8000084c: 30012073 csrs mstatus,sp +80000850: 00000097 auipc ra,0x0 +80000854: 01408093 addi ra,ra,20 # 80000864 +80000858: 34109073 csrw mepc,ra +8000085c: 30200073 mret +80000860: 1c80006f j 80000a28 +80000864: 10500073 wfi +80000868: 1c00006f j 80000a28 + +8000086c : +8000086c: 01700e13 li t3,23 +80000870: 00000e93 li t4,0 +80000874: f00120b7 lui ra,0xf0012 +80000878: 00000113 li sp,0 +8000087c: 0020a023 sw sp,0(ra) # f0012000 +80000880: 00000013 nop +80000884: 00000013 nop +80000888: 00000013 nop +8000088c: 00000013 nop +80000890: 00000013 nop +80000894: 00000013 nop +80000898: 00000013 nop +8000089c: 00000013 nop +800008a0: 20000093 li ra,512 +800008a4: 1440b073 csrc sip,ra +800008a8: 344021f3 csrr gp,mip +800008ac: f00120b7 lui ra,0xf0012 +800008b0: 00100113 li sp,1 +800008b4: 0020a023 sw sp,0(ra) # f0012000 +800008b8: 00000013 nop +800008bc: 00000013 nop +800008c0: 00000013 nop +800008c4: 00000013 nop +800008c8: 00000013 nop +800008cc: 00000013 nop +800008d0: 00000013 nop +800008d4: 00000013 nop +800008d8: 20000093 li ra,512 +800008dc: 1440b073 csrc sip,ra +800008e0: 344021f3 csrr gp,mip +800008e4: f00120b7 lui ra,0xf0012 +800008e8: 00000113 li sp,0 +800008ec: 0020a023 sw sp,0(ra) # f0012000 +800008f0: 00000013 nop +800008f4: 00000013 nop +800008f8: 00000013 nop +800008fc: 00000013 nop +80000900: 00000013 nop +80000904: 00000013 nop +80000908: 00000013 nop +8000090c: 00000013 nop +80000910: 20000093 li ra,512 +80000914: 1440b073 csrc sip,ra +80000918: 344021f3 csrr gp,mip +8000091c: f00120b7 lui ra,0xf0012 +80000920: 00000113 li sp,0 +80000924: 0020a023 sw sp,0(ra) # f0012000 +80000928: 00000013 nop +8000092c: 00000013 nop +80000930: 00000013 nop +80000934: 00000013 nop +80000938: 00000013 nop +8000093c: 00000013 nop +80000940: 00000013 nop +80000944: 00000013 nop +80000948: 20000093 li ra,512 +8000094c: 1440a073 csrs sip,ra +80000950: 344021f3 csrr gp,mip +80000954: f00120b7 lui ra,0xf0012 +80000958: 00100113 li sp,1 +8000095c: 0020a023 sw sp,0(ra) # f0012000 +80000960: 00000013 nop +80000964: 00000013 nop +80000968: 00000013 nop +8000096c: 00000013 nop +80000970: 00000013 nop +80000974: 00000013 nop +80000978: 00000013 nop +8000097c: 00000013 nop +80000980: 20000093 li ra,512 +80000984: 1440a073 csrs sip,ra +80000988: 344021f3 csrr gp,mip +8000098c: f00120b7 lui ra,0xf0012 +80000990: 00000113 li sp,0 +80000994: 0020a023 sw sp,0(ra) # f0012000 +80000998: 00000013 nop +8000099c: 00000013 nop +800009a0: 00000013 nop +800009a4: 00000013 nop +800009a8: 00000013 nop +800009ac: 00000013 nop +800009b0: 00000013 nop +800009b4: 00000013 nop + +800009b8 : +800009b8: 01800e13 li t3,24 +800009bc: 00200093 li ra,2 +800009c0: 3040a073 csrs mie,ra +800009c4: 3440a073 csrs mip,ra +800009c8: 3000a073 csrs mstatus,ra +800009cc: 00100e93 li t4,1 +800009d0: 00000f17 auipc t5,0x0 +800009d4: 03cf0f13 addi t5,t5,60 # 80000a0c +800009d8: 000020b7 lui ra,0x2 +800009dc: 80008093 addi ra,ra,-2048 # 1800 <_start-0x7fffe800> +800009e0: 00001137 lui sp,0x1 +800009e4: 80010113 addi sp,sp,-2048 # 800 <_start-0x7ffff800> +800009e8: 3000b073 csrc mstatus,ra +800009ec: 30012073 csrs mstatus,sp +800009f0: 00000097 auipc ra,0x0 +800009f4: 01408093 addi ra,ra,20 # 80000a04 +800009f8: 34109073 csrw mepc,ra +800009fc: 30200073 mret +80000a00: 0280006f j 80000a28 + +80000a04 : +80000a04: 10500073 wfi +80000a08: 0200006f j 80000a28 + +80000a0c : +80000a0c: 01900e13 li t3,25 +80000a10: 00000f17 auipc t5,0x0 +80000a14: 014f0f13 addi t5,t5,20 # 80000a24 +80000a18: 30046073 csrsi mstatus,8 +80000a1c: 10500073 wfi +80000a20: 0080006f j 80000a28 + +80000a24 : +80000a24: 0100006f j 80000a34 + +80000a28 : +80000a28: f0100137 lui sp,0xf0100 +80000a2c: f2410113 addi sp,sp,-220 # f00fff24 +80000a30: 01c12023 sw t3,0(sp) + +80000a34 : +80000a34: f0100137 lui sp,0xf0100 +80000a38: f2010113 addi sp,sp,-224 # f00fff20 +80000a3c: 00012023 sw zero,0(sp) + +80000a40 : +80000a40: fe0e84e3 beqz t4,80000a28 +80000a44: 342020f3 csrr ra,mcause +80000a48: 341020f3 csrr ra,mepc +80000a4c: 300020f3 csrr ra,mstatus +80000a50: 343020f3 csrr ra,mbadaddr +80000a54: 08000093 li ra,128 +80000a58: 3000b073 csrc mstatus,ra +80000a5c: 00200093 li ra,2 +80000a60: fc1e8ae3 beq t4,ra,80000a34 +80000a64: 000020b7 lui ra,0x2 +80000a68: 80008093 addi ra,ra,-2048 # 1800 <_start-0x7fffe800> +80000a6c: 3000a073 csrs mstatus,ra +80000a70: 341f1073 csrw mepc,t5 +80000a74: 30200073 mret + +80000a78 : +80000a78: fa0e88e3 beqz t4,80000a28 +80000a7c: 142020f3 csrr ra,scause +80000a80: 141020f3 csrr ra,sepc +80000a84: 100020f3 csrr ra,sstatus +80000a88: 143020f3 csrr ra,sbadaddr +80000a8c: 00000073 ecall +80000a90: 00000013 nop +80000a94: 00000013 nop +80000a98: 00000013 nop +80000a9c: 00000013 nop +80000aa0: 00000013 nop +80000aa4: 00000013 nop diff --git a/VexRiscv/src/test/cpp/raw/deleg/build/deleg.hex b/VexRiscv/src/test/cpp/raw/deleg/build/deleg.hex new file mode 100644 index 0000000..9290e85 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/deleg/build/deleg.hex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diff --git a/VexRiscv/src/test/cpp/raw/deleg/makefile b/VexRiscv/src/test/cpp/raw/deleg/makefile new file mode 100644 index 0000000..8f98b68 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/deleg/makefile @@ -0,0 +1,3 @@ +PROJ_NAME=deleg + +include ../common/asm.mk \ No newline at end of file diff --git a/VexRiscv/src/test/cpp/raw/deleg/src/crt.S b/VexRiscv/src/test/cpp/raw/deleg/src/crt.S new file mode 100644 index 0000000..f88d13f --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/deleg/src/crt.S @@ -0,0 +1,399 @@ +.globl _start + +#define TEST_ID x28 +#define TRAP_OK x29 +#define TRAP_RET x30 + +#include "encoding.h" + +#define externalInterrupt(value) \ + li x1, 0xF0011000; \ + li x2, value; \ + sw x2, 0(x1); \ + nop; \ + nop; \ + nop; \ + nop; \ + nop; \ + nop; \ + nop; \ + nop; \ + + +#define externalInterruptS(value) \ + li x1, 0xF0012000; \ + li x2, value; \ + sw x2, 0(x1); \ + nop; \ + nop; \ + nop; \ + nop; \ + nop; \ + nop; \ + nop; \ + nop; \ + + + +#define delay() \ + li x1, 100; \ +1: \ + addi x1, x1, -1; \ + bgt x1, x0, 1b; \ + + +#define setPriv(value) \ + li x1, 3 << 11; \ + li x2, value << 11; \ + csrc mstatus, x1; \ + csrs mstatus, x2; \ + auipc x1, 0; \ + addi x1, x1, 20; \ + csrw mepc, x1; \ + mret; \ + j fail; \ + + +ROM_SUPER_0: + +_start: + li TRAP_OK, 1 + la x1, mtrap + csrw mtvec, x1 + la x1, strap + csrw stvec, x1 + externalInterrupt(0); + +test1: + li TEST_ID, 1 + la TRAP_RET, test2 + ecall + +test2: //simple ecall from user to machine + li TEST_ID, 2 + setPriv(0) + la TRAP_RET, test4 + ecall + j fail + +test3: //M mialigned load exception without deleg + li TEST_ID, 3 + la TRAP_RET, test4 + lw x1, 1(x0) + j fail +test4: //S mialigned load exception without deleg + li TEST_ID, 4 + setPriv(1) + la TRAP_RET, test5 + lw x1, 1(x0) + j fail +test5: //U mialigned load exception without deleg + li TEST_ID, 5 + setPriv(0) + la TRAP_RET, test6 + lw x1, 1(x0) + j fail + +test6: // set medeleg + li TEST_ID, 6 + li x1, 1 << CAUSE_MISALIGNED_LOAD + csrw medeleg, x1 + +test7: //machine mode exception + li TEST_ID, 7 + la TRAP_RET, test8 + lw x1, 1(x0) + j fail + +test8: //supervisor mode exception + li TEST_ID, 8 + la TRAP_RET, test9 + setPriv(1) + lw x1, 1(x0) + j fail + +test9: //user mode exception + li TEST_ID, 9 + la TRAP_RET, test10 + setPriv(0) + lw x1, 1(x0) + j fail + +test10: //M external interrupt + li TEST_ID, 10 + la TRAP_RET, test11 + externalInterrupt(0) + li x1, MSTATUS_MIE + csrw mstatus, x1 + li x1, 1 << 11 + csrw mie, x1 + externalInterrupt(1) + wfi + j fail + +test11: //S external interrupt + li TEST_ID, 11 + la TRAP_RET, test12 + externalInterrupt(0) + li x1, MSTATUS_MIE + csrw mstatus, x1 + li x1, 1 << 11 + csrw mie, x1 + setPriv(1) + externalInterrupt(1) + wfi + j fail + +test12: //U external interrupt + li TEST_ID, 12 + la TRAP_RET, test14 + externalInterrupt(0) + li x1, MSTATUS_MIE + csrw mstatus, x1 + li x1, 1 << 11 + csrw mie, x1 + setPriv(0) + externalInterrupt(1) + wfi + j fail + + + +test14: //M external interrupt S + li x1, MSTATUS_SIE + csrw sstatus, x1 + + li TEST_ID, 14 + la TRAP_RET, test15 + externalInterruptS(0) + li x1, MSTATUS_SIE + csrw mstatus, x1 + li x1, 1 << 9 + csrw mie, x1 + li TRAP_OK, 0 + externalInterruptS(1) + delay() + +test15: //S external interrupt S + li TEST_ID, 15 + la TRAP_RET, test16 + externalInterruptS(0) + li x1, SSTATUS_SIE + csrw mstatus, x1 + li x1, 1 << 9 + csrw mie, x1 + setPriv(1) + li TRAP_OK, 1 + externalInterruptS(1) + wfi + j fail + +test16: //U external interrupt S + li TEST_ID, 16 + la TRAP_RET, test17 + externalInterruptS(0) + li x1, SSTATUS_SIE + csrw mstatus, x1 + li x1, 1 << 9 + csrw mie, x1 + setPriv(0) + externalInterruptS(1) + wfi + j fail + + +test17:// set mideleg + li TEST_ID, 17 + li x1, 1 << 9 + csrw mideleg, x1 + + + la TRAP_RET, test18 + externalInterruptS(0) + li x1, MSTATUS_SIE + csrw mstatus, x1 + li x1, 1 << 9 + csrw mie, x1 + li TRAP_OK, 0 + externalInterruptS(1) + delay() + +test18: //S external interrupt S with deleg + li TEST_ID, 18 + la TRAP_RET, test19 + externalInterruptS(0) + li x1, SSTATUS_SIE + csrw mstatus, x1 + li x1, 1 << 9 + csrw mie, x1 + setPriv(1) + li TRAP_OK, 1 + externalInterruptS(1) + wfi + j fail + +test19: //U external interrupt S with deleg + li TEST_ID, 19 + la TRAP_RET, test20 + externalInterruptS(0) + li x1, SSTATUS_SIE + csrw mstatus, x1 + li x1, 1 << 9 + csrw mie, x1 + setPriv(0) + externalInterruptS(1) + wfi + j fail + + + + + +test20:// M external interrupt S by software with deleg + externalInterruptS(0) + li TEST_ID, 20 + la TRAP_RET, test21 + li x1, MSTATUS_SIE + csrw mstatus, x1 + li x1, 1 << 9 + csrw mie, x1 + li TRAP_OK, 0 + li x1, 1 << 9 + csrs sip, x1 + delay() + +test21: //S external interrupt S by software with deleg + li TEST_ID, 21 + la TRAP_RET, test22 + li x1, 1 << 9 + csrc sip, x1 + li x1, SSTATUS_SIE + csrw mstatus, x1 + li x1, 1 << 9 + csrw mie, x1 + setPriv(1) + li TRAP_OK, 1 + li x1, 1 << 9 + csrs sip, x1 + wfi + j fail + +test22: //U external interrupt S by software with deleg + li TEST_ID, 22 + la TRAP_RET, test23 + li x1, 1 << 9 + csrc sip, x1 + li x1, SSTATUS_SIE + csrw mstatus, x1 + li x1, 1 << 9 + csrw mie, x1 + li x1, 1 << 9 + csrs sip, x1 + setPriv(0) + wfi + j fail + + + +test23: //Test software and hardware setting inettrupt + li TEST_ID, 23 + li TRAP_OK, 0 + externalInterruptS(0) + li x1, 1 << 9 + csrc sip, x1 + csrr x3, mip + + + externalInterruptS(1) + li x1, 1 << 9 + csrc sip, x1 + csrr x3, mip + + + externalInterruptS(0) + li x1, 1 << 9 + csrc sip, x1 + csrr x3, mip + + + externalInterruptS(0) + li x1, 1 << 9 + csrs sip, x1 + csrr x3, mip + + + externalInterruptS(1) + li x1, 1 << 9 + csrs sip, x1 + csrr x3, mip + + externalInterruptS(0) + +test24: //test supervisor software interrupt + li TEST_ID, 24 + li x1, 2 + csrs mie, x1 + csrs mip, x1 + csrs mstatus, x1 + + li TRAP_OK, 1 + la TRAP_RET, test25 + setPriv(1) +test24_s: + wfi + j fail + + +test25: //test undelegated supervisor interrupt in machine mode (continue test24) + li TEST_ID, 25 + la TRAP_RET, test26 + csrsi mstatus, 1 << 3 //mie + wfi + j fail + + +test26: + + j pass + +fail: + li x2, 0xF00FFF24 + sw TEST_ID, 0(x2) + +pass: + li x2, 0xF00FFF20 + sw x0, 0(x2) + + +mtrap: + beq TRAP_OK, x0, fail + csrr x1, mcause + csrr x1, mepc + csrr x1, mstatus + csrr x1, mbadaddr + li x1, MSTATUS_MPIE + csrc mstatus, x1 + li x1, 2 + beq TRAP_OK, x1, pass + li x1, 3 << 11 + csrs mstatus, x1 + csrw mepc, TRAP_RET + mret + + +strap: + beq TRAP_OK, x0, fail + csrr x1, scause + csrr x1, sepc + csrr x1, sstatus + csrr x1, sbadaddr + ecall + + + + nop + nop + nop + nop + nop + nop diff --git a/VexRiscv/src/test/cpp/raw/deleg/src/encoding.h b/VexRiscv/src/test/cpp/raw/deleg/src/encoding.h new file mode 100644 index 0000000..c109ce1 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/deleg/src/encoding.h @@ -0,0 +1,1471 @@ +// See LICENSE for license details. + +#ifndef RISCV_CSR_ENCODING_H +#define RISCV_CSR_ENCODING_H + +#define MSTATUS_UIE 0x00000001 +#define MSTATUS_SIE 0x00000002 +#define MSTATUS_HIE 0x00000004 +#define MSTATUS_MIE 0x00000008 +#define MSTATUS_UPIE 0x00000010 +#define MSTATUS_SPIE 0x00000020 +#define MSTATUS_HPIE 0x00000040 +#define MSTATUS_MPIE 0x00000080 +#define MSTATUS_SPP 0x00000100 +#define MSTATUS_HPP 0x00000600 +#define MSTATUS_MPP 0x00001800 +#define MSTATUS_FS 0x00006000 +#define MSTATUS_XS 0x00018000 +#define MSTATUS_MPRV 0x00020000 +#define MSTATUS_SUM 0x00040000 +#define MSTATUS_MXR 0x00080000 +#define MSTATUS_TVM 0x00100000 +#define MSTATUS_TW 0x00200000 +#define MSTATUS_TSR 0x00400000 +#define MSTATUS32_SD 0x80000000 +#define MSTATUS_UXL 0x0000000300000000 +#define MSTATUS_SXL 0x0000000C00000000 +#define MSTATUS64_SD 0x8000000000000000 + +#define SSTATUS_UIE 0x00000001 +#define SSTATUS_SIE 0x00000002 +#define SSTATUS_UPIE 0x00000010 +#define SSTATUS_SPIE 0x00000020 +#define SSTATUS_SPP 0x00000100 +#define SSTATUS_FS 0x00006000 +#define SSTATUS_XS 0x00018000 +#define SSTATUS_SUM 0x00040000 +#define SSTATUS_MXR 0x00080000 +#define SSTATUS32_SD 0x80000000 +#define SSTATUS_UXL 0x0000000300000000 +#define SSTATUS64_SD 0x8000000000000000 + +#define DCSR_XDEBUGVER (3U<<30) +#define DCSR_NDRESET (1<<29) +#define DCSR_FULLRESET (1<<28) +#define DCSR_EBREAKM (1<<15) +#define DCSR_EBREAKH (1<<14) +#define DCSR_EBREAKS (1<<13) +#define DCSR_EBREAKU (1<<12) +#define DCSR_STOPCYCLE (1<<10) +#define DCSR_STOPTIME (1<<9) +#define DCSR_CAUSE (7<<6) +#define DCSR_DEBUGINT (1<<5) +#define DCSR_HALT (1<<3) +#define DCSR_STEP (1<<2) +#define DCSR_PRV (3<<0) + +#define DCSR_CAUSE_NONE 0 +#define DCSR_CAUSE_SWBP 1 +#define DCSR_CAUSE_HWBP 2 +#define DCSR_CAUSE_DEBUGINT 3 +#define DCSR_CAUSE_STEP 4 +#define DCSR_CAUSE_HALT 5 + +#define MCONTROL_TYPE(xlen) (0xfULL<<((xlen)-4)) +#define MCONTROL_DMODE(xlen) (1ULL<<((xlen)-5)) +#define MCONTROL_MASKMAX(xlen) (0x3fULL<<((xlen)-11)) + +#define MCONTROL_SELECT (1<<19) +#define MCONTROL_TIMING (1<<18) +#define MCONTROL_ACTION (0x3f<<12) +#define MCONTROL_CHAIN (1<<11) +#define MCONTROL_MATCH (0xf<<7) +#define MCONTROL_M (1<<6) +#define MCONTROL_H (1<<5) +#define MCONTROL_S (1<<4) +#define MCONTROL_U (1<<3) +#define MCONTROL_EXECUTE (1<<2) +#define MCONTROL_STORE (1<<1) +#define MCONTROL_LOAD (1<<0) + +#define MCONTROL_TYPE_NONE 0 +#define MCONTROL_TYPE_MATCH 2 + +#define MCONTROL_ACTION_DEBUG_EXCEPTION 0 +#define MCONTROL_ACTION_DEBUG_MODE 1 +#define MCONTROL_ACTION_TRACE_START 2 +#define MCONTROL_ACTION_TRACE_STOP 3 +#define MCONTROL_ACTION_TRACE_EMIT 4 + +#define MCONTROL_MATCH_EQUAL 0 +#define MCONTROL_MATCH_NAPOT 1 +#define MCONTROL_MATCH_GE 2 +#define MCONTROL_MATCH_LT 3 +#define MCONTROL_MATCH_MASK_LOW 4 +#define MCONTROL_MATCH_MASK_HIGH 5 + +#define MIP_SSIP (1 << IRQ_S_SOFT) +#define MIP_HSIP (1 << IRQ_H_SOFT) +#define MIP_MSIP (1 << IRQ_M_SOFT) +#define MIP_STIP (1 << IRQ_S_TIMER) +#define MIP_HTIP (1 << IRQ_H_TIMER) +#define MIP_MTIP (1 << IRQ_M_TIMER) +#define MIP_SEIP (1 << IRQ_S_EXT) +#define MIP_HEIP (1 << IRQ_H_EXT) +#define MIP_MEIP (1 << IRQ_M_EXT) + +#define SIP_SSIP MIP_SSIP +#define SIP_STIP MIP_STIP + +#define PRV_U 0 +#define PRV_S 1 +#define PRV_H 2 +#define PRV_M 3 + +#define SATP32_MODE 0x80000000 +#define SATP32_ASID 0x7FC00000 +#define SATP32_PPN 0x003FFFFF +#define SATP64_MODE 0xF000000000000000 +#define SATP64_ASID 0x0FFFF00000000000 +#define SATP64_PPN 0x00000FFFFFFFFFFF + +#define SATP_MODE_OFF 0 +#define SATP_MODE_SV32 1 +#define SATP_MODE_SV39 8 +#define SATP_MODE_SV48 9 +#define SATP_MODE_SV57 10 +#define SATP_MODE_SV64 11 + +#define PMP_R 0x01 +#define PMP_W 0x02 +#define PMP_X 0x04 +#define PMP_A 0x18 +#define PMP_L 0x80 +#define PMP_SHIFT 2 + +#define PMP_TOR 0x08 +#define PMP_NA4 0x10 +#define PMP_NAPOT 0x18 + +#define IRQ_S_SOFT 1 +#define IRQ_H_SOFT 2 +#define IRQ_M_SOFT 3 +#define IRQ_S_TIMER 5 +#define IRQ_H_TIMER 6 +#define IRQ_M_TIMER 7 +#define IRQ_S_EXT 9 +#define IRQ_H_EXT 10 +#define IRQ_M_EXT 11 +#define IRQ_COP 12 +#define IRQ_HOST 13 + +#define DEFAULT_RSTVEC 0x00001000 +#define CLINT_BASE 0x02000000 +#define CLINT_SIZE 0x000c0000 +#define EXT_IO_BASE 0x40000000 +#define DRAM_BASE 0x80000000 + +// page table entry (PTE) fields +#define PTE_V 0x001 // Valid +#define PTE_R 0x002 // Read +#define PTE_W 0x004 // Write +#define PTE_X 0x008 // Execute +#define PTE_U 0x010 // User +#define PTE_G 0x020 // Global +#define PTE_A 0x040 // Accessed +#define PTE_D 0x080 // Dirty +#define PTE_SOFT 0x300 // Reserved for Software + +#define PTE_PPN_SHIFT 10 + +#define PTE_TABLE(PTE) (((PTE) & (PTE_V | PTE_R | PTE_W | PTE_X)) == PTE_V) + +#ifdef __riscv + +#if __riscv_xlen == 64 +# define MSTATUS_SD MSTATUS64_SD +# define SSTATUS_SD SSTATUS64_SD +# define RISCV_PGLEVEL_BITS 9 +# define SATP_MODE SATP64_MODE +#else +# define MSTATUS_SD MSTATUS32_SD +# define SSTATUS_SD SSTATUS32_SD +# define RISCV_PGLEVEL_BITS 10 +# define SATP_MODE SATP32_MODE +#endif +#define RISCV_PGSHIFT 12 +#define RISCV_PGSIZE (1 << RISCV_PGSHIFT) + +#ifndef __ASSEMBLER__ + +#ifdef __GNUC__ + +#define read_csr(reg) ({ unsigned long __tmp; \ + asm volatile ("csrr %0, " #reg : "=r"(__tmp)); \ + __tmp; }) + +#define write_csr(reg, val) ({ \ + asm volatile ("csrw " #reg ", %0" :: "rK"(val)); }) + +#define swap_csr(reg, val) ({ unsigned long __tmp; \ + asm volatile ("csrrw %0, " #reg ", %1" : "=r"(__tmp) : "rK"(val)); \ + __tmp; }) + +#define set_csr(reg, bit) ({ unsigned long __tmp; \ + asm volatile ("csrrs %0, " #reg ", %1" : "=r"(__tmp) : "rK"(bit)); \ + __tmp; }) + +#define clear_csr(reg, bit) ({ unsigned long __tmp; \ + asm volatile ("csrrc %0, " #reg ", %1" : "=r"(__tmp) : "rK"(bit)); \ + __tmp; }) + +#define rdtime() read_csr(time) +#define rdcycle() read_csr(cycle) +#define rdinstret() read_csr(instret) + +#endif + +#endif + +#endif + +#endif +/* Automatically generated by parse-opcodes. */ +#ifndef RISCV_ENCODING_H +#define RISCV_ENCODING_H +#define MATCH_BEQ 0x63 +#define MASK_BEQ 0x707f +#define MATCH_BNE 0x1063 +#define MASK_BNE 0x707f +#define MATCH_BLT 0x4063 +#define MASK_BLT 0x707f +#define MATCH_BGE 0x5063 +#define MASK_BGE 0x707f +#define MATCH_BLTU 0x6063 +#define MASK_BLTU 0x707f +#define MATCH_BGEU 0x7063 +#define MASK_BGEU 0x707f +#define MATCH_JALR 0x67 +#define MASK_JALR 0x707f +#define MATCH_JAL 0x6f +#define MASK_JAL 0x7f +#define MATCH_LUI 0x37 +#define MASK_LUI 0x7f +#define MATCH_AUIPC 0x17 +#define MASK_AUIPC 0x7f +#define MATCH_ADDI 0x13 +#define MASK_ADDI 0x707f +#define MATCH_SLLI 0x1013 +#define MASK_SLLI 0xfc00707f +#define MATCH_SLTI 0x2013 +#define MASK_SLTI 0x707f +#define MATCH_SLTIU 0x3013 +#define MASK_SLTIU 0x707f +#define MATCH_XORI 0x4013 +#define MASK_XORI 0x707f +#define MATCH_SRLI 0x5013 +#define MASK_SRLI 0xfc00707f +#define MATCH_SRAI 0x40005013 +#define MASK_SRAI 0xfc00707f +#define MATCH_ORI 0x6013 +#define MASK_ORI 0x707f +#define MATCH_ANDI 0x7013 +#define MASK_ANDI 0x707f +#define MATCH_ADD 0x33 +#define MASK_ADD 0xfe00707f +#define MATCH_SUB 0x40000033 +#define MASK_SUB 0xfe00707f +#define MATCH_SLL 0x1033 +#define MASK_SLL 0xfe00707f +#define MATCH_SLT 0x2033 +#define MASK_SLT 0xfe00707f +#define MATCH_SLTU 0x3033 +#define MASK_SLTU 0xfe00707f +#define MATCH_XOR 0x4033 +#define MASK_XOR 0xfe00707f +#define MATCH_SRL 0x5033 +#define MASK_SRL 0xfe00707f +#define MATCH_SRA 0x40005033 +#define MASK_SRA 0xfe00707f +#define MATCH_OR 0x6033 +#define MASK_OR 0xfe00707f +#define MATCH_AND 0x7033 +#define MASK_AND 0xfe00707f +#define MATCH_ADDIW 0x1b +#define MASK_ADDIW 0x707f +#define MATCH_SLLIW 0x101b +#define MASK_SLLIW 0xfe00707f +#define MATCH_SRLIW 0x501b +#define MASK_SRLIW 0xfe00707f +#define MATCH_SRAIW 0x4000501b +#define MASK_SRAIW 0xfe00707f +#define MATCH_ADDW 0x3b +#define MASK_ADDW 0xfe00707f +#define MATCH_SUBW 0x4000003b +#define MASK_SUBW 0xfe00707f +#define MATCH_SLLW 0x103b +#define MASK_SLLW 0xfe00707f +#define MATCH_SRLW 0x503b +#define MASK_SRLW 0xfe00707f +#define MATCH_SRAW 0x4000503b +#define MASK_SRAW 0xfe00707f +#define MATCH_LB 0x3 +#define MASK_LB 0x707f +#define MATCH_LH 0x1003 +#define MASK_LH 0x707f +#define MATCH_LW 0x2003 +#define MASK_LW 0x707f +#define MATCH_LD 0x3003 +#define MASK_LD 0x707f +#define MATCH_LBU 0x4003 +#define MASK_LBU 0x707f +#define MATCH_LHU 0x5003 +#define MASK_LHU 0x707f +#define MATCH_LWU 0x6003 +#define MASK_LWU 0x707f +#define MATCH_SB 0x23 +#define MASK_SB 0x707f +#define MATCH_SH 0x1023 +#define MASK_SH 0x707f +#define MATCH_SW 0x2023 +#define MASK_SW 0x707f +#define MATCH_SD 0x3023 +#define MASK_SD 0x707f +#define MATCH_FENCE 0xf +#define MASK_FENCE 0x707f +#define MATCH_FENCE_I 0x100f +#define MASK_FENCE_I 0x707f +#define MATCH_MUL 0x2000033 +#define MASK_MUL 0xfe00707f +#define MATCH_MULH 0x2001033 +#define MASK_MULH 0xfe00707f +#define MATCH_MULHSU 0x2002033 +#define MASK_MULHSU 0xfe00707f +#define MATCH_MULHU 0x2003033 +#define MASK_MULHU 0xfe00707f +#define MATCH_DIV 0x2004033 +#define MASK_DIV 0xfe00707f +#define MATCH_DIVU 0x2005033 +#define MASK_DIVU 0xfe00707f +#define MATCH_REM 0x2006033 +#define MASK_REM 0xfe00707f +#define MATCH_REMU 0x2007033 +#define MASK_REMU 0xfe00707f +#define MATCH_MULW 0x200003b +#define MASK_MULW 0xfe00707f +#define MATCH_DIVW 0x200403b +#define MASK_DIVW 0xfe00707f +#define MATCH_DIVUW 0x200503b +#define MASK_DIVUW 0xfe00707f +#define MATCH_REMW 0x200603b +#define MASK_REMW 0xfe00707f +#define MATCH_REMUW 0x200703b +#define MASK_REMUW 0xfe00707f +#define MATCH_AMOADD_W 0x202f +#define MASK_AMOADD_W 0xf800707f +#define MATCH_AMOXOR_W 0x2000202f +#define MASK_AMOXOR_W 0xf800707f +#define MATCH_AMOOR_W 0x4000202f +#define MASK_AMOOR_W 0xf800707f +#define MATCH_AMOAND_W 0x6000202f +#define MASK_AMOAND_W 0xf800707f +#define MATCH_AMOMIN_W 0x8000202f +#define MASK_AMOMIN_W 0xf800707f +#define MATCH_AMOMAX_W 0xa000202f +#define MASK_AMOMAX_W 0xf800707f +#define MATCH_AMOMINU_W 0xc000202f +#define MASK_AMOMINU_W 0xf800707f +#define MATCH_AMOMAXU_W 0xe000202f +#define MASK_AMOMAXU_W 0xf800707f +#define MATCH_AMOSWAP_W 0x800202f +#define MASK_AMOSWAP_W 0xf800707f +#define MATCH_LR_W 0x1000202f +#define MASK_LR_W 0xf9f0707f +#define MATCH_SC_W 0x1800202f +#define MASK_SC_W 0xf800707f +#define MATCH_AMOADD_D 0x302f +#define MASK_AMOADD_D 0xf800707f +#define MATCH_AMOXOR_D 0x2000302f +#define MASK_AMOXOR_D 0xf800707f +#define MATCH_AMOOR_D 0x4000302f +#define MASK_AMOOR_D 0xf800707f +#define MATCH_AMOAND_D 0x6000302f +#define MASK_AMOAND_D 0xf800707f +#define MATCH_AMOMIN_D 0x8000302f +#define MASK_AMOMIN_D 0xf800707f +#define MATCH_AMOMAX_D 0xa000302f +#define MASK_AMOMAX_D 0xf800707f +#define MATCH_AMOMINU_D 0xc000302f +#define MASK_AMOMINU_D 0xf800707f +#define MATCH_AMOMAXU_D 0xe000302f +#define MASK_AMOMAXU_D 0xf800707f +#define MATCH_AMOSWAP_D 0x800302f +#define MASK_AMOSWAP_D 0xf800707f +#define MATCH_LR_D 0x1000302f +#define MASK_LR_D 0xf9f0707f +#define MATCH_SC_D 0x1800302f +#define MASK_SC_D 0xf800707f +#define MATCH_ECALL 0x73 +#define MASK_ECALL 0xffffffff +#define MATCH_EBREAK 0x100073 +#define MASK_EBREAK 0xffffffff +#define MATCH_URET 0x200073 +#define MASK_URET 0xffffffff +#define MATCH_SRET 0x10200073 +#define MASK_SRET 0xffffffff +#define MATCH_MRET 0x30200073 +#define MASK_MRET 0xffffffff +#define MATCH_DRET 0x7b200073 +#define MASK_DRET 0xffffffff +#define MATCH_SFENCE_VMA 0x12000073 +#define MASK_SFENCE_VMA 0xfe007fff +#define MATCH_WFI 0x10500073 +#define MASK_WFI 0xffffffff +#define MATCH_CSRRW 0x1073 +#define MASK_CSRRW 0x707f +#define MATCH_CSRRS 0x2073 +#define MASK_CSRRS 0x707f +#define MATCH_CSRRC 0x3073 +#define MASK_CSRRC 0x707f +#define MATCH_CSRRWI 0x5073 +#define MASK_CSRRWI 0x707f +#define MATCH_CSRRSI 0x6073 +#define MASK_CSRRSI 0x707f +#define MATCH_CSRRCI 0x7073 +#define MASK_CSRRCI 0x707f +#define MATCH_FADD_S 0x53 +#define MASK_FADD_S 0xfe00007f +#define MATCH_FSUB_S 0x8000053 +#define MASK_FSUB_S 0xfe00007f +#define MATCH_FMUL_S 0x10000053 +#define MASK_FMUL_S 0xfe00007f +#define MATCH_FDIV_S 0x18000053 +#define MASK_FDIV_S 0xfe00007f +#define MATCH_FSGNJ_S 0x20000053 +#define MASK_FSGNJ_S 0xfe00707f +#define MATCH_FSGNJN_S 0x20001053 +#define MASK_FSGNJN_S 0xfe00707f +#define MATCH_FSGNJX_S 0x20002053 +#define MASK_FSGNJX_S 0xfe00707f +#define MATCH_FMIN_S 0x28000053 +#define MASK_FMIN_S 0xfe00707f +#define MATCH_FMAX_S 0x28001053 +#define MASK_FMAX_S 0xfe00707f +#define MATCH_FSQRT_S 0x58000053 +#define MASK_FSQRT_S 0xfff0007f +#define MATCH_FADD_D 0x2000053 +#define MASK_FADD_D 0xfe00007f +#define MATCH_FSUB_D 0xa000053 +#define MASK_FSUB_D 0xfe00007f +#define MATCH_FMUL_D 0x12000053 +#define MASK_FMUL_D 0xfe00007f +#define MATCH_FDIV_D 0x1a000053 +#define MASK_FDIV_D 0xfe00007f +#define MATCH_FSGNJ_D 0x22000053 +#define MASK_FSGNJ_D 0xfe00707f +#define MATCH_FSGNJN_D 0x22001053 +#define MASK_FSGNJN_D 0xfe00707f +#define MATCH_FSGNJX_D 0x22002053 +#define MASK_FSGNJX_D 0xfe00707f +#define MATCH_FMIN_D 0x2a000053 +#define MASK_FMIN_D 0xfe00707f +#define MATCH_FMAX_D 0x2a001053 +#define MASK_FMAX_D 0xfe00707f +#define MATCH_FCVT_S_D 0x40100053 +#define MASK_FCVT_S_D 0xfff0007f +#define MATCH_FCVT_D_S 0x42000053 +#define MASK_FCVT_D_S 0xfff0007f +#define MATCH_FSQRT_D 0x5a000053 +#define MASK_FSQRT_D 0xfff0007f +#define MATCH_FADD_Q 0x6000053 +#define MASK_FADD_Q 0xfe00007f +#define MATCH_FSUB_Q 0xe000053 +#define MASK_FSUB_Q 0xfe00007f +#define MATCH_FMUL_Q 0x16000053 +#define MASK_FMUL_Q 0xfe00007f +#define MATCH_FDIV_Q 0x1e000053 +#define MASK_FDIV_Q 0xfe00007f +#define MATCH_FSGNJ_Q 0x26000053 +#define MASK_FSGNJ_Q 0xfe00707f +#define MATCH_FSGNJN_Q 0x26001053 +#define MASK_FSGNJN_Q 0xfe00707f +#define MATCH_FSGNJX_Q 0x26002053 +#define MASK_FSGNJX_Q 0xfe00707f +#define MATCH_FMIN_Q 0x2e000053 +#define MASK_FMIN_Q 0xfe00707f +#define MATCH_FMAX_Q 0x2e001053 +#define MASK_FMAX_Q 0xfe00707f +#define MATCH_FCVT_S_Q 0x40300053 +#define MASK_FCVT_S_Q 0xfff0007f +#define MATCH_FCVT_Q_S 0x46000053 +#define MASK_FCVT_Q_S 0xfff0007f +#define MATCH_FCVT_D_Q 0x42300053 +#define MASK_FCVT_D_Q 0xfff0007f +#define MATCH_FCVT_Q_D 0x46100053 +#define MASK_FCVT_Q_D 0xfff0007f +#define MATCH_FSQRT_Q 0x5e000053 +#define MASK_FSQRT_Q 0xfff0007f +#define MATCH_FLE_S 0xa0000053 +#define MASK_FLE_S 0xfe00707f +#define MATCH_FLT_S 0xa0001053 +#define MASK_FLT_S 0xfe00707f +#define MATCH_FEQ_S 0xa0002053 +#define MASK_FEQ_S 0xfe00707f +#define MATCH_FLE_D 0xa2000053 +#define MASK_FLE_D 0xfe00707f +#define MATCH_FLT_D 0xa2001053 +#define MASK_FLT_D 0xfe00707f +#define MATCH_FEQ_D 0xa2002053 +#define MASK_FEQ_D 0xfe00707f +#define MATCH_FLE_Q 0xa6000053 +#define MASK_FLE_Q 0xfe00707f +#define MATCH_FLT_Q 0xa6001053 +#define MASK_FLT_Q 0xfe00707f +#define MATCH_FEQ_Q 0xa6002053 +#define MASK_FEQ_Q 0xfe00707f +#define MATCH_FCVT_W_S 0xc0000053 +#define MASK_FCVT_W_S 0xfff0007f +#define MATCH_FCVT_WU_S 0xc0100053 +#define MASK_FCVT_WU_S 0xfff0007f +#define MATCH_FCVT_L_S 0xc0200053 +#define MASK_FCVT_L_S 0xfff0007f +#define MATCH_FCVT_LU_S 0xc0300053 +#define MASK_FCVT_LU_S 0xfff0007f +#define MATCH_FMV_X_W 0xe0000053 +#define MASK_FMV_X_W 0xfff0707f +#define MATCH_FCLASS_S 0xe0001053 +#define MASK_FCLASS_S 0xfff0707f +#define MATCH_FCVT_W_D 0xc2000053 +#define MASK_FCVT_W_D 0xfff0007f +#define MATCH_FCVT_WU_D 0xc2100053 +#define MASK_FCVT_WU_D 0xfff0007f +#define MATCH_FCVT_L_D 0xc2200053 +#define MASK_FCVT_L_D 0xfff0007f +#define MATCH_FCVT_LU_D 0xc2300053 +#define MASK_FCVT_LU_D 0xfff0007f +#define MATCH_FMV_X_D 0xe2000053 +#define MASK_FMV_X_D 0xfff0707f +#define MATCH_FCLASS_D 0xe2001053 +#define MASK_FCLASS_D 0xfff0707f +#define MATCH_FCVT_W_Q 0xc6000053 +#define MASK_FCVT_W_Q 0xfff0007f +#define MATCH_FCVT_WU_Q 0xc6100053 +#define MASK_FCVT_WU_Q 0xfff0007f +#define MATCH_FCVT_L_Q 0xc6200053 +#define MASK_FCVT_L_Q 0xfff0007f +#define MATCH_FCVT_LU_Q 0xc6300053 +#define MASK_FCVT_LU_Q 0xfff0007f +#define MATCH_FMV_X_Q 0xe6000053 +#define MASK_FMV_X_Q 0xfff0707f +#define MATCH_FCLASS_Q 0xe6001053 +#define MASK_FCLASS_Q 0xfff0707f +#define MATCH_FCVT_S_W 0xd0000053 +#define MASK_FCVT_S_W 0xfff0007f +#define MATCH_FCVT_S_WU 0xd0100053 +#define MASK_FCVT_S_WU 0xfff0007f +#define MATCH_FCVT_S_L 0xd0200053 +#define MASK_FCVT_S_L 0xfff0007f +#define MATCH_FCVT_S_LU 0xd0300053 +#define MASK_FCVT_S_LU 0xfff0007f +#define MATCH_FMV_W_X 0xf0000053 +#define MASK_FMV_W_X 0xfff0707f +#define MATCH_FCVT_D_W 0xd2000053 +#define MASK_FCVT_D_W 0xfff0007f +#define MATCH_FCVT_D_WU 0xd2100053 +#define MASK_FCVT_D_WU 0xfff0007f +#define MATCH_FCVT_D_L 0xd2200053 +#define MASK_FCVT_D_L 0xfff0007f +#define MATCH_FCVT_D_LU 0xd2300053 +#define MASK_FCVT_D_LU 0xfff0007f +#define MATCH_FMV_D_X 0xf2000053 +#define MASK_FMV_D_X 0xfff0707f +#define MATCH_FCVT_Q_W 0xd6000053 +#define MASK_FCVT_Q_W 0xfff0007f +#define MATCH_FCVT_Q_WU 0xd6100053 +#define MASK_FCVT_Q_WU 0xfff0007f +#define MATCH_FCVT_Q_L 0xd6200053 +#define MASK_FCVT_Q_L 0xfff0007f +#define MATCH_FCVT_Q_LU 0xd6300053 +#define MASK_FCVT_Q_LU 0xfff0007f +#define MATCH_FMV_Q_X 0xf6000053 +#define MASK_FMV_Q_X 0xfff0707f +#define MATCH_FLW 0x2007 +#define MASK_FLW 0x707f +#define MATCH_FLD 0x3007 +#define MASK_FLD 0x707f +#define MATCH_FLQ 0x4007 +#define MASK_FLQ 0x707f +#define MATCH_FSW 0x2027 +#define MASK_FSW 0x707f +#define MATCH_FSD 0x3027 +#define MASK_FSD 0x707f +#define MATCH_FSQ 0x4027 +#define MASK_FSQ 0x707f +#define MATCH_FMADD_S 0x43 +#define MASK_FMADD_S 0x600007f +#define MATCH_FMSUB_S 0x47 +#define MASK_FMSUB_S 0x600007f +#define MATCH_FNMSUB_S 0x4b +#define MASK_FNMSUB_S 0x600007f +#define MATCH_FNMADD_S 0x4f +#define MASK_FNMADD_S 0x600007f +#define MATCH_FMADD_D 0x2000043 +#define MASK_FMADD_D 0x600007f +#define MATCH_FMSUB_D 0x2000047 +#define MASK_FMSUB_D 0x600007f +#define MATCH_FNMSUB_D 0x200004b +#define MASK_FNMSUB_D 0x600007f +#define MATCH_FNMADD_D 0x200004f +#define MASK_FNMADD_D 0x600007f +#define MATCH_FMADD_Q 0x6000043 +#define MASK_FMADD_Q 0x600007f +#define MATCH_FMSUB_Q 0x6000047 +#define MASK_FMSUB_Q 0x600007f +#define MATCH_FNMSUB_Q 0x600004b +#define MASK_FNMSUB_Q 0x600007f +#define MATCH_FNMADD_Q 0x600004f +#define MASK_FNMADD_Q 0x600007f +#define MATCH_C_NOP 0x1 +#define MASK_C_NOP 0xffff +#define MATCH_C_ADDI16SP 0x6101 +#define MASK_C_ADDI16SP 0xef83 +#define MATCH_C_JR 0x8002 +#define MASK_C_JR 0xf07f +#define MATCH_C_JALR 0x9002 +#define MASK_C_JALR 0xf07f +#define MATCH_C_EBREAK 0x9002 +#define MASK_C_EBREAK 0xffff +#define MATCH_C_LD 0x6000 +#define MASK_C_LD 0xe003 +#define MATCH_C_SD 0xe000 +#define MASK_C_SD 0xe003 +#define MATCH_C_ADDIW 0x2001 +#define MASK_C_ADDIW 0xe003 +#define MATCH_C_LDSP 0x6002 +#define MASK_C_LDSP 0xe003 +#define MATCH_C_SDSP 0xe002 +#define MASK_C_SDSP 0xe003 +#define MATCH_C_ADDI4SPN 0x0 +#define MASK_C_ADDI4SPN 0xe003 +#define MATCH_C_FLD 0x2000 +#define MASK_C_FLD 0xe003 +#define MATCH_C_LW 0x4000 +#define MASK_C_LW 0xe003 +#define MATCH_C_FLW 0x6000 +#define MASK_C_FLW 0xe003 +#define MATCH_C_FSD 0xa000 +#define MASK_C_FSD 0xe003 +#define MATCH_C_SW 0xc000 +#define MASK_C_SW 0xe003 +#define MATCH_C_FSW 0xe000 +#define MASK_C_FSW 0xe003 +#define MATCH_C_ADDI 0x1 +#define MASK_C_ADDI 0xe003 +#define MATCH_C_JAL 0x2001 +#define MASK_C_JAL 0xe003 +#define MATCH_C_LI 0x4001 +#define MASK_C_LI 0xe003 +#define MATCH_C_LUI 0x6001 +#define MASK_C_LUI 0xe003 +#define MATCH_C_SRLI 0x8001 +#define MASK_C_SRLI 0xec03 +#define MATCH_C_SRAI 0x8401 +#define MASK_C_SRAI 0xec03 +#define MATCH_C_ANDI 0x8801 +#define MASK_C_ANDI 0xec03 +#define MATCH_C_SUB 0x8c01 +#define MASK_C_SUB 0xfc63 +#define MATCH_C_XOR 0x8c21 +#define MASK_C_XOR 0xfc63 +#define MATCH_C_OR 0x8c41 +#define MASK_C_OR 0xfc63 +#define MATCH_C_AND 0x8c61 +#define MASK_C_AND 0xfc63 +#define MATCH_C_SUBW 0x9c01 +#define MASK_C_SUBW 0xfc63 +#define MATCH_C_ADDW 0x9c21 +#define MASK_C_ADDW 0xfc63 +#define MATCH_C_J 0xa001 +#define MASK_C_J 0xe003 +#define MATCH_C_BEQZ 0xc001 +#define MASK_C_BEQZ 0xe003 +#define MATCH_C_BNEZ 0xe001 +#define MASK_C_BNEZ 0xe003 +#define MATCH_C_SLLI 0x2 +#define MASK_C_SLLI 0xe003 +#define MATCH_C_FLDSP 0x2002 +#define MASK_C_FLDSP 0xe003 +#define MATCH_C_LWSP 0x4002 +#define MASK_C_LWSP 0xe003 +#define MATCH_C_FLWSP 0x6002 +#define MASK_C_FLWSP 0xe003 +#define MATCH_C_MV 0x8002 +#define MASK_C_MV 0xf003 +#define MATCH_C_ADD 0x9002 +#define MASK_C_ADD 0xf003 +#define MATCH_C_FSDSP 0xa002 +#define MASK_C_FSDSP 0xe003 +#define MATCH_C_SWSP 0xc002 +#define MASK_C_SWSP 0xe003 +#define MATCH_C_FSWSP 0xe002 +#define MASK_C_FSWSP 0xe003 +#define MATCH_CUSTOM0 0xb +#define MASK_CUSTOM0 0x707f +#define MATCH_CUSTOM0_RS1 0x200b +#define MASK_CUSTOM0_RS1 0x707f +#define MATCH_CUSTOM0_RS1_RS2 0x300b +#define MASK_CUSTOM0_RS1_RS2 0x707f +#define MATCH_CUSTOM0_RD 0x400b +#define MASK_CUSTOM0_RD 0x707f +#define MATCH_CUSTOM0_RD_RS1 0x600b +#define MASK_CUSTOM0_RD_RS1 0x707f +#define MATCH_CUSTOM0_RD_RS1_RS2 0x700b +#define MASK_CUSTOM0_RD_RS1_RS2 0x707f +#define MATCH_CUSTOM1 0x2b +#define MASK_CUSTOM1 0x707f +#define MATCH_CUSTOM1_RS1 0x202b +#define MASK_CUSTOM1_RS1 0x707f +#define MATCH_CUSTOM1_RS1_RS2 0x302b +#define MASK_CUSTOM1_RS1_RS2 0x707f +#define MATCH_CUSTOM1_RD 0x402b +#define MASK_CUSTOM1_RD 0x707f +#define MATCH_CUSTOM1_RD_RS1 0x602b +#define MASK_CUSTOM1_RD_RS1 0x707f +#define MATCH_CUSTOM1_RD_RS1_RS2 0x702b +#define MASK_CUSTOM1_RD_RS1_RS2 0x707f +#define MATCH_CUSTOM2 0x5b +#define MASK_CUSTOM2 0x707f +#define MATCH_CUSTOM2_RS1 0x205b +#define MASK_CUSTOM2_RS1 0x707f +#define MATCH_CUSTOM2_RS1_RS2 0x305b +#define MASK_CUSTOM2_RS1_RS2 0x707f +#define MATCH_CUSTOM2_RD 0x405b +#define MASK_CUSTOM2_RD 0x707f +#define MATCH_CUSTOM2_RD_RS1 0x605b +#define MASK_CUSTOM2_RD_RS1 0x707f +#define MATCH_CUSTOM2_RD_RS1_RS2 0x705b +#define MASK_CUSTOM2_RD_RS1_RS2 0x707f +#define MATCH_CUSTOM3 0x7b +#define MASK_CUSTOM3 0x707f +#define MATCH_CUSTOM3_RS1 0x207b +#define MASK_CUSTOM3_RS1 0x707f +#define MATCH_CUSTOM3_RS1_RS2 0x307b +#define MASK_CUSTOM3_RS1_RS2 0x707f +#define MATCH_CUSTOM3_RD 0x407b +#define MASK_CUSTOM3_RD 0x707f +#define MATCH_CUSTOM3_RD_RS1 0x607b +#define MASK_CUSTOM3_RD_RS1 0x707f +#define MATCH_CUSTOM3_RD_RS1_RS2 0x707b +#define MASK_CUSTOM3_RD_RS1_RS2 0x707f +#define CSR_FFLAGS 0x1 +#define CSR_FRM 0x2 +#define CSR_FCSR 0x3 +#define CSR_CYCLE 0xc00 +#define CSR_TIME 0xc01 +#define CSR_INSTRET 0xc02 +#define CSR_HPMCOUNTER3 0xc03 +#define CSR_HPMCOUNTER4 0xc04 +#define CSR_HPMCOUNTER5 0xc05 +#define CSR_HPMCOUNTER6 0xc06 +#define CSR_HPMCOUNTER7 0xc07 +#define CSR_HPMCOUNTER8 0xc08 +#define CSR_HPMCOUNTER9 0xc09 +#define CSR_HPMCOUNTER10 0xc0a +#define CSR_HPMCOUNTER11 0xc0b +#define CSR_HPMCOUNTER12 0xc0c +#define CSR_HPMCOUNTER13 0xc0d +#define CSR_HPMCOUNTER14 0xc0e +#define CSR_HPMCOUNTER15 0xc0f +#define CSR_HPMCOUNTER16 0xc10 +#define CSR_HPMCOUNTER17 0xc11 +#define CSR_HPMCOUNTER18 0xc12 +#define CSR_HPMCOUNTER19 0xc13 +#define CSR_HPMCOUNTER20 0xc14 +#define CSR_HPMCOUNTER21 0xc15 +#define CSR_HPMCOUNTER22 0xc16 +#define CSR_HPMCOUNTER23 0xc17 +#define CSR_HPMCOUNTER24 0xc18 +#define CSR_HPMCOUNTER25 0xc19 +#define CSR_HPMCOUNTER26 0xc1a +#define CSR_HPMCOUNTER27 0xc1b +#define CSR_HPMCOUNTER28 0xc1c +#define CSR_HPMCOUNTER29 0xc1d +#define CSR_HPMCOUNTER30 0xc1e +#define CSR_HPMCOUNTER31 0xc1f +#define CSR_SSTATUS 0x100 +#define CSR_SIE 0x104 +#define CSR_STVEC 0x105 +#define CSR_SCOUNTEREN 0x106 +#define CSR_SSCRATCH 0x140 +#define CSR_SEPC 0x141 +#define CSR_SCAUSE 0x142 +#define CSR_STVAL 0x143 +#define CSR_SIP 0x144 +#define CSR_SATP 0x180 +#define CSR_MSTATUS 0x300 +#define CSR_MISA 0x301 +#define CSR_MEDELEG 0x302 +#define CSR_MIDELEG 0x303 +#define CSR_MIE 0x304 +#define CSR_MTVEC 0x305 +#define CSR_MCOUNTEREN 0x306 +#define CSR_MSCRATCH 0x340 +#define CSR_MEPC 0x341 +#define CSR_MCAUSE 0x342 +#define CSR_MTVAL 0x343 +#define CSR_MIP 0x344 +#define CSR_PMPCFG0 0x3a0 +#define CSR_PMPCFG1 0x3a1 +#define CSR_PMPCFG2 0x3a2 +#define CSR_PMPCFG3 0x3a3 +#define CSR_PMPADDR0 0x3b0 +#define CSR_PMPADDR1 0x3b1 +#define CSR_PMPADDR2 0x3b2 +#define CSR_PMPADDR3 0x3b3 +#define CSR_PMPADDR4 0x3b4 +#define CSR_PMPADDR5 0x3b5 +#define CSR_PMPADDR6 0x3b6 +#define CSR_PMPADDR7 0x3b7 +#define CSR_PMPADDR8 0x3b8 +#define CSR_PMPADDR9 0x3b9 +#define CSR_PMPADDR10 0x3ba +#define CSR_PMPADDR11 0x3bb +#define CSR_PMPADDR12 0x3bc +#define CSR_PMPADDR13 0x3bd +#define CSR_PMPADDR14 0x3be +#define CSR_PMPADDR15 0x3bf +#define CSR_TSELECT 0x7a0 +#define CSR_TDATA1 0x7a1 +#define CSR_TDATA2 0x7a2 +#define CSR_TDATA3 0x7a3 +#define CSR_DCSR 0x7b0 +#define CSR_DPC 0x7b1 +#define CSR_DSCRATCH 0x7b2 +#define CSR_MCYCLE 0xb00 +#define CSR_MINSTRET 0xb02 +#define CSR_MHPMCOUNTER3 0xb03 +#define CSR_MHPMCOUNTER4 0xb04 +#define CSR_MHPMCOUNTER5 0xb05 +#define CSR_MHPMCOUNTER6 0xb06 +#define CSR_MHPMCOUNTER7 0xb07 +#define CSR_MHPMCOUNTER8 0xb08 +#define CSR_MHPMCOUNTER9 0xb09 +#define CSR_MHPMCOUNTER10 0xb0a +#define CSR_MHPMCOUNTER11 0xb0b +#define CSR_MHPMCOUNTER12 0xb0c +#define CSR_MHPMCOUNTER13 0xb0d +#define CSR_MHPMCOUNTER14 0xb0e +#define CSR_MHPMCOUNTER15 0xb0f +#define CSR_MHPMCOUNTER16 0xb10 +#define CSR_MHPMCOUNTER17 0xb11 +#define CSR_MHPMCOUNTER18 0xb12 +#define CSR_MHPMCOUNTER19 0xb13 +#define CSR_MHPMCOUNTER20 0xb14 +#define CSR_MHPMCOUNTER21 0xb15 +#define CSR_MHPMCOUNTER22 0xb16 +#define CSR_MHPMCOUNTER23 0xb17 +#define CSR_MHPMCOUNTER24 0xb18 +#define CSR_MHPMCOUNTER25 0xb19 +#define CSR_MHPMCOUNTER26 0xb1a +#define CSR_MHPMCOUNTER27 0xb1b +#define CSR_MHPMCOUNTER28 0xb1c +#define CSR_MHPMCOUNTER29 0xb1d +#define CSR_MHPMCOUNTER30 0xb1e +#define CSR_MHPMCOUNTER31 0xb1f +#define CSR_MHPMEVENT3 0x323 +#define CSR_MHPMEVENT4 0x324 +#define CSR_MHPMEVENT5 0x325 +#define CSR_MHPMEVENT6 0x326 +#define CSR_MHPMEVENT7 0x327 +#define CSR_MHPMEVENT8 0x328 +#define CSR_MHPMEVENT9 0x329 +#define CSR_MHPMEVENT10 0x32a +#define CSR_MHPMEVENT11 0x32b +#define CSR_MHPMEVENT12 0x32c +#define CSR_MHPMEVENT13 0x32d +#define CSR_MHPMEVENT14 0x32e +#define CSR_MHPMEVENT15 0x32f +#define CSR_MHPMEVENT16 0x330 +#define CSR_MHPMEVENT17 0x331 +#define CSR_MHPMEVENT18 0x332 +#define CSR_MHPMEVENT19 0x333 +#define CSR_MHPMEVENT20 0x334 +#define CSR_MHPMEVENT21 0x335 +#define CSR_MHPMEVENT22 0x336 +#define CSR_MHPMEVENT23 0x337 +#define CSR_MHPMEVENT24 0x338 +#define CSR_MHPMEVENT25 0x339 +#define CSR_MHPMEVENT26 0x33a +#define CSR_MHPMEVENT27 0x33b +#define CSR_MHPMEVENT28 0x33c +#define CSR_MHPMEVENT29 0x33d +#define CSR_MHPMEVENT30 0x33e +#define CSR_MHPMEVENT31 0x33f +#define CSR_MVENDORID 0xf11 +#define CSR_MARCHID 0xf12 +#define CSR_MIMPID 0xf13 +#define CSR_MHARTID 0xf14 +#define CSR_CYCLEH 0xc80 +#define CSR_TIMEH 0xc81 +#define CSR_INSTRETH 0xc82 +#define CSR_HPMCOUNTER3H 0xc83 +#define CSR_HPMCOUNTER4H 0xc84 +#define CSR_HPMCOUNTER5H 0xc85 +#define CSR_HPMCOUNTER6H 0xc86 +#define CSR_HPMCOUNTER7H 0xc87 +#define CSR_HPMCOUNTER8H 0xc88 +#define CSR_HPMCOUNTER9H 0xc89 +#define CSR_HPMCOUNTER10H 0xc8a +#define CSR_HPMCOUNTER11H 0xc8b +#define CSR_HPMCOUNTER12H 0xc8c +#define CSR_HPMCOUNTER13H 0xc8d +#define CSR_HPMCOUNTER14H 0xc8e +#define CSR_HPMCOUNTER15H 0xc8f +#define CSR_HPMCOUNTER16H 0xc90 +#define CSR_HPMCOUNTER17H 0xc91 +#define CSR_HPMCOUNTER18H 0xc92 +#define CSR_HPMCOUNTER19H 0xc93 +#define CSR_HPMCOUNTER20H 0xc94 +#define CSR_HPMCOUNTER21H 0xc95 +#define CSR_HPMCOUNTER22H 0xc96 +#define CSR_HPMCOUNTER23H 0xc97 +#define CSR_HPMCOUNTER24H 0xc98 +#define CSR_HPMCOUNTER25H 0xc99 +#define CSR_HPMCOUNTER26H 0xc9a +#define CSR_HPMCOUNTER27H 0xc9b +#define CSR_HPMCOUNTER28H 0xc9c +#define CSR_HPMCOUNTER29H 0xc9d +#define CSR_HPMCOUNTER30H 0xc9e +#define CSR_HPMCOUNTER31H 0xc9f +#define CSR_MCYCLEH 0xb80 +#define CSR_MINSTRETH 0xb82 +#define CSR_MHPMCOUNTER3H 0xb83 +#define CSR_MHPMCOUNTER4H 0xb84 +#define CSR_MHPMCOUNTER5H 0xb85 +#define CSR_MHPMCOUNTER6H 0xb86 +#define CSR_MHPMCOUNTER7H 0xb87 +#define CSR_MHPMCOUNTER8H 0xb88 +#define CSR_MHPMCOUNTER9H 0xb89 +#define CSR_MHPMCOUNTER10H 0xb8a +#define CSR_MHPMCOUNTER11H 0xb8b +#define CSR_MHPMCOUNTER12H 0xb8c +#define CSR_MHPMCOUNTER13H 0xb8d +#define CSR_MHPMCOUNTER14H 0xb8e +#define CSR_MHPMCOUNTER15H 0xb8f +#define CSR_MHPMCOUNTER16H 0xb90 +#define CSR_MHPMCOUNTER17H 0xb91 +#define CSR_MHPMCOUNTER18H 0xb92 +#define CSR_MHPMCOUNTER19H 0xb93 +#define CSR_MHPMCOUNTER20H 0xb94 +#define CSR_MHPMCOUNTER21H 0xb95 +#define CSR_MHPMCOUNTER22H 0xb96 +#define CSR_MHPMCOUNTER23H 0xb97 +#define CSR_MHPMCOUNTER24H 0xb98 +#define CSR_MHPMCOUNTER25H 0xb99 +#define CSR_MHPMCOUNTER26H 0xb9a +#define CSR_MHPMCOUNTER27H 0xb9b +#define CSR_MHPMCOUNTER28H 0xb9c +#define CSR_MHPMCOUNTER29H 0xb9d +#define CSR_MHPMCOUNTER30H 0xb9e +#define CSR_MHPMCOUNTER31H 0xb9f +#define CAUSE_MISALIGNED_FETCH 0x0 +#define CAUSE_FETCH_ACCESS 0x1 +#define CAUSE_ILLEGAL_INSTRUCTION 0x2 +#define CAUSE_BREAKPOINT 0x3 +#define CAUSE_MISALIGNED_LOAD 0x4 +#define CAUSE_LOAD_ACCESS 0x5 +#define CAUSE_MISALIGNED_STORE 0x6 +#define CAUSE_STORE_ACCESS 0x7 +#define CAUSE_USER_ECALL 0x8 +#define CAUSE_SUPERVISOR_ECALL 0x9 +#define CAUSE_HYPERVISOR_ECALL 0xa +#define CAUSE_MACHINE_ECALL 0xb +#define CAUSE_FETCH_PAGE_FAULT 0xc +#define CAUSE_LOAD_PAGE_FAULT 0xd +#define CAUSE_STORE_PAGE_FAULT 0xf +#endif +#ifdef DECLARE_INSN +DECLARE_INSN(beq, MATCH_BEQ, MASK_BEQ) +DECLARE_INSN(bne, MATCH_BNE, MASK_BNE) +DECLARE_INSN(blt, MATCH_BLT, MASK_BLT) +DECLARE_INSN(bge, MATCH_BGE, MASK_BGE) +DECLARE_INSN(bltu, MATCH_BLTU, MASK_BLTU) +DECLARE_INSN(bgeu, MATCH_BGEU, MASK_BGEU) +DECLARE_INSN(jalr, MATCH_JALR, MASK_JALR) +DECLARE_INSN(jal, MATCH_JAL, MASK_JAL) +DECLARE_INSN(lui, MATCH_LUI, MASK_LUI) +DECLARE_INSN(auipc, MATCH_AUIPC, MASK_AUIPC) +DECLARE_INSN(addi, MATCH_ADDI, MASK_ADDI) +DECLARE_INSN(slli, MATCH_SLLI, MASK_SLLI) +DECLARE_INSN(slti, MATCH_SLTI, MASK_SLTI) +DECLARE_INSN(sltiu, MATCH_SLTIU, MASK_SLTIU) +DECLARE_INSN(xori, MATCH_XORI, MASK_XORI) +DECLARE_INSN(srli, MATCH_SRLI, MASK_SRLI) +DECLARE_INSN(srai, MATCH_SRAI, MASK_SRAI) +DECLARE_INSN(ori, MATCH_ORI, MASK_ORI) +DECLARE_INSN(andi, MATCH_ANDI, MASK_ANDI) +DECLARE_INSN(add, MATCH_ADD, MASK_ADD) +DECLARE_INSN(sub, MATCH_SUB, MASK_SUB) +DECLARE_INSN(sll, MATCH_SLL, MASK_SLL) +DECLARE_INSN(slt, MATCH_SLT, MASK_SLT) +DECLARE_INSN(sltu, MATCH_SLTU, MASK_SLTU) +DECLARE_INSN(xor, MATCH_XOR, MASK_XOR) +DECLARE_INSN(srl, MATCH_SRL, MASK_SRL) +DECLARE_INSN(sra, MATCH_SRA, MASK_SRA) +DECLARE_INSN(or, MATCH_OR, MASK_OR) +DECLARE_INSN(and, MATCH_AND, MASK_AND) +DECLARE_INSN(addiw, MATCH_ADDIW, MASK_ADDIW) +DECLARE_INSN(slliw, MATCH_SLLIW, MASK_SLLIW) +DECLARE_INSN(srliw, MATCH_SRLIW, MASK_SRLIW) +DECLARE_INSN(sraiw, MATCH_SRAIW, MASK_SRAIW) +DECLARE_INSN(addw, MATCH_ADDW, MASK_ADDW) +DECLARE_INSN(subw, MATCH_SUBW, MASK_SUBW) +DECLARE_INSN(sllw, MATCH_SLLW, MASK_SLLW) +DECLARE_INSN(srlw, MATCH_SRLW, MASK_SRLW) +DECLARE_INSN(sraw, MATCH_SRAW, MASK_SRAW) +DECLARE_INSN(lb, MATCH_LB, MASK_LB) +DECLARE_INSN(lh, MATCH_LH, MASK_LH) +DECLARE_INSN(lw, MATCH_LW, MASK_LW) +DECLARE_INSN(ld, MATCH_LD, MASK_LD) +DECLARE_INSN(lbu, MATCH_LBU, MASK_LBU) +DECLARE_INSN(lhu, MATCH_LHU, MASK_LHU) +DECLARE_INSN(lwu, MATCH_LWU, MASK_LWU) +DECLARE_INSN(sb, MATCH_SB, MASK_SB) +DECLARE_INSN(sh, MATCH_SH, MASK_SH) +DECLARE_INSN(sw, MATCH_SW, MASK_SW) +DECLARE_INSN(sd, MATCH_SD, MASK_SD) +DECLARE_INSN(fence, MATCH_FENCE, MASK_FENCE) +DECLARE_INSN(fence_i, MATCH_FENCE_I, MASK_FENCE_I) +DECLARE_INSN(mul, MATCH_MUL, MASK_MUL) +DECLARE_INSN(mulh, MATCH_MULH, MASK_MULH) +DECLARE_INSN(mulhsu, MATCH_MULHSU, MASK_MULHSU) +DECLARE_INSN(mulhu, MATCH_MULHU, MASK_MULHU) +DECLARE_INSN(div, MATCH_DIV, MASK_DIV) +DECLARE_INSN(divu, MATCH_DIVU, MASK_DIVU) +DECLARE_INSN(rem, MATCH_REM, MASK_REM) +DECLARE_INSN(remu, MATCH_REMU, MASK_REMU) +DECLARE_INSN(mulw, MATCH_MULW, MASK_MULW) +DECLARE_INSN(divw, MATCH_DIVW, MASK_DIVW) +DECLARE_INSN(divuw, MATCH_DIVUW, MASK_DIVUW) +DECLARE_INSN(remw, MATCH_REMW, MASK_REMW) +DECLARE_INSN(remuw, MATCH_REMUW, MASK_REMUW) +DECLARE_INSN(amoadd_w, MATCH_AMOADD_W, MASK_AMOADD_W) +DECLARE_INSN(amoxor_w, MATCH_AMOXOR_W, MASK_AMOXOR_W) +DECLARE_INSN(amoor_w, MATCH_AMOOR_W, MASK_AMOOR_W) +DECLARE_INSN(amoand_w, MATCH_AMOAND_W, MASK_AMOAND_W) +DECLARE_INSN(amomin_w, MATCH_AMOMIN_W, MASK_AMOMIN_W) +DECLARE_INSN(amomax_w, MATCH_AMOMAX_W, MASK_AMOMAX_W) +DECLARE_INSN(amominu_w, MATCH_AMOMINU_W, MASK_AMOMINU_W) +DECLARE_INSN(amomaxu_w, MATCH_AMOMAXU_W, MASK_AMOMAXU_W) +DECLARE_INSN(amoswap_w, MATCH_AMOSWAP_W, MASK_AMOSWAP_W) +DECLARE_INSN(lr_w, MATCH_LR_W, MASK_LR_W) +DECLARE_INSN(sc_w, MATCH_SC_W, MASK_SC_W) +DECLARE_INSN(amoadd_d, MATCH_AMOADD_D, MASK_AMOADD_D) +DECLARE_INSN(amoxor_d, MATCH_AMOXOR_D, MASK_AMOXOR_D) +DECLARE_INSN(amoor_d, MATCH_AMOOR_D, MASK_AMOOR_D) +DECLARE_INSN(amoand_d, MATCH_AMOAND_D, MASK_AMOAND_D) +DECLARE_INSN(amomin_d, MATCH_AMOMIN_D, MASK_AMOMIN_D) +DECLARE_INSN(amomax_d, MATCH_AMOMAX_D, MASK_AMOMAX_D) +DECLARE_INSN(amominu_d, MATCH_AMOMINU_D, MASK_AMOMINU_D) +DECLARE_INSN(amomaxu_d, MATCH_AMOMAXU_D, MASK_AMOMAXU_D) +DECLARE_INSN(amoswap_d, MATCH_AMOSWAP_D, MASK_AMOSWAP_D) +DECLARE_INSN(lr_d, MATCH_LR_D, MASK_LR_D) +DECLARE_INSN(sc_d, MATCH_SC_D, MASK_SC_D) +DECLARE_INSN(ecall, MATCH_ECALL, MASK_ECALL) +DECLARE_INSN(ebreak, MATCH_EBREAK, MASK_EBREAK) +DECLARE_INSN(uret, MATCH_URET, MASK_URET) +DECLARE_INSN(sret, MATCH_SRET, MASK_SRET) +DECLARE_INSN(mret, MATCH_MRET, MASK_MRET) +DECLARE_INSN(dret, MATCH_DRET, MASK_DRET) +DECLARE_INSN(sfence_vma, MATCH_SFENCE_VMA, MASK_SFENCE_VMA) +DECLARE_INSN(wfi, MATCH_WFI, MASK_WFI) +DECLARE_INSN(csrrw, MATCH_CSRRW, MASK_CSRRW) +DECLARE_INSN(csrrs, MATCH_CSRRS, MASK_CSRRS) +DECLARE_INSN(csrrc, MATCH_CSRRC, MASK_CSRRC) +DECLARE_INSN(csrrwi, MATCH_CSRRWI, MASK_CSRRWI) +DECLARE_INSN(csrrsi, MATCH_CSRRSI, MASK_CSRRSI) +DECLARE_INSN(csrrci, MATCH_CSRRCI, MASK_CSRRCI) +DECLARE_INSN(fadd_s, MATCH_FADD_S, MASK_FADD_S) +DECLARE_INSN(fsub_s, MATCH_FSUB_S, MASK_FSUB_S) +DECLARE_INSN(fmul_s, MATCH_FMUL_S, MASK_FMUL_S) +DECLARE_INSN(fdiv_s, MATCH_FDIV_S, MASK_FDIV_S) +DECLARE_INSN(fsgnj_s, MATCH_FSGNJ_S, MASK_FSGNJ_S) +DECLARE_INSN(fsgnjn_s, MATCH_FSGNJN_S, MASK_FSGNJN_S) +DECLARE_INSN(fsgnjx_s, MATCH_FSGNJX_S, MASK_FSGNJX_S) +DECLARE_INSN(fmin_s, MATCH_FMIN_S, MASK_FMIN_S) +DECLARE_INSN(fmax_s, MATCH_FMAX_S, MASK_FMAX_S) +DECLARE_INSN(fsqrt_s, MATCH_FSQRT_S, MASK_FSQRT_S) +DECLARE_INSN(fadd_d, MATCH_FADD_D, MASK_FADD_D) +DECLARE_INSN(fsub_d, MATCH_FSUB_D, MASK_FSUB_D) +DECLARE_INSN(fmul_d, MATCH_FMUL_D, MASK_FMUL_D) +DECLARE_INSN(fdiv_d, MATCH_FDIV_D, MASK_FDIV_D) +DECLARE_INSN(fsgnj_d, MATCH_FSGNJ_D, MASK_FSGNJ_D) +DECLARE_INSN(fsgnjn_d, MATCH_FSGNJN_D, MASK_FSGNJN_D) +DECLARE_INSN(fsgnjx_d, MATCH_FSGNJX_D, MASK_FSGNJX_D) +DECLARE_INSN(fmin_d, MATCH_FMIN_D, MASK_FMIN_D) +DECLARE_INSN(fmax_d, MATCH_FMAX_D, MASK_FMAX_D) +DECLARE_INSN(fcvt_s_d, MATCH_FCVT_S_D, MASK_FCVT_S_D) +DECLARE_INSN(fcvt_d_s, MATCH_FCVT_D_S, MASK_FCVT_D_S) +DECLARE_INSN(fsqrt_d, MATCH_FSQRT_D, MASK_FSQRT_D) +DECLARE_INSN(fadd_q, MATCH_FADD_Q, MASK_FADD_Q) +DECLARE_INSN(fsub_q, MATCH_FSUB_Q, MASK_FSUB_Q) +DECLARE_INSN(fmul_q, MATCH_FMUL_Q, MASK_FMUL_Q) +DECLARE_INSN(fdiv_q, MATCH_FDIV_Q, MASK_FDIV_Q) +DECLARE_INSN(fsgnj_q, MATCH_FSGNJ_Q, MASK_FSGNJ_Q) +DECLARE_INSN(fsgnjn_q, MATCH_FSGNJN_Q, MASK_FSGNJN_Q) +DECLARE_INSN(fsgnjx_q, MATCH_FSGNJX_Q, MASK_FSGNJX_Q) +DECLARE_INSN(fmin_q, MATCH_FMIN_Q, MASK_FMIN_Q) +DECLARE_INSN(fmax_q, MATCH_FMAX_Q, MASK_FMAX_Q) +DECLARE_INSN(fcvt_s_q, MATCH_FCVT_S_Q, MASK_FCVT_S_Q) +DECLARE_INSN(fcvt_q_s, MATCH_FCVT_Q_S, MASK_FCVT_Q_S) +DECLARE_INSN(fcvt_d_q, MATCH_FCVT_D_Q, MASK_FCVT_D_Q) +DECLARE_INSN(fcvt_q_d, MATCH_FCVT_Q_D, MASK_FCVT_Q_D) +DECLARE_INSN(fsqrt_q, MATCH_FSQRT_Q, MASK_FSQRT_Q) +DECLARE_INSN(fle_s, MATCH_FLE_S, MASK_FLE_S) +DECLARE_INSN(flt_s, MATCH_FLT_S, MASK_FLT_S) +DECLARE_INSN(feq_s, MATCH_FEQ_S, MASK_FEQ_S) +DECLARE_INSN(fle_d, MATCH_FLE_D, MASK_FLE_D) +DECLARE_INSN(flt_d, MATCH_FLT_D, MASK_FLT_D) +DECLARE_INSN(feq_d, MATCH_FEQ_D, MASK_FEQ_D) +DECLARE_INSN(fle_q, MATCH_FLE_Q, MASK_FLE_Q) +DECLARE_INSN(flt_q, MATCH_FLT_Q, MASK_FLT_Q) +DECLARE_INSN(feq_q, MATCH_FEQ_Q, MASK_FEQ_Q) +DECLARE_INSN(fcvt_w_s, MATCH_FCVT_W_S, MASK_FCVT_W_S) +DECLARE_INSN(fcvt_wu_s, MATCH_FCVT_WU_S, MASK_FCVT_WU_S) +DECLARE_INSN(fcvt_l_s, MATCH_FCVT_L_S, MASK_FCVT_L_S) +DECLARE_INSN(fcvt_lu_s, MATCH_FCVT_LU_S, MASK_FCVT_LU_S) +DECLARE_INSN(fmv_x_w, MATCH_FMV_X_W, MASK_FMV_X_W) +DECLARE_INSN(fclass_s, MATCH_FCLASS_S, MASK_FCLASS_S) +DECLARE_INSN(fcvt_w_d, MATCH_FCVT_W_D, MASK_FCVT_W_D) +DECLARE_INSN(fcvt_wu_d, MATCH_FCVT_WU_D, MASK_FCVT_WU_D) +DECLARE_INSN(fcvt_l_d, MATCH_FCVT_L_D, MASK_FCVT_L_D) +DECLARE_INSN(fcvt_lu_d, MATCH_FCVT_LU_D, MASK_FCVT_LU_D) +DECLARE_INSN(fmv_x_d, MATCH_FMV_X_D, MASK_FMV_X_D) +DECLARE_INSN(fclass_d, MATCH_FCLASS_D, MASK_FCLASS_D) +DECLARE_INSN(fcvt_w_q, MATCH_FCVT_W_Q, MASK_FCVT_W_Q) +DECLARE_INSN(fcvt_wu_q, MATCH_FCVT_WU_Q, MASK_FCVT_WU_Q) +DECLARE_INSN(fcvt_l_q, MATCH_FCVT_L_Q, MASK_FCVT_L_Q) +DECLARE_INSN(fcvt_lu_q, MATCH_FCVT_LU_Q, MASK_FCVT_LU_Q) +DECLARE_INSN(fmv_x_q, MATCH_FMV_X_Q, MASK_FMV_X_Q) +DECLARE_INSN(fclass_q, MATCH_FCLASS_Q, MASK_FCLASS_Q) +DECLARE_INSN(fcvt_s_w, MATCH_FCVT_S_W, MASK_FCVT_S_W) +DECLARE_INSN(fcvt_s_wu, MATCH_FCVT_S_WU, MASK_FCVT_S_WU) +DECLARE_INSN(fcvt_s_l, MATCH_FCVT_S_L, MASK_FCVT_S_L) +DECLARE_INSN(fcvt_s_lu, MATCH_FCVT_S_LU, MASK_FCVT_S_LU) +DECLARE_INSN(fmv_w_x, MATCH_FMV_W_X, MASK_FMV_W_X) +DECLARE_INSN(fcvt_d_w, MATCH_FCVT_D_W, MASK_FCVT_D_W) +DECLARE_INSN(fcvt_d_wu, MATCH_FCVT_D_WU, MASK_FCVT_D_WU) +DECLARE_INSN(fcvt_d_l, MATCH_FCVT_D_L, MASK_FCVT_D_L) +DECLARE_INSN(fcvt_d_lu, MATCH_FCVT_D_LU, MASK_FCVT_D_LU) +DECLARE_INSN(fmv_d_x, MATCH_FMV_D_X, MASK_FMV_D_X) +DECLARE_INSN(fcvt_q_w, MATCH_FCVT_Q_W, MASK_FCVT_Q_W) +DECLARE_INSN(fcvt_q_wu, MATCH_FCVT_Q_WU, MASK_FCVT_Q_WU) +DECLARE_INSN(fcvt_q_l, MATCH_FCVT_Q_L, MASK_FCVT_Q_L) +DECLARE_INSN(fcvt_q_lu, MATCH_FCVT_Q_LU, MASK_FCVT_Q_LU) +DECLARE_INSN(fmv_q_x, MATCH_FMV_Q_X, MASK_FMV_Q_X) +DECLARE_INSN(flw, MATCH_FLW, MASK_FLW) +DECLARE_INSN(fld, MATCH_FLD, MASK_FLD) +DECLARE_INSN(flq, MATCH_FLQ, MASK_FLQ) +DECLARE_INSN(fsw, MATCH_FSW, MASK_FSW) +DECLARE_INSN(fsd, MATCH_FSD, MASK_FSD) +DECLARE_INSN(fsq, MATCH_FSQ, MASK_FSQ) +DECLARE_INSN(fmadd_s, MATCH_FMADD_S, MASK_FMADD_S) +DECLARE_INSN(fmsub_s, MATCH_FMSUB_S, MASK_FMSUB_S) +DECLARE_INSN(fnmsub_s, MATCH_FNMSUB_S, MASK_FNMSUB_S) +DECLARE_INSN(fnmadd_s, MATCH_FNMADD_S, MASK_FNMADD_S) +DECLARE_INSN(fmadd_d, MATCH_FMADD_D, MASK_FMADD_D) +DECLARE_INSN(fmsub_d, MATCH_FMSUB_D, MASK_FMSUB_D) +DECLARE_INSN(fnmsub_d, MATCH_FNMSUB_D, MASK_FNMSUB_D) +DECLARE_INSN(fnmadd_d, MATCH_FNMADD_D, MASK_FNMADD_D) +DECLARE_INSN(fmadd_q, MATCH_FMADD_Q, MASK_FMADD_Q) +DECLARE_INSN(fmsub_q, MATCH_FMSUB_Q, MASK_FMSUB_Q) +DECLARE_INSN(fnmsub_q, MATCH_FNMSUB_Q, MASK_FNMSUB_Q) +DECLARE_INSN(fnmadd_q, MATCH_FNMADD_Q, MASK_FNMADD_Q) +DECLARE_INSN(c_nop, MATCH_C_NOP, MASK_C_NOP) +DECLARE_INSN(c_addi16sp, MATCH_C_ADDI16SP, MASK_C_ADDI16SP) +DECLARE_INSN(c_jr, MATCH_C_JR, MASK_C_JR) +DECLARE_INSN(c_jalr, MATCH_C_JALR, MASK_C_JALR) +DECLARE_INSN(c_ebreak, MATCH_C_EBREAK, MASK_C_EBREAK) +DECLARE_INSN(c_ld, MATCH_C_LD, MASK_C_LD) +DECLARE_INSN(c_sd, MATCH_C_SD, MASK_C_SD) +DECLARE_INSN(c_addiw, MATCH_C_ADDIW, MASK_C_ADDIW) +DECLARE_INSN(c_ldsp, MATCH_C_LDSP, MASK_C_LDSP) +DECLARE_INSN(c_sdsp, MATCH_C_SDSP, MASK_C_SDSP) +DECLARE_INSN(c_addi4spn, MATCH_C_ADDI4SPN, MASK_C_ADDI4SPN) +DECLARE_INSN(c_fld, MATCH_C_FLD, MASK_C_FLD) +DECLARE_INSN(c_lw, MATCH_C_LW, MASK_C_LW) +DECLARE_INSN(c_flw, MATCH_C_FLW, MASK_C_FLW) +DECLARE_INSN(c_fsd, MATCH_C_FSD, MASK_C_FSD) +DECLARE_INSN(c_sw, MATCH_C_SW, MASK_C_SW) +DECLARE_INSN(c_fsw, MATCH_C_FSW, MASK_C_FSW) +DECLARE_INSN(c_addi, MATCH_C_ADDI, MASK_C_ADDI) +DECLARE_INSN(c_jal, MATCH_C_JAL, MASK_C_JAL) +DECLARE_INSN(c_li, MATCH_C_LI, MASK_C_LI) +DECLARE_INSN(c_lui, MATCH_C_LUI, MASK_C_LUI) +DECLARE_INSN(c_srli, MATCH_C_SRLI, MASK_C_SRLI) +DECLARE_INSN(c_srai, MATCH_C_SRAI, MASK_C_SRAI) +DECLARE_INSN(c_andi, MATCH_C_ANDI, MASK_C_ANDI) +DECLARE_INSN(c_sub, MATCH_C_SUB, MASK_C_SUB) +DECLARE_INSN(c_xor, MATCH_C_XOR, MASK_C_XOR) +DECLARE_INSN(c_or, MATCH_C_OR, MASK_C_OR) +DECLARE_INSN(c_and, MATCH_C_AND, MASK_C_AND) +DECLARE_INSN(c_subw, MATCH_C_SUBW, MASK_C_SUBW) +DECLARE_INSN(c_addw, MATCH_C_ADDW, MASK_C_ADDW) +DECLARE_INSN(c_j, MATCH_C_J, MASK_C_J) +DECLARE_INSN(c_beqz, MATCH_C_BEQZ, MASK_C_BEQZ) +DECLARE_INSN(c_bnez, MATCH_C_BNEZ, MASK_C_BNEZ) +DECLARE_INSN(c_slli, MATCH_C_SLLI, MASK_C_SLLI) +DECLARE_INSN(c_fldsp, MATCH_C_FLDSP, MASK_C_FLDSP) +DECLARE_INSN(c_lwsp, MATCH_C_LWSP, MASK_C_LWSP) +DECLARE_INSN(c_flwsp, MATCH_C_FLWSP, MASK_C_FLWSP) +DECLARE_INSN(c_mv, MATCH_C_MV, MASK_C_MV) +DECLARE_INSN(c_add, MATCH_C_ADD, MASK_C_ADD) +DECLARE_INSN(c_fsdsp, MATCH_C_FSDSP, MASK_C_FSDSP) +DECLARE_INSN(c_swsp, MATCH_C_SWSP, MASK_C_SWSP) +DECLARE_INSN(c_fswsp, MATCH_C_FSWSP, MASK_C_FSWSP) +DECLARE_INSN(custom0, MATCH_CUSTOM0, MASK_CUSTOM0) +DECLARE_INSN(custom0_rs1, MATCH_CUSTOM0_RS1, MASK_CUSTOM0_RS1) +DECLARE_INSN(custom0_rs1_rs2, MATCH_CUSTOM0_RS1_RS2, MASK_CUSTOM0_RS1_RS2) +DECLARE_INSN(custom0_rd, MATCH_CUSTOM0_RD, MASK_CUSTOM0_RD) +DECLARE_INSN(custom0_rd_rs1, MATCH_CUSTOM0_RD_RS1, MASK_CUSTOM0_RD_RS1) +DECLARE_INSN(custom0_rd_rs1_rs2, MATCH_CUSTOM0_RD_RS1_RS2, MASK_CUSTOM0_RD_RS1_RS2) +DECLARE_INSN(custom1, MATCH_CUSTOM1, MASK_CUSTOM1) +DECLARE_INSN(custom1_rs1, MATCH_CUSTOM1_RS1, MASK_CUSTOM1_RS1) +DECLARE_INSN(custom1_rs1_rs2, MATCH_CUSTOM1_RS1_RS2, MASK_CUSTOM1_RS1_RS2) +DECLARE_INSN(custom1_rd, MATCH_CUSTOM1_RD, MASK_CUSTOM1_RD) +DECLARE_INSN(custom1_rd_rs1, MATCH_CUSTOM1_RD_RS1, MASK_CUSTOM1_RD_RS1) +DECLARE_INSN(custom1_rd_rs1_rs2, MATCH_CUSTOM1_RD_RS1_RS2, MASK_CUSTOM1_RD_RS1_RS2) +DECLARE_INSN(custom2, MATCH_CUSTOM2, MASK_CUSTOM2) +DECLARE_INSN(custom2_rs1, MATCH_CUSTOM2_RS1, MASK_CUSTOM2_RS1) +DECLARE_INSN(custom2_rs1_rs2, MATCH_CUSTOM2_RS1_RS2, MASK_CUSTOM2_RS1_RS2) +DECLARE_INSN(custom2_rd, MATCH_CUSTOM2_RD, MASK_CUSTOM2_RD) +DECLARE_INSN(custom2_rd_rs1, MATCH_CUSTOM2_RD_RS1, MASK_CUSTOM2_RD_RS1) +DECLARE_INSN(custom2_rd_rs1_rs2, MATCH_CUSTOM2_RD_RS1_RS2, MASK_CUSTOM2_RD_RS1_RS2) +DECLARE_INSN(custom3, MATCH_CUSTOM3, MASK_CUSTOM3) +DECLARE_INSN(custom3_rs1, MATCH_CUSTOM3_RS1, MASK_CUSTOM3_RS1) +DECLARE_INSN(custom3_rs1_rs2, MATCH_CUSTOM3_RS1_RS2, MASK_CUSTOM3_RS1_RS2) +DECLARE_INSN(custom3_rd, MATCH_CUSTOM3_RD, MASK_CUSTOM3_RD) +DECLARE_INSN(custom3_rd_rs1, MATCH_CUSTOM3_RD_RS1, MASK_CUSTOM3_RD_RS1) +DECLARE_INSN(custom3_rd_rs1_rs2, MATCH_CUSTOM3_RD_RS1_RS2, MASK_CUSTOM3_RD_RS1_RS2) +#endif +#ifdef DECLARE_CSR +DECLARE_CSR(fflags, CSR_FFLAGS) +DECLARE_CSR(frm, CSR_FRM) +DECLARE_CSR(fcsr, CSR_FCSR) +DECLARE_CSR(cycle, CSR_CYCLE) +DECLARE_CSR(time, CSR_TIME) +DECLARE_CSR(instret, CSR_INSTRET) +DECLARE_CSR(hpmcounter3, CSR_HPMCOUNTER3) +DECLARE_CSR(hpmcounter4, CSR_HPMCOUNTER4) +DECLARE_CSR(hpmcounter5, CSR_HPMCOUNTER5) +DECLARE_CSR(hpmcounter6, CSR_HPMCOUNTER6) +DECLARE_CSR(hpmcounter7, CSR_HPMCOUNTER7) +DECLARE_CSR(hpmcounter8, CSR_HPMCOUNTER8) +DECLARE_CSR(hpmcounter9, CSR_HPMCOUNTER9) +DECLARE_CSR(hpmcounter10, CSR_HPMCOUNTER10) +DECLARE_CSR(hpmcounter11, CSR_HPMCOUNTER11) +DECLARE_CSR(hpmcounter12, CSR_HPMCOUNTER12) +DECLARE_CSR(hpmcounter13, CSR_HPMCOUNTER13) +DECLARE_CSR(hpmcounter14, CSR_HPMCOUNTER14) +DECLARE_CSR(hpmcounter15, CSR_HPMCOUNTER15) +DECLARE_CSR(hpmcounter16, CSR_HPMCOUNTER16) +DECLARE_CSR(hpmcounter17, CSR_HPMCOUNTER17) +DECLARE_CSR(hpmcounter18, CSR_HPMCOUNTER18) +DECLARE_CSR(hpmcounter19, CSR_HPMCOUNTER19) +DECLARE_CSR(hpmcounter20, CSR_HPMCOUNTER20) +DECLARE_CSR(hpmcounter21, CSR_HPMCOUNTER21) +DECLARE_CSR(hpmcounter22, CSR_HPMCOUNTER22) +DECLARE_CSR(hpmcounter23, CSR_HPMCOUNTER23) +DECLARE_CSR(hpmcounter24, CSR_HPMCOUNTER24) +DECLARE_CSR(hpmcounter25, CSR_HPMCOUNTER25) +DECLARE_CSR(hpmcounter26, CSR_HPMCOUNTER26) +DECLARE_CSR(hpmcounter27, CSR_HPMCOUNTER27) +DECLARE_CSR(hpmcounter28, CSR_HPMCOUNTER28) +DECLARE_CSR(hpmcounter29, CSR_HPMCOUNTER29) +DECLARE_CSR(hpmcounter30, CSR_HPMCOUNTER30) +DECLARE_CSR(hpmcounter31, CSR_HPMCOUNTER31) +DECLARE_CSR(sstatus, CSR_SSTATUS) +DECLARE_CSR(sie, CSR_SIE) +DECLARE_CSR(stvec, CSR_STVEC) +DECLARE_CSR(scounteren, CSR_SCOUNTEREN) +DECLARE_CSR(sscratch, CSR_SSCRATCH) +DECLARE_CSR(sepc, CSR_SEPC) +DECLARE_CSR(scause, CSR_SCAUSE) +DECLARE_CSR(stval, CSR_STVAL) +DECLARE_CSR(sip, CSR_SIP) +DECLARE_CSR(satp, CSR_SATP) +DECLARE_CSR(mstatus, CSR_MSTATUS) +DECLARE_CSR(misa, CSR_MISA) +DECLARE_CSR(medeleg, CSR_MEDELEG) +DECLARE_CSR(mideleg, CSR_MIDELEG) +DECLARE_CSR(mie, CSR_MIE) +DECLARE_CSR(mtvec, CSR_MTVEC) +DECLARE_CSR(mcounteren, CSR_MCOUNTEREN) +DECLARE_CSR(mscratch, CSR_MSCRATCH) +DECLARE_CSR(mepc, CSR_MEPC) +DECLARE_CSR(mcause, CSR_MCAUSE) +DECLARE_CSR(mtval, CSR_MTVAL) +DECLARE_CSR(mip, CSR_MIP) +DECLARE_CSR(pmpcfg0, CSR_PMPCFG0) +DECLARE_CSR(pmpcfg1, CSR_PMPCFG1) +DECLARE_CSR(pmpcfg2, CSR_PMPCFG2) +DECLARE_CSR(pmpcfg3, CSR_PMPCFG3) +DECLARE_CSR(pmpaddr0, CSR_PMPADDR0) +DECLARE_CSR(pmpaddr1, CSR_PMPADDR1) +DECLARE_CSR(pmpaddr2, CSR_PMPADDR2) +DECLARE_CSR(pmpaddr3, CSR_PMPADDR3) +DECLARE_CSR(pmpaddr4, CSR_PMPADDR4) +DECLARE_CSR(pmpaddr5, CSR_PMPADDR5) +DECLARE_CSR(pmpaddr6, CSR_PMPADDR6) +DECLARE_CSR(pmpaddr7, CSR_PMPADDR7) +DECLARE_CSR(pmpaddr8, CSR_PMPADDR8) +DECLARE_CSR(pmpaddr9, CSR_PMPADDR9) +DECLARE_CSR(pmpaddr10, CSR_PMPADDR10) +DECLARE_CSR(pmpaddr11, CSR_PMPADDR11) +DECLARE_CSR(pmpaddr12, CSR_PMPADDR12) +DECLARE_CSR(pmpaddr13, CSR_PMPADDR13) +DECLARE_CSR(pmpaddr14, CSR_PMPADDR14) +DECLARE_CSR(pmpaddr15, CSR_PMPADDR15) +DECLARE_CSR(tselect, CSR_TSELECT) +DECLARE_CSR(tdata1, CSR_TDATA1) +DECLARE_CSR(tdata2, CSR_TDATA2) +DECLARE_CSR(tdata3, CSR_TDATA3) +DECLARE_CSR(dcsr, CSR_DCSR) +DECLARE_CSR(dpc, CSR_DPC) +DECLARE_CSR(dscratch, CSR_DSCRATCH) +DECLARE_CSR(mcycle, CSR_MCYCLE) +DECLARE_CSR(minstret, CSR_MINSTRET) +DECLARE_CSR(mhpmcounter3, CSR_MHPMCOUNTER3) +DECLARE_CSR(mhpmcounter4, CSR_MHPMCOUNTER4) +DECLARE_CSR(mhpmcounter5, CSR_MHPMCOUNTER5) +DECLARE_CSR(mhpmcounter6, CSR_MHPMCOUNTER6) +DECLARE_CSR(mhpmcounter7, CSR_MHPMCOUNTER7) +DECLARE_CSR(mhpmcounter8, CSR_MHPMCOUNTER8) +DECLARE_CSR(mhpmcounter9, CSR_MHPMCOUNTER9) +DECLARE_CSR(mhpmcounter10, CSR_MHPMCOUNTER10) +DECLARE_CSR(mhpmcounter11, CSR_MHPMCOUNTER11) +DECLARE_CSR(mhpmcounter12, CSR_MHPMCOUNTER12) +DECLARE_CSR(mhpmcounter13, CSR_MHPMCOUNTER13) +DECLARE_CSR(mhpmcounter14, CSR_MHPMCOUNTER14) +DECLARE_CSR(mhpmcounter15, CSR_MHPMCOUNTER15) +DECLARE_CSR(mhpmcounter16, CSR_MHPMCOUNTER16) +DECLARE_CSR(mhpmcounter17, CSR_MHPMCOUNTER17) +DECLARE_CSR(mhpmcounter18, CSR_MHPMCOUNTER18) +DECLARE_CSR(mhpmcounter19, CSR_MHPMCOUNTER19) +DECLARE_CSR(mhpmcounter20, CSR_MHPMCOUNTER20) +DECLARE_CSR(mhpmcounter21, CSR_MHPMCOUNTER21) +DECLARE_CSR(mhpmcounter22, CSR_MHPMCOUNTER22) +DECLARE_CSR(mhpmcounter23, CSR_MHPMCOUNTER23) +DECLARE_CSR(mhpmcounter24, CSR_MHPMCOUNTER24) +DECLARE_CSR(mhpmcounter25, CSR_MHPMCOUNTER25) +DECLARE_CSR(mhpmcounter26, CSR_MHPMCOUNTER26) +DECLARE_CSR(mhpmcounter27, CSR_MHPMCOUNTER27) +DECLARE_CSR(mhpmcounter28, CSR_MHPMCOUNTER28) +DECLARE_CSR(mhpmcounter29, CSR_MHPMCOUNTER29) +DECLARE_CSR(mhpmcounter30, CSR_MHPMCOUNTER30) +DECLARE_CSR(mhpmcounter31, CSR_MHPMCOUNTER31) +DECLARE_CSR(mhpmevent3, CSR_MHPMEVENT3) +DECLARE_CSR(mhpmevent4, CSR_MHPMEVENT4) +DECLARE_CSR(mhpmevent5, CSR_MHPMEVENT5) +DECLARE_CSR(mhpmevent6, CSR_MHPMEVENT6) +DECLARE_CSR(mhpmevent7, CSR_MHPMEVENT7) +DECLARE_CSR(mhpmevent8, CSR_MHPMEVENT8) +DECLARE_CSR(mhpmevent9, CSR_MHPMEVENT9) +DECLARE_CSR(mhpmevent10, CSR_MHPMEVENT10) +DECLARE_CSR(mhpmevent11, CSR_MHPMEVENT11) +DECLARE_CSR(mhpmevent12, CSR_MHPMEVENT12) +DECLARE_CSR(mhpmevent13, CSR_MHPMEVENT13) +DECLARE_CSR(mhpmevent14, CSR_MHPMEVENT14) +DECLARE_CSR(mhpmevent15, CSR_MHPMEVENT15) +DECLARE_CSR(mhpmevent16, CSR_MHPMEVENT16) +DECLARE_CSR(mhpmevent17, CSR_MHPMEVENT17) +DECLARE_CSR(mhpmevent18, CSR_MHPMEVENT18) +DECLARE_CSR(mhpmevent19, CSR_MHPMEVENT19) +DECLARE_CSR(mhpmevent20, CSR_MHPMEVENT20) +DECLARE_CSR(mhpmevent21, CSR_MHPMEVENT21) +DECLARE_CSR(mhpmevent22, CSR_MHPMEVENT22) +DECLARE_CSR(mhpmevent23, CSR_MHPMEVENT23) +DECLARE_CSR(mhpmevent24, CSR_MHPMEVENT24) +DECLARE_CSR(mhpmevent25, CSR_MHPMEVENT25) +DECLARE_CSR(mhpmevent26, CSR_MHPMEVENT26) +DECLARE_CSR(mhpmevent27, CSR_MHPMEVENT27) +DECLARE_CSR(mhpmevent28, CSR_MHPMEVENT28) +DECLARE_CSR(mhpmevent29, CSR_MHPMEVENT29) +DECLARE_CSR(mhpmevent30, CSR_MHPMEVENT30) +DECLARE_CSR(mhpmevent31, CSR_MHPMEVENT31) +DECLARE_CSR(mvendorid, CSR_MVENDORID) +DECLARE_CSR(marchid, CSR_MARCHID) +DECLARE_CSR(mimpid, CSR_MIMPID) +DECLARE_CSR(mhartid, CSR_MHARTID) +DECLARE_CSR(cycleh, CSR_CYCLEH) +DECLARE_CSR(timeh, CSR_TIMEH) +DECLARE_CSR(instreth, CSR_INSTRETH) +DECLARE_CSR(hpmcounter3h, CSR_HPMCOUNTER3H) +DECLARE_CSR(hpmcounter4h, CSR_HPMCOUNTER4H) +DECLARE_CSR(hpmcounter5h, CSR_HPMCOUNTER5H) +DECLARE_CSR(hpmcounter6h, CSR_HPMCOUNTER6H) +DECLARE_CSR(hpmcounter7h, CSR_HPMCOUNTER7H) +DECLARE_CSR(hpmcounter8h, CSR_HPMCOUNTER8H) +DECLARE_CSR(hpmcounter9h, CSR_HPMCOUNTER9H) +DECLARE_CSR(hpmcounter10h, CSR_HPMCOUNTER10H) +DECLARE_CSR(hpmcounter11h, CSR_HPMCOUNTER11H) +DECLARE_CSR(hpmcounter12h, CSR_HPMCOUNTER12H) +DECLARE_CSR(hpmcounter13h, CSR_HPMCOUNTER13H) +DECLARE_CSR(hpmcounter14h, CSR_HPMCOUNTER14H) +DECLARE_CSR(hpmcounter15h, CSR_HPMCOUNTER15H) +DECLARE_CSR(hpmcounter16h, CSR_HPMCOUNTER16H) +DECLARE_CSR(hpmcounter17h, CSR_HPMCOUNTER17H) +DECLARE_CSR(hpmcounter18h, CSR_HPMCOUNTER18H) +DECLARE_CSR(hpmcounter19h, CSR_HPMCOUNTER19H) +DECLARE_CSR(hpmcounter20h, CSR_HPMCOUNTER20H) +DECLARE_CSR(hpmcounter21h, CSR_HPMCOUNTER21H) +DECLARE_CSR(hpmcounter22h, CSR_HPMCOUNTER22H) +DECLARE_CSR(hpmcounter23h, CSR_HPMCOUNTER23H) +DECLARE_CSR(hpmcounter24h, CSR_HPMCOUNTER24H) +DECLARE_CSR(hpmcounter25h, CSR_HPMCOUNTER25H) +DECLARE_CSR(hpmcounter26h, CSR_HPMCOUNTER26H) +DECLARE_CSR(hpmcounter27h, CSR_HPMCOUNTER27H) +DECLARE_CSR(hpmcounter28h, CSR_HPMCOUNTER28H) +DECLARE_CSR(hpmcounter29h, CSR_HPMCOUNTER29H) +DECLARE_CSR(hpmcounter30h, CSR_HPMCOUNTER30H) +DECLARE_CSR(hpmcounter31h, CSR_HPMCOUNTER31H) +DECLARE_CSR(mcycleh, CSR_MCYCLEH) +DECLARE_CSR(minstreth, CSR_MINSTRETH) +DECLARE_CSR(mhpmcounter3h, CSR_MHPMCOUNTER3H) +DECLARE_CSR(mhpmcounter4h, CSR_MHPMCOUNTER4H) +DECLARE_CSR(mhpmcounter5h, CSR_MHPMCOUNTER5H) +DECLARE_CSR(mhpmcounter6h, CSR_MHPMCOUNTER6H) +DECLARE_CSR(mhpmcounter7h, CSR_MHPMCOUNTER7H) +DECLARE_CSR(mhpmcounter8h, CSR_MHPMCOUNTER8H) +DECLARE_CSR(mhpmcounter9h, CSR_MHPMCOUNTER9H) +DECLARE_CSR(mhpmcounter10h, CSR_MHPMCOUNTER10H) +DECLARE_CSR(mhpmcounter11h, CSR_MHPMCOUNTER11H) +DECLARE_CSR(mhpmcounter12h, CSR_MHPMCOUNTER12H) +DECLARE_CSR(mhpmcounter13h, CSR_MHPMCOUNTER13H) +DECLARE_CSR(mhpmcounter14h, CSR_MHPMCOUNTER14H) +DECLARE_CSR(mhpmcounter15h, CSR_MHPMCOUNTER15H) +DECLARE_CSR(mhpmcounter16h, CSR_MHPMCOUNTER16H) +DECLARE_CSR(mhpmcounter17h, CSR_MHPMCOUNTER17H) +DECLARE_CSR(mhpmcounter18h, CSR_MHPMCOUNTER18H) +DECLARE_CSR(mhpmcounter19h, CSR_MHPMCOUNTER19H) +DECLARE_CSR(mhpmcounter20h, CSR_MHPMCOUNTER20H) +DECLARE_CSR(mhpmcounter21h, CSR_MHPMCOUNTER21H) +DECLARE_CSR(mhpmcounter22h, CSR_MHPMCOUNTER22H) +DECLARE_CSR(mhpmcounter23h, CSR_MHPMCOUNTER23H) +DECLARE_CSR(mhpmcounter24h, CSR_MHPMCOUNTER24H) +DECLARE_CSR(mhpmcounter25h, CSR_MHPMCOUNTER25H) +DECLARE_CSR(mhpmcounter26h, CSR_MHPMCOUNTER26H) +DECLARE_CSR(mhpmcounter27h, CSR_MHPMCOUNTER27H) +DECLARE_CSR(mhpmcounter28h, CSR_MHPMCOUNTER28H) +DECLARE_CSR(mhpmcounter29h, CSR_MHPMCOUNTER29H) +DECLARE_CSR(mhpmcounter30h, CSR_MHPMCOUNTER30H) +DECLARE_CSR(mhpmcounter31h, CSR_MHPMCOUNTER31H) +#endif +#ifdef DECLARE_CAUSE +DECLARE_CAUSE("misaligned fetch", CAUSE_MISALIGNED_FETCH) +DECLARE_CAUSE("fetch access", CAUSE_FETCH_ACCESS) +DECLARE_CAUSE("illegal instruction", CAUSE_ILLEGAL_INSTRUCTION) +DECLARE_CAUSE("breakpoint", CAUSE_BREAKPOINT) +DECLARE_CAUSE("misaligned load", CAUSE_MISALIGNED_LOAD) +DECLARE_CAUSE("load access", CAUSE_LOAD_ACCESS) +DECLARE_CAUSE("misaligned store", CAUSE_MISALIGNED_STORE) +DECLARE_CAUSE("store access", CAUSE_STORE_ACCESS) +DECLARE_CAUSE("user_ecall", CAUSE_USER_ECALL) +DECLARE_CAUSE("supervisor_ecall", CAUSE_SUPERVISOR_ECALL) +DECLARE_CAUSE("hypervisor_ecall", CAUSE_HYPERVISOR_ECALL) +DECLARE_CAUSE("machine_ecall", CAUSE_MACHINE_ECALL) +DECLARE_CAUSE("fetch page fault", CAUSE_FETCH_PAGE_FAULT) +DECLARE_CAUSE("load page fault", CAUSE_LOAD_PAGE_FAULT) +DECLARE_CAUSE("store page fault", CAUSE_STORE_PAGE_FAULT) +#endif diff --git a/VexRiscv/src/test/cpp/raw/deleg/src/ld b/VexRiscv/src/test/cpp/raw/deleg/src/ld new file mode 100644 index 0000000..93d8de8 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/deleg/src/ld @@ -0,0 +1,16 @@ +OUTPUT_ARCH( "riscv" ) + +MEMORY { + onChipRam (W!RX)/*(RX)*/ : ORIGIN = 0x80000000, LENGTH = 128K +} + +SECTIONS +{ + + .crt_section : + { + . = ALIGN(4); + *crt.o(.text) + } > onChipRam + +} diff --git a/VexRiscv/src/test/cpp/raw/fpu/.gitignore b/VexRiscv/src/test/cpp/raw/fpu/.gitignore new file mode 100644 index 0000000..c12cb2c --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/fpu/.gitignore @@ -0,0 +1,4 @@ +*.map +*.v +*.elf +*.o \ No newline at end of file diff --git a/VexRiscv/src/test/cpp/raw/fpu/build/amo.asm b/VexRiscv/src/test/cpp/raw/fpu/build/amo.asm new file mode 100644 index 0000000..d86b61c --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/fpu/build/amo.asm @@ -0,0 +1,247 @@ + +build/amo.elf: file format elf32-littleriscv + + +Disassembly of section .crt_section: + +80000000 <_start>: +80000000: 00100e13 li t3,1 +80000004: 00000097 auipc ra,0x0 +80000008: 27408093 addi ra,ra,628 # 80000278 +8000000c: 02d00113 li sp,45 +80000010: 0820a1af amoswap.w gp,sp,(ra) +80000014: 0000a203 lw tp,0(ra) +80000018: 02d00a13 li s4,45 +8000001c: 224a1663 bne s4,tp,80000248 +80000020: 00b00a13 li s4,11 +80000024: 223a1263 bne s4,gp,80000248 + +80000028 : +80000028: 00200e13 li t3,2 +8000002c: 00000097 auipc ra,0x0 +80000030: 25008093 addi ra,ra,592 # 8000027c +80000034: 03700113 li sp,55 +80000038: 0820a1af amoswap.w gp,sp,(ra) +8000003c: 0000a203 lw tp,0(ra) +80000040: 03700a13 li s4,55 +80000044: 204a1263 bne s4,tp,80000248 +80000048: 01600a13 li s4,22 +8000004c: 1e3a1e63 bne s4,gp,80000248 + +80000050 : +80000050: 00300e13 li t3,3 +80000054: 00000097 auipc ra,0x0 +80000058: 22c08093 addi ra,ra,556 # 80000280 +8000005c: 04200113 li sp,66 +80000060: 0020a1af amoadd.w gp,sp,(ra) +80000064: 0000a203 lw tp,0(ra) +80000068: 08b00a13 li s4,139 +8000006c: 1c4a1e63 bne s4,tp,80000248 +80000070: 04900a13 li s4,73 +80000074: 1c3a1a63 bne s4,gp,80000248 + +80000078 : +80000078: 00400e13 li t3,4 +8000007c: 00000097 auipc ra,0x0 +80000080: 20808093 addi ra,ra,520 # 80000284 +80000084: 05700113 li sp,87 +80000088: 2020a1af amoxor.w gp,sp,(ra) +8000008c: 0000a203 lw tp,0(ra) +80000090: 06d00a13 li s4,109 +80000094: 1a4a1a63 bne s4,tp,80000248 +80000098: 03a00a13 li s4,58 +8000009c: 1a3a1663 bne s4,gp,80000248 + +800000a0 : +800000a0: 00500e13 li t3,5 +800000a4: 00000097 auipc ra,0x0 +800000a8: 1e408093 addi ra,ra,484 # 80000288 +800000ac: 02c00113 li sp,44 +800000b0: 6020a1af amoand.w gp,sp,(ra) +800000b4: 0000a203 lw tp,0(ra) +800000b8: 02800a13 li s4,40 +800000bc: 184a1663 bne s4,tp,80000248 +800000c0: 03800a13 li s4,56 +800000c4: 183a1263 bne s4,gp,80000248 + +800000c8 : +800000c8: 00600e13 li t3,6 +800000cc: 00000097 auipc ra,0x0 +800000d0: 1c008093 addi ra,ra,448 # 8000028c +800000d4: 01800113 li sp,24 +800000d8: 4020a1af amoor.w gp,sp,(ra) +800000dc: 0000a203 lw tp,0(ra) +800000e0: 05b00a13 li s4,91 +800000e4: 164a1263 bne s4,tp,80000248 +800000e8: 04b00a13 li s4,75 +800000ec: 143a1e63 bne s4,gp,80000248 + +800000f0 : +800000f0: 00700e13 li t3,7 +800000f4: 00000097 auipc ra,0x0 +800000f8: 19c08093 addi ra,ra,412 # 80000290 +800000fc: 01800113 li sp,24 +80000100: 8020a1af amomin.w gp,sp,(ra) +80000104: 0000a203 lw tp,0(ra) +80000108: 01800a13 li s4,24 +8000010c: 124a1e63 bne s4,tp,80000248 +80000110: 03800a13 li s4,56 +80000114: 123a1a63 bne s4,gp,80000248 + +80000118 : +80000118: 00800e13 li t3,8 +8000011c: 00000097 auipc ra,0x0 +80000120: 17808093 addi ra,ra,376 # 80000294 +80000124: 05800113 li sp,88 +80000128: 8020a1af amomin.w gp,sp,(ra) +8000012c: 0000a203 lw tp,0(ra) +80000130: 05300a13 li s4,83 +80000134: 104a1a63 bne s4,tp,80000248 +80000138: 05300a13 li s4,83 +8000013c: 103a1663 bne s4,gp,80000248 + +80000140 : +80000140: 00900e13 li t3,9 +80000144: 00000097 auipc ra,0x0 +80000148: 15408093 addi ra,ra,340 # 80000298 +8000014c: fca00113 li sp,-54 +80000150: 8020a1af amomin.w gp,sp,(ra) +80000154: 0000a203 lw tp,0(ra) +80000158: fca00a13 li s4,-54 +8000015c: 0e4a1663 bne s4,tp,80000248 +80000160: 02100a13 li s4,33 +80000164: 0e3a1263 bne s4,gp,80000248 + +80000168 : +80000168: 00a00e13 li t3,10 +8000016c: 00000097 auipc ra,0x0 +80000170: 13008093 addi ra,ra,304 # 8000029c +80000174: 03400113 li sp,52 +80000178: 8020a1af amomin.w gp,sp,(ra) +8000017c: 0000a203 lw tp,0(ra) +80000180: fbf00a13 li s4,-65 +80000184: 0c4a1263 bne s4,tp,80000248 +80000188: fbf00a13 li s4,-65 +8000018c: 0a3a1e63 bne s4,gp,80000248 + +80000190 : +80000190: 00b00e13 li t3,11 +80000194: 00000097 auipc ra,0x0 +80000198: 10c08093 addi ra,ra,268 # 800002a0 +8000019c: fcc00113 li sp,-52 +800001a0: a020a1af amomax.w gp,sp,(ra) +800001a4: 0000a203 lw tp,0(ra) +800001a8: fcc00a13 li s4,-52 +800001ac: 084a1e63 bne s4,tp,80000248 +800001b0: fa900a13 li s4,-87 +800001b4: 083a1a63 bne s4,gp,80000248 + +800001b8 : +800001b8: 00c00e13 li t3,12 +800001bc: 00000097 auipc ra,0x0 +800001c0: 0e808093 addi ra,ra,232 # 800002a4 +800001c4: 03400113 li sp,52 +800001c8: a020a1af amomax.w gp,sp,(ra) +800001cc: 0000a203 lw tp,0(ra) +800001d0: 03400a13 li s4,52 +800001d4: 064a1a63 bne s4,tp,80000248 +800001d8: fc900a13 li s4,-55 +800001dc: 063a1663 bne s4,gp,80000248 + +800001e0 : +800001e0: 00d00e13 li t3,13 +800001e4: 00000097 auipc ra,0x0 +800001e8: 0c408093 addi ra,ra,196 # 800002a8 +800001ec: ffff0137 lui sp,0xffff0 +800001f0: c020a1af amominu.w gp,sp,(ra) +800001f4: 0000a203 lw tp,0(ra) +800001f8: ffff0a37 lui s4,0xffff0 +800001fc: 044a1663 bne s4,tp,80000248 +80000200: ffff0a37 lui s4,0xffff0 +80000204: 004a0a13 addi s4,s4,4 # ffff0004 +80000208: 043a1063 bne s4,gp,80000248 +8000020c: 0480006f j 80000254 + +80000210 : +80000210: 00e00e13 li t3,14 +80000214: 00000097 auipc ra,0x0 +80000218: 09808093 addi ra,ra,152 # 800002ac +8000021c: ffff0137 lui sp,0xffff0 +80000220: 00c10113 addi sp,sp,12 # ffff000c +80000224: e020a1af amomaxu.w gp,sp,(ra) +80000228: 0000a203 lw tp,0(ra) +8000022c: ffff0a37 lui s4,0xffff0 +80000230: 00ca0a13 addi s4,s4,12 # ffff000c +80000234: 004a1a63 bne s4,tp,80000248 +80000238: ffff0a37 lui s4,0xffff0 +8000023c: 005a0a13 addi s4,s4,5 # ffff0005 +80000240: 003a1463 bne s4,gp,80000248 +80000244: 0100006f j 80000254 + +80000248 : +80000248: f0100137 lui sp,0xf0100 +8000024c: f2410113 addi sp,sp,-220 # f00fff24 +80000250: 01c12023 sw t3,0(sp) + +80000254 : +80000254: f0100137 lui sp,0xf0100 +80000258: f2010113 addi sp,sp,-224 # f00fff20 +8000025c: 00012023 sw zero,0(sp) +80000260: 00000013 nop +80000264: 00000013 nop +80000268: 00000013 nop +8000026c: 00000013 nop +80000270: 00000013 nop +80000274: 00000013 nop + +80000278 : +80000278: 0000000b 0xb + +8000027c : +8000027c: 0016 c.slli zero,0x5 + ... + +80000280 : +80000280: 0049 c.nop 18 + ... + +80000284 : +80000284: 003a c.slli zero,0xe + ... + +80000288 : +80000288: 0038 addi a4,sp,8 + ... + +8000028c : +8000028c: 0000004b fnmsub.s ft0,ft0,ft0,ft0,rne + +80000290 : +80000290: 0038 addi a4,sp,8 + ... + +80000294 : +80000294: 00000053 fadd.s ft0,ft0,ft0,rne + +80000298 : +80000298: 0021 c.nop 8 + ... + +8000029c : +8000029c: ffffffbf 0xffffffbf + +800002a0 : +800002a0: ffa9 bnez a5,800001fa +800002a2: ffff 0xffff + +800002a4 : +800002a4: ffc9 bnez a5,8000023e +800002a6: ffff 0xffff + +800002a8 : +800002a8: 0004 0x4 +800002aa: ffff 0xffff + +800002ac : +800002ac: 0005 c.nop 1 +800002ae: ffff 0xffff diff --git a/VexRiscv/src/test/cpp/raw/fpu/build/amo.hex b/VexRiscv/src/test/cpp/raw/fpu/build/amo.hex new file mode 100644 index 0000000..74d3567 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/fpu/build/amo.hex @@ -0,0 +1,45 @@ +:0200000480007A +:10000000130E100097000000938040271301D002C8 +:10001000AFA1200803A20000130AD00263164A22EF +:10002000130AB00063123A22130E2000970000005A +:100030009380002513017003AFA1200803A20000E4 +:10004000130A700363124A20130A6001631E3A1EEA +:10005000130E3000970000009380C022130120048B +:10006000AFA1200003A20000130AB008631E4A1CBF +:10007000130A9004631A3A1C130E40009700000004 +:100080009380802013017005AFA1202003A20000FF +:10009000130AD006631A4A1A130AA00363163A1AFF +:1000A000130E5000970000009380401E1301C00201 +:1000B000AFA1206003A20000130A800263164A1851 +:1000C000130A800363123A18130E600097000000B1 +:1000D0009380001C13018001AFA1204003A2000007 +:1000E000130AB00563124A16130AB004631E3A14C9 +:1000F000130E7000970000009380C0191301800157 +:10010000AFA1208003A20000130A8001631E4A12DF +:10011000130A8003631A3A12130E8000970000003E +:100120009380801713018005AFA1208003A20000F7 +:10013000130A3005631A4A10130A300563163A1081 +:10014000130E900097000000938040151301A0FC4F +:10015000AFA1208003A20000130AA0FC63164A0E80 +:10016000130A100263123A0E130EA000970000004B +:100170009380001313014003AFA1208003A200006D +:10018000130AF0FB63124A0C130AF0FB631E3A0ACF +:10019000130EB000970000009380C0101301C0FC44 +:1001A000AFA120A003A20000130AC0FC631E4A08EE +:1001B000130A90FA631A3A08130EC0009700000061 +:1001C0009380800E13014003AFA120A003A2000082 +:1001D000130A4003631A4A06130A90FC63163A0690 +:1001E000130ED000970000009380400C3701FFFFF2 +:1001F000AFA120C003A20000370AFFFF63164A0424 +:10020000370AFFFF130A4A0063103A046F008004A4 +:10021000130EE00097000000938080093701FFFF74 +:100220001301C100AFA120E003A20000370AFFFFC5 +:10023000130ACA00631A4A00370AFFFF130A5A005A +:1002400063143A006F000001370110F0130141F20E +:100250002320C101370110F0130101F22320010016 +:100260001300000013000000130000001300000042 +:1002700013000000130000000B0000001600000037 +:10028000490000003A000000380000004B00000068 +:10029000380000005300000021000000BFFFFFFFF6 +:1002A000A9FFFFFFC9FFFFFF0400FFFF0500FFFFDD +:00000001FF diff --git a/VexRiscv/src/test/cpp/raw/fpu/build/fpu.asm b/VexRiscv/src/test/cpp/raw/fpu/build/fpu.asm new file mode 100644 index 0000000..b769972 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/fpu/build/fpu.asm @@ -0,0 +1,276 @@ + +build/fpu.elf: file format elf32-littleriscv + + +Disassembly of section .crt_section: + +80000000 <_start>: +80000000: 00100e13 li t3,1 +80000004: 00000013 nop +80000008: 00000013 nop +8000000c: 00000013 nop +80000010: 00000013 nop +80000014: 00107153 fadd.s ft2,ft0,ft1 +80000018: 00000013 nop +8000001c: 00000013 nop +80000020: 00000013 nop +80000024: 00000013 nop +80000028: 0180006f j 80000040 +8000002c: 00000013 nop +80000030: 00000013 nop +80000034: 00000013 nop +80000038: 00000013 nop +8000003c: 00000013 nop + +80000040 : +80000040: 00200e13 li t3,2 +80000044: 00000097 auipc ra,0x0 +80000048: 2e80a083 lw ra,744(ra) # 8000032c +8000004c: 00107153 fadd.s ft2,ft0,ft1 +80000050: 00000013 nop +80000054: 00000013 nop +80000058: 00000013 nop +8000005c: 00000013 nop +80000060: 0200006f j 80000080 +80000064: 00000013 nop +80000068: 00000013 nop +8000006c: 00000013 nop +80000070: 00000013 nop +80000074: 00000013 nop +80000078: 00000013 nop +8000007c: 00000013 nop + +80000080 : +80000080: 00300e13 li t3,3 +80000084: 00000013 nop +80000088: 00000013 nop +8000008c: 00000013 nop +80000090: 00000013 nop +80000094: 0080006f j 8000009c +80000098: 00107153 fadd.s ft2,ft0,ft1 + +8000009c : +8000009c: 0240006f j 800000c0 +800000a0: 00000013 nop +800000a4: 00000013 nop +800000a8: 00000013 nop +800000ac: 00000013 nop +800000b0: 00000013 nop +800000b4: 00000013 nop +800000b8: 00000013 nop +800000bc: 00000013 nop + +800000c0 : +800000c0: 00400e13 li t3,4 +800000c4: 00000013 nop +800000c8: 00000013 nop +800000cc: 00000013 nop +800000d0: 00000013 nop +800000d4: 00000097 auipc ra,0x0 +800000d8: 25808093 addi ra,ra,600 # 8000032c +800000dc: 0000a107 flw ft2,0(ra) +800000e0: 00000013 nop +800000e4: 00000013 nop +800000e8: 00000013 nop +800000ec: 00000013 nop +800000f0: 0100006f j 80000100 +800000f4: 00000013 nop +800000f8: 00000013 nop +800000fc: 00000013 nop + +80000100 : +80000100: 00500e13 li t3,5 +80000104: 00000013 nop +80000108: 00000013 nop +8000010c: 00000013 nop +80000110: 00000013 nop +80000114: 00000097 auipc ra,0x0 +80000118: 21808093 addi ra,ra,536 # 8000032c +8000011c: 00000117 auipc sp,0x0 +80000120: 21410113 addi sp,sp,532 # 80000330 +80000124: 0000a087 flw ft1,0(ra) +80000128: 00012107 flw ft2,0(sp) +8000012c: 0020f1d3 fadd.s ft3,ft1,ft2 +80000130: 00000013 nop +80000134: 00000013 nop +80000138: 00000013 nop +8000013c: 00000013 nop +80000140: 0400006f j 80000180 +80000144: 00000013 nop +80000148: 00000013 nop +8000014c: 00000013 nop +80000150: 00000013 nop +80000154: 00000013 nop +80000158: 00000013 nop +8000015c: 00000013 nop +80000160: 00000013 nop +80000164: 00000013 nop +80000168: 00000013 nop +8000016c: 00000013 nop +80000170: 00000013 nop +80000174: 00000013 nop +80000178: 00000013 nop +8000017c: 00000013 nop + +80000180 : +80000180: 00600e13 li t3,6 +80000184: 00000013 nop +80000188: 00000013 nop +8000018c: 00000013 nop +80000190: 00000013 nop +80000194: 00000097 auipc ra,0x0 +80000198: 1a008093 addi ra,ra,416 # 80000334 +8000019c: 0030a027 fsw ft3,0(ra) +800001a0: 00000013 nop +800001a4: 00000013 nop +800001a8: 00000013 nop +800001ac: 00000013 nop +800001b0: 0100006f j 800001c0 +800001b4: 00000013 nop +800001b8: 00000013 nop +800001bc: 00000013 nop + +800001c0 : +800001c0: 00700e13 li t3,7 +800001c4: 00000097 auipc ra,0x0 +800001c8: 17008093 addi ra,ra,368 # 80000334 +800001cc: 00000117 auipc sp,0x0 +800001d0: 16c10113 addi sp,sp,364 # 80000338 +800001d4: 00000197 auipc gp,0x0 +800001d8: 16818193 addi gp,gp,360 # 8000033c +800001dc: 00000217 auipc tp,0x0 +800001e0: 16420213 addi tp,tp,356 # 80000340 +800001e4: 0000a207 flw ft4,0(ra) +800001e8: 00427253 fadd.s ft4,ft4,ft4 +800001ec: 0040f2d3 fadd.s ft5,ft1,ft4 +800001f0: 00412027 fsw ft4,0(sp) +800001f4: 0051a027 fsw ft5,0(gp) +800001f8: 00122027 fsw ft1,0(tp) # 0 <_start-0x80000000> +800001fc: 00000013 nop +80000200: 00000013 nop +80000204: 00000013 nop +80000208: 00000013 nop +8000020c: 0340006f j 80000240 +80000210: 00000013 nop +80000214: 00000013 nop +80000218: 00000013 nop +8000021c: 00000013 nop +80000220: 00000013 nop +80000224: 00000013 nop +80000228: 00000013 nop +8000022c: 00000013 nop +80000230: 00000013 nop +80000234: 00000013 nop +80000238: 00000013 nop +8000023c: 00000013 nop + +80000240 : +80000240: 00800e13 li t3,8 +80000244: c011f0d3 fcvt.wu.s ra,ft3 +80000248: 00000013 nop +8000024c: 00000013 nop +80000250: 00000013 nop +80000254: 00000013 nop +80000258: 0280006f j 80000280 +8000025c: 00000013 nop +80000260: 00000013 nop +80000264: 00000013 nop +80000268: 00000013 nop +8000026c: 00000013 nop +80000270: 00000013 nop +80000274: 00000013 nop +80000278: 00000013 nop +8000027c: 00000013 nop + +80000280 : +80000280: 00900e13 li t3,9 +80000284: a03100d3 fle.s ra,ft2,ft3 +80000288: a0218153 fle.s sp,ft3,ft2 +8000028c: a03181d3 fle.s gp,ft3,ft3 +80000290: 00000013 nop +80000294: 00000013 nop +80000298: 00000013 nop +8000029c: 00000013 nop +800002a0: 0200006f j 800002c0 +800002a4: 00000013 nop +800002a8: 00000013 nop +800002ac: 00000013 nop +800002b0: 00000013 nop +800002b4: 00000013 nop +800002b8: 00000013 nop +800002bc: 00000013 nop + +800002c0 : +800002c0: 00a00e13 li t3,10 +800002c4: 01000093 li ra,16 +800002c8: d010f0d3 fcvt.s.wu ft1,ra +800002cc: 01200113 li sp,18 +800002d0: 20000193 li gp,512 +800002d4: d0117153 fcvt.s.wu ft2,sp +800002d8: d011f1d3 fcvt.s.wu ft3,gp +800002dc: 00000217 auipc tp,0x0 +800002e0: 0a422203 lw tp,164(tp) # 80000380 +800002e4: d01272d3 fcvt.s.wu ft5,tp +800002e8: 00000013 nop +800002ec: 00000013 nop +800002f0: 00000013 nop +800002f4: 00000013 nop +800002f8: 0100006f j 80000308 + +800002fc : +800002fc: f0100137 lui sp,0xf0100 +80000300: f2410113 addi sp,sp,-220 # f00fff24 +80000304: 01c12023 sw t3,0(sp) + +80000308 : +80000308: f0100137 lui sp,0xf0100 +8000030c: f2010113 addi sp,sp,-224 # f00fff20 +80000310: 00012023 sw zero,0(sp) +80000314: 00000013 nop +80000318: 00000013 nop +8000031c: 00000013 nop +80000320: 00000013 nop +80000324: 00000013 nop +80000328: 00000013 nop + +8000032c : +8000032c: 0000 unimp +8000032e: 3fc0 fld fs0,184(a5) + +80000330 : +80000330: 0000 unimp +80000332: 40a0 lw s0,64(s1) + +80000334 : +80000334: 0049 c.nop 18 + ... + +80000338 : +80000338: 003a c.slli zero,0xe + ... + +8000033c : +8000033c: 0038 addi a4,sp,8 + ... + +80000340 : +80000340: 0000004b fnmsub.s ft0,ft0,ft0,ft0,rne +80000344: 00000013 nop +80000348: 00000013 nop +8000034c: 00000013 nop +80000350: 00000013 nop +80000354: 00000013 nop +80000358: 00000013 nop +8000035c: 00000013 nop +80000360: 00000013 nop +80000364: 00000013 nop +80000368: 00000013 nop +8000036c: 00000013 nop +80000370: 00000013 nop +80000374: 00000013 nop +80000378: 00000013 nop +8000037c: 00000013 nop + +80000380 : +80000380: 01d4 addi a3,sp,196 + ... diff --git a/VexRiscv/src/test/cpp/raw/fpu/build/fpu.hex b/VexRiscv/src/test/cpp/raw/fpu/build/fpu.hex new file mode 100644 index 0000000..4b83443 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/fpu/build/fpu.hex @@ -0,0 +1,62 @@ +:0200000480007A +:10000000130E100013000000130000001300000086 +:1000100013000000537110001300000013000000D3 +:1000200013000000130000006F00800113000000A7 +:100030001300000013000000130000001300000074 +:10004000130E20009700000083A0802E5371100033 +:100050001300000013000000130000001300000054 +:100060006F000002130000001300000013000000E6 +:100070001300000013000000130000001300000034 +:10008000130E3000130000001300000013000000E6 +:10009000130000006F008000537110006F004002D9 +:1000A0001300000013000000130000001300000004 +:1000B00013000000130000001300000013000000F4 +:1000C000130E400013000000130000001300000096 +:1000D00013000000970000009380802507A1000016 +:1000E00013000000130000001300000013000000C4 +:1000F0006F00000113000000130000001300000057 +:10010000130E500013000000130000001300000045 +:100110001300000097000000938080211701000069 +:100120001301412187A0000007210100D3F1200025 +:100130001300000013000000130000001300000073 +:100140006F00000413000000130000001300000003 +:100150001300000013000000130000001300000053 +:100160001300000013000000130000001300000043 +:100170001300000013000000130000001300000033 +:10018000130E6000130000001300000013000000B5 +:1001900013000000970000009380001A27A0300091 +:1001A0001300000013000000130000001300000003 +:1001B0006F00000113000000130000001300000096 +:1001C000130E7000970000009380001717010000C5 +:1001D0001301C116970100009381811617020000D8 +:1001E0001302421607A2000053724200D3F24000ED +:1001F0002720410027A051002720120013000000F3 +:100200001300000013000000130000006F00400303 +:100210001300000013000000130000001300000092 +:100220001300000013000000130000001300000082 +:100230001300000013000000130000001300000072 +:10024000130E8000D3F011C0130000001300000053 +:1002500013000000130000006F0080021300000074 +:100260001300000013000000130000001300000042 +:100270001300000013000000130000001300000032 +:10028000130E9000D30031A0538121A0D38131A05F +:100290001300000013000000130000001300000012 +:1002A0006F000002130000001300000013000000A4 +:1002B00013000000130000001300000013000000F2 +:1002C000130EA00093000001D3F010D01301200101 +:1002D00093010020537111D0D3F111D01702000007 +:1002E0000322420AD37212D0130000001300000050 +:1002F00013000000130000006F000001370110F030 +:10030000130141F22320C101370110F0130101F262 +:100310002320010013000000130000001300000060 +:100320001300000013000000130000000000C03F95 +:100330000000A040490000003A0000003800000022 +:100340004B00000013000000130000001300000029 +:100350001300000013000000130000001300000051 +:100360001300000013000000130000001300000041 +:100370001300000013000000130000001300000031 +:10038000D401000000000000000000000000000098 +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:0803B000000000000000000045 +:00000001FF diff --git a/VexRiscv/src/test/cpp/raw/fpu/makefile b/VexRiscv/src/test/cpp/raw/fpu/makefile new file mode 100644 index 0000000..4892095 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/fpu/makefile @@ -0,0 +1,5 @@ +PROJ_NAME=fpu + +FLOATING=yes + +include ../common/asm.mk \ No newline at end of file diff --git a/VexRiscv/src/test/cpp/raw/fpu/src/crt.S b/VexRiscv/src/test/cpp/raw/fpu/src/crt.S new file mode 100644 index 0000000..302b98c --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/fpu/src/crt.S @@ -0,0 +1,193 @@ +.globl _star +#define TEST_ID x28 + +_start: + +#define assert(reg, value) \ + li x20, value; \ + bne x20, reg, fail; + + +test1: + li TEST_ID, 1 + nop + nop + nop + nop + fadd.s f2, f0, f1 + nop + nop + nop + nop + j test2 + +.align 6 +test2: + li TEST_ID, 2 + lw x1, test1_data + fadd.s f2, f0, f1 + nop + nop + nop + nop + + j test3 + + +.align 6 +test3: + li TEST_ID, 3 + nop + nop + nop + nop + j skip + fadd.s f2, f0, f1 +skip: + j test4 + + + +.align 6 +test4: + li TEST_ID, 4 + nop + nop + nop + nop + la x1, test1_data + flw f2, 0(x1) + nop + nop + nop + nop + + j test5 + +.align 6 +test5: + li TEST_ID, 5 + nop + nop + nop + nop + la x1, test1_data + la x2, test2_data + flw f1, 0(x1) + flw f2, 0(x2) + fadd.s f3, f1, f2 + nop + nop + nop + nop + j test6 + +.align 6 +test6: + li TEST_ID, 6 + nop + nop + nop + nop + la x1, test3_data + fsw f3, 0(x1) + nop + nop + nop + nop + j test7 + +.align 6 +test7: + li TEST_ID, 7 + la x1, test3_data + la x2, test4_data + la x3, test5_data + la x4, test6_data + flw f4, 0(x1) + fadd.s f4, f4, f4 + fadd.s f5, f1, f4 + fsw f4, 0(x2) + fsw f5, 0(x3) + fsw f1, 0(x4) + nop + nop + nop + nop + j test8 + +.align 6 +test8: + li TEST_ID, 8 + fcvt.wu.s x1, f3 + nop + nop + nop + nop + j test9 + +.align 6 +test9: + li TEST_ID, 9 + fle.s x1, f2, f3 + fle.s x2, f3, f2 + fle.s x3, f3, f3 + nop + nop + nop + nop + j test10 + +.align 6 +test10: + li TEST_ID, 10 + li x1, 16 + fcvt.s.wu f1, x1 + li x2, 18 + li x3, 512 + fcvt.s.wu f2, x2 + fcvt.s.wu f3, x3 + lw x4, test10_data + fcvt.s.wu f5, x4 + nop + nop + nop + nop + + /* la x1, test1_data + li x2, 45 + amoswap.w x3,x2,(x1) + lw x4, 0(x1) + assert(x4, 45) + assert(x3, 11)*/ + + + + + j pass + + +fail: + li x2, 0xF00FFF24 + sw TEST_ID, 0(x2) + +pass: + li x2, 0xF00FFF20 + sw x0, 0(x2) + + nop + nop + nop + nop + nop + nop + + +test1_data: .word 0x3fc00000 //1.5f +test2_data: .word 0x40a00000 //5.0f +test3_data: .word 73 +test4_data: .word 58 +test5_data: .word 56 +test6_data: .word 75 +.align 6 +test10_data: .word 468 diff --git a/VexRiscv/src/test/cpp/raw/fpu/src/ld b/VexRiscv/src/test/cpp/raw/fpu/src/ld new file mode 100644 index 0000000..93d8de8 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/fpu/src/ld @@ -0,0 +1,16 @@ +OUTPUT_ARCH( "riscv" ) + +MEMORY { + onChipRam (W!RX)/*(RX)*/ : ORIGIN = 0x80000000, LENGTH = 128K +} + +SECTIONS +{ + + .crt_section : + { + . = ALIGN(4); + *crt.o(.text) + } > onChipRam + +} diff --git a/VexRiscv/src/test/cpp/raw/icache/.gitignore b/VexRiscv/src/test/cpp/raw/icache/.gitignore new file mode 100644 index 0000000..c12cb2c --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/icache/.gitignore @@ -0,0 +1,4 @@ +*.map +*.v +*.elf +*.o \ No newline at end of file diff --git a/VexRiscv/src/test/cpp/raw/icache/build/icache.asm b/VexRiscv/src/test/cpp/raw/icache/build/icache.asm new file mode 100644 index 0000000..993f21b --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/icache/build/icache.asm @@ -0,0 +1,51 @@ + +build/icache.elf: file format elf32-littleriscv + + +Disassembly of section .crt_section: + +80000000 <_start>: +80000000: 00000097 auipc ra,0x0 +80000004: 04c08093 addi ra,ra,76 # 8000004c + +80000008 : +80000008: 00100e13 li t3,1 +8000000c: 00100093 li ra,1 +80000010: 00300113 li sp,3 +80000014: 00208093 addi ra,ra,2 +80000018: 02209a63 bne ra,sp,8000004c + +8000001c : +8000001c: 00200e13 li t3,2 +80000020: 01300093 li ra,19 +80000024: 00000117 auipc sp,0x0 +80000028: 02010113 addi sp,sp,32 # 80000044 +8000002c: 0040006f j 80000030 + +80000030 : +80000030: 00112023 sw ra,0(sp) +80000034: 0000100f fence.i +80000038: 00800a13 li s4,8 +8000003c: fffa0a13 addi s4,s4,-1 +80000040: fe0a1ee3 bnez s4,8000003c + +80000044 : +80000044: 0080006f j 8000004c +80000048: 0100006f j 80000058 + +8000004c : +8000004c: f0100137 lui sp,0xf0100 +80000050: f2410113 addi sp,sp,-220 # f00fff24 +80000054: 01c12023 sw t3,0(sp) + +80000058 : +80000058: f0100137 lui sp,0xf0100 +8000005c: f2010113 addi sp,sp,-224 # f00fff20 +80000060: 00012023 sw zero,0(sp) +80000064: 00000013 nop +80000068: 00000013 nop +8000006c: 00000013 nop +80000070: 00000013 nop +80000074: 00000013 nop +80000078: 00000013 nop + ... diff --git a/VexRiscv/src/test/cpp/raw/icache/build/icache.hex b/VexRiscv/src/test/cpp/raw/icache/build/icache.hex new file mode 100644 index 0000000..2588f0e --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/icache/build/icache.hex @@ -0,0 +1,11 @@ +:0200000480007A +:10000000970000009380C004130E100093001000AE +:100010001301300093802000639A2002130E200009 +:100020009300300117010000130101026F0040002E +:10003000232011000F100000130A8000130AFAFF9A +:10004000E31E0AFE6F0080006F000001370110F010 +:10005000130141F22320C101370110F0130101F215 +:100060002320010013000000130000001300000013 +:100070001300000013000000130000000000000047 +:04008000000000007C +:00000001FF diff --git a/VexRiscv/src/test/cpp/raw/icache/makefile b/VexRiscv/src/test/cpp/raw/icache/makefile new file mode 100644 index 0000000..08779a2 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/icache/makefile @@ -0,0 +1,3 @@ +PROJ_NAME=icache + +include ../common/asm.mk \ No newline at end of file diff --git a/VexRiscv/src/test/cpp/raw/icache/src/crt.S b/VexRiscv/src/test/cpp/raw/icache/src/crt.S new file mode 100644 index 0000000..b0b2425 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/icache/src/crt.S @@ -0,0 +1,49 @@ +.globl _star +#define TEST_ID x28 + +#define delay \ + li x20, 8; \ +1: addi x20, x20, -1; \ + bne x20, x0, 1b; + +_start: + la x1, fail + // csrw mtvec, x1 + +test1: //Dummy test + li TEST_ID, 1 + li x1, 1 + li x2, 3 + addi x1, x1, 2 + bne x1, x2, fail + +test2: + li TEST_ID, 2 + li x1, 0x13 //nop + la x2, test2_trigger + j test2_aligned +.align(4) +test2_aligned: + sw x1, 0(x2) + fence.i + delay +test2_trigger: + j fail + + + j pass + +fail: + li x2, 0xF00FFF24 + sw TEST_ID, 0(x2) + +pass: + li x2, 0xF00FFF20 + sw x0, 0(x2) + + nop + nop + nop + nop + nop + nop diff --git a/VexRiscv/src/test/cpp/raw/icache/src/ld b/VexRiscv/src/test/cpp/raw/icache/src/ld new file mode 100644 index 0000000..93d8de8 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/icache/src/ld @@ -0,0 +1,16 @@ +OUTPUT_ARCH( "riscv" ) + +MEMORY { + onChipRam (W!RX)/*(RX)*/ : ORIGIN = 0x80000000, LENGTH = 128K +} + +SECTIONS +{ + + .crt_section : + { + . = ALIGN(4); + *crt.o(.text) + } > onChipRam + +} diff --git a/VexRiscv/src/test/cpp/raw/lrsc/.gitignore b/VexRiscv/src/test/cpp/raw/lrsc/.gitignore new file mode 100644 index 0000000..c12cb2c --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/lrsc/.gitignore @@ -0,0 +1,4 @@ +*.map +*.v +*.elf +*.o \ No newline at end of file diff --git a/VexRiscv/src/test/cpp/raw/lrsc/build/lrsc.asm b/VexRiscv/src/test/cpp/raw/lrsc/build/lrsc.asm new file mode 100644 index 0000000..a2ba4c7 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/lrsc/build/lrsc.asm @@ -0,0 +1,180 @@ + +build/lrsc.elf: file format elf32-littleriscv + + +Disassembly of section .crt_section: + +80000000 : +80000000: 06c0006f j 8000006c <_start> +80000004: 00000013 nop +80000008: 00000013 nop +8000000c: 00000013 nop +80000010: 00000013 nop +80000014: 00000013 nop +80000018: 00000013 nop +8000001c: 00000013 nop + +80000020 : +80000020: 30002ef3 csrr t4,mstatus +80000024: 080efe93 andi t4,t4,128 +80000028: 000e8a63 beqz t4,8000003c +8000002c: 00002eb7 lui t4,0x2 +80000030: 800e8e93 addi t4,t4,-2048 # 1800 +80000034: 300e9073 csrw mstatus,t4 +80000038: 30200073 mret + +8000003c : +8000003c: 34102ef3 csrr t4,mepc +80000040: 004e8e93 addi t4,t4,4 +80000044: 341e9073 csrw mepc,t4 +80000048: 30200073 mret + +8000004c : +8000004c: 200002b7 lui t0,0x20000 +80000050: 00001337 lui t1,0x1 +80000054: 02000393 li t2,32 + +80000058 : +80000058: 0002ae03 lw t3,0(t0) # 20000000 +8000005c: 006282b3 add t0,t0,t1 +80000060: fff38393 addi t2,t2,-1 +80000064: fe039ae3 bnez t2,80000058 +80000068: 00008067 ret + +8000006c <_start>: +8000006c: 00100e13 li t3,1 +80000070: 10000537 lui a0,0x10000 +80000074: 06400593 li a1,100 +80000078: 06500613 li a2,101 +8000007c: 06600693 li a3,102 +80000080: 00d52023 sw a3,0(a0) # 10000000 +80000084: 18b5262f sc.w a2,a1,(a0) +80000088: 00100713 li a4,1 +8000008c: 18e61863 bne a2,a4,8000021c +80000090: 00052703 lw a4,0(a0) +80000094: 18e69463 bne a3,a4,8000021c + +80000098 : +80000098: 00200e13 li t3,2 +8000009c: 10000537 lui a0,0x10000 +800000a0: 00450513 addi a0,a0,4 # 10000004 +800000a4: 06700593 li a1,103 +800000a8: 06800613 li a2,104 +800000ac: 06900693 li a3,105 +800000b0: 00d52023 sw a3,0(a0) +800000b4: 18b5262f sc.w a2,a1,(a0) +800000b8: 00100713 li a4,1 +800000bc: 16e61063 bne a2,a4,8000021c +800000c0: 00052703 lw a4,0(a0) +800000c4: 14e69c63 bne a3,a4,8000021c +800000c8: f85ff0ef jal ra,8000004c +800000cc: 00052703 lw a4,0(a0) +800000d0: 14e69663 bne a3,a4,8000021c + +800000d4 : +800000d4: 00300e13 li t3,3 +800000d8: 10000537 lui a0,0x10000 +800000dc: 00450513 addi a0,a0,4 # 10000004 +800000e0: 06700593 li a1,103 +800000e4: 06800613 li a2,104 +800000e8: 06900693 li a3,105 +800000ec: 18b5262f sc.w a2,a1,(a0) +800000f0: 00100713 li a4,1 +800000f4: 12e61463 bne a2,a4,8000021c +800000f8: 00052703 lw a4,0(a0) +800000fc: 12e69063 bne a3,a4,8000021c +80000100: f4dff0ef jal ra,8000004c +80000104: 00052703 lw a4,0(a0) +80000108: 10e69a63 bne a3,a4,8000021c + +8000010c : +8000010c: 00400e13 li t3,4 +80000110: 10000537 lui a0,0x10000 +80000114: 00850513 addi a0,a0,8 # 10000008 +80000118: 06a00593 li a1,106 +8000011c: 06b00613 li a2,107 +80000120: 06c00693 li a3,108 +80000124: 00d52023 sw a3,0(a0) +80000128: 100527af lr.w a5,(a0) +8000012c: 18b5262f sc.w a2,a1,(a0) +80000130: 0ed79663 bne a5,a3,8000021c +80000134: 0e061463 bnez a2,8000021c +80000138: 00052703 lw a4,0(a0) +8000013c: 0ee59063 bne a1,a4,8000021c +80000140: f0dff0ef jal ra,8000004c +80000144: 00052703 lw a4,0(a0) +80000148: 0ce59a63 bne a1,a4,8000021c + +8000014c : +8000014c: 00500e13 li t3,5 +80000150: 10000537 lui a0,0x10000 +80000154: 00850513 addi a0,a0,8 # 10000008 +80000158: 06d00593 li a1,109 +8000015c: 06e00613 li a2,110 +80000160: 06f00693 li a3,111 +80000164: 00d52023 sw a3,0(a0) +80000168: 18b5262f sc.w a2,a1,(a0) +8000016c: 0a060863 beqz a2,8000021c +80000170: 00052703 lw a4,0(a0) +80000174: 0ae69463 bne a3,a4,8000021c +80000178: ed5ff0ef jal ra,8000004c +8000017c: 00052703 lw a4,0(a0) +80000180: 08e69e63 bne a3,a4,8000021c +80000184: 00700e13 li t3,7 +80000188: 10000537 lui a0,0x10000 +8000018c: 01450513 addi a0,a0,20 # 10000014 +80000190: 07800593 li a1,120 +80000194: 07900613 li a2,121 +80000198: 07a00693 li a3,122 +8000019c: 01000e93 li t4,16 + +800001a0 : +800001a0: 00d52023 sw a3,0(a0) +800001a4: 100527af lr.w a5,(a0) +800001a8: 18b5262f sc.w a2,a1,(a0) +800001ac: 06d79863 bne a5,a3,8000021c +800001b0: 06061663 bnez a2,8000021c +800001b4: 00052703 lw a4,0(a0) +800001b8: 06e59263 bne a1,a4,8000021c +800001bc: fffe8e93 addi t4,t4,-1 +800001c0: 00450513 addi a0,a0,4 +800001c4: 00358593 addi a1,a1,3 +800001c8: 00360613 addi a2,a2,3 +800001cc: 00368693 addi a3,a3,3 +800001d0: fc0e98e3 bnez t4,800001a0 + +800001d4 : +800001d4: 00900e13 li t3,9 +800001d8: 10000537 lui a0,0x10000 +800001dc: 10050513 addi a0,a0,256 # 10000100 +800001e0: 07b00593 li a1,123 +800001e4: 07c00613 li a2,124 +800001e8: 07d00693 li a3,125 +800001ec: 00d52023 sw a3,0(a0) +800001f0: 100527af lr.w a5,(a0) +800001f4: 00000073 ecall +800001f8: 18b527af sc.w a5,a1,(a0) +800001fc: 00000713 li a4,0 +80000200: 00e79e63 bne a5,a4,8000021c +80000204: 00052703 lw a4,0(a0) +80000208: 00e59a63 bne a1,a4,8000021c +8000020c: e41ff0ef jal ra,8000004c +80000210: 00052703 lw a4,0(a0) +80000214: 00e59463 bne a1,a4,8000021c +80000218: 0100006f j 80000228 + +8000021c : +8000021c: f0100137 lui sp,0xf0100 +80000220: f2410113 addi sp,sp,-220 # f00fff24 +80000224: 01c12023 sw t3,0(sp) + +80000228 : +80000228: f0100137 lui sp,0xf0100 +8000022c: f2010113 addi sp,sp,-224 # f00fff20 +80000230: 00012023 sw zero,0(sp) +80000234: 00000013 nop +80000238: 00000013 nop +8000023c: 00000013 nop +80000240: 00000013 nop +80000244: 00000013 nop +80000248: 00000013 nop diff --git a/VexRiscv/src/test/cpp/raw/lrsc/build/lrsc.hex b/VexRiscv/src/test/cpp/raw/lrsc/build/lrsc.hex new file mode 100644 index 0000000..b0ee273 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/lrsc/build/lrsc.hex @@ -0,0 +1,40 @@ +:0200000480007A +:100000006F00C00613000000130000001300000082 +:100010001300000013000000130000001300000094 +:10002000F32E003093FE0E08638A0E00B72E0000F8 +:10003000938E0E8073900E3073002030F32E1034A8 +:10004000938E4E0073901E3473002030B702002050 +:10005000371300009303000203AE0200B382620074 +:100060009383F3FFE39A03FE67800000130E1000F2 +:1000700037050010930540061306500693066006E8 +:100080002320D5002F26B518130710006318E61893 +:10009000032705006394E618130E200037050010AF +:1000A0001305450093057006130680069306900617 +:1000B0002320D5002F26B518130710006310E6166D +:1000C00003270500639CE614EFF05FF803270500A3 +:1000D0006396E614130E3000370500101305450033 +:1000E0009305700613068006930690062F26B51812 +:1000F000130710006314E612032705006390E6124D +:10010000EFF0DFF403270500639AE610130E4000BA +:1001100037050010130585009305A0061306B006E9 +:100120009306C0062320D500AF2705102F26B5184B +:100130006396D70E6314060E032705006390E50E41 +:10014000EFF0DFF003270500639AE50C130E500073 +:1001500037050010130585009305D0061306E00649 +:100160009306F0062320D5002F26B5186308060A4B +:10017000032705006394E60AEFF05FED032705000F +:10018000639EE608130E7000370500101305450145 +:1001900093058007130690079306A007930E0001AE +:1001A0002320D500AF2705102F26B5186398D70652 +:1001B00063160606032705006392E506938EFEFF8D +:1001C00013054500938535001306360093863600E7 +:1001D000E3980EFC130E9000370500101305051070 +:1001E0009305B0071306C0079306D0072320D50058 +:1001F000AF27051073000000AF27B51813070000E4 +:10020000639EE70003270500639AE500EFF01FE413 +:10021000032705006394E5006F000001370110F02B +:10022000130141F22320C101370110F0130101F243 +:100230002320010013000000130000001300000041 +:0C02400013000000130000001300000079 +:040000058000006C0B +:00000001FF diff --git a/VexRiscv/src/test/cpp/raw/lrsc/makefile b/VexRiscv/src/test/cpp/raw/lrsc/makefile new file mode 100644 index 0000000..eafdb26 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/lrsc/makefile @@ -0,0 +1,5 @@ +PROJ_NAME=lrsc + +ATOMIC=yes + +include ../common/asm.mk \ No newline at end of file diff --git a/VexRiscv/src/test/cpp/raw/lrsc/src/crt.S b/VexRiscv/src/test/cpp/raw/lrsc/src/crt.S new file mode 100644 index 0000000..a19663f --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/lrsc/src/crt.S @@ -0,0 +1,213 @@ +.globl _start + + + j _start + nop + nop + nop + nop + nop + nop + nop + +.global trap_entry +trap_entry: + csrr x29, mstatus + and x29, x29, 0x080 + beqz x29, notExternalInterrupt + li x29, 0x1800 //000 disable interrupts + csrw mstatus,x29 + mret + +notExternalInterrupt: + csrr x29, mepc + addi x29, x29, 4 + csrw mepc, x29 + mret + +flush: + li t0, 0x20000000 + li t1, 0x1000 + li t2, 32 +flushLoop: + lw t3, 0(t0) + add t0, t0, t1 + addi t2,t2,-1 + bnez t2, flushLoop + ret + +_start: +test1: //Test 1 SC on unreserved area should fail and not write memory + li x28, 1 + li a0, 0x10000000 + li a1, 100 + li a2, 101 + li a3, 102 + sw a3, 0(a0) + sc.w a2, a1, (a0) + li a4, 1 + bne a2, a4, fail + lw a4, 0(a0) + bne a3, a4, fail + +test2: //Test 2 SC on another unreserved area should fail and not write memory + li x28, 2 + li a0, 0x10000004 + li a1, 103 + li a2, 104 + li a3, 105 + sw a3, 0(a0) + sc.w a2, a1, (a0) + li a4, 1 + bne a2, a4, fail + lw a4, 0(a0) + bne a3, a4, fail + call flush + lw a4, 0(a0) + bne a3, a4, fail + + +test3: //Test 3 retrying SC on unreserved area should fail and not write memory + li x28, 3 + li a0, 0x10000004 + li a1, 103 + li a2, 104 + li a3, 105 + sc.w a2, a1, (a0) + li a4, 1 + bne a2, a4, fail + lw a4, 0(a0) + bne a3, a4, fail + call flush + lw a4, 0(a0) + bne a3, a4, fail + + +test4: //Test 4 SC on reserved area should pass and should be written write memory + li x28, 4 + li a0, 0x10000008 + li a1, 106 + li a2, 107 + li a3, 108 + sw a3, 0(a0) + lr.w a5, (a0) + sc.w a2, a1, (a0) + bne a5, a3, fail + bne a2, x0, fail + lw a4, 0(a0) + bne a1, a4, fail + call flush + lw a4, 0(a0) + bne a1, a4, fail + + +test5: //Test 5 redo SC on reserved area should fail + li x28, 5 + li a0, 0x10000008 + li a1, 109 + li a2, 110 + li a3, 111 + sw a3, 0(a0) + sc.w a2, a1, (a0) + beq a2, x0, fail + lw a4, 0(a0) + bne a3, a4, fail + call flush + lw a4, 0(a0) + bne a3, a4, fail + + +//Test 7 do a lot of allocation to clear the entries + li x28, 7 + li a0, 0x10000014 + li a1, 120 + li a2, 121 + li a3, 122 + li x29, 16 +test7: + sw a3, 0(a0) + lr.w a5, (a0) + sc.w a2, a1, (a0) + bne a5, a3, fail + bne a2, x0, fail + lw a4, 0(a0) + bne a1, a4, fail + add x29, x29, -1 + add a0, a0, 4 + add a1, a1, 3 + add a2, a2, 3 + add a3, a3, 3 + bnez x29, test7 + + +//Test 8 SC on discarded entries should fail + /* li x28, 8 + li a0, 0x10000018 + li a1, 120 + li a2, 121 + li a3, 122 + lw a5, 0(a0) + sc.w a2, a1, (a0) + li a4, 1 + bne a2, a4, fail + lw a4, 0(a0) + bne a5, a4, fail*/ + + +test9: //Test 9 SC should pass after a context switching + li x28, 9 + li a0, 0x10000100 + li a1, 123 + li a2, 124 + li a3, 125 + sw a3, 0(a0) + lr.w a5, (a0) + scall + sc.w a5, a1, (a0) + li a4, 0 + bne a5, a4, fail + lw a4, 0(a0) + bne a1, a4, fail + call flush + lw a4, 0(a0) + bne a1, a4, fail + + + +//Test 10 SC should fail if the address doesn't match + /* li x28, 10 + li a0, 0x10000200 + li a6, 0x10000204 + li a1, 126 + li a2, 127 + li a3, 128 + li a7, 129 + sw a3, 0(a0) + sw a7, 0(a6) + lr.w a5, (a6) + sc.w a2, a1, (a0) + li a4, 1 + bne a2, a4, fail + lw a4, 0(a6) + bne a7, a4, fail*/ + + + j pass + + +fail: //x28 => error code + li x2, 0xF00FFF24 + sw x28, 0(x2) + +pass: + li x2, 0xF00FFF20 + sw x0, 0(x2) + + + + nop + nop + nop + nop + nop + nop diff --git a/VexRiscv/src/test/cpp/raw/lrsc/src/ld b/VexRiscv/src/test/cpp/raw/lrsc/src/ld new file mode 100644 index 0000000..93d8de8 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/lrsc/src/ld @@ -0,0 +1,16 @@ +OUTPUT_ARCH( "riscv" ) + +MEMORY { + onChipRam (W!RX)/*(RX)*/ : ORIGIN = 0x80000000, LENGTH = 128K +} + +SECTIONS +{ + + .crt_section : + { + . = ALIGN(4); + *crt.o(.text) + } > onChipRam + +} diff --git a/VexRiscv/src/test/cpp/raw/machineCsr/.gitignore b/VexRiscv/src/test/cpp/raw/machineCsr/.gitignore new file mode 100644 index 0000000..c12cb2c --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/machineCsr/.gitignore @@ -0,0 +1,4 @@ +*.map +*.v +*.elf +*.o \ No newline at end of file diff --git a/VexRiscv/src/test/cpp/raw/machineCsr/build/machineCsr.asm b/VexRiscv/src/test/cpp/raw/machineCsr/build/machineCsr.asm new file mode 100644 index 0000000..679be70 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/machineCsr/build/machineCsr.asm @@ -0,0 +1,155 @@ + +build/machineCsr.elf: file format elf32-littleriscv + + +Disassembly of section .crt_section: + +80000000 : +80000000: 0940006f j 80000094 <_start> +80000004: 00000013 nop +80000008: 00000013 nop +8000000c: 00000013 nop +80000010: 00000013 nop +80000014: 00000013 nop +80000018: 00000013 nop +8000001c: 00000013 nop + +80000020 : +80000020: 34202e73 csrr t3,mcause +80000024: 000e1e63 bnez t3,80000040 +80000028: ffc00f13 li t5,-4 +8000002c: 34102ef3 csrr t4,mepc +80000030: 01eefeb3 and t4,t4,t5 +80000034: 004e8e93 addi t4,t4,4 +80000038: 341e9073 csrw mepc,t4 +8000003c: 01c0006f j 80000058 + +80000040 : +80000040: 80000eb7 lui t4,0x80000 +80000044: 01de7f33 and t5,t3,t4 +80000048: 000f1863 bnez t5,80000058 +8000004c: 34102ef3 csrr t4,mepc +80000050: 004e8e93 addi t4,t4,4 # 80000004 +80000054: 341e9073 csrw mepc,t4 + +80000058 : +80000058: 80000eb7 lui t4,0x80000 +8000005c: 003e8e93 addi t4,t4,3 # 80000003 +80000060: 01ce9863 bne t4,t3,80000070 +80000064: f0013c37 lui s8,0xf0013 +80000068: 00000c93 li s9,0 +8000006c: 019c2023 sw s9,0(s8) # f0013000 + +80000070 : +80000070: 80000eb7 lui t4,0x80000 +80000074: 007e8e93 addi t4,t4,7 # 80000007 +80000078: 01ce9463 bne t4,t3,80000080 +8000007c: 30405073 csrwi mie,0 + +80000080 : +80000080: 80000eb7 lui t4,0x80000 +80000084: 00be8e93 addi t4,t4,11 # 8000000b +80000088: 01ce9463 bne t4,t3,80000090 +8000008c: 30405073 csrwi mie,0 + +80000090 : +80000090: 30200073 mret + +80000094 <_start>: +80000094: 00100e13 li t3,1 +80000098: 00000073 ecall +8000009c: 00200e13 li t3,2 +800000a0: 00800293 li t0,8 +800000a4: 3002a073 csrs mstatus,t0 +800000a8: 00800293 li t0,8 +800000ac: 30429073 csrw mie,t0 +800000b0: f0013c37 lui s8,0xf0013 +800000b4: 00100c93 li s9,1 +800000b8: 019c2023 sw s9,0(s8) # f0013000 +800000bc: 00000013 nop +800000c0: 00000013 nop +800000c4: 00000013 nop +800000c8: 00000013 nop +800000cc: 00000013 nop +800000d0: 00000013 nop +800000d4: 00000013 nop +800000d8: 00000013 nop +800000dc: 00000013 nop +800000e0: 00000013 nop +800000e4: 00000013 nop +800000e8: 00000013 nop +800000ec: 00300e13 li t3,3 +800000f0: 08000293 li t0,128 +800000f4: 30429073 csrw mie,t0 +800000f8: 00000013 nop +800000fc: 00000013 nop +80000100: 00000013 nop +80000104: 00000013 nop +80000108: 00000013 nop +8000010c: 00000013 nop +80000110: 00000013 nop +80000114: 00400e13 li t3,4 +80000118: 000012b7 lui t0,0x1 +8000011c: 80028293 addi t0,t0,-2048 # 800 +80000120: 30429073 csrw mie,t0 +80000124: 00000013 nop +80000128: 00000013 nop +8000012c: 00000013 nop +80000130: 00000013 nop +80000134: 00000013 nop +80000138: 00000013 nop +8000013c: 00000013 nop +80000140: 00500e13 li t3,5 +80000144: f01001b7 lui gp,0xf0100 +80000148: f4018193 addi gp,gp,-192 # f00fff40 +8000014c: 0001a203 lw tp,0(gp) +80000150: 0041a283 lw t0,4(gp) +80000154: 3ff20213 addi tp,tp,1023 # 3ff +80000158: 0041a423 sw tp,8(gp) +8000015c: 0051a623 sw t0,12(gp) +80000160: 00000013 nop +80000164: 00000013 nop +80000168: 00000013 nop +8000016c: 00000013 nop +80000170: 00000013 nop +80000174: 00000013 nop +80000178: 00000013 nop +8000017c: 00000013 nop +80000180: 00000013 nop +80000184: 00000013 nop +80000188: 00000013 nop +8000018c: 00000013 nop +80000190: 00000013 nop +80000194: 00000013 nop +80000198: 00600e13 li t3,6 +8000019c: 08000213 li tp,128 +800001a0: 30421073 csrw mie,tp +800001a4: 00700e13 li t3,7 +800001a8: 10500073 wfi +800001ac: 00800e13 li t3,8 +800001b0: 00100193 li gp,1 +800001b4: 0041a023 sw tp,0(gp) +800001b8: 00900e13 li t3,9 +800001bc: 00419023 sh tp,0(gp) +800001c0: 00a00e13 li t3,10 +800001c4: 0001a203 lw tp,0(gp) +800001c8: 00b00e13 li t3,11 +800001cc: 00019203 lh tp,0(gp) +800001d0: 00c00e13 li t3,12 +800001d4: 00d00e13 li t3,13 +800001d8: 00002083 lw ra,0(zero) # 0 + +800001dc : +800001dc: 0020006f j 800001de +800001e0: 00002083 lw ra,0(zero) # 0 +800001e4: 00e00e13 li t3,14 +800001e8: 20200073 hret +800001ec: 00f00e13 li t3,15 +800001f0: f01000b7 lui ra,0xf0100 +800001f4: f6008093 addi ra,ra,-160 # f00fff60 +800001f8: 0000a103 lw sp,0(ra) +800001fc: 01000e13 li t3,16 +80000200: 0020a023 sw sp,0(ra) +80000204: 01100e13 li t3,17 +80000208: 00008067 ret + ... diff --git a/VexRiscv/src/test/cpp/raw/machineCsr/build/machineCsr.hex b/VexRiscv/src/test/cpp/raw/machineCsr/build/machineCsr.hex new file mode 100644 index 0000000..d6c33e7 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/machineCsr/build/machineCsr.hex @@ -0,0 +1,37 @@ +:0200000480007A +:100000006F004009130000001300000013000000FF +:100010001300000013000000130000001300000094 +:10002000732E2034631E0E00130FC0FFF32E103406 +:10003000B3FEEE01938E4E0073901E346F00C0012C +:10004000B70E0080337FDE0163180F00F32E1034EB +:10005000938E4E0073901E34B70E0080938E3E0038 +:100060006398CE01373C01F0930C000023209C01E3 +:10007000B70E0080938E7E006394CE0173504030A3 +:10008000B70E0080938EBE006394CE017350403053 +:1000900073002030130E100073000000130E2000B8 +:1000A0009302800073A0023093028000739042306C +:1000B000373C01F0930C100023209C01130000003A +:1000C00013000000130000001300000013000000E4 +:1000D00013000000130000001300000013000000D4 +:1000E000130000001300000013000000130E300086 +:1000F00093020008739042301300000013000000C8 +:1001000013000000130000001300000013000000A3 +:1001100013000000130E4000B7120000938202800B +:100120007390423013000000130000001300000021 +:100130001300000013000000130000001300000073 +:10014000130E5000B70110F0938101F403A20100D7 +:1001500083A241001302F23F23A4410023A65100D1 +:100160001300000013000000130000001300000043 +:100170001300000013000000130000001300000033 +:100180001300000013000000130000001300000023 +:100190001300000013000000130E6000130200089B +:1001A00073104230130E700073005010130E800055 +:1001B0009301100023A04100130E900023904100F2 +:1001C000130EA00003A20100130EB0000392010061 +:1001D000130EC000130ED000832000006F0020001B +:1001E00083200000130EE00073002020130EF000A7 +:1001F000B70010F0938000F603A10000130E000179 +:1002000023A02000130E10016780000000000000F2 +:1002100000000000000000000000000000000000DE +:0400000580000094E3 +:00000001FF diff --git a/VexRiscv/src/test/cpp/raw/machineCsr/build/machineCsrCompressed.asm b/VexRiscv/src/test/cpp/raw/machineCsr/build/machineCsrCompressed.asm new file mode 100644 index 0000000..097f4e3 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/machineCsr/build/machineCsrCompressed.asm @@ -0,0 +1,155 @@ + +build/machineCsrCompressed.elf: file format elf32-littleriscv + + +Disassembly of section .crt_section: + +80000000 : +80000000: 0940006f j 80000094 <_start> +80000004: 00000013 nop +80000008: 00000013 nop +8000000c: 00000013 nop +80000010: 00000013 nop +80000014: 00000013 nop +80000018: 00000013 nop +8000001c: 00000013 nop + +80000020 : +80000020: 34202e73 csrr t3,mcause +80000024: 000e1e63 bnez t3,80000040 +80000028: ffc00f13 li t5,-4 +8000002c: 34102ef3 csrr t4,mepc +80000030: 01eefeb3 and t4,t4,t5 +80000034: 004e8e93 addi t4,t4,4 +80000038: 341e9073 csrw mepc,t4 +8000003c: 01c0006f j 80000058 + +80000040 : +80000040: 80000eb7 lui t4,0x80000 +80000044: 01de7f33 and t5,t3,t4 +80000048: 000f1863 bnez t5,80000058 +8000004c: 34102ef3 csrr t4,mepc +80000050: 004e8e93 addi t4,t4,4 # 80000004 +80000054: 341e9073 csrw mepc,t4 + +80000058 : +80000058: 80000eb7 lui t4,0x80000 +8000005c: 003e8e93 addi t4,t4,3 # 80000003 +80000060: 01ce9863 bne t4,t3,80000070 +80000064: f0013c37 lui s8,0xf0013 +80000068: 00000c93 li s9,0 +8000006c: 019c2023 sw s9,0(s8) # f0013000 + +80000070 : +80000070: 80000eb7 lui t4,0x80000 +80000074: 007e8e93 addi t4,t4,7 # 80000007 +80000078: 01ce9463 bne t4,t3,80000080 +8000007c: 30405073 csrwi mie,0 + +80000080 : +80000080: 80000eb7 lui t4,0x80000 +80000084: 00be8e93 addi t4,t4,11 # 8000000b +80000088: 01ce9463 bne t4,t3,80000090 +8000008c: 30405073 csrwi mie,0 + +80000090 : +80000090: 30200073 mret + +80000094 <_start>: +80000094: 00100e13 li t3,1 +80000098: 00000073 ecall +8000009c: 00200e13 li t3,2 +800000a0: 00800293 li t0,8 +800000a4: 3002a073 csrs mstatus,t0 +800000a8: 00800293 li t0,8 +800000ac: 30429073 csrw mie,t0 +800000b0: f0013c37 lui s8,0xf0013 +800000b4: 00100c93 li s9,1 +800000b8: 019c2023 sw s9,0(s8) # f0013000 +800000bc: 00000013 nop +800000c0: 00000013 nop +800000c4: 00000013 nop +800000c8: 00000013 nop +800000cc: 00000013 nop +800000d0: 00000013 nop +800000d4: 00000013 nop +800000d8: 00000013 nop +800000dc: 00000013 nop +800000e0: 00000013 nop +800000e4: 00000013 nop +800000e8: 00000013 nop +800000ec: 00300e13 li t3,3 +800000f0: 08000293 li t0,128 +800000f4: 30429073 csrw mie,t0 +800000f8: 00000013 nop +800000fc: 00000013 nop +80000100: 00000013 nop +80000104: 00000013 nop +80000108: 00000013 nop +8000010c: 00000013 nop +80000110: 00000013 nop +80000114: 00400e13 li t3,4 +80000118: 000012b7 lui t0,0x1 +8000011c: 80028293 addi t0,t0,-2048 # 800 +80000120: 30429073 csrw mie,t0 +80000124: 00000013 nop +80000128: 00000013 nop +8000012c: 00000013 nop +80000130: 00000013 nop +80000134: 00000013 nop +80000138: 00000013 nop +8000013c: 00000013 nop +80000140: 00500e13 li t3,5 +80000144: f01001b7 lui gp,0xf0100 +80000148: f4018193 addi gp,gp,-192 # f00fff40 +8000014c: 0001a203 lw tp,0(gp) +80000150: 0041a283 lw t0,4(gp) +80000154: 3ff20213 addi tp,tp,1023 # 3ff +80000158: 0041a423 sw tp,8(gp) +8000015c: 0051a623 sw t0,12(gp) +80000160: 00000013 nop +80000164: 00000013 nop +80000168: 00000013 nop +8000016c: 00000013 nop +80000170: 00000013 nop +80000174: 00000013 nop +80000178: 00000013 nop +8000017c: 00000013 nop +80000180: 00000013 nop +80000184: 00000013 nop +80000188: 00000013 nop +8000018c: 00000013 nop +80000190: 00000013 nop +80000194: 00000013 nop +80000198: 00600e13 li t3,6 +8000019c: 08000213 li tp,128 +800001a0: 30421073 csrw mie,tp +800001a4: 00700e13 li t3,7 +800001a8: 10500073 wfi +800001ac: 00800e13 li t3,8 +800001b0: 00100193 li gp,1 +800001b4: 0041a023 sw tp,0(gp) +800001b8: 00900e13 li t3,9 +800001bc: 00419023 sh tp,0(gp) +800001c0: 00a00e13 li t3,10 +800001c4: 0001a203 lw tp,0(gp) +800001c8: 00b00e13 li t3,11 +800001cc: 00019203 lh tp,0(gp) +800001d0: 00c00e13 li t3,12 +800001d4: 00d00e13 li t3,13 +800001d8: 00002083 lw ra,0(zero) # 0 + +800001dc : +800001dc: 0020006f j 800001de +800001e0: 00002083 lw ra,0(zero) # 0 +800001e4: 00e00e13 li t3,14 +800001e8: 20200073 hret +800001ec: 00f00e13 li t3,15 +800001f0: f01000b7 lui ra,0xf0100 +800001f4: f6008093 addi ra,ra,-160 # f00fff60 +800001f8: 0000a103 lw sp,0(ra) +800001fc: 01000e13 li t3,16 +80000200: 0020a023 sw sp,0(ra) +80000204: 01100e13 li t3,17 +80000208: 00008067 ret + ... diff --git a/VexRiscv/src/test/cpp/raw/machineCsr/build/machineCsrCompressed.hex b/VexRiscv/src/test/cpp/raw/machineCsr/build/machineCsrCompressed.hex new file mode 100644 index 0000000..d6c33e7 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/machineCsr/build/machineCsrCompressed.hex @@ -0,0 +1,37 @@ +:0200000480007A +:100000006F004009130000001300000013000000FF +:100010001300000013000000130000001300000094 +:10002000732E2034631E0E00130FC0FFF32E103406 +:10003000B3FEEE01938E4E0073901E346F00C0012C +:10004000B70E0080337FDE0163180F00F32E1034EB +:10005000938E4E0073901E34B70E0080938E3E0038 +:100060006398CE01373C01F0930C000023209C01E3 +:10007000B70E0080938E7E006394CE0173504030A3 +:10008000B70E0080938EBE006394CE017350403053 +:1000900073002030130E100073000000130E2000B8 +:1000A0009302800073A0023093028000739042306C +:1000B000373C01F0930C100023209C01130000003A +:1000C00013000000130000001300000013000000E4 +:1000D00013000000130000001300000013000000D4 +:1000E000130000001300000013000000130E300086 +:1000F00093020008739042301300000013000000C8 +:1001000013000000130000001300000013000000A3 +:1001100013000000130E4000B7120000938202800B +:100120007390423013000000130000001300000021 +:100130001300000013000000130000001300000073 +:10014000130E5000B70110F0938101F403A20100D7 +:1001500083A241001302F23F23A4410023A65100D1 +:100160001300000013000000130000001300000043 +:100170001300000013000000130000001300000033 +:100180001300000013000000130000001300000023 +:100190001300000013000000130E6000130200089B +:1001A00073104230130E700073005010130E800055 +:1001B0009301100023A04100130E900023904100F2 +:1001C000130EA00003A20100130EB0000392010061 +:1001D000130EC000130ED000832000006F0020001B +:1001E00083200000130EE00073002020130EF000A7 +:1001F000B70010F0938000F603A10000130E000179 +:1002000023A02000130E10016780000000000000F2 +:1002100000000000000000000000000000000000DE +:0400000580000094E3 +:00000001FF diff --git a/VexRiscv/src/test/cpp/raw/machineCsr/makefile b/VexRiscv/src/test/cpp/raw/machineCsr/makefile new file mode 100644 index 0000000..4fc2c84 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/machineCsr/makefile @@ -0,0 +1,11 @@ +ifeq ($(COMPRESSED),yes) + PROJ_NAME=machineCsrCompressed + CFLAGS=-DCOMPRESSED +else + PROJ_NAME=machineCsr +endif + + + + +include ../common/asm.mk \ No newline at end of file diff --git a/VexRiscv/src/test/cpp/raw/machineCsr/src/crt.S b/VexRiscv/src/test/cpp/raw/machineCsr/src/crt.S new file mode 100644 index 0000000..91429db --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/machineCsr/src/crt.S @@ -0,0 +1,157 @@ + j _start + +#define writeSoftwareInterrupt(value) \ + li x24, 0xF0013000; \ + li x25, value; \ + sw x25, 0(x24); \ + +.align 5 +.global trap_entry +trap_entry: + csrr x28, mcause + + bnez x28, notICmdAlignementException + li x30, 0xFFFFFFFC + csrr x29, mepc + and x29,x29,x30 + addi x29, x29, 4 + csrw mepc, x29 + j mepcFixed + +notICmdAlignementException: + li x29, 0x80000000 + and x30, x28, x29 + bnez x30, mepcFixed + csrr x29, mepc + addi x29, x29, 4 + csrw mepc, x29 +mepcFixed: + + + li x29, 0x80000003u + bne x29, x28, noSoftwareInterrupt + writeSoftwareInterrupt(0) + +noSoftwareInterrupt: + + li x29, 0x80000007u + bne x29, x28, noTimerInterrupt + csrw mie, 0 +noTimerInterrupt: + + li x29, 0x8000000bu + bne x29, x28, noExernalInterrupt + csrw mie, 0 +noExernalInterrupt: + + mret + + + .text + .globl _start +_start: + li x28, 1 + ecall + + li x28, 2 + li t0, 0x008 + csrs mstatus,t0 + li t0, 0x008 + csrw mie,t0 + writeSoftwareInterrupt(1) + nop + nop + nop + nop + nop + nop + nop + nop + nop + nop + nop + nop + + + li x28, 3 + li t0, 0x080 + csrw mie,t0 + nop + nop + nop + nop + nop + nop + nop + + li x28, 4 + li t0, 0x800 + csrw mie,t0 + nop + nop + nop + nop + nop + nop + nop + + li x28, 5 + li x3, 0xF00FFF40 + lw x4, 0(x3) + lw x5, 4(x3) + addi x4, x4, 1023 + sw x4, 8(x3) + sw x5, 12(x3) + nop + nop + nop + nop + nop + nop + nop + nop + nop + nop + nop + nop + nop + nop + li x28, 6 + li x4, 0x080 + csrw mie,x4 + li x28, 7 + wfi + + + li x28, 8 + li x3, 1 + sw x4,0(x3) + li x28, 9 + sh x4,0(x3) + li x28, 10 + lw x4,0(x3) + li x28, 11 + lh x4,0(x3) + li x28, 12 + + + + li x28, 13 + lw x1,0(x0) +#ifndef COMPRESSED +unalignedPcA: + j unalignedPcA+2 +#endif + lw x1,0(x0) + + li x28, 14 + hret + li x28, 15 + + + li x1, 0xF00FFF60 + lw x2, 0(x1) + li x28, 16 + sw x2, 0(x1) + li x28, 17 + jr x1 diff --git a/VexRiscv/src/test/cpp/raw/machineCsr/src/ld b/VexRiscv/src/test/cpp/raw/machineCsr/src/ld new file mode 100644 index 0000000..93d8de8 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/machineCsr/src/ld @@ -0,0 +1,16 @@ +OUTPUT_ARCH( "riscv" ) + +MEMORY { + onChipRam (W!RX)/*(RX)*/ : ORIGIN = 0x80000000, LENGTH = 128K +} + +SECTIONS +{ + + .crt_section : + { + . = ALIGN(4); + *crt.o(.text) + } > onChipRam + +} diff --git a/VexRiscv/src/test/cpp/raw/mmu/.gitignore b/VexRiscv/src/test/cpp/raw/mmu/.gitignore new file mode 100644 index 0000000..c12cb2c --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/mmu/.gitignore @@ -0,0 +1,4 @@ +*.map +*.v +*.elf +*.o \ No newline at end of file diff --git a/VexRiscv/src/test/cpp/raw/mmu/build/mmu.asm b/VexRiscv/src/test/cpp/raw/mmu/build/mmu.asm new file mode 100644 index 0000000..9bbf17f --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/mmu/build/mmu.asm @@ -0,0 +1,12430 @@ + +build/mmu.elf: file format elf32-littleriscv + + +Disassembly of section .crt_section: + +80000000 <_start>: +80000000: 00000e93 li t4,0 +80000004: 00000097 auipc ra,0x0 +80000008: 59008093 addi ra,ra,1424 # 80000594 +8000000c: 30509073 csrw mtvec,ra + +80000010 : +80000010: 00100e13 li t3,1 +80000014: 00007097 auipc ra,0x7 +80000018: fec08093 addi ra,ra,-20 # 80007000 +8000001c: 27262137 lui sp,0x27262 +80000020: 52410113 addi sp,sp,1316 # 27262524 <_start-0x58d9dadc> +80000024: 0040a083 lw ra,4(ra) +80000028: 54209263 bne ra,sp,8000056c + +8000002c : +8000002c: 00200e13 li t3,2 +80000030: 00000097 auipc ra,0x0 +80000034: 02008093 addi ra,ra,32 # 80000050 +80000038: 34109073 csrw mepc,ra +8000003c: 000020b7 lui ra,0x2 +80000040: 80008093 addi ra,ra,-2048 # 1800 <_start-0x7fffe800> +80000044: 30009073 csrw mstatus,ra +80000048: 30200073 mret +8000004c: 5200006f j 8000056c + +80000050 : +80000050: 00300e13 li t3,3 +80000054: 000010b7 lui ra,0x1 +80000058: 80008093 addi ra,ra,-2048 # 800 <_start-0x7ffff800> +8000005c: 30009073 csrw mstatus,ra +80000060: 00000097 auipc ra,0x0 +80000064: 01408093 addi ra,ra,20 # 80000074 +80000068: 34109073 csrw mepc,ra +8000006c: 30200073 mret +80000070: 4fc0006f j 8000056c + +80000074 : +80000074: 00400e13 li t3,4 +80000078: 00008097 auipc ra,0x8 +8000007c: f8808093 addi ra,ra,-120 # 80008000 +80000080: 37363137 lui sp,0x37363 +80000084: 53410113 addi sp,sp,1332 # 37363534 <_start-0x48c9cacc> +80000088: 0040a083 lw ra,4(ra) +8000008c: 4e209063 bne ra,sp,8000056c + +80000090 : +80000090: 00500e13 li t3,5 +80000094: 00001097 auipc ra,0x1 +80000098: 76c08093 addi ra,ra,1900 # 80001800 +8000009c: 00002117 auipc sp,0x2 +800000a0: f6410113 addi sp,sp,-156 # 80002000 +800000a4: 00215113 srli sp,sp,0x2 +800000a8: 01116113 ori sp,sp,17 +800000ac: 0020a023 sw sp,0(ra) +800000b0: 00002097 auipc ra,0x2 +800000b4: f5008093 addi ra,ra,-176 # 80002000 +800000b8: 80000137 lui sp,0x80000 +800000bc: 00215113 srli sp,sp,0x2 +800000c0: 00f16113 ori sp,sp,15 +800000c4: 0020a023 sw sp,0(ra) +800000c8: 00500e13 li t3,5 +800000cc: 00002097 auipc ra,0x2 +800000d0: 83408093 addi ra,ra,-1996 # 80001900 +800000d4: 00003117 auipc sp,0x3 +800000d8: f2c10113 addi sp,sp,-212 # 80003000 +800000dc: 00215113 srli sp,sp,0x2 +800000e0: 01116113 ori sp,sp,17 +800000e4: 0020a023 sw sp,0(ra) +800000e8: 00003097 auipc ra,0x3 +800000ec: f4008093 addi ra,ra,-192 # 80003028 +800000f0: 00009117 auipc sp,0x9 +800000f4: f1010113 addi sp,sp,-240 # 80009000 +800000f8: 00215113 srli sp,sp,0x2 +800000fc: 01f16113 ori sp,sp,31 +80000100: 0020a023 sw sp,0(ra) +80000104: 00003097 auipc ra,0x3 +80000108: f3c08093 addi ra,ra,-196 # 80003040 +8000010c: 0000a117 auipc sp,0xa +80000110: ef410113 addi sp,sp,-268 # 8000a000 +80000114: 00215113 srli sp,sp,0x2 +80000118: 01316113 ori sp,sp,19 +8000011c: 0020a023 sw sp,0(ra) +80000120: 00003097 auipc ra,0x3 +80000124: f2408093 addi ra,ra,-220 # 80003044 +80000128: 0000a117 auipc sp,0xa +8000012c: ed810113 addi sp,sp,-296 # 8000a000 +80000130: 00215113 srli sp,sp,0x2 +80000134: 01716113 ori sp,sp,23 +80000138: 0020a023 sw sp,0(ra) +8000013c: 00003097 auipc ra,0x3 +80000140: f0c08093 addi ra,ra,-244 # 80003048 +80000144: 0000a117 auipc sp,0xa +80000148: ebc10113 addi sp,sp,-324 # 8000a000 +8000014c: 00215113 srli sp,sp,0x2 +80000150: 01916113 ori sp,sp,25 +80000154: 0020a023 sw sp,0(ra) +80000158: 00003097 auipc ra,0x3 +8000015c: ef408093 addi ra,ra,-268 # 8000304c +80000160: 0000a117 auipc sp,0xa +80000164: ea010113 addi sp,sp,-352 # 8000a000 +80000168: 00215113 srli sp,sp,0x2 +8000016c: 01b16113 ori sp,sp,27 +80000170: 0020a023 sw sp,0(ra) +80000174: 00003097 auipc ra,0x3 +80000178: edc08093 addi ra,ra,-292 # 80003050 +8000017c: 0000a117 auipc sp,0xa +80000180: e8410113 addi sp,sp,-380 # 8000a000 +80000184: 00215113 srli sp,sp,0x2 +80000188: 00f16113 ori sp,sp,15 +8000018c: 0020a023 sw sp,0(ra) +80000190: 00500e13 li t3,5 +80000194: 00002097 auipc ra,0x2 +80000198: 86c08093 addi ra,ra,-1940 # 80001a00 +8000019c: 00000117 auipc sp,0x0 +800001a0: e6410113 addi sp,sp,-412 # 80000000 <_start> +800001a4: 00215113 srli sp,sp,0x2 +800001a8: 01f16113 ori sp,sp,31 +800001ac: 0020a023 sw sp,0(ra) +800001b0: 00500e13 li t3,5 +800001b4: 00002097 auipc ra,0x2 +800001b8: 94c08093 addi ra,ra,-1716 # 80001b00 +800001bc: 0000a023 sw zero,0(ra) +800001c0: 000400b7 lui ra,0x40 +800001c4: 1000a073 csrs sstatus,ra +800001c8: 00001097 auipc ra,0x1 +800001cc: e3808093 addi ra,ra,-456 # 80001000 +800001d0: 00c0d093 srli ra,ra,0xc +800001d4: 80000137 lui sp,0x80000 +800001d8: 0020e0b3 or ra,ra,sp +800001dc: 18009073 csrw satp,ra + +800001e0 : +800001e0: 00600e13 li t3,6 +800001e4: 9000a0b7 lui ra,0x9000a +800001e8: 00808093 addi ra,ra,8 # 9000a008 +800001ec: 4b4a5137 lui sp,0x4b4a5 +800001f0: 94810113 addi sp,sp,-1720 # 4b4a4948 <_start-0x34b5b6b8> +800001f4: 0000a083 lw ra,0(ra) +800001f8: 36209a63 bne ra,sp,8000056c + +800001fc : +800001fc: 00700e13 li t3,7 +80000200: 9000a0b7 lui ra,0x9000a +80000204: 36008093 addi ra,ra,864 # 9000a360 +80000208: aaee0137 lui sp,0xaaee0 +8000020c: 00110113 addi sp,sp,1 # aaee0001 +80000210: 0020a023 sw sp,0(ra) +80000214: 0000a083 lw ra,0(ra) +80000218: 34209a63 bne ra,sp,8000056c + +8000021c : +8000021c: 00800e13 li t3,8 +80000220: 2000c097 auipc ra,0x2000c +80000224: de408093 addi ra,ra,-540 # a000c004 +80000228: 77767137 lui sp,0x77767 +8000022c: 57410113 addi sp,sp,1396 # 77767574 <_start-0x8898a8c> +80000230: 0000a083 lw ra,0(ra) +80000234: 32209c63 bne ra,sp,8000056c + +80000238 : +80000238: 00900e13 li t3,9 +8000023c: a000a0b7 lui ra,0xa000a +80000240: 36008093 addi ra,ra,864 # a000a360 +80000244: aaee0137 lui sp,0xaaee0 +80000248: 00210113 addi sp,sp,2 # aaee0002 +8000024c: 0020a023 sw sp,0(ra) +80000250: 0000a083 lw ra,0(ra) +80000254: 30209c63 bne ra,sp,8000056c + +80000258 : +80000258: 00a00e13 li t3,10 +8000025c: 18005073 csrwi satp,0 +80000260: 00009097 auipc ra,0x9 +80000264: 10008093 addi ra,ra,256 # 80009360 +80000268: aaee0137 lui sp,0xaaee0 +8000026c: 00110113 addi sp,sp,1 # aaee0001 +80000270: 0000a083 lw ra,0(ra) +80000274: 2e209c63 bne ra,sp,8000056c + +80000278 : +80000278: 00b00e13 li t3,11 +8000027c: 0000a097 auipc ra,0xa +80000280: 0e408093 addi ra,ra,228 # 8000a360 +80000284: aaee0137 lui sp,0xaaee0 +80000288: 00210113 addi sp,sp,2 # aaee0002 +8000028c: 0000a083 lw ra,0(ra) +80000290: 2c209e63 bne ra,sp,8000056c +80000294: 00001097 auipc ra,0x1 +80000298: d6c08093 addi ra,ra,-660 # 80001000 +8000029c: 00c0d093 srli ra,ra,0xc +800002a0: 80000137 lui sp,0x80000 +800002a4: 0020e0b3 or ra,ra,sp +800002a8: 18009073 csrw satp,ra + +800002ac : +800002ac: 00c00e13 li t3,12 +800002b0: 00100e93 li t4,1 +800002b4: 00000f17 auipc t5,0x0 +800002b8: 010f0f13 addi t5,t5,16 # 800002c4 +800002bc: 00000073 ecall +800002c0: 2ac0006f j 8000056c + +800002c4 : +800002c4: 00d00e13 li t3,13 +800002c8: 00000f17 auipc t5,0x0 +800002cc: 014f0f13 addi t5,t5,20 # 800002dc +800002d0: b00000b7 lui ra,0xb0000 +800002d4: 0080a083 lw ra,8(ra) # b0000008 +800002d8: 2940006f j 8000056c + +800002dc : +800002dc: 00e00e13 li t3,14 +800002e0: 00000f17 auipc t5,0x0 +800002e4: 014f0f13 addi t5,t5,20 # 800002f4 +800002e8: b00000b7 lui ra,0xb0000 +800002ec: 0010a423 sw ra,8(ra) # b0000008 +800002f0: 27c0006f j 8000056c + +800002f4 : +800002f4: 00f00e13 li t3,15 +800002f8: 00000f17 auipc t5,0x0 +800002fc: 014f0f13 addi t5,t5,20 # 8000030c +80000300: b00000b7 lui ra,0xb0000 +80000304: 00008067 ret +80000308: 2640006f j 8000056c + +8000030c : +8000030c: 01000e13 li t3,16 +80000310: 00000e93 li t4,0 +80000314: 900100b7 lui ra,0x90010 +80000318: 00808093 addi ra,ra,8 # 90010008 +8000031c: 5b5a6137 lui sp,0x5b5a6 +80000320: 95810113 addi sp,sp,-1704 # 5b5a5958 <_start-0x24a5a6a8> +80000324: 0000a083 lw ra,0(ra) +80000328: 24209263 bne ra,sp,8000056c +8000032c: 900110b7 lui ra,0x90011 +80000330: 00808093 addi ra,ra,8 # 90011008 +80000334: 5b5a6137 lui sp,0x5b5a6 +80000338: 95810113 addi sp,sp,-1704 # 5b5a5958 <_start-0x24a5a6a8> +8000033c: 0000a083 lw ra,0(ra) +80000340: 22209663 bne ra,sp,8000056c +80000344: 900130b7 lui ra,0x90013 +80000348: 00808093 addi ra,ra,8 # 90013008 +8000034c: 5b5a6137 lui sp,0x5b5a6 +80000350: 95810113 addi sp,sp,-1704 # 5b5a5958 <_start-0x24a5a6a8> +80000354: 0000a083 lw ra,0(ra) +80000358: 20209a63 bne ra,sp,8000056c + +8000035c : +8000035c: 01100e13 li t3,17 +80000360: 900110b7 lui ra,0x90011 +80000364: 36008093 addi ra,ra,864 # 90011360 +80000368: aaee0137 lui sp,0xaaee0 +8000036c: 00310113 addi sp,sp,3 # aaee0003 +80000370: 0020a023 sw sp,0(ra) +80000374: 0000a083 lw ra,0(ra) +80000378: 1e209a63 bne ra,sp,8000056c + +8000037c : +8000037c: 01200e13 li t3,18 +80000380: 00000097 auipc ra,0x0 +80000384: 01808093 addi ra,ra,24 # 80000398 +80000388: 90012137 lui sp,0x90012 +8000038c: 01010113 addi sp,sp,16 # 90012010 +80000390: 00010067 jr sp +80000394: 1d80006f j 8000056c + +80000398 : +80000398: 01300e13 li t3,19 +8000039c: 00100e93 li t4,1 +800003a0: 00000f17 auipc t5,0x0 +800003a4: 018f0f13 addi t5,t5,24 # 800003b8 +800003a8: 900120b7 lui ra,0x90012 +800003ac: 01008093 addi ra,ra,16 # 90012010 +800003b0: 0000a083 lw ra,0(ra) +800003b4: 1b80006f j 8000056c + +800003b8 : +800003b8: 00000f17 auipc t5,0x0 +800003bc: 018f0f13 addi t5,t5,24 # 800003d0 +800003c0: 900130b7 lui ra,0x90013 +800003c4: 01008093 addi ra,ra,16 # 90013010 +800003c8: 0010a023 sw ra,0(ra) +800003cc: 1a00006f j 8000056c + +800003d0 : +800003d0: 00000f17 auipc t5,0x0 +800003d4: 018f0f13 addi t5,t5,24 # 800003e8 +800003d8: 900110b7 lui ra,0x90011 +800003dc: 01008093 addi ra,ra,16 # 90011010 +800003e0: 00008067 ret +800003e4: 1880006f j 8000056c + +800003e8 : +800003e8: 01500e13 li t3,21 +800003ec: 00000e93 li t4,0 +800003f0: 000800b7 lui ra,0x80 +800003f4: 1000a073 csrs sstatus,ra +800003f8: 900120b7 lui ra,0x90012 +800003fc: 00808093 addi ra,ra,8 # 90012008 +80000400: 5b5a6137 lui sp,0x5b5a6 +80000404: 95810113 addi sp,sp,-1704 # 5b5a5958 <_start-0x24a5a6a8> +80000408: 0000a083 lw ra,0(ra) +8000040c: 16209063 bne ra,sp,8000056c +80000410: 000800b7 lui ra,0x80 +80000414: 1000b073 csrc sstatus,ra + +80000418 : +80000418: 00000e93 li t4,0 +8000041c: 01400e13 li t3,20 +80000420: 900140b7 lui ra,0x90014 +80000424: 38008093 addi ra,ra,896 # 90014380 +80000428: aaee0137 lui sp,0xaaee0 +8000042c: 00510113 addi sp,sp,5 # aaee0005 +80000430: 0020a023 sw sp,0(ra) +80000434: 0000a083 lw ra,0(ra) +80000438: 12209a63 bne ra,sp,8000056c +8000043c: 000400b7 lui ra,0x40 +80000440: 1000b073 csrc sstatus,ra +80000444: 00100e93 li t4,1 +80000448: 00000f17 auipc t5,0x0 +8000044c: 018f0f13 addi t5,t5,24 # 80000460 +80000450: 900110b7 lui ra,0x90011 +80000454: 64808093 addi ra,ra,1608 # 90011648 +80000458: 0010a023 sw ra,0(ra) +8000045c: 1100006f j 8000056c + +80000460 : +80000460: 03200e13 li t3,50 +80000464: 00000e93 li t4,0 +80000468: 000400b7 lui ra,0x40 +8000046c: 1000a073 csrs sstatus,ra +80000470: 18002573 csrr a0,satp +80000474: 18001073 csrw satp,zero +80000478: 00002097 auipc ra,0x2 +8000047c: b8808093 addi ra,ra,-1144 # 80002000 +80000480: 80000137 lui sp,0x80000 +80000484: 00215113 srli sp,sp,0x2 +80000488: 01f16113 ori sp,sp,31 +8000048c: 0020a023 sw sp,0(ra) +80000490: 18051073 csrw satp,a0 +80000494: 10000093 li ra,256 +80000498: 1000b073 csrc sstatus,ra +8000049c: 00000097 auipc ra,0x0 +800004a0: 01808093 addi ra,ra,24 # 800004b4 +800004a4: 14109073 csrw sepc,ra +800004a8: 12000073 sfence.vma +800004ac: 10200073 sret +800004b0: 0bc0006f j 8000056c + +800004b4 : +800004b4: 03300e13 li t3,51 +800004b8: 900110b7 lui ra,0x90011 +800004bc: 00808093 addi ra,ra,8 # 90011008 +800004c0: 5b5a6137 lui sp,0x5b5a6 +800004c4: 95810113 addi sp,sp,-1704 # 5b5a5958 <_start-0x24a5a6a8> +800004c8: 0000a083 lw ra,0(ra) +800004cc: 0a209063 bne ra,sp,8000056c +800004d0: a000a0b7 lui ra,0xa000a +800004d4: 32408093 addi ra,ra,804 # a000a324 +800004d8: aaee0137 lui sp,0xaaee0 +800004dc: 00810113 addi sp,sp,8 # aaee0008 +800004e0: 0020a023 sw sp,0(ra) +800004e4: 0000a083 lw ra,0(ra) +800004e8: 08209263 bne ra,sp,8000056c + +800004ec : +800004ec: 03400e13 li t3,52 +800004f0: 00000097 auipc ra,0x0 +800004f4: 01808093 addi ra,ra,24 # 80000508 +800004f8: 90012137 lui sp,0x90012 +800004fc: 01010113 addi sp,sp,16 # 90012010 +80000500: 00010067 jr sp +80000504: 0680006f j 8000056c + +80000508 : +80000508: 03500e13 li t3,53 +8000050c: 00100e93 li t4,1 +80000510: 00000f17 auipc t5,0x0 +80000514: 018f0f13 addi t5,t5,24 # 80000528 +80000518: 900140b7 lui ra,0x90014 +8000051c: 39008093 addi ra,ra,912 # 90014390 +80000520: 00008067 ret +80000524: 0480006f j 8000056c + +80000528 : +80000528: 03600e13 li t3,54 +8000052c: 00100e93 li t4,1 +80000530: 00000f17 auipc t5,0x0 +80000534: 018f0f13 addi t5,t5,24 # 80000548 +80000538: 900140b7 lui ra,0x90014 +8000053c: 39408093 addi ra,ra,916 # 90014394 +80000540: 0000a083 lw ra,0(ra) +80000544: 0280006f j 8000056c + +80000548 : +80000548: 03700e13 li t3,55 +8000054c: 00100e93 li t4,1 +80000550: 00000f17 auipc t5,0x0 +80000554: 018f0f13 addi t5,t5,24 # 80000568 +80000558: 900140b7 lui ra,0x90014 +8000055c: 39808093 addi ra,ra,920 # 90014398 +80000560: 0010a023 sw ra,0(ra) +80000564: 0080006f j 8000056c + +80000568 : +80000568: 0180006f j 80000580 + +8000056c : +8000056c: 00000e93 li t4,0 +80000570: 00000073 ecall + +80000574 : +80000574: f0100137 lui sp,0xf0100 +80000578: f2410113 addi sp,sp,-220 # f00fff24 +8000057c: 01c12023 sw t3,0(sp) + +80000580 : +80000580: 00200e93 li t4,2 +80000584: 00000073 ecall + +80000588 : +80000588: f0100137 lui sp,0xf0100 +8000058c: f2010113 addi sp,sp,-224 # f00fff20 +80000590: 00012023 sw zero,0(sp) + +80000594 : +80000594: fe0e80e3 beqz t4,80000574 +80000598: 342020f3 csrr ra,mcause +8000059c: 341020f3 csrr ra,mepc +800005a0: 300020f3 csrr ra,mstatus +800005a4: 343020f3 csrr ra,mbadaddr +800005a8: 00200093 li ra,2 +800005ac: fc1e8ee3 beq t4,ra,80000588 +800005b0: 341f1073 csrw mepc,t5 +800005b4: 30200073 mret +800005b8: 00000013 nop +800005bc: 00000013 nop +800005c0: 00000013 nop +800005c4: 00000013 nop +800005c8: 00000013 nop +800005cc: 00000013 nop +800005d0: 00000013 nop +800005d4: 00000013 nop +800005d8: 00000013 nop +800005dc: 00000013 nop +800005e0: 00000013 nop +800005e4: 00000013 nop +800005e8: 00000013 nop +800005ec: 00000013 nop +800005f0: 00000013 nop +800005f4: 00000013 nop +800005f8: 00000013 nop +800005fc: 00000013 nop +80000600: 00000013 nop +80000604: 00000013 nop +80000608: 00000013 nop +8000060c: 00000013 nop +80000610: 00000013 nop +80000614: 00000013 nop +80000618: 00000013 nop +8000061c: 00000013 nop +80000620: 00000013 nop +80000624: 00000013 nop +80000628: 00000013 nop +8000062c: 00000013 nop +80000630: 00000013 nop +80000634: 00000013 nop +80000638: 00000013 nop +8000063c: 00000013 nop +80000640: 00000013 nop +80000644: 00000013 nop +80000648: 00000013 nop +8000064c: 00000013 nop +80000650: 00000013 nop +80000654: 00000013 nop +80000658: 00000013 nop +8000065c: 00000013 nop +80000660: 00000013 nop +80000664: 00000013 nop +80000668: 00000013 nop +8000066c: 00000013 nop +80000670: 00000013 nop +80000674: 00000013 nop +80000678: 00000013 nop +8000067c: 00000013 nop +80000680: 00000013 nop +80000684: 00000013 nop +80000688: 00000013 nop +8000068c: 00000013 nop +80000690: 00000013 nop +80000694: 00000013 nop +80000698: 00000013 nop +8000069c: 00000013 nop +800006a0: 00000013 nop +800006a4: 00000013 nop +800006a8: 00000013 nop +800006ac: 00000013 nop +800006b0: 00000013 nop +800006b4: 00000013 nop +800006b8: 00000013 nop +800006bc: 00000013 nop +800006c0: 00000013 nop +800006c4: 00000013 nop +800006c8: 00000013 nop +800006cc: 00000013 nop +800006d0: 00000013 nop +800006d4: 00000013 nop +800006d8: 00000013 nop +800006dc: 00000013 nop +800006e0: 00000013 nop +800006e4: 00000013 nop +800006e8: 00000013 nop +800006ec: 00000013 nop +800006f0: 00000013 nop +800006f4: 00000013 nop +800006f8: 00000013 nop +800006fc: 00000013 nop +80000700: 00000013 nop +80000704: 00000013 nop +80000708: 00000013 nop +8000070c: 00000013 nop +80000710: 00000013 nop +80000714: 00000013 nop +80000718: 00000013 nop +8000071c: 00000013 nop +80000720: 00000013 nop +80000724: 00000013 nop +80000728: 00000013 nop +8000072c: 00000013 nop +80000730: 00000013 nop +80000734: 00000013 nop +80000738: 00000013 nop +8000073c: 00000013 nop +80000740: 00000013 nop +80000744: 00000013 nop +80000748: 00000013 nop +8000074c: 00000013 nop +80000750: 00000013 nop +80000754: 00000013 nop +80000758: 00000013 nop +8000075c: 00000013 nop +80000760: 00000013 nop +80000764: 00000013 nop +80000768: 00000013 nop +8000076c: 00000013 nop +80000770: 00000013 nop +80000774: 00000013 nop +80000778: 00000013 nop +8000077c: 00000013 nop +80000780: 00000013 nop +80000784: 00000013 nop +80000788: 00000013 nop +8000078c: 00000013 nop +80000790: 00000013 nop +80000794: 00000013 nop +80000798: 00000013 nop +8000079c: 00000013 nop +800007a0: 00000013 nop +800007a4: 00000013 nop +800007a8: 00000013 nop +800007ac: 00000013 nop +800007b0: 00000013 nop +800007b4: 00000013 nop +800007b8: 00000013 nop +800007bc: 00000013 nop +800007c0: 00000013 nop +800007c4: 00000013 nop +800007c8: 00000013 nop +800007cc: 00000013 nop +800007d0: 00000013 nop +800007d4: 00000013 nop +800007d8: 00000013 nop +800007dc: 00000013 nop +800007e0: 00000013 nop +800007e4: 00000013 nop +800007e8: 00000013 nop +800007ec: 00000013 nop +800007f0: 00000013 nop +800007f4: 00000013 nop +800007f8: 00000013 nop +800007fc: 00000013 nop +80000800: 00000013 nop +80000804: 00000013 nop +80000808: 00000013 nop +8000080c: 00000013 nop +80000810: 00000013 nop +80000814: 00000013 nop +80000818: 00000013 nop +8000081c: 00000013 nop +80000820: 00000013 nop +80000824: 00000013 nop +80000828: 00000013 nop +8000082c: 00000013 nop +80000830: 00000013 nop +80000834: 00000013 nop +80000838: 00000013 nop +8000083c: 00000013 nop +80000840: 00000013 nop +80000844: 00000013 nop +80000848: 00000013 nop +8000084c: 00000013 nop +80000850: 00000013 nop +80000854: 00000013 nop +80000858: 00000013 nop +8000085c: 00000013 nop +80000860: 00000013 nop +80000864: 00000013 nop +80000868: 00000013 nop +8000086c: 00000013 nop +80000870: 00000013 nop +80000874: 00000013 nop +80000878: 00000013 nop +8000087c: 00000013 nop +80000880: 00000013 nop +80000884: 00000013 nop +80000888: 00000013 nop +8000088c: 00000013 nop +80000890: 00000013 nop +80000894: 00000013 nop +80000898: 00000013 nop +8000089c: 00000013 nop +800008a0: 00000013 nop +800008a4: 00000013 nop +800008a8: 00000013 nop +800008ac: 00000013 nop +800008b0: 00000013 nop +800008b4: 00000013 nop +800008b8: 00000013 nop +800008bc: 00000013 nop +800008c0: 00000013 nop +800008c4: 00000013 nop +800008c8: 00000013 nop +800008cc: 00000013 nop +800008d0: 00000013 nop +800008d4: 00000013 nop +800008d8: 00000013 nop +800008dc: 00000013 nop +800008e0: 00000013 nop +800008e4: 00000013 nop +800008e8: 00000013 nop +800008ec: 00000013 nop +800008f0: 00000013 nop +800008f4: 00000013 nop +800008f8: 00000013 nop +800008fc: 00000013 nop +80000900: 00000013 nop +80000904: 00000013 nop +80000908: 00000013 nop +8000090c: 00000013 nop +80000910: 00000013 nop +80000914: 00000013 nop +80000918: 00000013 nop +8000091c: 00000013 nop +80000920: 00000013 nop +80000924: 00000013 nop +80000928: 00000013 nop +8000092c: 00000013 nop +80000930: 00000013 nop +80000934: 00000013 nop +80000938: 00000013 nop +8000093c: 00000013 nop +80000940: 00000013 nop +80000944: 00000013 nop +80000948: 00000013 nop +8000094c: 00000013 nop +80000950: 00000013 nop +80000954: 00000013 nop +80000958: 00000013 nop +8000095c: 00000013 nop +80000960: 00000013 nop +80000964: 00000013 nop +80000968: 00000013 nop +8000096c: 00000013 nop +80000970: 00000013 nop +80000974: 00000013 nop +80000978: 00000013 nop +8000097c: 00000013 nop +80000980: 00000013 nop +80000984: 00000013 nop +80000988: 00000013 nop +8000098c: 00000013 nop +80000990: 00000013 nop +80000994: 00000013 nop +80000998: 00000013 nop +8000099c: 00000013 nop +800009a0: 00000013 nop +800009a4: 00000013 nop +800009a8: 00000013 nop +800009ac: 00000013 nop +800009b0: 00000013 nop +800009b4: 00000013 nop +800009b8: 00000013 nop +800009bc: 00000013 nop +800009c0: 00000013 nop +800009c4: 00000013 nop +800009c8: 00000013 nop +800009cc: 00000013 nop +800009d0: 00000013 nop +800009d4: 00000013 nop +800009d8: 00000013 nop +800009dc: 00000013 nop +800009e0: 00000013 nop +800009e4: 00000013 nop +800009e8: 00000013 nop +800009ec: 00000013 nop +800009f0: 00000013 nop +800009f4: 00000013 nop +800009f8: 00000013 nop +800009fc: 00000013 nop +80000a00: 00000013 nop +80000a04: 00000013 nop +80000a08: 00000013 nop +80000a0c: 00000013 nop +80000a10: 00000013 nop +80000a14: 00000013 nop +80000a18: 00000013 nop +80000a1c: 00000013 nop +80000a20: 00000013 nop +80000a24: 00000013 nop +80000a28: 00000013 nop +80000a2c: 00000013 nop +80000a30: 00000013 nop +80000a34: 00000013 nop +80000a38: 00000013 nop +80000a3c: 00000013 nop +80000a40: 00000013 nop +80000a44: 00000013 nop +80000a48: 00000013 nop +80000a4c: 00000013 nop +80000a50: 00000013 nop +80000a54: 00000013 nop +80000a58: 00000013 nop +80000a5c: 00000013 nop +80000a60: 00000013 nop +80000a64: 00000013 nop +80000a68: 00000013 nop +80000a6c: 00000013 nop +80000a70: 00000013 nop +80000a74: 00000013 nop +80000a78: 00000013 nop +80000a7c: 00000013 nop +80000a80: 00000013 nop +80000a84: 00000013 nop +80000a88: 00000013 nop +80000a8c: 00000013 nop +80000a90: 00000013 nop +80000a94: 00000013 nop +80000a98: 00000013 nop +80000a9c: 00000013 nop +80000aa0: 00000013 nop +80000aa4: 00000013 nop +80000aa8: 00000013 nop +80000aac: 00000013 nop +80000ab0: 00000013 nop +80000ab4: 00000013 nop +80000ab8: 00000013 nop +80000abc: 00000013 nop +80000ac0: 00000013 nop +80000ac4: 00000013 nop +80000ac8: 00000013 nop +80000acc: 00000013 nop +80000ad0: 00000013 nop +80000ad4: 00000013 nop +80000ad8: 00000013 nop +80000adc: 00000013 nop +80000ae0: 00000013 nop +80000ae4: 00000013 nop +80000ae8: 00000013 nop +80000aec: 00000013 nop +80000af0: 00000013 nop +80000af4: 00000013 nop +80000af8: 00000013 nop +80000afc: 00000013 nop +80000b00: 00000013 nop +80000b04: 00000013 nop +80000b08: 00000013 nop +80000b0c: 00000013 nop +80000b10: 00000013 nop +80000b14: 00000013 nop +80000b18: 00000013 nop +80000b1c: 00000013 nop +80000b20: 00000013 nop +80000b24: 00000013 nop +80000b28: 00000013 nop +80000b2c: 00000013 nop +80000b30: 00000013 nop +80000b34: 00000013 nop +80000b38: 00000013 nop +80000b3c: 00000013 nop +80000b40: 00000013 nop +80000b44: 00000013 nop +80000b48: 00000013 nop +80000b4c: 00000013 nop +80000b50: 00000013 nop +80000b54: 00000013 nop +80000b58: 00000013 nop +80000b5c: 00000013 nop +80000b60: 00000013 nop +80000b64: 00000013 nop +80000b68: 00000013 nop +80000b6c: 00000013 nop +80000b70: 00000013 nop +80000b74: 00000013 nop +80000b78: 00000013 nop +80000b7c: 00000013 nop +80000b80: 00000013 nop +80000b84: 00000013 nop +80000b88: 00000013 nop +80000b8c: 00000013 nop +80000b90: 00000013 nop +80000b94: 00000013 nop +80000b98: 00000013 nop +80000b9c: 00000013 nop +80000ba0: 00000013 nop +80000ba4: 00000013 nop +80000ba8: 00000013 nop +80000bac: 00000013 nop +80000bb0: 00000013 nop +80000bb4: 00000013 nop +80000bb8: 00000013 nop +80000bbc: 00000013 nop +80000bc0: 00000013 nop +80000bc4: 00000013 nop +80000bc8: 00000013 nop +80000bcc: 00000013 nop +80000bd0: 00000013 nop +80000bd4: 00000013 nop +80000bd8: 00000013 nop +80000bdc: 00000013 nop +80000be0: 00000013 nop +80000be4: 00000013 nop +80000be8: 00000013 nop +80000bec: 00000013 nop +80000bf0: 00000013 nop +80000bf4: 00000013 nop +80000bf8: 00000013 nop +80000bfc: 00000013 nop +80000c00: 00000013 nop +80000c04: 00000013 nop +80000c08: 00000013 nop +80000c0c: 00000013 nop +80000c10: 00000013 nop +80000c14: 00000013 nop +80000c18: 00000013 nop +80000c1c: 00000013 nop +80000c20: 00000013 nop +80000c24: 00000013 nop +80000c28: 00000013 nop +80000c2c: 00000013 nop +80000c30: 00000013 nop +80000c34: 00000013 nop +80000c38: 00000013 nop +80000c3c: 00000013 nop +80000c40: 00000013 nop +80000c44: 00000013 nop +80000c48: 00000013 nop +80000c4c: 00000013 nop +80000c50: 00000013 nop +80000c54: 00000013 nop +80000c58: 00000013 nop +80000c5c: 00000013 nop +80000c60: 00000013 nop +80000c64: 00000013 nop +80000c68: 00000013 nop +80000c6c: 00000013 nop +80000c70: 00000013 nop +80000c74: 00000013 nop +80000c78: 00000013 nop +80000c7c: 00000013 nop +80000c80: 00000013 nop +80000c84: 00000013 nop +80000c88: 00000013 nop +80000c8c: 00000013 nop +80000c90: 00000013 nop +80000c94: 00000013 nop +80000c98: 00000013 nop +80000c9c: 00000013 nop +80000ca0: 00000013 nop +80000ca4: 00000013 nop +80000ca8: 00000013 nop +80000cac: 00000013 nop +80000cb0: 00000013 nop +80000cb4: 00000013 nop +80000cb8: 00000013 nop +80000cbc: 00000013 nop +80000cc0: 00000013 nop +80000cc4: 00000013 nop +80000cc8: 00000013 nop +80000ccc: 00000013 nop +80000cd0: 00000013 nop +80000cd4: 00000013 nop +80000cd8: 00000013 nop +80000cdc: 00000013 nop +80000ce0: 00000013 nop +80000ce4: 00000013 nop +80000ce8: 00000013 nop +80000cec: 00000013 nop +80000cf0: 00000013 nop +80000cf4: 00000013 nop +80000cf8: 00000013 nop +80000cfc: 00000013 nop +80000d00: 00000013 nop +80000d04: 00000013 nop +80000d08: 00000013 nop +80000d0c: 00000013 nop +80000d10: 00000013 nop +80000d14: 00000013 nop +80000d18: 00000013 nop +80000d1c: 00000013 nop +80000d20: 00000013 nop +80000d24: 00000013 nop +80000d28: 00000013 nop +80000d2c: 00000013 nop +80000d30: 00000013 nop +80000d34: 00000013 nop +80000d38: 00000013 nop +80000d3c: 00000013 nop +80000d40: 00000013 nop +80000d44: 00000013 nop +80000d48: 00000013 nop +80000d4c: 00000013 nop +80000d50: 00000013 nop +80000d54: 00000013 nop +80000d58: 00000013 nop +80000d5c: 00000013 nop +80000d60: 00000013 nop +80000d64: 00000013 nop +80000d68: 00000013 nop +80000d6c: 00000013 nop +80000d70: 00000013 nop +80000d74: 00000013 nop +80000d78: 00000013 nop +80000d7c: 00000013 nop +80000d80: 00000013 nop +80000d84: 00000013 nop +80000d88: 00000013 nop +80000d8c: 00000013 nop +80000d90: 00000013 nop +80000d94: 00000013 nop +80000d98: 00000013 nop +80000d9c: 00000013 nop +80000da0: 00000013 nop +80000da4: 00000013 nop +80000da8: 00000013 nop +80000dac: 00000013 nop +80000db0: 00000013 nop +80000db4: 00000013 nop +80000db8: 00000013 nop +80000dbc: 00000013 nop +80000dc0: 00000013 nop +80000dc4: 00000013 nop +80000dc8: 00000013 nop +80000dcc: 00000013 nop +80000dd0: 00000013 nop +80000dd4: 00000013 nop +80000dd8: 00000013 nop +80000ddc: 00000013 nop +80000de0: 00000013 nop +80000de4: 00000013 nop +80000de8: 00000013 nop +80000dec: 00000013 nop +80000df0: 00000013 nop +80000df4: 00000013 nop +80000df8: 00000013 nop +80000dfc: 00000013 nop +80000e00: 00000013 nop +80000e04: 00000013 nop +80000e08: 00000013 nop +80000e0c: 00000013 nop +80000e10: 00000013 nop +80000e14: 00000013 nop +80000e18: 00000013 nop +80000e1c: 00000013 nop +80000e20: 00000013 nop +80000e24: 00000013 nop +80000e28: 00000013 nop +80000e2c: 00000013 nop +80000e30: 00000013 nop +80000e34: 00000013 nop +80000e38: 00000013 nop +80000e3c: 00000013 nop +80000e40: 00000013 nop +80000e44: 00000013 nop +80000e48: 00000013 nop +80000e4c: 00000013 nop +80000e50: 00000013 nop +80000e54: 00000013 nop +80000e58: 00000013 nop +80000e5c: 00000013 nop +80000e60: 00000013 nop +80000e64: 00000013 nop +80000e68: 00000013 nop +80000e6c: 00000013 nop +80000e70: 00000013 nop +80000e74: 00000013 nop +80000e78: 00000013 nop +80000e7c: 00000013 nop +80000e80: 00000013 nop +80000e84: 00000013 nop +80000e88: 00000013 nop +80000e8c: 00000013 nop +80000e90: 00000013 nop +80000e94: 00000013 nop +80000e98: 00000013 nop +80000e9c: 00000013 nop +80000ea0: 00000013 nop +80000ea4: 00000013 nop +80000ea8: 00000013 nop +80000eac: 00000013 nop +80000eb0: 00000013 nop +80000eb4: 00000013 nop +80000eb8: 00000013 nop +80000ebc: 00000013 nop +80000ec0: 00000013 nop +80000ec4: 00000013 nop +80000ec8: 00000013 nop +80000ecc: 00000013 nop +80000ed0: 00000013 nop +80000ed4: 00000013 nop +80000ed8: 00000013 nop +80000edc: 00000013 nop +80000ee0: 00000013 nop +80000ee4: 00000013 nop +80000ee8: 00000013 nop +80000eec: 00000013 nop +80000ef0: 00000013 nop +80000ef4: 00000013 nop +80000ef8: 00000013 nop +80000efc: 00000013 nop +80000f00: 00000013 nop +80000f04: 00000013 nop +80000f08: 00000013 nop +80000f0c: 00000013 nop +80000f10: 00000013 nop +80000f14: 00000013 nop +80000f18: 00000013 nop +80000f1c: 00000013 nop +80000f20: 00000013 nop +80000f24: 00000013 nop +80000f28: 00000013 nop +80000f2c: 00000013 nop +80000f30: 00000013 nop +80000f34: 00000013 nop +80000f38: 00000013 nop +80000f3c: 00000013 nop +80000f40: 00000013 nop +80000f44: 00000013 nop +80000f48: 00000013 nop +80000f4c: 00000013 nop +80000f50: 00000013 nop +80000f54: 00000013 nop +80000f58: 00000013 nop +80000f5c: 00000013 nop +80000f60: 00000013 nop +80000f64: 00000013 nop +80000f68: 00000013 nop +80000f6c: 00000013 nop +80000f70: 00000013 nop +80000f74: 00000013 nop +80000f78: 00000013 nop +80000f7c: 00000013 nop +80000f80: 00000013 nop +80000f84: 00000013 nop +80000f88: 00000013 nop +80000f8c: 00000013 nop +80000f90: 00000013 nop +80000f94: 00000013 nop +80000f98: 00000013 nop +80000f9c: 00000013 nop +80000fa0: 00000013 nop +80000fa4: 00000013 nop +80000fa8: 00000013 nop +80000fac: 00000013 nop +80000fb0: 00000013 nop +80000fb4: 00000013 nop +80000fb8: 00000013 nop +80000fbc: 00000013 nop +80000fc0: 00000013 nop +80000fc4: 00000013 nop +80000fc8: 00000013 nop +80000fcc: 00000013 nop +80000fd0: 00000013 nop +80000fd4: 00000013 nop +80000fd8: 00000013 nop +80000fdc: 00000013 nop +80000fe0: 00000013 nop +80000fe4: 00000013 nop +80000fe8: 00000013 nop +80000fec: 00000013 nop +80000ff0: 00000013 nop +80000ff4: 00000013 nop +80000ff8: 00000013 nop +80000ffc: 00000013 nop + +80001000 : +80001000: 0000 unimp +80001002: 0000 unimp +80001004: 00000013 nop +80001008: 00000013 nop +8000100c: 00000013 nop +80001010: 00000013 nop +80001014: 00000013 nop +80001018: 00000013 nop +8000101c: 00000013 nop +80001020: 00000013 nop +80001024: 00000013 nop +80001028: 00000013 nop +8000102c: 00000013 nop +80001030: 00000013 nop +80001034: 00000013 nop +80001038: 00000013 nop +8000103c: 00000013 nop +80001040: 00000013 nop +80001044: 00000013 nop +80001048: 00000013 nop +8000104c: 00000013 nop +80001050: 00000013 nop +80001054: 00000013 nop +80001058: 00000013 nop +8000105c: 00000013 nop +80001060: 00000013 nop +80001064: 00000013 nop +80001068: 00000013 nop +8000106c: 00000013 nop +80001070: 00000013 nop +80001074: 00000013 nop +80001078: 00000013 nop +8000107c: 00000013 nop +80001080: 00000013 nop +80001084: 00000013 nop +80001088: 00000013 nop +8000108c: 00000013 nop +80001090: 00000013 nop +80001094: 00000013 nop +80001098: 00000013 nop +8000109c: 00000013 nop +800010a0: 00000013 nop +800010a4: 00000013 nop +800010a8: 00000013 nop +800010ac: 00000013 nop +800010b0: 00000013 nop +800010b4: 00000013 nop +800010b8: 00000013 nop +800010bc: 00000013 nop +800010c0: 00000013 nop +800010c4: 00000013 nop +800010c8: 00000013 nop +800010cc: 00000013 nop +800010d0: 00000013 nop +800010d4: 00000013 nop +800010d8: 00000013 nop +800010dc: 00000013 nop +800010e0: 00000013 nop +800010e4: 00000013 nop +800010e8: 00000013 nop +800010ec: 00000013 nop +800010f0: 00000013 nop +800010f4: 00000013 nop +800010f8: 00000013 nop +800010fc: 00000013 nop +80001100: 00000013 nop +80001104: 00000013 nop +80001108: 00000013 nop +8000110c: 00000013 nop +80001110: 00000013 nop +80001114: 00000013 nop +80001118: 00000013 nop +8000111c: 00000013 nop +80001120: 00000013 nop +80001124: 00000013 nop +80001128: 00000013 nop +8000112c: 00000013 nop +80001130: 00000013 nop +80001134: 00000013 nop +80001138: 00000013 nop +8000113c: 00000013 nop +80001140: 00000013 nop +80001144: 00000013 nop +80001148: 00000013 nop +8000114c: 00000013 nop +80001150: 00000013 nop +80001154: 00000013 nop +80001158: 00000013 nop +8000115c: 00000013 nop +80001160: 00000013 nop +80001164: 00000013 nop +80001168: 00000013 nop +8000116c: 00000013 nop +80001170: 00000013 nop +80001174: 00000013 nop +80001178: 00000013 nop +8000117c: 00000013 nop +80001180: 00000013 nop +80001184: 00000013 nop +80001188: 00000013 nop +8000118c: 00000013 nop +80001190: 00000013 nop +80001194: 00000013 nop +80001198: 00000013 nop +8000119c: 00000013 nop +800011a0: 00000013 nop +800011a4: 00000013 nop +800011a8: 00000013 nop +800011ac: 00000013 nop +800011b0: 00000013 nop +800011b4: 00000013 nop +800011b8: 00000013 nop +800011bc: 00000013 nop +800011c0: 00000013 nop +800011c4: 00000013 nop +800011c8: 00000013 nop +800011cc: 00000013 nop +800011d0: 00000013 nop +800011d4: 00000013 nop +800011d8: 00000013 nop +800011dc: 00000013 nop +800011e0: 00000013 nop +800011e4: 00000013 nop +800011e8: 00000013 nop +800011ec: 00000013 nop +800011f0: 00000013 nop +800011f4: 00000013 nop +800011f8: 00000013 nop +800011fc: 00000013 nop +80001200: 00000013 nop +80001204: 00000013 nop +80001208: 00000013 nop +8000120c: 00000013 nop +80001210: 00000013 nop +80001214: 00000013 nop +80001218: 00000013 nop +8000121c: 00000013 nop +80001220: 00000013 nop +80001224: 00000013 nop +80001228: 00000013 nop +8000122c: 00000013 nop +80001230: 00000013 nop +80001234: 00000013 nop +80001238: 00000013 nop +8000123c: 00000013 nop +80001240: 00000013 nop +80001244: 00000013 nop +80001248: 00000013 nop +8000124c: 00000013 nop +80001250: 00000013 nop +80001254: 00000013 nop +80001258: 00000013 nop +8000125c: 00000013 nop +80001260: 00000013 nop +80001264: 00000013 nop +80001268: 00000013 nop +8000126c: 00000013 nop +80001270: 00000013 nop +80001274: 00000013 nop +80001278: 00000013 nop +8000127c: 00000013 nop +80001280: 00000013 nop +80001284: 00000013 nop +80001288: 00000013 nop +8000128c: 00000013 nop +80001290: 00000013 nop +80001294: 00000013 nop +80001298: 00000013 nop +8000129c: 00000013 nop +800012a0: 00000013 nop +800012a4: 00000013 nop +800012a8: 00000013 nop +800012ac: 00000013 nop +800012b0: 00000013 nop +800012b4: 00000013 nop +800012b8: 00000013 nop +800012bc: 00000013 nop +800012c0: 00000013 nop +800012c4: 00000013 nop +800012c8: 00000013 nop +800012cc: 00000013 nop +800012d0: 00000013 nop +800012d4: 00000013 nop +800012d8: 00000013 nop +800012dc: 00000013 nop +800012e0: 00000013 nop +800012e4: 00000013 nop +800012e8: 00000013 nop +800012ec: 00000013 nop +800012f0: 00000013 nop +800012f4: 00000013 nop +800012f8: 00000013 nop +800012fc: 00000013 nop +80001300: 00000013 nop +80001304: 00000013 nop +80001308: 00000013 nop +8000130c: 00000013 nop +80001310: 00000013 nop +80001314: 00000013 nop +80001318: 00000013 nop +8000131c: 00000013 nop +80001320: 00000013 nop +80001324: 00000013 nop +80001328: 00000013 nop +8000132c: 00000013 nop +80001330: 00000013 nop +80001334: 00000013 nop +80001338: 00000013 nop +8000133c: 00000013 nop +80001340: 00000013 nop +80001344: 00000013 nop +80001348: 00000013 nop +8000134c: 00000013 nop +80001350: 00000013 nop +80001354: 00000013 nop +80001358: 00000013 nop +8000135c: 00000013 nop +80001360: 00000013 nop +80001364: 00000013 nop +80001368: 00000013 nop +8000136c: 00000013 nop +80001370: 00000013 nop +80001374: 00000013 nop +80001378: 00000013 nop +8000137c: 00000013 nop +80001380: 00000013 nop +80001384: 00000013 nop +80001388: 00000013 nop +8000138c: 00000013 nop +80001390: 00000013 nop +80001394: 00000013 nop +80001398: 00000013 nop +8000139c: 00000013 nop +800013a0: 00000013 nop +800013a4: 00000013 nop +800013a8: 00000013 nop +800013ac: 00000013 nop +800013b0: 00000013 nop +800013b4: 00000013 nop +800013b8: 00000013 nop +800013bc: 00000013 nop +800013c0: 00000013 nop +800013c4: 00000013 nop +800013c8: 00000013 nop +800013cc: 00000013 nop +800013d0: 00000013 nop +800013d4: 00000013 nop +800013d8: 00000013 nop +800013dc: 00000013 nop +800013e0: 00000013 nop +800013e4: 00000013 nop +800013e8: 00000013 nop +800013ec: 00000013 nop +800013f0: 00000013 nop +800013f4: 00000013 nop +800013f8: 00000013 nop +800013fc: 00000013 nop +80001400: 00000013 nop +80001404: 00000013 nop +80001408: 00000013 nop +8000140c: 00000013 nop +80001410: 00000013 nop +80001414: 00000013 nop +80001418: 00000013 nop +8000141c: 00000013 nop +80001420: 00000013 nop +80001424: 00000013 nop +80001428: 00000013 nop +8000142c: 00000013 nop +80001430: 00000013 nop +80001434: 00000013 nop +80001438: 00000013 nop +8000143c: 00000013 nop +80001440: 00000013 nop +80001444: 00000013 nop +80001448: 00000013 nop +8000144c: 00000013 nop +80001450: 00000013 nop +80001454: 00000013 nop +80001458: 00000013 nop +8000145c: 00000013 nop +80001460: 00000013 nop +80001464: 00000013 nop +80001468: 00000013 nop +8000146c: 00000013 nop +80001470: 00000013 nop +80001474: 00000013 nop +80001478: 00000013 nop +8000147c: 00000013 nop +80001480: 00000013 nop +80001484: 00000013 nop +80001488: 00000013 nop +8000148c: 00000013 nop +80001490: 00000013 nop +80001494: 00000013 nop +80001498: 00000013 nop +8000149c: 00000013 nop +800014a0: 00000013 nop +800014a4: 00000013 nop +800014a8: 00000013 nop +800014ac: 00000013 nop +800014b0: 00000013 nop +800014b4: 00000013 nop +800014b8: 00000013 nop +800014bc: 00000013 nop +800014c0: 00000013 nop +800014c4: 00000013 nop +800014c8: 00000013 nop +800014cc: 00000013 nop +800014d0: 00000013 nop +800014d4: 00000013 nop +800014d8: 00000013 nop +800014dc: 00000013 nop +800014e0: 00000013 nop +800014e4: 00000013 nop +800014e8: 00000013 nop +800014ec: 00000013 nop +800014f0: 00000013 nop +800014f4: 00000013 nop +800014f8: 00000013 nop +800014fc: 00000013 nop +80001500: 00000013 nop +80001504: 00000013 nop +80001508: 00000013 nop +8000150c: 00000013 nop +80001510: 00000013 nop +80001514: 00000013 nop +80001518: 00000013 nop +8000151c: 00000013 nop +80001520: 00000013 nop +80001524: 00000013 nop +80001528: 00000013 nop +8000152c: 00000013 nop +80001530: 00000013 nop +80001534: 00000013 nop +80001538: 00000013 nop +8000153c: 00000013 nop +80001540: 00000013 nop +80001544: 00000013 nop +80001548: 00000013 nop +8000154c: 00000013 nop +80001550: 00000013 nop +80001554: 00000013 nop +80001558: 00000013 nop +8000155c: 00000013 nop +80001560: 00000013 nop +80001564: 00000013 nop +80001568: 00000013 nop +8000156c: 00000013 nop +80001570: 00000013 nop +80001574: 00000013 nop +80001578: 00000013 nop +8000157c: 00000013 nop +80001580: 00000013 nop +80001584: 00000013 nop +80001588: 00000013 nop +8000158c: 00000013 nop +80001590: 00000013 nop +80001594: 00000013 nop +80001598: 00000013 nop +8000159c: 00000013 nop +800015a0: 00000013 nop +800015a4: 00000013 nop +800015a8: 00000013 nop +800015ac: 00000013 nop +800015b0: 00000013 nop +800015b4: 00000013 nop +800015b8: 00000013 nop +800015bc: 00000013 nop +800015c0: 00000013 nop +800015c4: 00000013 nop +800015c8: 00000013 nop +800015cc: 00000013 nop +800015d0: 00000013 nop +800015d4: 00000013 nop +800015d8: 00000013 nop +800015dc: 00000013 nop +800015e0: 00000013 nop +800015e4: 00000013 nop +800015e8: 00000013 nop +800015ec: 00000013 nop +800015f0: 00000013 nop +800015f4: 00000013 nop +800015f8: 00000013 nop +800015fc: 00000013 nop +80001600: 00000013 nop +80001604: 00000013 nop +80001608: 00000013 nop +8000160c: 00000013 nop +80001610: 00000013 nop +80001614: 00000013 nop +80001618: 00000013 nop +8000161c: 00000013 nop +80001620: 00000013 nop +80001624: 00000013 nop +80001628: 00000013 nop +8000162c: 00000013 nop +80001630: 00000013 nop +80001634: 00000013 nop +80001638: 00000013 nop +8000163c: 00000013 nop +80001640: 00000013 nop +80001644: 00000013 nop +80001648: 00000013 nop +8000164c: 00000013 nop +80001650: 00000013 nop +80001654: 00000013 nop +80001658: 00000013 nop +8000165c: 00000013 nop +80001660: 00000013 nop +80001664: 00000013 nop +80001668: 00000013 nop +8000166c: 00000013 nop +80001670: 00000013 nop +80001674: 00000013 nop +80001678: 00000013 nop +8000167c: 00000013 nop +80001680: 00000013 nop +80001684: 00000013 nop +80001688: 00000013 nop +8000168c: 00000013 nop +80001690: 00000013 nop +80001694: 00000013 nop +80001698: 00000013 nop +8000169c: 00000013 nop +800016a0: 00000013 nop +800016a4: 00000013 nop +800016a8: 00000013 nop +800016ac: 00000013 nop +800016b0: 00000013 nop +800016b4: 00000013 nop +800016b8: 00000013 nop +800016bc: 00000013 nop +800016c0: 00000013 nop +800016c4: 00000013 nop +800016c8: 00000013 nop +800016cc: 00000013 nop +800016d0: 00000013 nop +800016d4: 00000013 nop +800016d8: 00000013 nop +800016dc: 00000013 nop +800016e0: 00000013 nop +800016e4: 00000013 nop +800016e8: 00000013 nop +800016ec: 00000013 nop +800016f0: 00000013 nop +800016f4: 00000013 nop +800016f8: 00000013 nop +800016fc: 00000013 nop +80001700: 00000013 nop +80001704: 00000013 nop +80001708: 00000013 nop +8000170c: 00000013 nop +80001710: 00000013 nop +80001714: 00000013 nop +80001718: 00000013 nop +8000171c: 00000013 nop +80001720: 00000013 nop +80001724: 00000013 nop +80001728: 00000013 nop +8000172c: 00000013 nop +80001730: 00000013 nop +80001734: 00000013 nop +80001738: 00000013 nop +8000173c: 00000013 nop +80001740: 00000013 nop +80001744: 00000013 nop +80001748: 00000013 nop +8000174c: 00000013 nop +80001750: 00000013 nop +80001754: 00000013 nop +80001758: 00000013 nop +8000175c: 00000013 nop +80001760: 00000013 nop +80001764: 00000013 nop +80001768: 00000013 nop +8000176c: 00000013 nop +80001770: 00000013 nop +80001774: 00000013 nop +80001778: 00000013 nop +8000177c: 00000013 nop +80001780: 00000013 nop +80001784: 00000013 nop +80001788: 00000013 nop +8000178c: 00000013 nop +80001790: 00000013 nop +80001794: 00000013 nop +80001798: 00000013 nop +8000179c: 00000013 nop +800017a0: 00000013 nop +800017a4: 00000013 nop +800017a8: 00000013 nop +800017ac: 00000013 nop +800017b0: 00000013 nop +800017b4: 00000013 nop +800017b8: 00000013 nop +800017bc: 00000013 nop +800017c0: 00000013 nop +800017c4: 00000013 nop +800017c8: 00000013 nop +800017cc: 00000013 nop +800017d0: 00000013 nop +800017d4: 00000013 nop +800017d8: 00000013 nop +800017dc: 00000013 nop +800017e0: 00000013 nop +800017e4: 00000013 nop +800017e8: 00000013 nop +800017ec: 00000013 nop +800017f0: 00000013 nop +800017f4: 00000013 nop +800017f8: 00000013 nop +800017fc: 00000013 nop +80001800: 00000013 nop +80001804: 00000013 nop +80001808: 00000013 nop +8000180c: 00000013 nop +80001810: 00000013 nop +80001814: 00000013 nop +80001818: 00000013 nop +8000181c: 00000013 nop +80001820: 00000013 nop +80001824: 00000013 nop +80001828: 00000013 nop +8000182c: 00000013 nop +80001830: 00000013 nop +80001834: 00000013 nop +80001838: 00000013 nop +8000183c: 00000013 nop +80001840: 00000013 nop +80001844: 00000013 nop +80001848: 00000013 nop +8000184c: 00000013 nop +80001850: 00000013 nop +80001854: 00000013 nop +80001858: 00000013 nop +8000185c: 00000013 nop +80001860: 00000013 nop +80001864: 00000013 nop +80001868: 00000013 nop +8000186c: 00000013 nop +80001870: 00000013 nop +80001874: 00000013 nop +80001878: 00000013 nop +8000187c: 00000013 nop +80001880: 00000013 nop +80001884: 00000013 nop +80001888: 00000013 nop +8000188c: 00000013 nop +80001890: 00000013 nop +80001894: 00000013 nop +80001898: 00000013 nop +8000189c: 00000013 nop +800018a0: 00000013 nop +800018a4: 00000013 nop +800018a8: 00000013 nop +800018ac: 00000013 nop +800018b0: 00000013 nop +800018b4: 00000013 nop +800018b8: 00000013 nop +800018bc: 00000013 nop +800018c0: 00000013 nop +800018c4: 00000013 nop +800018c8: 00000013 nop +800018cc: 00000013 nop +800018d0: 00000013 nop +800018d4: 00000013 nop +800018d8: 00000013 nop +800018dc: 00000013 nop +800018e0: 00000013 nop +800018e4: 00000013 nop +800018e8: 00000013 nop +800018ec: 00000013 nop +800018f0: 00000013 nop +800018f4: 00000013 nop +800018f8: 00000013 nop +800018fc: 00000013 nop +80001900: 00000013 nop +80001904: 00000013 nop +80001908: 00000013 nop +8000190c: 00000013 nop +80001910: 00000013 nop +80001914: 00000013 nop +80001918: 00000013 nop +8000191c: 00000013 nop +80001920: 00000013 nop +80001924: 00000013 nop +80001928: 00000013 nop +8000192c: 00000013 nop +80001930: 00000013 nop +80001934: 00000013 nop +80001938: 00000013 nop +8000193c: 00000013 nop +80001940: 00000013 nop +80001944: 00000013 nop +80001948: 00000013 nop +8000194c: 00000013 nop +80001950: 00000013 nop +80001954: 00000013 nop +80001958: 00000013 nop +8000195c: 00000013 nop +80001960: 00000013 nop +80001964: 00000013 nop +80001968: 00000013 nop +8000196c: 00000013 nop +80001970: 00000013 nop +80001974: 00000013 nop +80001978: 00000013 nop +8000197c: 00000013 nop +80001980: 00000013 nop +80001984: 00000013 nop +80001988: 00000013 nop +8000198c: 00000013 nop +80001990: 00000013 nop +80001994: 00000013 nop +80001998: 00000013 nop +8000199c: 00000013 nop +800019a0: 00000013 nop +800019a4: 00000013 nop +800019a8: 00000013 nop +800019ac: 00000013 nop +800019b0: 00000013 nop +800019b4: 00000013 nop +800019b8: 00000013 nop +800019bc: 00000013 nop +800019c0: 00000013 nop +800019c4: 00000013 nop +800019c8: 00000013 nop +800019cc: 00000013 nop +800019d0: 00000013 nop +800019d4: 00000013 nop +800019d8: 00000013 nop +800019dc: 00000013 nop +800019e0: 00000013 nop +800019e4: 00000013 nop +800019e8: 00000013 nop +800019ec: 00000013 nop +800019f0: 00000013 nop +800019f4: 00000013 nop +800019f8: 00000013 nop +800019fc: 00000013 nop +80001a00: 00000013 nop +80001a04: 00000013 nop +80001a08: 00000013 nop +80001a0c: 00000013 nop +80001a10: 00000013 nop +80001a14: 00000013 nop +80001a18: 00000013 nop +80001a1c: 00000013 nop +80001a20: 00000013 nop +80001a24: 00000013 nop +80001a28: 00000013 nop +80001a2c: 00000013 nop +80001a30: 00000013 nop +80001a34: 00000013 nop +80001a38: 00000013 nop +80001a3c: 00000013 nop +80001a40: 00000013 nop +80001a44: 00000013 nop +80001a48: 00000013 nop +80001a4c: 00000013 nop +80001a50: 00000013 nop +80001a54: 00000013 nop +80001a58: 00000013 nop +80001a5c: 00000013 nop +80001a60: 00000013 nop +80001a64: 00000013 nop +80001a68: 00000013 nop +80001a6c: 00000013 nop +80001a70: 00000013 nop +80001a74: 00000013 nop +80001a78: 00000013 nop +80001a7c: 00000013 nop +80001a80: 00000013 nop +80001a84: 00000013 nop +80001a88: 00000013 nop +80001a8c: 00000013 nop +80001a90: 00000013 nop +80001a94: 00000013 nop +80001a98: 00000013 nop +80001a9c: 00000013 nop +80001aa0: 00000013 nop +80001aa4: 00000013 nop +80001aa8: 00000013 nop +80001aac: 00000013 nop +80001ab0: 00000013 nop +80001ab4: 00000013 nop +80001ab8: 00000013 nop +80001abc: 00000013 nop +80001ac0: 00000013 nop +80001ac4: 00000013 nop +80001ac8: 00000013 nop +80001acc: 00000013 nop +80001ad0: 00000013 nop +80001ad4: 00000013 nop +80001ad8: 00000013 nop +80001adc: 00000013 nop +80001ae0: 00000013 nop +80001ae4: 00000013 nop +80001ae8: 00000013 nop +80001aec: 00000013 nop +80001af0: 00000013 nop +80001af4: 00000013 nop +80001af8: 00000013 nop +80001afc: 00000013 nop +80001b00: 00000013 nop +80001b04: 00000013 nop +80001b08: 00000013 nop +80001b0c: 00000013 nop +80001b10: 00000013 nop +80001b14: 00000013 nop +80001b18: 00000013 nop +80001b1c: 00000013 nop +80001b20: 00000013 nop +80001b24: 00000013 nop +80001b28: 00000013 nop +80001b2c: 00000013 nop +80001b30: 00000013 nop +80001b34: 00000013 nop +80001b38: 00000013 nop +80001b3c: 00000013 nop +80001b40: 00000013 nop +80001b44: 00000013 nop +80001b48: 00000013 nop +80001b4c: 00000013 nop +80001b50: 00000013 nop +80001b54: 00000013 nop +80001b58: 00000013 nop +80001b5c: 00000013 nop +80001b60: 00000013 nop +80001b64: 00000013 nop +80001b68: 00000013 nop +80001b6c: 00000013 nop +80001b70: 00000013 nop +80001b74: 00000013 nop +80001b78: 00000013 nop +80001b7c: 00000013 nop +80001b80: 00000013 nop +80001b84: 00000013 nop +80001b88: 00000013 nop +80001b8c: 00000013 nop +80001b90: 00000013 nop +80001b94: 00000013 nop +80001b98: 00000013 nop +80001b9c: 00000013 nop +80001ba0: 00000013 nop +80001ba4: 00000013 nop +80001ba8: 00000013 nop +80001bac: 00000013 nop +80001bb0: 00000013 nop +80001bb4: 00000013 nop +80001bb8: 00000013 nop +80001bbc: 00000013 nop +80001bc0: 00000013 nop +80001bc4: 00000013 nop +80001bc8: 00000013 nop +80001bcc: 00000013 nop +80001bd0: 00000013 nop +80001bd4: 00000013 nop +80001bd8: 00000013 nop +80001bdc: 00000013 nop +80001be0: 00000013 nop +80001be4: 00000013 nop +80001be8: 00000013 nop +80001bec: 00000013 nop +80001bf0: 00000013 nop +80001bf4: 00000013 nop +80001bf8: 00000013 nop +80001bfc: 00000013 nop +80001c00: 00000013 nop +80001c04: 00000013 nop +80001c08: 00000013 nop +80001c0c: 00000013 nop +80001c10: 00000013 nop +80001c14: 00000013 nop +80001c18: 00000013 nop +80001c1c: 00000013 nop +80001c20: 00000013 nop +80001c24: 00000013 nop +80001c28: 00000013 nop +80001c2c: 00000013 nop +80001c30: 00000013 nop +80001c34: 00000013 nop +80001c38: 00000013 nop +80001c3c: 00000013 nop +80001c40: 00000013 nop +80001c44: 00000013 nop +80001c48: 00000013 nop +80001c4c: 00000013 nop +80001c50: 00000013 nop +80001c54: 00000013 nop +80001c58: 00000013 nop +80001c5c: 00000013 nop +80001c60: 00000013 nop +80001c64: 00000013 nop +80001c68: 00000013 nop +80001c6c: 00000013 nop +80001c70: 00000013 nop +80001c74: 00000013 nop +80001c78: 00000013 nop +80001c7c: 00000013 nop +80001c80: 00000013 nop +80001c84: 00000013 nop +80001c88: 00000013 nop +80001c8c: 00000013 nop +80001c90: 00000013 nop +80001c94: 00000013 nop +80001c98: 00000013 nop +80001c9c: 00000013 nop +80001ca0: 00000013 nop +80001ca4: 00000013 nop +80001ca8: 00000013 nop +80001cac: 00000013 nop +80001cb0: 00000013 nop +80001cb4: 00000013 nop +80001cb8: 00000013 nop +80001cbc: 00000013 nop +80001cc0: 00000013 nop +80001cc4: 00000013 nop +80001cc8: 00000013 nop +80001ccc: 00000013 nop +80001cd0: 00000013 nop +80001cd4: 00000013 nop +80001cd8: 00000013 nop +80001cdc: 00000013 nop +80001ce0: 00000013 nop +80001ce4: 00000013 nop +80001ce8: 00000013 nop +80001cec: 00000013 nop +80001cf0: 00000013 nop +80001cf4: 00000013 nop +80001cf8: 00000013 nop +80001cfc: 00000013 nop +80001d00: 00000013 nop +80001d04: 00000013 nop +80001d08: 00000013 nop +80001d0c: 00000013 nop +80001d10: 00000013 nop +80001d14: 00000013 nop +80001d18: 00000013 nop +80001d1c: 00000013 nop +80001d20: 00000013 nop +80001d24: 00000013 nop +80001d28: 00000013 nop +80001d2c: 00000013 nop +80001d30: 00000013 nop +80001d34: 00000013 nop +80001d38: 00000013 nop +80001d3c: 00000013 nop +80001d40: 00000013 nop +80001d44: 00000013 nop +80001d48: 00000013 nop +80001d4c: 00000013 nop +80001d50: 00000013 nop +80001d54: 00000013 nop +80001d58: 00000013 nop +80001d5c: 00000013 nop +80001d60: 00000013 nop +80001d64: 00000013 nop +80001d68: 00000013 nop +80001d6c: 00000013 nop +80001d70: 00000013 nop +80001d74: 00000013 nop +80001d78: 00000013 nop +80001d7c: 00000013 nop +80001d80: 00000013 nop +80001d84: 00000013 nop +80001d88: 00000013 nop +80001d8c: 00000013 nop +80001d90: 00000013 nop +80001d94: 00000013 nop +80001d98: 00000013 nop +80001d9c: 00000013 nop +80001da0: 00000013 nop +80001da4: 00000013 nop +80001da8: 00000013 nop +80001dac: 00000013 nop +80001db0: 00000013 nop +80001db4: 00000013 nop +80001db8: 00000013 nop +80001dbc: 00000013 nop +80001dc0: 00000013 nop +80001dc4: 00000013 nop +80001dc8: 00000013 nop +80001dcc: 00000013 nop +80001dd0: 00000013 nop +80001dd4: 00000013 nop +80001dd8: 00000013 nop +80001ddc: 00000013 nop +80001de0: 00000013 nop +80001de4: 00000013 nop +80001de8: 00000013 nop +80001dec: 00000013 nop +80001df0: 00000013 nop +80001df4: 00000013 nop +80001df8: 00000013 nop +80001dfc: 00000013 nop +80001e00: 00000013 nop +80001e04: 00000013 nop +80001e08: 00000013 nop +80001e0c: 00000013 nop +80001e10: 00000013 nop +80001e14: 00000013 nop +80001e18: 00000013 nop +80001e1c: 00000013 nop +80001e20: 00000013 nop +80001e24: 00000013 nop +80001e28: 00000013 nop +80001e2c: 00000013 nop +80001e30: 00000013 nop +80001e34: 00000013 nop +80001e38: 00000013 nop +80001e3c: 00000013 nop +80001e40: 00000013 nop +80001e44: 00000013 nop +80001e48: 00000013 nop +80001e4c: 00000013 nop +80001e50: 00000013 nop +80001e54: 00000013 nop +80001e58: 00000013 nop +80001e5c: 00000013 nop +80001e60: 00000013 nop +80001e64: 00000013 nop +80001e68: 00000013 nop +80001e6c: 00000013 nop +80001e70: 00000013 nop +80001e74: 00000013 nop +80001e78: 00000013 nop +80001e7c: 00000013 nop +80001e80: 00000013 nop +80001e84: 00000013 nop +80001e88: 00000013 nop +80001e8c: 00000013 nop +80001e90: 00000013 nop +80001e94: 00000013 nop +80001e98: 00000013 nop +80001e9c: 00000013 nop +80001ea0: 00000013 nop +80001ea4: 00000013 nop +80001ea8: 00000013 nop +80001eac: 00000013 nop +80001eb0: 00000013 nop +80001eb4: 00000013 nop +80001eb8: 00000013 nop +80001ebc: 00000013 nop +80001ec0: 00000013 nop +80001ec4: 00000013 nop +80001ec8: 00000013 nop +80001ecc: 00000013 nop +80001ed0: 00000013 nop +80001ed4: 00000013 nop +80001ed8: 00000013 nop +80001edc: 00000013 nop +80001ee0: 00000013 nop +80001ee4: 00000013 nop +80001ee8: 00000013 nop +80001eec: 00000013 nop +80001ef0: 00000013 nop +80001ef4: 00000013 nop +80001ef8: 00000013 nop +80001efc: 00000013 nop +80001f00: 00000013 nop +80001f04: 00000013 nop +80001f08: 00000013 nop +80001f0c: 00000013 nop +80001f10: 00000013 nop +80001f14: 00000013 nop +80001f18: 00000013 nop +80001f1c: 00000013 nop +80001f20: 00000013 nop +80001f24: 00000013 nop +80001f28: 00000013 nop +80001f2c: 00000013 nop +80001f30: 00000013 nop +80001f34: 00000013 nop +80001f38: 00000013 nop +80001f3c: 00000013 nop +80001f40: 00000013 nop +80001f44: 00000013 nop +80001f48: 00000013 nop +80001f4c: 00000013 nop +80001f50: 00000013 nop +80001f54: 00000013 nop +80001f58: 00000013 nop +80001f5c: 00000013 nop +80001f60: 00000013 nop +80001f64: 00000013 nop +80001f68: 00000013 nop +80001f6c: 00000013 nop +80001f70: 00000013 nop +80001f74: 00000013 nop +80001f78: 00000013 nop +80001f7c: 00000013 nop +80001f80: 00000013 nop +80001f84: 00000013 nop +80001f88: 00000013 nop +80001f8c: 00000013 nop +80001f90: 00000013 nop +80001f94: 00000013 nop +80001f98: 00000013 nop +80001f9c: 00000013 nop +80001fa0: 00000013 nop +80001fa4: 00000013 nop +80001fa8: 00000013 nop +80001fac: 00000013 nop +80001fb0: 00000013 nop +80001fb4: 00000013 nop +80001fb8: 00000013 nop +80001fbc: 00000013 nop +80001fc0: 00000013 nop +80001fc4: 00000013 nop +80001fc8: 00000013 nop +80001fcc: 00000013 nop +80001fd0: 00000013 nop +80001fd4: 00000013 nop +80001fd8: 00000013 nop +80001fdc: 00000013 nop +80001fe0: 00000013 nop +80001fe4: 00000013 nop +80001fe8: 00000013 nop +80001fec: 00000013 nop +80001ff0: 00000013 nop +80001ff4: 00000013 nop +80001ff8: 00000013 nop +80001ffc: 00000013 nop + +80002000 : +80002000: 0000 unimp +80002002: 0000 unimp +80002004: 00000013 nop +80002008: 00000013 nop +8000200c: 00000013 nop +80002010: 00000013 nop +80002014: 00000013 nop +80002018: 00000013 nop +8000201c: 00000013 nop +80002020: 00000013 nop +80002024: 00000013 nop +80002028: 00000013 nop +8000202c: 00000013 nop +80002030: 00000013 nop +80002034: 00000013 nop +80002038: 00000013 nop +8000203c: 00000013 nop +80002040: 00000013 nop +80002044: 00000013 nop +80002048: 00000013 nop +8000204c: 00000013 nop +80002050: 00000013 nop +80002054: 00000013 nop +80002058: 00000013 nop +8000205c: 00000013 nop +80002060: 00000013 nop +80002064: 00000013 nop +80002068: 00000013 nop +8000206c: 00000013 nop +80002070: 00000013 nop +80002074: 00000013 nop +80002078: 00000013 nop +8000207c: 00000013 nop +80002080: 00000013 nop +80002084: 00000013 nop +80002088: 00000013 nop +8000208c: 00000013 nop +80002090: 00000013 nop +80002094: 00000013 nop +80002098: 00000013 nop +8000209c: 00000013 nop +800020a0: 00000013 nop +800020a4: 00000013 nop +800020a8: 00000013 nop +800020ac: 00000013 nop +800020b0: 00000013 nop +800020b4: 00000013 nop +800020b8: 00000013 nop +800020bc: 00000013 nop +800020c0: 00000013 nop +800020c4: 00000013 nop +800020c8: 00000013 nop +800020cc: 00000013 nop +800020d0: 00000013 nop +800020d4: 00000013 nop +800020d8: 00000013 nop +800020dc: 00000013 nop +800020e0: 00000013 nop +800020e4: 00000013 nop +800020e8: 00000013 nop +800020ec: 00000013 nop +800020f0: 00000013 nop +800020f4: 00000013 nop +800020f8: 00000013 nop +800020fc: 00000013 nop +80002100: 00000013 nop +80002104: 00000013 nop +80002108: 00000013 nop +8000210c: 00000013 nop +80002110: 00000013 nop +80002114: 00000013 nop +80002118: 00000013 nop +8000211c: 00000013 nop +80002120: 00000013 nop +80002124: 00000013 nop +80002128: 00000013 nop +8000212c: 00000013 nop +80002130: 00000013 nop +80002134: 00000013 nop +80002138: 00000013 nop +8000213c: 00000013 nop +80002140: 00000013 nop +80002144: 00000013 nop +80002148: 00000013 nop +8000214c: 00000013 nop +80002150: 00000013 nop +80002154: 00000013 nop +80002158: 00000013 nop +8000215c: 00000013 nop +80002160: 00000013 nop +80002164: 00000013 nop +80002168: 00000013 nop +8000216c: 00000013 nop +80002170: 00000013 nop +80002174: 00000013 nop +80002178: 00000013 nop +8000217c: 00000013 nop +80002180: 00000013 nop +80002184: 00000013 nop +80002188: 00000013 nop +8000218c: 00000013 nop +80002190: 00000013 nop +80002194: 00000013 nop +80002198: 00000013 nop +8000219c: 00000013 nop +800021a0: 00000013 nop +800021a4: 00000013 nop +800021a8: 00000013 nop +800021ac: 00000013 nop +800021b0: 00000013 nop +800021b4: 00000013 nop +800021b8: 00000013 nop +800021bc: 00000013 nop +800021c0: 00000013 nop +800021c4: 00000013 nop +800021c8: 00000013 nop +800021cc: 00000013 nop +800021d0: 00000013 nop +800021d4: 00000013 nop +800021d8: 00000013 nop +800021dc: 00000013 nop +800021e0: 00000013 nop +800021e4: 00000013 nop +800021e8: 00000013 nop +800021ec: 00000013 nop +800021f0: 00000013 nop +800021f4: 00000013 nop +800021f8: 00000013 nop +800021fc: 00000013 nop +80002200: 00000013 nop +80002204: 00000013 nop +80002208: 00000013 nop +8000220c: 00000013 nop +80002210: 00000013 nop +80002214: 00000013 nop +80002218: 00000013 nop +8000221c: 00000013 nop +80002220: 00000013 nop +80002224: 00000013 nop +80002228: 00000013 nop +8000222c: 00000013 nop +80002230: 00000013 nop +80002234: 00000013 nop +80002238: 00000013 nop +8000223c: 00000013 nop +80002240: 00000013 nop +80002244: 00000013 nop +80002248: 00000013 nop +8000224c: 00000013 nop +80002250: 00000013 nop +80002254: 00000013 nop +80002258: 00000013 nop +8000225c: 00000013 nop +80002260: 00000013 nop +80002264: 00000013 nop +80002268: 00000013 nop +8000226c: 00000013 nop +80002270: 00000013 nop +80002274: 00000013 nop +80002278: 00000013 nop +8000227c: 00000013 nop +80002280: 00000013 nop +80002284: 00000013 nop +80002288: 00000013 nop +8000228c: 00000013 nop +80002290: 00000013 nop +80002294: 00000013 nop +80002298: 00000013 nop +8000229c: 00000013 nop +800022a0: 00000013 nop +800022a4: 00000013 nop +800022a8: 00000013 nop +800022ac: 00000013 nop +800022b0: 00000013 nop +800022b4: 00000013 nop +800022b8: 00000013 nop +800022bc: 00000013 nop +800022c0: 00000013 nop +800022c4: 00000013 nop +800022c8: 00000013 nop +800022cc: 00000013 nop +800022d0: 00000013 nop +800022d4: 00000013 nop +800022d8: 00000013 nop +800022dc: 00000013 nop +800022e0: 00000013 nop +800022e4: 00000013 nop +800022e8: 00000013 nop +800022ec: 00000013 nop +800022f0: 00000013 nop +800022f4: 00000013 nop +800022f8: 00000013 nop +800022fc: 00000013 nop +80002300: 00000013 nop +80002304: 00000013 nop +80002308: 00000013 nop +8000230c: 00000013 nop +80002310: 00000013 nop +80002314: 00000013 nop +80002318: 00000013 nop +8000231c: 00000013 nop +80002320: 00000013 nop +80002324: 00000013 nop +80002328: 00000013 nop +8000232c: 00000013 nop +80002330: 00000013 nop +80002334: 00000013 nop +80002338: 00000013 nop +8000233c: 00000013 nop +80002340: 00000013 nop +80002344: 00000013 nop +80002348: 00000013 nop +8000234c: 00000013 nop +80002350: 00000013 nop +80002354: 00000013 nop +80002358: 00000013 nop +8000235c: 00000013 nop +80002360: 00000013 nop +80002364: 00000013 nop +80002368: 00000013 nop +8000236c: 00000013 nop +80002370: 00000013 nop +80002374: 00000013 nop +80002378: 00000013 nop +8000237c: 00000013 nop +80002380: 00000013 nop +80002384: 00000013 nop +80002388: 00000013 nop +8000238c: 00000013 nop +80002390: 00000013 nop +80002394: 00000013 nop +80002398: 00000013 nop +8000239c: 00000013 nop +800023a0: 00000013 nop +800023a4: 00000013 nop +800023a8: 00000013 nop +800023ac: 00000013 nop +800023b0: 00000013 nop +800023b4: 00000013 nop +800023b8: 00000013 nop +800023bc: 00000013 nop +800023c0: 00000013 nop +800023c4: 00000013 nop +800023c8: 00000013 nop +800023cc: 00000013 nop +800023d0: 00000013 nop +800023d4: 00000013 nop +800023d8: 00000013 nop +800023dc: 00000013 nop +800023e0: 00000013 nop +800023e4: 00000013 nop +800023e8: 00000013 nop +800023ec: 00000013 nop +800023f0: 00000013 nop +800023f4: 00000013 nop +800023f8: 00000013 nop +800023fc: 00000013 nop +80002400: 00000013 nop +80002404: 00000013 nop +80002408: 00000013 nop +8000240c: 00000013 nop +80002410: 00000013 nop +80002414: 00000013 nop +80002418: 00000013 nop +8000241c: 00000013 nop +80002420: 00000013 nop +80002424: 00000013 nop +80002428: 00000013 nop +8000242c: 00000013 nop +80002430: 00000013 nop +80002434: 00000013 nop +80002438: 00000013 nop +8000243c: 00000013 nop +80002440: 00000013 nop +80002444: 00000013 nop +80002448: 00000013 nop +8000244c: 00000013 nop +80002450: 00000013 nop +80002454: 00000013 nop +80002458: 00000013 nop +8000245c: 00000013 nop +80002460: 00000013 nop +80002464: 00000013 nop +80002468: 00000013 nop +8000246c: 00000013 nop +80002470: 00000013 nop +80002474: 00000013 nop +80002478: 00000013 nop +8000247c: 00000013 nop +80002480: 00000013 nop +80002484: 00000013 nop +80002488: 00000013 nop +8000248c: 00000013 nop +80002490: 00000013 nop +80002494: 00000013 nop +80002498: 00000013 nop +8000249c: 00000013 nop +800024a0: 00000013 nop +800024a4: 00000013 nop +800024a8: 00000013 nop +800024ac: 00000013 nop +800024b0: 00000013 nop +800024b4: 00000013 nop +800024b8: 00000013 nop +800024bc: 00000013 nop +800024c0: 00000013 nop +800024c4: 00000013 nop +800024c8: 00000013 nop +800024cc: 00000013 nop +800024d0: 00000013 nop +800024d4: 00000013 nop +800024d8: 00000013 nop +800024dc: 00000013 nop +800024e0: 00000013 nop +800024e4: 00000013 nop +800024e8: 00000013 nop +800024ec: 00000013 nop +800024f0: 00000013 nop +800024f4: 00000013 nop +800024f8: 00000013 nop +800024fc: 00000013 nop +80002500: 00000013 nop +80002504: 00000013 nop +80002508: 00000013 nop +8000250c: 00000013 nop +80002510: 00000013 nop +80002514: 00000013 nop +80002518: 00000013 nop +8000251c: 00000013 nop +80002520: 00000013 nop +80002524: 00000013 nop +80002528: 00000013 nop +8000252c: 00000013 nop +80002530: 00000013 nop +80002534: 00000013 nop +80002538: 00000013 nop +8000253c: 00000013 nop +80002540: 00000013 nop +80002544: 00000013 nop +80002548: 00000013 nop +8000254c: 00000013 nop +80002550: 00000013 nop +80002554: 00000013 nop +80002558: 00000013 nop +8000255c: 00000013 nop +80002560: 00000013 nop +80002564: 00000013 nop +80002568: 00000013 nop +8000256c: 00000013 nop +80002570: 00000013 nop +80002574: 00000013 nop +80002578: 00000013 nop +8000257c: 00000013 nop +80002580: 00000013 nop +80002584: 00000013 nop +80002588: 00000013 nop +8000258c: 00000013 nop +80002590: 00000013 nop +80002594: 00000013 nop +80002598: 00000013 nop +8000259c: 00000013 nop +800025a0: 00000013 nop +800025a4: 00000013 nop +800025a8: 00000013 nop +800025ac: 00000013 nop +800025b0: 00000013 nop +800025b4: 00000013 nop +800025b8: 00000013 nop +800025bc: 00000013 nop +800025c0: 00000013 nop +800025c4: 00000013 nop +800025c8: 00000013 nop +800025cc: 00000013 nop +800025d0: 00000013 nop +800025d4: 00000013 nop +800025d8: 00000013 nop +800025dc: 00000013 nop +800025e0: 00000013 nop +800025e4: 00000013 nop +800025e8: 00000013 nop +800025ec: 00000013 nop +800025f0: 00000013 nop +800025f4: 00000013 nop +800025f8: 00000013 nop +800025fc: 00000013 nop +80002600: 00000013 nop +80002604: 00000013 nop +80002608: 00000013 nop +8000260c: 00000013 nop +80002610: 00000013 nop +80002614: 00000013 nop +80002618: 00000013 nop +8000261c: 00000013 nop +80002620: 00000013 nop +80002624: 00000013 nop +80002628: 00000013 nop +8000262c: 00000013 nop +80002630: 00000013 nop +80002634: 00000013 nop +80002638: 00000013 nop +8000263c: 00000013 nop +80002640: 00000013 nop +80002644: 00000013 nop +80002648: 00000013 nop +8000264c: 00000013 nop +80002650: 00000013 nop +80002654: 00000013 nop +80002658: 00000013 nop +8000265c: 00000013 nop +80002660: 00000013 nop +80002664: 00000013 nop +80002668: 00000013 nop +8000266c: 00000013 nop +80002670: 00000013 nop +80002674: 00000013 nop +80002678: 00000013 nop +8000267c: 00000013 nop +80002680: 00000013 nop +80002684: 00000013 nop +80002688: 00000013 nop +8000268c: 00000013 nop +80002690: 00000013 nop +80002694: 00000013 nop +80002698: 00000013 nop +8000269c: 00000013 nop +800026a0: 00000013 nop +800026a4: 00000013 nop +800026a8: 00000013 nop +800026ac: 00000013 nop +800026b0: 00000013 nop +800026b4: 00000013 nop +800026b8: 00000013 nop +800026bc: 00000013 nop +800026c0: 00000013 nop +800026c4: 00000013 nop +800026c8: 00000013 nop +800026cc: 00000013 nop +800026d0: 00000013 nop +800026d4: 00000013 nop +800026d8: 00000013 nop +800026dc: 00000013 nop +800026e0: 00000013 nop +800026e4: 00000013 nop +800026e8: 00000013 nop +800026ec: 00000013 nop +800026f0: 00000013 nop +800026f4: 00000013 nop +800026f8: 00000013 nop +800026fc: 00000013 nop +80002700: 00000013 nop +80002704: 00000013 nop +80002708: 00000013 nop +8000270c: 00000013 nop +80002710: 00000013 nop +80002714: 00000013 nop +80002718: 00000013 nop +8000271c: 00000013 nop +80002720: 00000013 nop +80002724: 00000013 nop +80002728: 00000013 nop +8000272c: 00000013 nop +80002730: 00000013 nop +80002734: 00000013 nop +80002738: 00000013 nop +8000273c: 00000013 nop +80002740: 00000013 nop +80002744: 00000013 nop +80002748: 00000013 nop +8000274c: 00000013 nop +80002750: 00000013 nop +80002754: 00000013 nop +80002758: 00000013 nop +8000275c: 00000013 nop +80002760: 00000013 nop +80002764: 00000013 nop +80002768: 00000013 nop +8000276c: 00000013 nop +80002770: 00000013 nop +80002774: 00000013 nop +80002778: 00000013 nop +8000277c: 00000013 nop +80002780: 00000013 nop +80002784: 00000013 nop +80002788: 00000013 nop +8000278c: 00000013 nop +80002790: 00000013 nop +80002794: 00000013 nop +80002798: 00000013 nop +8000279c: 00000013 nop +800027a0: 00000013 nop +800027a4: 00000013 nop +800027a8: 00000013 nop +800027ac: 00000013 nop +800027b0: 00000013 nop +800027b4: 00000013 nop +800027b8: 00000013 nop +800027bc: 00000013 nop +800027c0: 00000013 nop +800027c4: 00000013 nop +800027c8: 00000013 nop +800027cc: 00000013 nop +800027d0: 00000013 nop +800027d4: 00000013 nop +800027d8: 00000013 nop +800027dc: 00000013 nop +800027e0: 00000013 nop +800027e4: 00000013 nop +800027e8: 00000013 nop +800027ec: 00000013 nop +800027f0: 00000013 nop +800027f4: 00000013 nop +800027f8: 00000013 nop +800027fc: 00000013 nop +80002800: 00000013 nop +80002804: 00000013 nop +80002808: 00000013 nop +8000280c: 00000013 nop +80002810: 00000013 nop +80002814: 00000013 nop +80002818: 00000013 nop +8000281c: 00000013 nop +80002820: 00000013 nop +80002824: 00000013 nop +80002828: 00000013 nop +8000282c: 00000013 nop +80002830: 00000013 nop +80002834: 00000013 nop +80002838: 00000013 nop +8000283c: 00000013 nop +80002840: 00000013 nop +80002844: 00000013 nop +80002848: 00000013 nop +8000284c: 00000013 nop +80002850: 00000013 nop +80002854: 00000013 nop +80002858: 00000013 nop +8000285c: 00000013 nop +80002860: 00000013 nop +80002864: 00000013 nop +80002868: 00000013 nop +8000286c: 00000013 nop +80002870: 00000013 nop +80002874: 00000013 nop +80002878: 00000013 nop +8000287c: 00000013 nop +80002880: 00000013 nop +80002884: 00000013 nop +80002888: 00000013 nop +8000288c: 00000013 nop +80002890: 00000013 nop +80002894: 00000013 nop +80002898: 00000013 nop +8000289c: 00000013 nop +800028a0: 00000013 nop +800028a4: 00000013 nop +800028a8: 00000013 nop +800028ac: 00000013 nop +800028b0: 00000013 nop +800028b4: 00000013 nop +800028b8: 00000013 nop +800028bc: 00000013 nop +800028c0: 00000013 nop +800028c4: 00000013 nop +800028c8: 00000013 nop +800028cc: 00000013 nop +800028d0: 00000013 nop +800028d4: 00000013 nop +800028d8: 00000013 nop +800028dc: 00000013 nop +800028e0: 00000013 nop +800028e4: 00000013 nop +800028e8: 00000013 nop +800028ec: 00000013 nop +800028f0: 00000013 nop +800028f4: 00000013 nop +800028f8: 00000013 nop +800028fc: 00000013 nop +80002900: 00000013 nop +80002904: 00000013 nop +80002908: 00000013 nop +8000290c: 00000013 nop +80002910: 00000013 nop +80002914: 00000013 nop +80002918: 00000013 nop +8000291c: 00000013 nop +80002920: 00000013 nop +80002924: 00000013 nop +80002928: 00000013 nop +8000292c: 00000013 nop +80002930: 00000013 nop +80002934: 00000013 nop +80002938: 00000013 nop +8000293c: 00000013 nop +80002940: 00000013 nop +80002944: 00000013 nop +80002948: 00000013 nop +8000294c: 00000013 nop +80002950: 00000013 nop +80002954: 00000013 nop +80002958: 00000013 nop +8000295c: 00000013 nop +80002960: 00000013 nop +80002964: 00000013 nop +80002968: 00000013 nop +8000296c: 00000013 nop +80002970: 00000013 nop +80002974: 00000013 nop +80002978: 00000013 nop +8000297c: 00000013 nop +80002980: 00000013 nop +80002984: 00000013 nop +80002988: 00000013 nop +8000298c: 00000013 nop +80002990: 00000013 nop +80002994: 00000013 nop +80002998: 00000013 nop +8000299c: 00000013 nop +800029a0: 00000013 nop +800029a4: 00000013 nop +800029a8: 00000013 nop +800029ac: 00000013 nop +800029b0: 00000013 nop +800029b4: 00000013 nop +800029b8: 00000013 nop +800029bc: 00000013 nop +800029c0: 00000013 nop +800029c4: 00000013 nop +800029c8: 00000013 nop +800029cc: 00000013 nop +800029d0: 00000013 nop +800029d4: 00000013 nop +800029d8: 00000013 nop +800029dc: 00000013 nop +800029e0: 00000013 nop +800029e4: 00000013 nop +800029e8: 00000013 nop +800029ec: 00000013 nop +800029f0: 00000013 nop +800029f4: 00000013 nop +800029f8: 00000013 nop +800029fc: 00000013 nop +80002a00: 00000013 nop +80002a04: 00000013 nop +80002a08: 00000013 nop +80002a0c: 00000013 nop +80002a10: 00000013 nop +80002a14: 00000013 nop +80002a18: 00000013 nop +80002a1c: 00000013 nop +80002a20: 00000013 nop +80002a24: 00000013 nop +80002a28: 00000013 nop +80002a2c: 00000013 nop +80002a30: 00000013 nop +80002a34: 00000013 nop +80002a38: 00000013 nop +80002a3c: 00000013 nop +80002a40: 00000013 nop +80002a44: 00000013 nop +80002a48: 00000013 nop +80002a4c: 00000013 nop +80002a50: 00000013 nop +80002a54: 00000013 nop +80002a58: 00000013 nop +80002a5c: 00000013 nop +80002a60: 00000013 nop +80002a64: 00000013 nop +80002a68: 00000013 nop +80002a6c: 00000013 nop +80002a70: 00000013 nop +80002a74: 00000013 nop +80002a78: 00000013 nop +80002a7c: 00000013 nop +80002a80: 00000013 nop +80002a84: 00000013 nop +80002a88: 00000013 nop +80002a8c: 00000013 nop +80002a90: 00000013 nop +80002a94: 00000013 nop +80002a98: 00000013 nop +80002a9c: 00000013 nop +80002aa0: 00000013 nop +80002aa4: 00000013 nop +80002aa8: 00000013 nop +80002aac: 00000013 nop +80002ab0: 00000013 nop +80002ab4: 00000013 nop +80002ab8: 00000013 nop +80002abc: 00000013 nop +80002ac0: 00000013 nop +80002ac4: 00000013 nop +80002ac8: 00000013 nop +80002acc: 00000013 nop +80002ad0: 00000013 nop +80002ad4: 00000013 nop +80002ad8: 00000013 nop +80002adc: 00000013 nop +80002ae0: 00000013 nop +80002ae4: 00000013 nop +80002ae8: 00000013 nop +80002aec: 00000013 nop +80002af0: 00000013 nop +80002af4: 00000013 nop +80002af8: 00000013 nop +80002afc: 00000013 nop +80002b00: 00000013 nop +80002b04: 00000013 nop +80002b08: 00000013 nop +80002b0c: 00000013 nop +80002b10: 00000013 nop +80002b14: 00000013 nop +80002b18: 00000013 nop +80002b1c: 00000013 nop +80002b20: 00000013 nop +80002b24: 00000013 nop +80002b28: 00000013 nop +80002b2c: 00000013 nop +80002b30: 00000013 nop +80002b34: 00000013 nop +80002b38: 00000013 nop +80002b3c: 00000013 nop +80002b40: 00000013 nop +80002b44: 00000013 nop +80002b48: 00000013 nop +80002b4c: 00000013 nop +80002b50: 00000013 nop +80002b54: 00000013 nop +80002b58: 00000013 nop +80002b5c: 00000013 nop +80002b60: 00000013 nop +80002b64: 00000013 nop +80002b68: 00000013 nop +80002b6c: 00000013 nop +80002b70: 00000013 nop +80002b74: 00000013 nop +80002b78: 00000013 nop +80002b7c: 00000013 nop +80002b80: 00000013 nop +80002b84: 00000013 nop +80002b88: 00000013 nop +80002b8c: 00000013 nop +80002b90: 00000013 nop +80002b94: 00000013 nop +80002b98: 00000013 nop +80002b9c: 00000013 nop +80002ba0: 00000013 nop +80002ba4: 00000013 nop +80002ba8: 00000013 nop +80002bac: 00000013 nop +80002bb0: 00000013 nop +80002bb4: 00000013 nop +80002bb8: 00000013 nop +80002bbc: 00000013 nop +80002bc0: 00000013 nop +80002bc4: 00000013 nop +80002bc8: 00000013 nop +80002bcc: 00000013 nop +80002bd0: 00000013 nop +80002bd4: 00000013 nop +80002bd8: 00000013 nop +80002bdc: 00000013 nop +80002be0: 00000013 nop +80002be4: 00000013 nop +80002be8: 00000013 nop +80002bec: 00000013 nop +80002bf0: 00000013 nop +80002bf4: 00000013 nop +80002bf8: 00000013 nop +80002bfc: 00000013 nop +80002c00: 00000013 nop +80002c04: 00000013 nop +80002c08: 00000013 nop +80002c0c: 00000013 nop +80002c10: 00000013 nop +80002c14: 00000013 nop +80002c18: 00000013 nop +80002c1c: 00000013 nop +80002c20: 00000013 nop +80002c24: 00000013 nop +80002c28: 00000013 nop +80002c2c: 00000013 nop +80002c30: 00000013 nop +80002c34: 00000013 nop +80002c38: 00000013 nop +80002c3c: 00000013 nop +80002c40: 00000013 nop +80002c44: 00000013 nop +80002c48: 00000013 nop +80002c4c: 00000013 nop +80002c50: 00000013 nop +80002c54: 00000013 nop +80002c58: 00000013 nop +80002c5c: 00000013 nop +80002c60: 00000013 nop +80002c64: 00000013 nop +80002c68: 00000013 nop +80002c6c: 00000013 nop +80002c70: 00000013 nop +80002c74: 00000013 nop +80002c78: 00000013 nop +80002c7c: 00000013 nop +80002c80: 00000013 nop +80002c84: 00000013 nop +80002c88: 00000013 nop +80002c8c: 00000013 nop +80002c90: 00000013 nop +80002c94: 00000013 nop +80002c98: 00000013 nop +80002c9c: 00000013 nop +80002ca0: 00000013 nop +80002ca4: 00000013 nop +80002ca8: 00000013 nop +80002cac: 00000013 nop +80002cb0: 00000013 nop +80002cb4: 00000013 nop +80002cb8: 00000013 nop +80002cbc: 00000013 nop +80002cc0: 00000013 nop +80002cc4: 00000013 nop +80002cc8: 00000013 nop +80002ccc: 00000013 nop +80002cd0: 00000013 nop +80002cd4: 00000013 nop +80002cd8: 00000013 nop +80002cdc: 00000013 nop +80002ce0: 00000013 nop +80002ce4: 00000013 nop +80002ce8: 00000013 nop +80002cec: 00000013 nop +80002cf0: 00000013 nop +80002cf4: 00000013 nop +80002cf8: 00000013 nop +80002cfc: 00000013 nop +80002d00: 00000013 nop +80002d04: 00000013 nop +80002d08: 00000013 nop +80002d0c: 00000013 nop +80002d10: 00000013 nop +80002d14: 00000013 nop +80002d18: 00000013 nop +80002d1c: 00000013 nop +80002d20: 00000013 nop +80002d24: 00000013 nop +80002d28: 00000013 nop +80002d2c: 00000013 nop +80002d30: 00000013 nop +80002d34: 00000013 nop +80002d38: 00000013 nop +80002d3c: 00000013 nop +80002d40: 00000013 nop +80002d44: 00000013 nop +80002d48: 00000013 nop +80002d4c: 00000013 nop +80002d50: 00000013 nop +80002d54: 00000013 nop +80002d58: 00000013 nop +80002d5c: 00000013 nop +80002d60: 00000013 nop +80002d64: 00000013 nop +80002d68: 00000013 nop +80002d6c: 00000013 nop +80002d70: 00000013 nop +80002d74: 00000013 nop +80002d78: 00000013 nop +80002d7c: 00000013 nop +80002d80: 00000013 nop +80002d84: 00000013 nop +80002d88: 00000013 nop +80002d8c: 00000013 nop +80002d90: 00000013 nop +80002d94: 00000013 nop +80002d98: 00000013 nop +80002d9c: 00000013 nop +80002da0: 00000013 nop +80002da4: 00000013 nop +80002da8: 00000013 nop +80002dac: 00000013 nop +80002db0: 00000013 nop +80002db4: 00000013 nop +80002db8: 00000013 nop +80002dbc: 00000013 nop +80002dc0: 00000013 nop +80002dc4: 00000013 nop +80002dc8: 00000013 nop +80002dcc: 00000013 nop +80002dd0: 00000013 nop +80002dd4: 00000013 nop +80002dd8: 00000013 nop +80002ddc: 00000013 nop +80002de0: 00000013 nop +80002de4: 00000013 nop +80002de8: 00000013 nop +80002dec: 00000013 nop +80002df0: 00000013 nop +80002df4: 00000013 nop +80002df8: 00000013 nop +80002dfc: 00000013 nop +80002e00: 00000013 nop +80002e04: 00000013 nop +80002e08: 00000013 nop +80002e0c: 00000013 nop +80002e10: 00000013 nop +80002e14: 00000013 nop +80002e18: 00000013 nop +80002e1c: 00000013 nop +80002e20: 00000013 nop +80002e24: 00000013 nop +80002e28: 00000013 nop +80002e2c: 00000013 nop +80002e30: 00000013 nop +80002e34: 00000013 nop +80002e38: 00000013 nop +80002e3c: 00000013 nop +80002e40: 00000013 nop +80002e44: 00000013 nop +80002e48: 00000013 nop +80002e4c: 00000013 nop +80002e50: 00000013 nop +80002e54: 00000013 nop +80002e58: 00000013 nop +80002e5c: 00000013 nop +80002e60: 00000013 nop +80002e64: 00000013 nop +80002e68: 00000013 nop +80002e6c: 00000013 nop +80002e70: 00000013 nop +80002e74: 00000013 nop +80002e78: 00000013 nop +80002e7c: 00000013 nop +80002e80: 00000013 nop +80002e84: 00000013 nop +80002e88: 00000013 nop +80002e8c: 00000013 nop +80002e90: 00000013 nop +80002e94: 00000013 nop +80002e98: 00000013 nop +80002e9c: 00000013 nop +80002ea0: 00000013 nop +80002ea4: 00000013 nop +80002ea8: 00000013 nop +80002eac: 00000013 nop +80002eb0: 00000013 nop +80002eb4: 00000013 nop +80002eb8: 00000013 nop +80002ebc: 00000013 nop +80002ec0: 00000013 nop +80002ec4: 00000013 nop +80002ec8: 00000013 nop +80002ecc: 00000013 nop +80002ed0: 00000013 nop +80002ed4: 00000013 nop +80002ed8: 00000013 nop +80002edc: 00000013 nop +80002ee0: 00000013 nop +80002ee4: 00000013 nop +80002ee8: 00000013 nop +80002eec: 00000013 nop +80002ef0: 00000013 nop +80002ef4: 00000013 nop +80002ef8: 00000013 nop +80002efc: 00000013 nop +80002f00: 00000013 nop +80002f04: 00000013 nop +80002f08: 00000013 nop +80002f0c: 00000013 nop +80002f10: 00000013 nop +80002f14: 00000013 nop +80002f18: 00000013 nop +80002f1c: 00000013 nop +80002f20: 00000013 nop +80002f24: 00000013 nop +80002f28: 00000013 nop +80002f2c: 00000013 nop +80002f30: 00000013 nop +80002f34: 00000013 nop +80002f38: 00000013 nop +80002f3c: 00000013 nop +80002f40: 00000013 nop +80002f44: 00000013 nop +80002f48: 00000013 nop +80002f4c: 00000013 nop +80002f50: 00000013 nop +80002f54: 00000013 nop +80002f58: 00000013 nop +80002f5c: 00000013 nop +80002f60: 00000013 nop +80002f64: 00000013 nop +80002f68: 00000013 nop +80002f6c: 00000013 nop +80002f70: 00000013 nop +80002f74: 00000013 nop +80002f78: 00000013 nop +80002f7c: 00000013 nop +80002f80: 00000013 nop +80002f84: 00000013 nop +80002f88: 00000013 nop +80002f8c: 00000013 nop +80002f90: 00000013 nop +80002f94: 00000013 nop +80002f98: 00000013 nop +80002f9c: 00000013 nop +80002fa0: 00000013 nop +80002fa4: 00000013 nop +80002fa8: 00000013 nop +80002fac: 00000013 nop +80002fb0: 00000013 nop +80002fb4: 00000013 nop +80002fb8: 00000013 nop +80002fbc: 00000013 nop +80002fc0: 00000013 nop +80002fc4: 00000013 nop +80002fc8: 00000013 nop +80002fcc: 00000013 nop +80002fd0: 00000013 nop +80002fd4: 00000013 nop +80002fd8: 00000013 nop +80002fdc: 00000013 nop +80002fe0: 00000013 nop +80002fe4: 00000013 nop +80002fe8: 00000013 nop +80002fec: 00000013 nop +80002ff0: 00000013 nop +80002ff4: 00000013 nop +80002ff8: 00000013 nop +80002ffc: 00000013 nop + +80003000 : +80003000: 0000 unimp +80003002: 0000 unimp +80003004: 00000013 nop +80003008: 00000013 nop +8000300c: 00000013 nop +80003010: 00000013 nop +80003014: 00000013 nop +80003018: 00000013 nop +8000301c: 00000013 nop +80003020: 00000013 nop +80003024: 00000013 nop +80003028: 00000013 nop +8000302c: 00000013 nop +80003030: 00000013 nop +80003034: 00000013 nop +80003038: 00000013 nop +8000303c: 00000013 nop +80003040: 00000013 nop +80003044: 00000013 nop +80003048: 00000013 nop +8000304c: 00000013 nop +80003050: 00000013 nop +80003054: 00000013 nop +80003058: 00000013 nop +8000305c: 00000013 nop +80003060: 00000013 nop +80003064: 00000013 nop +80003068: 00000013 nop +8000306c: 00000013 nop +80003070: 00000013 nop +80003074: 00000013 nop +80003078: 00000013 nop +8000307c: 00000013 nop +80003080: 00000013 nop +80003084: 00000013 nop +80003088: 00000013 nop +8000308c: 00000013 nop +80003090: 00000013 nop +80003094: 00000013 nop +80003098: 00000013 nop +8000309c: 00000013 nop +800030a0: 00000013 nop +800030a4: 00000013 nop +800030a8: 00000013 nop +800030ac: 00000013 nop +800030b0: 00000013 nop +800030b4: 00000013 nop +800030b8: 00000013 nop +800030bc: 00000013 nop +800030c0: 00000013 nop +800030c4: 00000013 nop +800030c8: 00000013 nop +800030cc: 00000013 nop +800030d0: 00000013 nop +800030d4: 00000013 nop +800030d8: 00000013 nop +800030dc: 00000013 nop +800030e0: 00000013 nop +800030e4: 00000013 nop +800030e8: 00000013 nop +800030ec: 00000013 nop +800030f0: 00000013 nop +800030f4: 00000013 nop +800030f8: 00000013 nop +800030fc: 00000013 nop +80003100: 00000013 nop +80003104: 00000013 nop +80003108: 00000013 nop +8000310c: 00000013 nop +80003110: 00000013 nop +80003114: 00000013 nop +80003118: 00000013 nop +8000311c: 00000013 nop +80003120: 00000013 nop +80003124: 00000013 nop +80003128: 00000013 nop +8000312c: 00000013 nop +80003130: 00000013 nop +80003134: 00000013 nop +80003138: 00000013 nop +8000313c: 00000013 nop +80003140: 00000013 nop +80003144: 00000013 nop +80003148: 00000013 nop +8000314c: 00000013 nop +80003150: 00000013 nop +80003154: 00000013 nop +80003158: 00000013 nop +8000315c: 00000013 nop +80003160: 00000013 nop +80003164: 00000013 nop +80003168: 00000013 nop +8000316c: 00000013 nop +80003170: 00000013 nop +80003174: 00000013 nop +80003178: 00000013 nop +8000317c: 00000013 nop +80003180: 00000013 nop +80003184: 00000013 nop +80003188: 00000013 nop +8000318c: 00000013 nop +80003190: 00000013 nop +80003194: 00000013 nop +80003198: 00000013 nop +8000319c: 00000013 nop +800031a0: 00000013 nop +800031a4: 00000013 nop +800031a8: 00000013 nop +800031ac: 00000013 nop +800031b0: 00000013 nop +800031b4: 00000013 nop +800031b8: 00000013 nop +800031bc: 00000013 nop +800031c0: 00000013 nop +800031c4: 00000013 nop +800031c8: 00000013 nop +800031cc: 00000013 nop +800031d0: 00000013 nop +800031d4: 00000013 nop +800031d8: 00000013 nop +800031dc: 00000013 nop +800031e0: 00000013 nop +800031e4: 00000013 nop +800031e8: 00000013 nop +800031ec: 00000013 nop +800031f0: 00000013 nop +800031f4: 00000013 nop +800031f8: 00000013 nop +800031fc: 00000013 nop +80003200: 00000013 nop +80003204: 00000013 nop +80003208: 00000013 nop +8000320c: 00000013 nop +80003210: 00000013 nop +80003214: 00000013 nop +80003218: 00000013 nop +8000321c: 00000013 nop +80003220: 00000013 nop +80003224: 00000013 nop +80003228: 00000013 nop +8000322c: 00000013 nop +80003230: 00000013 nop +80003234: 00000013 nop +80003238: 00000013 nop +8000323c: 00000013 nop +80003240: 00000013 nop +80003244: 00000013 nop +80003248: 00000013 nop +8000324c: 00000013 nop +80003250: 00000013 nop +80003254: 00000013 nop +80003258: 00000013 nop +8000325c: 00000013 nop +80003260: 00000013 nop +80003264: 00000013 nop +80003268: 00000013 nop +8000326c: 00000013 nop +80003270: 00000013 nop +80003274: 00000013 nop +80003278: 00000013 nop +8000327c: 00000013 nop +80003280: 00000013 nop +80003284: 00000013 nop +80003288: 00000013 nop +8000328c: 00000013 nop +80003290: 00000013 nop +80003294: 00000013 nop +80003298: 00000013 nop +8000329c: 00000013 nop +800032a0: 00000013 nop +800032a4: 00000013 nop +800032a8: 00000013 nop +800032ac: 00000013 nop +800032b0: 00000013 nop +800032b4: 00000013 nop +800032b8: 00000013 nop +800032bc: 00000013 nop +800032c0: 00000013 nop +800032c4: 00000013 nop +800032c8: 00000013 nop +800032cc: 00000013 nop +800032d0: 00000013 nop +800032d4: 00000013 nop +800032d8: 00000013 nop +800032dc: 00000013 nop +800032e0: 00000013 nop +800032e4: 00000013 nop +800032e8: 00000013 nop +800032ec: 00000013 nop +800032f0: 00000013 nop +800032f4: 00000013 nop +800032f8: 00000013 nop +800032fc: 00000013 nop +80003300: 00000013 nop +80003304: 00000013 nop +80003308: 00000013 nop +8000330c: 00000013 nop +80003310: 00000013 nop +80003314: 00000013 nop +80003318: 00000013 nop +8000331c: 00000013 nop +80003320: 00000013 nop +80003324: 00000013 nop +80003328: 00000013 nop +8000332c: 00000013 nop +80003330: 00000013 nop +80003334: 00000013 nop +80003338: 00000013 nop +8000333c: 00000013 nop +80003340: 00000013 nop +80003344: 00000013 nop +80003348: 00000013 nop +8000334c: 00000013 nop +80003350: 00000013 nop +80003354: 00000013 nop +80003358: 00000013 nop +8000335c: 00000013 nop +80003360: 00000013 nop +80003364: 00000013 nop +80003368: 00000013 nop +8000336c: 00000013 nop +80003370: 00000013 nop +80003374: 00000013 nop +80003378: 00000013 nop +8000337c: 00000013 nop +80003380: 00000013 nop +80003384: 00000013 nop +80003388: 00000013 nop +8000338c: 00000013 nop +80003390: 00000013 nop +80003394: 00000013 nop +80003398: 00000013 nop +8000339c: 00000013 nop +800033a0: 00000013 nop +800033a4: 00000013 nop +800033a8: 00000013 nop +800033ac: 00000013 nop +800033b0: 00000013 nop +800033b4: 00000013 nop +800033b8: 00000013 nop +800033bc: 00000013 nop +800033c0: 00000013 nop +800033c4: 00000013 nop +800033c8: 00000013 nop +800033cc: 00000013 nop +800033d0: 00000013 nop +800033d4: 00000013 nop +800033d8: 00000013 nop +800033dc: 00000013 nop +800033e0: 00000013 nop +800033e4: 00000013 nop +800033e8: 00000013 nop +800033ec: 00000013 nop +800033f0: 00000013 nop +800033f4: 00000013 nop +800033f8: 00000013 nop +800033fc: 00000013 nop +80003400: 00000013 nop +80003404: 00000013 nop +80003408: 00000013 nop +8000340c: 00000013 nop +80003410: 00000013 nop +80003414: 00000013 nop +80003418: 00000013 nop +8000341c: 00000013 nop +80003420: 00000013 nop +80003424: 00000013 nop +80003428: 00000013 nop +8000342c: 00000013 nop +80003430: 00000013 nop +80003434: 00000013 nop +80003438: 00000013 nop +8000343c: 00000013 nop +80003440: 00000013 nop +80003444: 00000013 nop +80003448: 00000013 nop +8000344c: 00000013 nop +80003450: 00000013 nop +80003454: 00000013 nop +80003458: 00000013 nop +8000345c: 00000013 nop +80003460: 00000013 nop +80003464: 00000013 nop +80003468: 00000013 nop +8000346c: 00000013 nop +80003470: 00000013 nop +80003474: 00000013 nop +80003478: 00000013 nop +8000347c: 00000013 nop +80003480: 00000013 nop +80003484: 00000013 nop +80003488: 00000013 nop +8000348c: 00000013 nop +80003490: 00000013 nop +80003494: 00000013 nop +80003498: 00000013 nop +8000349c: 00000013 nop +800034a0: 00000013 nop +800034a4: 00000013 nop +800034a8: 00000013 nop +800034ac: 00000013 nop +800034b0: 00000013 nop +800034b4: 00000013 nop +800034b8: 00000013 nop +800034bc: 00000013 nop +800034c0: 00000013 nop +800034c4: 00000013 nop +800034c8: 00000013 nop +800034cc: 00000013 nop +800034d0: 00000013 nop +800034d4: 00000013 nop +800034d8: 00000013 nop +800034dc: 00000013 nop +800034e0: 00000013 nop +800034e4: 00000013 nop +800034e8: 00000013 nop +800034ec: 00000013 nop +800034f0: 00000013 nop +800034f4: 00000013 nop +800034f8: 00000013 nop +800034fc: 00000013 nop +80003500: 00000013 nop +80003504: 00000013 nop +80003508: 00000013 nop +8000350c: 00000013 nop +80003510: 00000013 nop +80003514: 00000013 nop +80003518: 00000013 nop +8000351c: 00000013 nop +80003520: 00000013 nop +80003524: 00000013 nop +80003528: 00000013 nop +8000352c: 00000013 nop +80003530: 00000013 nop +80003534: 00000013 nop +80003538: 00000013 nop +8000353c: 00000013 nop +80003540: 00000013 nop +80003544: 00000013 nop +80003548: 00000013 nop +8000354c: 00000013 nop +80003550: 00000013 nop +80003554: 00000013 nop +80003558: 00000013 nop +8000355c: 00000013 nop +80003560: 00000013 nop +80003564: 00000013 nop +80003568: 00000013 nop +8000356c: 00000013 nop +80003570: 00000013 nop +80003574: 00000013 nop +80003578: 00000013 nop +8000357c: 00000013 nop +80003580: 00000013 nop +80003584: 00000013 nop +80003588: 00000013 nop +8000358c: 00000013 nop +80003590: 00000013 nop +80003594: 00000013 nop +80003598: 00000013 nop +8000359c: 00000013 nop +800035a0: 00000013 nop +800035a4: 00000013 nop +800035a8: 00000013 nop +800035ac: 00000013 nop +800035b0: 00000013 nop +800035b4: 00000013 nop +800035b8: 00000013 nop +800035bc: 00000013 nop +800035c0: 00000013 nop +800035c4: 00000013 nop +800035c8: 00000013 nop +800035cc: 00000013 nop +800035d0: 00000013 nop +800035d4: 00000013 nop +800035d8: 00000013 nop +800035dc: 00000013 nop +800035e0: 00000013 nop +800035e4: 00000013 nop +800035e8: 00000013 nop +800035ec: 00000013 nop +800035f0: 00000013 nop +800035f4: 00000013 nop +800035f8: 00000013 nop +800035fc: 00000013 nop +80003600: 00000013 nop +80003604: 00000013 nop +80003608: 00000013 nop +8000360c: 00000013 nop +80003610: 00000013 nop +80003614: 00000013 nop +80003618: 00000013 nop +8000361c: 00000013 nop +80003620: 00000013 nop +80003624: 00000013 nop +80003628: 00000013 nop +8000362c: 00000013 nop +80003630: 00000013 nop +80003634: 00000013 nop +80003638: 00000013 nop +8000363c: 00000013 nop +80003640: 00000013 nop +80003644: 00000013 nop +80003648: 00000013 nop +8000364c: 00000013 nop +80003650: 00000013 nop +80003654: 00000013 nop +80003658: 00000013 nop +8000365c: 00000013 nop +80003660: 00000013 nop +80003664: 00000013 nop +80003668: 00000013 nop +8000366c: 00000013 nop +80003670: 00000013 nop +80003674: 00000013 nop +80003678: 00000013 nop +8000367c: 00000013 nop +80003680: 00000013 nop +80003684: 00000013 nop +80003688: 00000013 nop +8000368c: 00000013 nop +80003690: 00000013 nop +80003694: 00000013 nop +80003698: 00000013 nop +8000369c: 00000013 nop +800036a0: 00000013 nop +800036a4: 00000013 nop +800036a8: 00000013 nop +800036ac: 00000013 nop +800036b0: 00000013 nop +800036b4: 00000013 nop +800036b8: 00000013 nop +800036bc: 00000013 nop +800036c0: 00000013 nop +800036c4: 00000013 nop +800036c8: 00000013 nop +800036cc: 00000013 nop +800036d0: 00000013 nop +800036d4: 00000013 nop +800036d8: 00000013 nop +800036dc: 00000013 nop +800036e0: 00000013 nop +800036e4: 00000013 nop +800036e8: 00000013 nop +800036ec: 00000013 nop +800036f0: 00000013 nop +800036f4: 00000013 nop +800036f8: 00000013 nop +800036fc: 00000013 nop +80003700: 00000013 nop +80003704: 00000013 nop +80003708: 00000013 nop +8000370c: 00000013 nop +80003710: 00000013 nop +80003714: 00000013 nop +80003718: 00000013 nop +8000371c: 00000013 nop +80003720: 00000013 nop +80003724: 00000013 nop +80003728: 00000013 nop +8000372c: 00000013 nop +80003730: 00000013 nop +80003734: 00000013 nop +80003738: 00000013 nop +8000373c: 00000013 nop +80003740: 00000013 nop +80003744: 00000013 nop +80003748: 00000013 nop +8000374c: 00000013 nop +80003750: 00000013 nop +80003754: 00000013 nop +80003758: 00000013 nop +8000375c: 00000013 nop +80003760: 00000013 nop +80003764: 00000013 nop +80003768: 00000013 nop +8000376c: 00000013 nop +80003770: 00000013 nop +80003774: 00000013 nop +80003778: 00000013 nop +8000377c: 00000013 nop +80003780: 00000013 nop +80003784: 00000013 nop +80003788: 00000013 nop +8000378c: 00000013 nop +80003790: 00000013 nop +80003794: 00000013 nop +80003798: 00000013 nop +8000379c: 00000013 nop +800037a0: 00000013 nop +800037a4: 00000013 nop +800037a8: 00000013 nop +800037ac: 00000013 nop +800037b0: 00000013 nop +800037b4: 00000013 nop +800037b8: 00000013 nop +800037bc: 00000013 nop +800037c0: 00000013 nop +800037c4: 00000013 nop +800037c8: 00000013 nop +800037cc: 00000013 nop +800037d0: 00000013 nop +800037d4: 00000013 nop +800037d8: 00000013 nop +800037dc: 00000013 nop +800037e0: 00000013 nop +800037e4: 00000013 nop +800037e8: 00000013 nop +800037ec: 00000013 nop +800037f0: 00000013 nop +800037f4: 00000013 nop +800037f8: 00000013 nop +800037fc: 00000013 nop +80003800: 00000013 nop +80003804: 00000013 nop +80003808: 00000013 nop +8000380c: 00000013 nop +80003810: 00000013 nop +80003814: 00000013 nop +80003818: 00000013 nop +8000381c: 00000013 nop +80003820: 00000013 nop +80003824: 00000013 nop +80003828: 00000013 nop +8000382c: 00000013 nop +80003830: 00000013 nop +80003834: 00000013 nop +80003838: 00000013 nop +8000383c: 00000013 nop +80003840: 00000013 nop +80003844: 00000013 nop +80003848: 00000013 nop +8000384c: 00000013 nop +80003850: 00000013 nop +80003854: 00000013 nop +80003858: 00000013 nop +8000385c: 00000013 nop +80003860: 00000013 nop +80003864: 00000013 nop +80003868: 00000013 nop +8000386c: 00000013 nop +80003870: 00000013 nop +80003874: 00000013 nop +80003878: 00000013 nop +8000387c: 00000013 nop +80003880: 00000013 nop +80003884: 00000013 nop +80003888: 00000013 nop +8000388c: 00000013 nop +80003890: 00000013 nop +80003894: 00000013 nop +80003898: 00000013 nop +8000389c: 00000013 nop +800038a0: 00000013 nop +800038a4: 00000013 nop +800038a8: 00000013 nop +800038ac: 00000013 nop +800038b0: 00000013 nop +800038b4: 00000013 nop +800038b8: 00000013 nop +800038bc: 00000013 nop +800038c0: 00000013 nop +800038c4: 00000013 nop +800038c8: 00000013 nop +800038cc: 00000013 nop +800038d0: 00000013 nop +800038d4: 00000013 nop +800038d8: 00000013 nop +800038dc: 00000013 nop +800038e0: 00000013 nop +800038e4: 00000013 nop +800038e8: 00000013 nop +800038ec: 00000013 nop +800038f0: 00000013 nop +800038f4: 00000013 nop +800038f8: 00000013 nop +800038fc: 00000013 nop +80003900: 00000013 nop +80003904: 00000013 nop +80003908: 00000013 nop +8000390c: 00000013 nop +80003910: 00000013 nop +80003914: 00000013 nop +80003918: 00000013 nop +8000391c: 00000013 nop +80003920: 00000013 nop +80003924: 00000013 nop +80003928: 00000013 nop +8000392c: 00000013 nop +80003930: 00000013 nop +80003934: 00000013 nop +80003938: 00000013 nop +8000393c: 00000013 nop +80003940: 00000013 nop +80003944: 00000013 nop +80003948: 00000013 nop +8000394c: 00000013 nop +80003950: 00000013 nop +80003954: 00000013 nop +80003958: 00000013 nop +8000395c: 00000013 nop +80003960: 00000013 nop +80003964: 00000013 nop +80003968: 00000013 nop +8000396c: 00000013 nop +80003970: 00000013 nop +80003974: 00000013 nop +80003978: 00000013 nop +8000397c: 00000013 nop +80003980: 00000013 nop +80003984: 00000013 nop +80003988: 00000013 nop +8000398c: 00000013 nop +80003990: 00000013 nop +80003994: 00000013 nop +80003998: 00000013 nop +8000399c: 00000013 nop +800039a0: 00000013 nop +800039a4: 00000013 nop +800039a8: 00000013 nop +800039ac: 00000013 nop +800039b0: 00000013 nop +800039b4: 00000013 nop +800039b8: 00000013 nop +800039bc: 00000013 nop +800039c0: 00000013 nop +800039c4: 00000013 nop +800039c8: 00000013 nop +800039cc: 00000013 nop +800039d0: 00000013 nop +800039d4: 00000013 nop +800039d8: 00000013 nop +800039dc: 00000013 nop +800039e0: 00000013 nop +800039e4: 00000013 nop +800039e8: 00000013 nop +800039ec: 00000013 nop +800039f0: 00000013 nop +800039f4: 00000013 nop +800039f8: 00000013 nop +800039fc: 00000013 nop +80003a00: 00000013 nop +80003a04: 00000013 nop +80003a08: 00000013 nop +80003a0c: 00000013 nop +80003a10: 00000013 nop +80003a14: 00000013 nop +80003a18: 00000013 nop +80003a1c: 00000013 nop +80003a20: 00000013 nop +80003a24: 00000013 nop +80003a28: 00000013 nop +80003a2c: 00000013 nop +80003a30: 00000013 nop +80003a34: 00000013 nop +80003a38: 00000013 nop +80003a3c: 00000013 nop +80003a40: 00000013 nop +80003a44: 00000013 nop +80003a48: 00000013 nop +80003a4c: 00000013 nop +80003a50: 00000013 nop +80003a54: 00000013 nop +80003a58: 00000013 nop +80003a5c: 00000013 nop +80003a60: 00000013 nop +80003a64: 00000013 nop +80003a68: 00000013 nop +80003a6c: 00000013 nop +80003a70: 00000013 nop +80003a74: 00000013 nop +80003a78: 00000013 nop +80003a7c: 00000013 nop +80003a80: 00000013 nop +80003a84: 00000013 nop +80003a88: 00000013 nop +80003a8c: 00000013 nop +80003a90: 00000013 nop +80003a94: 00000013 nop +80003a98: 00000013 nop +80003a9c: 00000013 nop +80003aa0: 00000013 nop +80003aa4: 00000013 nop +80003aa8: 00000013 nop +80003aac: 00000013 nop +80003ab0: 00000013 nop +80003ab4: 00000013 nop +80003ab8: 00000013 nop +80003abc: 00000013 nop +80003ac0: 00000013 nop +80003ac4: 00000013 nop +80003ac8: 00000013 nop +80003acc: 00000013 nop +80003ad0: 00000013 nop +80003ad4: 00000013 nop +80003ad8: 00000013 nop +80003adc: 00000013 nop +80003ae0: 00000013 nop +80003ae4: 00000013 nop +80003ae8: 00000013 nop +80003aec: 00000013 nop +80003af0: 00000013 nop +80003af4: 00000013 nop +80003af8: 00000013 nop +80003afc: 00000013 nop +80003b00: 00000013 nop +80003b04: 00000013 nop +80003b08: 00000013 nop +80003b0c: 00000013 nop +80003b10: 00000013 nop +80003b14: 00000013 nop +80003b18: 00000013 nop +80003b1c: 00000013 nop +80003b20: 00000013 nop +80003b24: 00000013 nop +80003b28: 00000013 nop +80003b2c: 00000013 nop +80003b30: 00000013 nop +80003b34: 00000013 nop +80003b38: 00000013 nop +80003b3c: 00000013 nop +80003b40: 00000013 nop +80003b44: 00000013 nop +80003b48: 00000013 nop +80003b4c: 00000013 nop +80003b50: 00000013 nop +80003b54: 00000013 nop +80003b58: 00000013 nop +80003b5c: 00000013 nop +80003b60: 00000013 nop +80003b64: 00000013 nop +80003b68: 00000013 nop +80003b6c: 00000013 nop +80003b70: 00000013 nop +80003b74: 00000013 nop +80003b78: 00000013 nop +80003b7c: 00000013 nop +80003b80: 00000013 nop +80003b84: 00000013 nop +80003b88: 00000013 nop +80003b8c: 00000013 nop +80003b90: 00000013 nop +80003b94: 00000013 nop +80003b98: 00000013 nop +80003b9c: 00000013 nop +80003ba0: 00000013 nop +80003ba4: 00000013 nop +80003ba8: 00000013 nop +80003bac: 00000013 nop +80003bb0: 00000013 nop +80003bb4: 00000013 nop +80003bb8: 00000013 nop +80003bbc: 00000013 nop +80003bc0: 00000013 nop +80003bc4: 00000013 nop +80003bc8: 00000013 nop +80003bcc: 00000013 nop +80003bd0: 00000013 nop +80003bd4: 00000013 nop +80003bd8: 00000013 nop +80003bdc: 00000013 nop +80003be0: 00000013 nop +80003be4: 00000013 nop +80003be8: 00000013 nop +80003bec: 00000013 nop +80003bf0: 00000013 nop +80003bf4: 00000013 nop +80003bf8: 00000013 nop +80003bfc: 00000013 nop +80003c00: 00000013 nop +80003c04: 00000013 nop +80003c08: 00000013 nop +80003c0c: 00000013 nop +80003c10: 00000013 nop +80003c14: 00000013 nop +80003c18: 00000013 nop +80003c1c: 00000013 nop +80003c20: 00000013 nop +80003c24: 00000013 nop +80003c28: 00000013 nop +80003c2c: 00000013 nop +80003c30: 00000013 nop +80003c34: 00000013 nop +80003c38: 00000013 nop +80003c3c: 00000013 nop +80003c40: 00000013 nop +80003c44: 00000013 nop +80003c48: 00000013 nop +80003c4c: 00000013 nop +80003c50: 00000013 nop +80003c54: 00000013 nop +80003c58: 00000013 nop +80003c5c: 00000013 nop +80003c60: 00000013 nop +80003c64: 00000013 nop +80003c68: 00000013 nop +80003c6c: 00000013 nop +80003c70: 00000013 nop +80003c74: 00000013 nop +80003c78: 00000013 nop +80003c7c: 00000013 nop +80003c80: 00000013 nop +80003c84: 00000013 nop +80003c88: 00000013 nop +80003c8c: 00000013 nop +80003c90: 00000013 nop +80003c94: 00000013 nop +80003c98: 00000013 nop +80003c9c: 00000013 nop +80003ca0: 00000013 nop +80003ca4: 00000013 nop +80003ca8: 00000013 nop +80003cac: 00000013 nop +80003cb0: 00000013 nop +80003cb4: 00000013 nop +80003cb8: 00000013 nop +80003cbc: 00000013 nop +80003cc0: 00000013 nop +80003cc4: 00000013 nop +80003cc8: 00000013 nop +80003ccc: 00000013 nop +80003cd0: 00000013 nop +80003cd4: 00000013 nop +80003cd8: 00000013 nop +80003cdc: 00000013 nop +80003ce0: 00000013 nop +80003ce4: 00000013 nop +80003ce8: 00000013 nop +80003cec: 00000013 nop +80003cf0: 00000013 nop +80003cf4: 00000013 nop +80003cf8: 00000013 nop +80003cfc: 00000013 nop +80003d00: 00000013 nop +80003d04: 00000013 nop +80003d08: 00000013 nop +80003d0c: 00000013 nop +80003d10: 00000013 nop +80003d14: 00000013 nop +80003d18: 00000013 nop +80003d1c: 00000013 nop +80003d20: 00000013 nop +80003d24: 00000013 nop +80003d28: 00000013 nop +80003d2c: 00000013 nop +80003d30: 00000013 nop +80003d34: 00000013 nop +80003d38: 00000013 nop +80003d3c: 00000013 nop +80003d40: 00000013 nop +80003d44: 00000013 nop +80003d48: 00000013 nop +80003d4c: 00000013 nop +80003d50: 00000013 nop +80003d54: 00000013 nop +80003d58: 00000013 nop +80003d5c: 00000013 nop +80003d60: 00000013 nop +80003d64: 00000013 nop +80003d68: 00000013 nop +80003d6c: 00000013 nop +80003d70: 00000013 nop +80003d74: 00000013 nop +80003d78: 00000013 nop +80003d7c: 00000013 nop +80003d80: 00000013 nop +80003d84: 00000013 nop +80003d88: 00000013 nop +80003d8c: 00000013 nop +80003d90: 00000013 nop +80003d94: 00000013 nop +80003d98: 00000013 nop +80003d9c: 00000013 nop +80003da0: 00000013 nop +80003da4: 00000013 nop +80003da8: 00000013 nop +80003dac: 00000013 nop +80003db0: 00000013 nop +80003db4: 00000013 nop +80003db8: 00000013 nop +80003dbc: 00000013 nop +80003dc0: 00000013 nop +80003dc4: 00000013 nop +80003dc8: 00000013 nop +80003dcc: 00000013 nop +80003dd0: 00000013 nop +80003dd4: 00000013 nop +80003dd8: 00000013 nop +80003ddc: 00000013 nop +80003de0: 00000013 nop +80003de4: 00000013 nop +80003de8: 00000013 nop +80003dec: 00000013 nop +80003df0: 00000013 nop +80003df4: 00000013 nop +80003df8: 00000013 nop +80003dfc: 00000013 nop +80003e00: 00000013 nop +80003e04: 00000013 nop +80003e08: 00000013 nop +80003e0c: 00000013 nop +80003e10: 00000013 nop +80003e14: 00000013 nop +80003e18: 00000013 nop +80003e1c: 00000013 nop +80003e20: 00000013 nop +80003e24: 00000013 nop +80003e28: 00000013 nop +80003e2c: 00000013 nop +80003e30: 00000013 nop +80003e34: 00000013 nop +80003e38: 00000013 nop +80003e3c: 00000013 nop +80003e40: 00000013 nop +80003e44: 00000013 nop +80003e48: 00000013 nop +80003e4c: 00000013 nop +80003e50: 00000013 nop +80003e54: 00000013 nop +80003e58: 00000013 nop +80003e5c: 00000013 nop +80003e60: 00000013 nop +80003e64: 00000013 nop +80003e68: 00000013 nop +80003e6c: 00000013 nop +80003e70: 00000013 nop +80003e74: 00000013 nop +80003e78: 00000013 nop +80003e7c: 00000013 nop +80003e80: 00000013 nop +80003e84: 00000013 nop +80003e88: 00000013 nop +80003e8c: 00000013 nop +80003e90: 00000013 nop +80003e94: 00000013 nop +80003e98: 00000013 nop +80003e9c: 00000013 nop +80003ea0: 00000013 nop +80003ea4: 00000013 nop +80003ea8: 00000013 nop +80003eac: 00000013 nop +80003eb0: 00000013 nop +80003eb4: 00000013 nop +80003eb8: 00000013 nop +80003ebc: 00000013 nop +80003ec0: 00000013 nop +80003ec4: 00000013 nop +80003ec8: 00000013 nop +80003ecc: 00000013 nop +80003ed0: 00000013 nop +80003ed4: 00000013 nop +80003ed8: 00000013 nop +80003edc: 00000013 nop +80003ee0: 00000013 nop +80003ee4: 00000013 nop +80003ee8: 00000013 nop +80003eec: 00000013 nop +80003ef0: 00000013 nop +80003ef4: 00000013 nop +80003ef8: 00000013 nop +80003efc: 00000013 nop +80003f00: 00000013 nop +80003f04: 00000013 nop +80003f08: 00000013 nop +80003f0c: 00000013 nop +80003f10: 00000013 nop +80003f14: 00000013 nop +80003f18: 00000013 nop +80003f1c: 00000013 nop +80003f20: 00000013 nop +80003f24: 00000013 nop +80003f28: 00000013 nop +80003f2c: 00000013 nop +80003f30: 00000013 nop +80003f34: 00000013 nop +80003f38: 00000013 nop +80003f3c: 00000013 nop +80003f40: 00000013 nop +80003f44: 00000013 nop +80003f48: 00000013 nop +80003f4c: 00000013 nop +80003f50: 00000013 nop +80003f54: 00000013 nop +80003f58: 00000013 nop +80003f5c: 00000013 nop +80003f60: 00000013 nop +80003f64: 00000013 nop +80003f68: 00000013 nop +80003f6c: 00000013 nop +80003f70: 00000013 nop +80003f74: 00000013 nop +80003f78: 00000013 nop +80003f7c: 00000013 nop +80003f80: 00000013 nop +80003f84: 00000013 nop +80003f88: 00000013 nop +80003f8c: 00000013 nop +80003f90: 00000013 nop +80003f94: 00000013 nop +80003f98: 00000013 nop +80003f9c: 00000013 nop +80003fa0: 00000013 nop +80003fa4: 00000013 nop +80003fa8: 00000013 nop +80003fac: 00000013 nop +80003fb0: 00000013 nop +80003fb4: 00000013 nop +80003fb8: 00000013 nop +80003fbc: 00000013 nop +80003fc0: 00000013 nop +80003fc4: 00000013 nop +80003fc8: 00000013 nop +80003fcc: 00000013 nop +80003fd0: 00000013 nop +80003fd4: 00000013 nop +80003fd8: 00000013 nop +80003fdc: 00000013 nop +80003fe0: 00000013 nop +80003fe4: 00000013 nop +80003fe8: 00000013 nop +80003fec: 00000013 nop +80003ff0: 00000013 nop +80003ff4: 00000013 nop +80003ff8: 00000013 nop +80003ffc: 00000013 nop + +80004000 : +80004000: 0000 unimp +80004002: 0000 unimp +80004004: 00000013 nop +80004008: 00000013 nop +8000400c: 00000013 nop +80004010: 00000013 nop +80004014: 00000013 nop +80004018: 00000013 nop +8000401c: 00000013 nop +80004020: 00000013 nop +80004024: 00000013 nop +80004028: 00000013 nop +8000402c: 00000013 nop +80004030: 00000013 nop +80004034: 00000013 nop +80004038: 00000013 nop +8000403c: 00000013 nop +80004040: 00000013 nop +80004044: 00000013 nop +80004048: 00000013 nop +8000404c: 00000013 nop +80004050: 00000013 nop +80004054: 00000013 nop +80004058: 00000013 nop +8000405c: 00000013 nop +80004060: 00000013 nop +80004064: 00000013 nop +80004068: 00000013 nop +8000406c: 00000013 nop +80004070: 00000013 nop +80004074: 00000013 nop +80004078: 00000013 nop +8000407c: 00000013 nop +80004080: 00000013 nop +80004084: 00000013 nop +80004088: 00000013 nop +8000408c: 00000013 nop +80004090: 00000013 nop +80004094: 00000013 nop +80004098: 00000013 nop +8000409c: 00000013 nop +800040a0: 00000013 nop +800040a4: 00000013 nop +800040a8: 00000013 nop +800040ac: 00000013 nop +800040b0: 00000013 nop +800040b4: 00000013 nop +800040b8: 00000013 nop +800040bc: 00000013 nop +800040c0: 00000013 nop +800040c4: 00000013 nop +800040c8: 00000013 nop +800040cc: 00000013 nop +800040d0: 00000013 nop +800040d4: 00000013 nop +800040d8: 00000013 nop +800040dc: 00000013 nop +800040e0: 00000013 nop +800040e4: 00000013 nop +800040e8: 00000013 nop +800040ec: 00000013 nop +800040f0: 00000013 nop +800040f4: 00000013 nop +800040f8: 00000013 nop +800040fc: 00000013 nop +80004100: 00000013 nop +80004104: 00000013 nop +80004108: 00000013 nop +8000410c: 00000013 nop +80004110: 00000013 nop +80004114: 00000013 nop +80004118: 00000013 nop +8000411c: 00000013 nop +80004120: 00000013 nop +80004124: 00000013 nop +80004128: 00000013 nop +8000412c: 00000013 nop +80004130: 00000013 nop +80004134: 00000013 nop +80004138: 00000013 nop +8000413c: 00000013 nop +80004140: 00000013 nop +80004144: 00000013 nop +80004148: 00000013 nop +8000414c: 00000013 nop +80004150: 00000013 nop +80004154: 00000013 nop +80004158: 00000013 nop +8000415c: 00000013 nop +80004160: 00000013 nop +80004164: 00000013 nop +80004168: 00000013 nop +8000416c: 00000013 nop +80004170: 00000013 nop +80004174: 00000013 nop +80004178: 00000013 nop +8000417c: 00000013 nop +80004180: 00000013 nop +80004184: 00000013 nop +80004188: 00000013 nop +8000418c: 00000013 nop +80004190: 00000013 nop +80004194: 00000013 nop +80004198: 00000013 nop +8000419c: 00000013 nop +800041a0: 00000013 nop +800041a4: 00000013 nop +800041a8: 00000013 nop +800041ac: 00000013 nop +800041b0: 00000013 nop +800041b4: 00000013 nop +800041b8: 00000013 nop +800041bc: 00000013 nop +800041c0: 00000013 nop +800041c4: 00000013 nop +800041c8: 00000013 nop +800041cc: 00000013 nop +800041d0: 00000013 nop +800041d4: 00000013 nop +800041d8: 00000013 nop +800041dc: 00000013 nop +800041e0: 00000013 nop +800041e4: 00000013 nop +800041e8: 00000013 nop +800041ec: 00000013 nop +800041f0: 00000013 nop +800041f4: 00000013 nop +800041f8: 00000013 nop +800041fc: 00000013 nop +80004200: 00000013 nop +80004204: 00000013 nop +80004208: 00000013 nop +8000420c: 00000013 nop +80004210: 00000013 nop +80004214: 00000013 nop +80004218: 00000013 nop +8000421c: 00000013 nop +80004220: 00000013 nop +80004224: 00000013 nop +80004228: 00000013 nop +8000422c: 00000013 nop +80004230: 00000013 nop +80004234: 00000013 nop +80004238: 00000013 nop +8000423c: 00000013 nop +80004240: 00000013 nop +80004244: 00000013 nop +80004248: 00000013 nop +8000424c: 00000013 nop +80004250: 00000013 nop +80004254: 00000013 nop +80004258: 00000013 nop +8000425c: 00000013 nop +80004260: 00000013 nop +80004264: 00000013 nop +80004268: 00000013 nop +8000426c: 00000013 nop +80004270: 00000013 nop +80004274: 00000013 nop +80004278: 00000013 nop +8000427c: 00000013 nop +80004280: 00000013 nop +80004284: 00000013 nop +80004288: 00000013 nop +8000428c: 00000013 nop +80004290: 00000013 nop +80004294: 00000013 nop +80004298: 00000013 nop +8000429c: 00000013 nop +800042a0: 00000013 nop +800042a4: 00000013 nop +800042a8: 00000013 nop +800042ac: 00000013 nop +800042b0: 00000013 nop +800042b4: 00000013 nop +800042b8: 00000013 nop +800042bc: 00000013 nop +800042c0: 00000013 nop +800042c4: 00000013 nop +800042c8: 00000013 nop +800042cc: 00000013 nop +800042d0: 00000013 nop +800042d4: 00000013 nop +800042d8: 00000013 nop +800042dc: 00000013 nop +800042e0: 00000013 nop +800042e4: 00000013 nop +800042e8: 00000013 nop +800042ec: 00000013 nop +800042f0: 00000013 nop +800042f4: 00000013 nop +800042f8: 00000013 nop +800042fc: 00000013 nop +80004300: 00000013 nop +80004304: 00000013 nop +80004308: 00000013 nop +8000430c: 00000013 nop +80004310: 00000013 nop +80004314: 00000013 nop +80004318: 00000013 nop +8000431c: 00000013 nop +80004320: 00000013 nop +80004324: 00000013 nop +80004328: 00000013 nop +8000432c: 00000013 nop +80004330: 00000013 nop +80004334: 00000013 nop +80004338: 00000013 nop +8000433c: 00000013 nop +80004340: 00000013 nop +80004344: 00000013 nop +80004348: 00000013 nop +8000434c: 00000013 nop +80004350: 00000013 nop +80004354: 00000013 nop +80004358: 00000013 nop +8000435c: 00000013 nop +80004360: 00000013 nop +80004364: 00000013 nop +80004368: 00000013 nop +8000436c: 00000013 nop +80004370: 00000013 nop +80004374: 00000013 nop +80004378: 00000013 nop +8000437c: 00000013 nop +80004380: 00000013 nop +80004384: 00000013 nop +80004388: 00000013 nop +8000438c: 00000013 nop +80004390: 00000013 nop +80004394: 00000013 nop +80004398: 00000013 nop +8000439c: 00000013 nop +800043a0: 00000013 nop +800043a4: 00000013 nop +800043a8: 00000013 nop +800043ac: 00000013 nop +800043b0: 00000013 nop +800043b4: 00000013 nop +800043b8: 00000013 nop +800043bc: 00000013 nop +800043c0: 00000013 nop +800043c4: 00000013 nop +800043c8: 00000013 nop +800043cc: 00000013 nop +800043d0: 00000013 nop +800043d4: 00000013 nop +800043d8: 00000013 nop +800043dc: 00000013 nop +800043e0: 00000013 nop +800043e4: 00000013 nop +800043e8: 00000013 nop +800043ec: 00000013 nop +800043f0: 00000013 nop +800043f4: 00000013 nop +800043f8: 00000013 nop +800043fc: 00000013 nop +80004400: 00000013 nop +80004404: 00000013 nop +80004408: 00000013 nop +8000440c: 00000013 nop +80004410: 00000013 nop +80004414: 00000013 nop +80004418: 00000013 nop +8000441c: 00000013 nop +80004420: 00000013 nop +80004424: 00000013 nop +80004428: 00000013 nop +8000442c: 00000013 nop +80004430: 00000013 nop +80004434: 00000013 nop +80004438: 00000013 nop +8000443c: 00000013 nop +80004440: 00000013 nop +80004444: 00000013 nop +80004448: 00000013 nop +8000444c: 00000013 nop +80004450: 00000013 nop +80004454: 00000013 nop +80004458: 00000013 nop +8000445c: 00000013 nop +80004460: 00000013 nop +80004464: 00000013 nop +80004468: 00000013 nop +8000446c: 00000013 nop +80004470: 00000013 nop +80004474: 00000013 nop +80004478: 00000013 nop +8000447c: 00000013 nop +80004480: 00000013 nop +80004484: 00000013 nop +80004488: 00000013 nop +8000448c: 00000013 nop +80004490: 00000013 nop +80004494: 00000013 nop +80004498: 00000013 nop +8000449c: 00000013 nop +800044a0: 00000013 nop +800044a4: 00000013 nop +800044a8: 00000013 nop +800044ac: 00000013 nop +800044b0: 00000013 nop +800044b4: 00000013 nop +800044b8: 00000013 nop +800044bc: 00000013 nop +800044c0: 00000013 nop +800044c4: 00000013 nop +800044c8: 00000013 nop +800044cc: 00000013 nop +800044d0: 00000013 nop +800044d4: 00000013 nop +800044d8: 00000013 nop +800044dc: 00000013 nop +800044e0: 00000013 nop +800044e4: 00000013 nop +800044e8: 00000013 nop +800044ec: 00000013 nop +800044f0: 00000013 nop +800044f4: 00000013 nop +800044f8: 00000013 nop +800044fc: 00000013 nop +80004500: 00000013 nop +80004504: 00000013 nop +80004508: 00000013 nop +8000450c: 00000013 nop +80004510: 00000013 nop +80004514: 00000013 nop +80004518: 00000013 nop +8000451c: 00000013 nop +80004520: 00000013 nop +80004524: 00000013 nop +80004528: 00000013 nop +8000452c: 00000013 nop +80004530: 00000013 nop +80004534: 00000013 nop +80004538: 00000013 nop +8000453c: 00000013 nop +80004540: 00000013 nop +80004544: 00000013 nop +80004548: 00000013 nop +8000454c: 00000013 nop +80004550: 00000013 nop +80004554: 00000013 nop +80004558: 00000013 nop +8000455c: 00000013 nop +80004560: 00000013 nop +80004564: 00000013 nop +80004568: 00000013 nop +8000456c: 00000013 nop +80004570: 00000013 nop +80004574: 00000013 nop +80004578: 00000013 nop +8000457c: 00000013 nop +80004580: 00000013 nop +80004584: 00000013 nop +80004588: 00000013 nop +8000458c: 00000013 nop +80004590: 00000013 nop +80004594: 00000013 nop +80004598: 00000013 nop +8000459c: 00000013 nop +800045a0: 00000013 nop +800045a4: 00000013 nop +800045a8: 00000013 nop +800045ac: 00000013 nop +800045b0: 00000013 nop +800045b4: 00000013 nop +800045b8: 00000013 nop +800045bc: 00000013 nop +800045c0: 00000013 nop +800045c4: 00000013 nop +800045c8: 00000013 nop +800045cc: 00000013 nop +800045d0: 00000013 nop +800045d4: 00000013 nop +800045d8: 00000013 nop +800045dc: 00000013 nop +800045e0: 00000013 nop +800045e4: 00000013 nop +800045e8: 00000013 nop +800045ec: 00000013 nop +800045f0: 00000013 nop +800045f4: 00000013 nop +800045f8: 00000013 nop +800045fc: 00000013 nop +80004600: 00000013 nop +80004604: 00000013 nop +80004608: 00000013 nop +8000460c: 00000013 nop +80004610: 00000013 nop +80004614: 00000013 nop +80004618: 00000013 nop +8000461c: 00000013 nop +80004620: 00000013 nop +80004624: 00000013 nop +80004628: 00000013 nop +8000462c: 00000013 nop +80004630: 00000013 nop +80004634: 00000013 nop +80004638: 00000013 nop +8000463c: 00000013 nop +80004640: 00000013 nop +80004644: 00000013 nop +80004648: 00000013 nop +8000464c: 00000013 nop +80004650: 00000013 nop +80004654: 00000013 nop +80004658: 00000013 nop +8000465c: 00000013 nop +80004660: 00000013 nop +80004664: 00000013 nop +80004668: 00000013 nop +8000466c: 00000013 nop +80004670: 00000013 nop +80004674: 00000013 nop +80004678: 00000013 nop +8000467c: 00000013 nop +80004680: 00000013 nop +80004684: 00000013 nop +80004688: 00000013 nop +8000468c: 00000013 nop +80004690: 00000013 nop +80004694: 00000013 nop +80004698: 00000013 nop +8000469c: 00000013 nop +800046a0: 00000013 nop +800046a4: 00000013 nop +800046a8: 00000013 nop +800046ac: 00000013 nop +800046b0: 00000013 nop +800046b4: 00000013 nop +800046b8: 00000013 nop +800046bc: 00000013 nop +800046c0: 00000013 nop +800046c4: 00000013 nop +800046c8: 00000013 nop +800046cc: 00000013 nop +800046d0: 00000013 nop +800046d4: 00000013 nop +800046d8: 00000013 nop +800046dc: 00000013 nop +800046e0: 00000013 nop +800046e4: 00000013 nop +800046e8: 00000013 nop +800046ec: 00000013 nop +800046f0: 00000013 nop +800046f4: 00000013 nop +800046f8: 00000013 nop +800046fc: 00000013 nop +80004700: 00000013 nop +80004704: 00000013 nop +80004708: 00000013 nop +8000470c: 00000013 nop +80004710: 00000013 nop +80004714: 00000013 nop +80004718: 00000013 nop +8000471c: 00000013 nop +80004720: 00000013 nop +80004724: 00000013 nop +80004728: 00000013 nop +8000472c: 00000013 nop +80004730: 00000013 nop +80004734: 00000013 nop +80004738: 00000013 nop +8000473c: 00000013 nop +80004740: 00000013 nop +80004744: 00000013 nop +80004748: 00000013 nop +8000474c: 00000013 nop +80004750: 00000013 nop +80004754: 00000013 nop +80004758: 00000013 nop +8000475c: 00000013 nop +80004760: 00000013 nop +80004764: 00000013 nop +80004768: 00000013 nop +8000476c: 00000013 nop +80004770: 00000013 nop +80004774: 00000013 nop +80004778: 00000013 nop +8000477c: 00000013 nop +80004780: 00000013 nop +80004784: 00000013 nop +80004788: 00000013 nop +8000478c: 00000013 nop +80004790: 00000013 nop +80004794: 00000013 nop +80004798: 00000013 nop +8000479c: 00000013 nop +800047a0: 00000013 nop +800047a4: 00000013 nop +800047a8: 00000013 nop +800047ac: 00000013 nop +800047b0: 00000013 nop +800047b4: 00000013 nop +800047b8: 00000013 nop +800047bc: 00000013 nop +800047c0: 00000013 nop +800047c4: 00000013 nop +800047c8: 00000013 nop +800047cc: 00000013 nop +800047d0: 00000013 nop +800047d4: 00000013 nop +800047d8: 00000013 nop +800047dc: 00000013 nop +800047e0: 00000013 nop +800047e4: 00000013 nop +800047e8: 00000013 nop +800047ec: 00000013 nop +800047f0: 00000013 nop +800047f4: 00000013 nop +800047f8: 00000013 nop +800047fc: 00000013 nop +80004800: 00000013 nop +80004804: 00000013 nop +80004808: 00000013 nop +8000480c: 00000013 nop +80004810: 00000013 nop +80004814: 00000013 nop +80004818: 00000013 nop +8000481c: 00000013 nop +80004820: 00000013 nop +80004824: 00000013 nop +80004828: 00000013 nop +8000482c: 00000013 nop +80004830: 00000013 nop +80004834: 00000013 nop +80004838: 00000013 nop +8000483c: 00000013 nop +80004840: 00000013 nop +80004844: 00000013 nop +80004848: 00000013 nop +8000484c: 00000013 nop +80004850: 00000013 nop +80004854: 00000013 nop +80004858: 00000013 nop +8000485c: 00000013 nop +80004860: 00000013 nop +80004864: 00000013 nop +80004868: 00000013 nop +8000486c: 00000013 nop +80004870: 00000013 nop +80004874: 00000013 nop +80004878: 00000013 nop +8000487c: 00000013 nop +80004880: 00000013 nop +80004884: 00000013 nop +80004888: 00000013 nop +8000488c: 00000013 nop +80004890: 00000013 nop +80004894: 00000013 nop +80004898: 00000013 nop +8000489c: 00000013 nop +800048a0: 00000013 nop +800048a4: 00000013 nop +800048a8: 00000013 nop +800048ac: 00000013 nop +800048b0: 00000013 nop +800048b4: 00000013 nop +800048b8: 00000013 nop +800048bc: 00000013 nop +800048c0: 00000013 nop +800048c4: 00000013 nop +800048c8: 00000013 nop +800048cc: 00000013 nop +800048d0: 00000013 nop +800048d4: 00000013 nop +800048d8: 00000013 nop +800048dc: 00000013 nop +800048e0: 00000013 nop +800048e4: 00000013 nop +800048e8: 00000013 nop +800048ec: 00000013 nop +800048f0: 00000013 nop +800048f4: 00000013 nop +800048f8: 00000013 nop +800048fc: 00000013 nop +80004900: 00000013 nop +80004904: 00000013 nop +80004908: 00000013 nop +8000490c: 00000013 nop +80004910: 00000013 nop +80004914: 00000013 nop +80004918: 00000013 nop +8000491c: 00000013 nop +80004920: 00000013 nop +80004924: 00000013 nop +80004928: 00000013 nop +8000492c: 00000013 nop +80004930: 00000013 nop +80004934: 00000013 nop +80004938: 00000013 nop +8000493c: 00000013 nop +80004940: 00000013 nop +80004944: 00000013 nop +80004948: 00000013 nop +8000494c: 00000013 nop +80004950: 00000013 nop +80004954: 00000013 nop +80004958: 00000013 nop +8000495c: 00000013 nop +80004960: 00000013 nop +80004964: 00000013 nop +80004968: 00000013 nop +8000496c: 00000013 nop +80004970: 00000013 nop +80004974: 00000013 nop +80004978: 00000013 nop +8000497c: 00000013 nop +80004980: 00000013 nop +80004984: 00000013 nop +80004988: 00000013 nop +8000498c: 00000013 nop +80004990: 00000013 nop +80004994: 00000013 nop +80004998: 00000013 nop +8000499c: 00000013 nop +800049a0: 00000013 nop +800049a4: 00000013 nop +800049a8: 00000013 nop +800049ac: 00000013 nop +800049b0: 00000013 nop +800049b4: 00000013 nop +800049b8: 00000013 nop +800049bc: 00000013 nop +800049c0: 00000013 nop +800049c4: 00000013 nop +800049c8: 00000013 nop +800049cc: 00000013 nop +800049d0: 00000013 nop +800049d4: 00000013 nop +800049d8: 00000013 nop +800049dc: 00000013 nop +800049e0: 00000013 nop +800049e4: 00000013 nop +800049e8: 00000013 nop +800049ec: 00000013 nop +800049f0: 00000013 nop +800049f4: 00000013 nop +800049f8: 00000013 nop +800049fc: 00000013 nop +80004a00: 00000013 nop +80004a04: 00000013 nop +80004a08: 00000013 nop +80004a0c: 00000013 nop +80004a10: 00000013 nop +80004a14: 00000013 nop +80004a18: 00000013 nop +80004a1c: 00000013 nop +80004a20: 00000013 nop +80004a24: 00000013 nop +80004a28: 00000013 nop +80004a2c: 00000013 nop +80004a30: 00000013 nop +80004a34: 00000013 nop +80004a38: 00000013 nop +80004a3c: 00000013 nop +80004a40: 00000013 nop +80004a44: 00000013 nop +80004a48: 00000013 nop +80004a4c: 00000013 nop +80004a50: 00000013 nop +80004a54: 00000013 nop +80004a58: 00000013 nop +80004a5c: 00000013 nop +80004a60: 00000013 nop +80004a64: 00000013 nop +80004a68: 00000013 nop +80004a6c: 00000013 nop +80004a70: 00000013 nop +80004a74: 00000013 nop +80004a78: 00000013 nop +80004a7c: 00000013 nop +80004a80: 00000013 nop +80004a84: 00000013 nop +80004a88: 00000013 nop +80004a8c: 00000013 nop +80004a90: 00000013 nop +80004a94: 00000013 nop +80004a98: 00000013 nop +80004a9c: 00000013 nop +80004aa0: 00000013 nop +80004aa4: 00000013 nop +80004aa8: 00000013 nop +80004aac: 00000013 nop +80004ab0: 00000013 nop +80004ab4: 00000013 nop +80004ab8: 00000013 nop +80004abc: 00000013 nop +80004ac0: 00000013 nop +80004ac4: 00000013 nop +80004ac8: 00000013 nop +80004acc: 00000013 nop +80004ad0: 00000013 nop +80004ad4: 00000013 nop +80004ad8: 00000013 nop +80004adc: 00000013 nop +80004ae0: 00000013 nop +80004ae4: 00000013 nop +80004ae8: 00000013 nop +80004aec: 00000013 nop +80004af0: 00000013 nop +80004af4: 00000013 nop +80004af8: 00000013 nop +80004afc: 00000013 nop +80004b00: 00000013 nop +80004b04: 00000013 nop +80004b08: 00000013 nop +80004b0c: 00000013 nop +80004b10: 00000013 nop +80004b14: 00000013 nop +80004b18: 00000013 nop +80004b1c: 00000013 nop +80004b20: 00000013 nop +80004b24: 00000013 nop +80004b28: 00000013 nop +80004b2c: 00000013 nop +80004b30: 00000013 nop +80004b34: 00000013 nop +80004b38: 00000013 nop +80004b3c: 00000013 nop +80004b40: 00000013 nop +80004b44: 00000013 nop +80004b48: 00000013 nop +80004b4c: 00000013 nop +80004b50: 00000013 nop +80004b54: 00000013 nop +80004b58: 00000013 nop +80004b5c: 00000013 nop +80004b60: 00000013 nop +80004b64: 00000013 nop +80004b68: 00000013 nop +80004b6c: 00000013 nop +80004b70: 00000013 nop +80004b74: 00000013 nop +80004b78: 00000013 nop +80004b7c: 00000013 nop +80004b80: 00000013 nop +80004b84: 00000013 nop +80004b88: 00000013 nop +80004b8c: 00000013 nop +80004b90: 00000013 nop +80004b94: 00000013 nop +80004b98: 00000013 nop +80004b9c: 00000013 nop +80004ba0: 00000013 nop +80004ba4: 00000013 nop +80004ba8: 00000013 nop +80004bac: 00000013 nop +80004bb0: 00000013 nop +80004bb4: 00000013 nop +80004bb8: 00000013 nop +80004bbc: 00000013 nop +80004bc0: 00000013 nop +80004bc4: 00000013 nop +80004bc8: 00000013 nop +80004bcc: 00000013 nop +80004bd0: 00000013 nop +80004bd4: 00000013 nop +80004bd8: 00000013 nop +80004bdc: 00000013 nop +80004be0: 00000013 nop +80004be4: 00000013 nop +80004be8: 00000013 nop +80004bec: 00000013 nop +80004bf0: 00000013 nop +80004bf4: 00000013 nop +80004bf8: 00000013 nop +80004bfc: 00000013 nop +80004c00: 00000013 nop +80004c04: 00000013 nop +80004c08: 00000013 nop +80004c0c: 00000013 nop +80004c10: 00000013 nop +80004c14: 00000013 nop +80004c18: 00000013 nop +80004c1c: 00000013 nop +80004c20: 00000013 nop +80004c24: 00000013 nop +80004c28: 00000013 nop +80004c2c: 00000013 nop +80004c30: 00000013 nop +80004c34: 00000013 nop +80004c38: 00000013 nop +80004c3c: 00000013 nop +80004c40: 00000013 nop +80004c44: 00000013 nop +80004c48: 00000013 nop +80004c4c: 00000013 nop +80004c50: 00000013 nop +80004c54: 00000013 nop +80004c58: 00000013 nop +80004c5c: 00000013 nop +80004c60: 00000013 nop +80004c64: 00000013 nop +80004c68: 00000013 nop +80004c6c: 00000013 nop +80004c70: 00000013 nop +80004c74: 00000013 nop +80004c78: 00000013 nop +80004c7c: 00000013 nop +80004c80: 00000013 nop +80004c84: 00000013 nop +80004c88: 00000013 nop +80004c8c: 00000013 nop +80004c90: 00000013 nop +80004c94: 00000013 nop +80004c98: 00000013 nop +80004c9c: 00000013 nop +80004ca0: 00000013 nop +80004ca4: 00000013 nop +80004ca8: 00000013 nop +80004cac: 00000013 nop +80004cb0: 00000013 nop +80004cb4: 00000013 nop +80004cb8: 00000013 nop +80004cbc: 00000013 nop +80004cc0: 00000013 nop +80004cc4: 00000013 nop +80004cc8: 00000013 nop +80004ccc: 00000013 nop +80004cd0: 00000013 nop +80004cd4: 00000013 nop +80004cd8: 00000013 nop +80004cdc: 00000013 nop +80004ce0: 00000013 nop +80004ce4: 00000013 nop +80004ce8: 00000013 nop +80004cec: 00000013 nop +80004cf0: 00000013 nop +80004cf4: 00000013 nop +80004cf8: 00000013 nop +80004cfc: 00000013 nop +80004d00: 00000013 nop +80004d04: 00000013 nop +80004d08: 00000013 nop +80004d0c: 00000013 nop +80004d10: 00000013 nop +80004d14: 00000013 nop +80004d18: 00000013 nop +80004d1c: 00000013 nop +80004d20: 00000013 nop +80004d24: 00000013 nop +80004d28: 00000013 nop +80004d2c: 00000013 nop +80004d30: 00000013 nop +80004d34: 00000013 nop +80004d38: 00000013 nop +80004d3c: 00000013 nop +80004d40: 00000013 nop +80004d44: 00000013 nop +80004d48: 00000013 nop +80004d4c: 00000013 nop +80004d50: 00000013 nop +80004d54: 00000013 nop +80004d58: 00000013 nop +80004d5c: 00000013 nop +80004d60: 00000013 nop +80004d64: 00000013 nop +80004d68: 00000013 nop +80004d6c: 00000013 nop +80004d70: 00000013 nop +80004d74: 00000013 nop +80004d78: 00000013 nop +80004d7c: 00000013 nop +80004d80: 00000013 nop +80004d84: 00000013 nop +80004d88: 00000013 nop +80004d8c: 00000013 nop +80004d90: 00000013 nop +80004d94: 00000013 nop +80004d98: 00000013 nop +80004d9c: 00000013 nop +80004da0: 00000013 nop +80004da4: 00000013 nop +80004da8: 00000013 nop +80004dac: 00000013 nop +80004db0: 00000013 nop +80004db4: 00000013 nop +80004db8: 00000013 nop +80004dbc: 00000013 nop +80004dc0: 00000013 nop +80004dc4: 00000013 nop +80004dc8: 00000013 nop +80004dcc: 00000013 nop +80004dd0: 00000013 nop +80004dd4: 00000013 nop +80004dd8: 00000013 nop +80004ddc: 00000013 nop +80004de0: 00000013 nop +80004de4: 00000013 nop +80004de8: 00000013 nop +80004dec: 00000013 nop +80004df0: 00000013 nop +80004df4: 00000013 nop +80004df8: 00000013 nop +80004dfc: 00000013 nop +80004e00: 00000013 nop +80004e04: 00000013 nop +80004e08: 00000013 nop +80004e0c: 00000013 nop +80004e10: 00000013 nop +80004e14: 00000013 nop +80004e18: 00000013 nop +80004e1c: 00000013 nop +80004e20: 00000013 nop +80004e24: 00000013 nop +80004e28: 00000013 nop +80004e2c: 00000013 nop +80004e30: 00000013 nop +80004e34: 00000013 nop +80004e38: 00000013 nop +80004e3c: 00000013 nop +80004e40: 00000013 nop +80004e44: 00000013 nop +80004e48: 00000013 nop +80004e4c: 00000013 nop +80004e50: 00000013 nop +80004e54: 00000013 nop +80004e58: 00000013 nop +80004e5c: 00000013 nop +80004e60: 00000013 nop +80004e64: 00000013 nop +80004e68: 00000013 nop +80004e6c: 00000013 nop +80004e70: 00000013 nop +80004e74: 00000013 nop +80004e78: 00000013 nop +80004e7c: 00000013 nop +80004e80: 00000013 nop +80004e84: 00000013 nop +80004e88: 00000013 nop +80004e8c: 00000013 nop +80004e90: 00000013 nop +80004e94: 00000013 nop +80004e98: 00000013 nop +80004e9c: 00000013 nop +80004ea0: 00000013 nop +80004ea4: 00000013 nop +80004ea8: 00000013 nop +80004eac: 00000013 nop +80004eb0: 00000013 nop +80004eb4: 00000013 nop +80004eb8: 00000013 nop +80004ebc: 00000013 nop +80004ec0: 00000013 nop +80004ec4: 00000013 nop +80004ec8: 00000013 nop +80004ecc: 00000013 nop +80004ed0: 00000013 nop +80004ed4: 00000013 nop +80004ed8: 00000013 nop +80004edc: 00000013 nop +80004ee0: 00000013 nop +80004ee4: 00000013 nop +80004ee8: 00000013 nop +80004eec: 00000013 nop +80004ef0: 00000013 nop +80004ef4: 00000013 nop +80004ef8: 00000013 nop +80004efc: 00000013 nop +80004f00: 00000013 nop +80004f04: 00000013 nop +80004f08: 00000013 nop +80004f0c: 00000013 nop +80004f10: 00000013 nop +80004f14: 00000013 nop +80004f18: 00000013 nop +80004f1c: 00000013 nop +80004f20: 00000013 nop +80004f24: 00000013 nop +80004f28: 00000013 nop +80004f2c: 00000013 nop +80004f30: 00000013 nop +80004f34: 00000013 nop +80004f38: 00000013 nop +80004f3c: 00000013 nop +80004f40: 00000013 nop +80004f44: 00000013 nop +80004f48: 00000013 nop +80004f4c: 00000013 nop +80004f50: 00000013 nop +80004f54: 00000013 nop +80004f58: 00000013 nop +80004f5c: 00000013 nop +80004f60: 00000013 nop +80004f64: 00000013 nop +80004f68: 00000013 nop +80004f6c: 00000013 nop +80004f70: 00000013 nop +80004f74: 00000013 nop +80004f78: 00000013 nop +80004f7c: 00000013 nop +80004f80: 00000013 nop +80004f84: 00000013 nop +80004f88: 00000013 nop +80004f8c: 00000013 nop +80004f90: 00000013 nop +80004f94: 00000013 nop +80004f98: 00000013 nop +80004f9c: 00000013 nop +80004fa0: 00000013 nop +80004fa4: 00000013 nop +80004fa8: 00000013 nop +80004fac: 00000013 nop +80004fb0: 00000013 nop +80004fb4: 00000013 nop +80004fb8: 00000013 nop +80004fbc: 00000013 nop +80004fc0: 00000013 nop +80004fc4: 00000013 nop +80004fc8: 00000013 nop +80004fcc: 00000013 nop +80004fd0: 00000013 nop +80004fd4: 00000013 nop +80004fd8: 00000013 nop +80004fdc: 00000013 nop +80004fe0: 00000013 nop +80004fe4: 00000013 nop +80004fe8: 00000013 nop +80004fec: 00000013 nop +80004ff0: 00000013 nop +80004ff4: 00000013 nop +80004ff8: 00000013 nop +80004ffc: 00000013 nop + +80005000 : +80005000: 0100 addi s0,sp,128 +80005002: 0302 slli t1,t1,0x0 +80005004: 0504 addi s1,sp,640 +80005006: 0706 slli a4,a4,0x1 +80005008: 0908 addi a0,sp,144 +8000500a: 0b0a slli s6,s6,0x2 +8000500c: 0d0c addi a1,sp,656 +8000500e: 0f0e slli t5,t5,0x3 +80005010: 00000013 nop +80005014: 00000013 nop +80005018: 00000013 nop +8000501c: 00000013 nop +80005020: 00000013 nop +80005024: 00000013 nop +80005028: 00000013 nop +8000502c: 00000013 nop +80005030: 00000013 nop +80005034: 00000013 nop +80005038: 00000013 nop +8000503c: 00000013 nop +80005040: 00000013 nop +80005044: 00000013 nop +80005048: 00000013 nop +8000504c: 00000013 nop +80005050: 00000013 nop +80005054: 00000013 nop +80005058: 00000013 nop +8000505c: 00000013 nop +80005060: 00000013 nop +80005064: 00000013 nop +80005068: 00000013 nop +8000506c: 00000013 nop +80005070: 00000013 nop +80005074: 00000013 nop +80005078: 00000013 nop +8000507c: 00000013 nop +80005080: 00000013 nop +80005084: 00000013 nop +80005088: 00000013 nop +8000508c: 00000013 nop +80005090: 00000013 nop +80005094: 00000013 nop +80005098: 00000013 nop +8000509c: 00000013 nop +800050a0: 00000013 nop +800050a4: 00000013 nop +800050a8: 00000013 nop +800050ac: 00000013 nop +800050b0: 00000013 nop +800050b4: 00000013 nop +800050b8: 00000013 nop +800050bc: 00000013 nop +800050c0: 00000013 nop +800050c4: 00000013 nop +800050c8: 00000013 nop +800050cc: 00000013 nop +800050d0: 00000013 nop +800050d4: 00000013 nop +800050d8: 00000013 nop +800050dc: 00000013 nop +800050e0: 00000013 nop +800050e4: 00000013 nop +800050e8: 00000013 nop +800050ec: 00000013 nop +800050f0: 00000013 nop +800050f4: 00000013 nop +800050f8: 00000013 nop +800050fc: 00000013 nop +80005100: 00000013 nop +80005104: 00000013 nop +80005108: 00000013 nop +8000510c: 00000013 nop +80005110: 00000013 nop +80005114: 00000013 nop +80005118: 00000013 nop +8000511c: 00000013 nop +80005120: 00000013 nop +80005124: 00000013 nop +80005128: 00000013 nop +8000512c: 00000013 nop +80005130: 00000013 nop +80005134: 00000013 nop +80005138: 00000013 nop +8000513c: 00000013 nop +80005140: 00000013 nop +80005144: 00000013 nop +80005148: 00000013 nop +8000514c: 00000013 nop +80005150: 00000013 nop +80005154: 00000013 nop +80005158: 00000013 nop +8000515c: 00000013 nop +80005160: 00000013 nop +80005164: 00000013 nop +80005168: 00000013 nop +8000516c: 00000013 nop +80005170: 00000013 nop +80005174: 00000013 nop +80005178: 00000013 nop +8000517c: 00000013 nop +80005180: 00000013 nop +80005184: 00000013 nop +80005188: 00000013 nop +8000518c: 00000013 nop +80005190: 00000013 nop +80005194: 00000013 nop +80005198: 00000013 nop +8000519c: 00000013 nop +800051a0: 00000013 nop +800051a4: 00000013 nop +800051a8: 00000013 nop +800051ac: 00000013 nop +800051b0: 00000013 nop +800051b4: 00000013 nop +800051b8: 00000013 nop +800051bc: 00000013 nop +800051c0: 00000013 nop +800051c4: 00000013 nop +800051c8: 00000013 nop +800051cc: 00000013 nop +800051d0: 00000013 nop +800051d4: 00000013 nop +800051d8: 00000013 nop +800051dc: 00000013 nop +800051e0: 00000013 nop +800051e4: 00000013 nop +800051e8: 00000013 nop +800051ec: 00000013 nop +800051f0: 00000013 nop +800051f4: 00000013 nop +800051f8: 00000013 nop +800051fc: 00000013 nop +80005200: 00000013 nop +80005204: 00000013 nop +80005208: 00000013 nop +8000520c: 00000013 nop +80005210: 00000013 nop +80005214: 00000013 nop +80005218: 00000013 nop +8000521c: 00000013 nop +80005220: 00000013 nop +80005224: 00000013 nop +80005228: 00000013 nop +8000522c: 00000013 nop +80005230: 00000013 nop +80005234: 00000013 nop +80005238: 00000013 nop +8000523c: 00000013 nop +80005240: 00000013 nop +80005244: 00000013 nop +80005248: 00000013 nop +8000524c: 00000013 nop +80005250: 00000013 nop +80005254: 00000013 nop +80005258: 00000013 nop +8000525c: 00000013 nop +80005260: 00000013 nop +80005264: 00000013 nop +80005268: 00000013 nop +8000526c: 00000013 nop +80005270: 00000013 nop +80005274: 00000013 nop +80005278: 00000013 nop +8000527c: 00000013 nop +80005280: 00000013 nop +80005284: 00000013 nop +80005288: 00000013 nop +8000528c: 00000013 nop +80005290: 00000013 nop +80005294: 00000013 nop +80005298: 00000013 nop +8000529c: 00000013 nop +800052a0: 00000013 nop +800052a4: 00000013 nop +800052a8: 00000013 nop +800052ac: 00000013 nop +800052b0: 00000013 nop +800052b4: 00000013 nop +800052b8: 00000013 nop +800052bc: 00000013 nop +800052c0: 00000013 nop +800052c4: 00000013 nop +800052c8: 00000013 nop +800052cc: 00000013 nop +800052d0: 00000013 nop +800052d4: 00000013 nop +800052d8: 00000013 nop +800052dc: 00000013 nop +800052e0: 00000013 nop +800052e4: 00000013 nop +800052e8: 00000013 nop +800052ec: 00000013 nop +800052f0: 00000013 nop +800052f4: 00000013 nop +800052f8: 00000013 nop +800052fc: 00000013 nop +80005300: 00000013 nop +80005304: 00000013 nop +80005308: 00000013 nop +8000530c: 00000013 nop +80005310: 00000013 nop +80005314: 00000013 nop +80005318: 00000013 nop +8000531c: 00000013 nop +80005320: 00000013 nop +80005324: 00000013 nop +80005328: 00000013 nop +8000532c: 00000013 nop +80005330: 00000013 nop +80005334: 00000013 nop +80005338: 00000013 nop +8000533c: 00000013 nop +80005340: 00000013 nop +80005344: 00000013 nop +80005348: 00000013 nop +8000534c: 00000013 nop +80005350: 00000013 nop +80005354: 00000013 nop +80005358: 00000013 nop +8000535c: 00000013 nop +80005360: 00000013 nop +80005364: 00000013 nop +80005368: 00000013 nop +8000536c: 00000013 nop +80005370: 00000013 nop +80005374: 00000013 nop +80005378: 00000013 nop +8000537c: 00000013 nop +80005380: 00000013 nop +80005384: 00000013 nop +80005388: 00000013 nop +8000538c: 00000013 nop +80005390: 00000013 nop +80005394: 00000013 nop +80005398: 00000013 nop +8000539c: 00000013 nop +800053a0: 00000013 nop +800053a4: 00000013 nop +800053a8: 00000013 nop +800053ac: 00000013 nop +800053b0: 00000013 nop +800053b4: 00000013 nop +800053b8: 00000013 nop +800053bc: 00000013 nop +800053c0: 00000013 nop +800053c4: 00000013 nop +800053c8: 00000013 nop +800053cc: 00000013 nop +800053d0: 00000013 nop +800053d4: 00000013 nop +800053d8: 00000013 nop +800053dc: 00000013 nop +800053e0: 00000013 nop +800053e4: 00000013 nop +800053e8: 00000013 nop +800053ec: 00000013 nop +800053f0: 00000013 nop +800053f4: 00000013 nop +800053f8: 00000013 nop +800053fc: 00000013 nop +80005400: 00000013 nop +80005404: 00000013 nop +80005408: 00000013 nop +8000540c: 00000013 nop +80005410: 00000013 nop +80005414: 00000013 nop +80005418: 00000013 nop +8000541c: 00000013 nop +80005420: 00000013 nop +80005424: 00000013 nop +80005428: 00000013 nop +8000542c: 00000013 nop +80005430: 00000013 nop +80005434: 00000013 nop +80005438: 00000013 nop +8000543c: 00000013 nop +80005440: 00000013 nop +80005444: 00000013 nop +80005448: 00000013 nop +8000544c: 00000013 nop +80005450: 00000013 nop +80005454: 00000013 nop +80005458: 00000013 nop +8000545c: 00000013 nop +80005460: 00000013 nop +80005464: 00000013 nop +80005468: 00000013 nop +8000546c: 00000013 nop +80005470: 00000013 nop +80005474: 00000013 nop +80005478: 00000013 nop +8000547c: 00000013 nop +80005480: 00000013 nop +80005484: 00000013 nop +80005488: 00000013 nop +8000548c: 00000013 nop +80005490: 00000013 nop +80005494: 00000013 nop +80005498: 00000013 nop +8000549c: 00000013 nop +800054a0: 00000013 nop +800054a4: 00000013 nop +800054a8: 00000013 nop +800054ac: 00000013 nop +800054b0: 00000013 nop +800054b4: 00000013 nop +800054b8: 00000013 nop +800054bc: 00000013 nop +800054c0: 00000013 nop +800054c4: 00000013 nop +800054c8: 00000013 nop +800054cc: 00000013 nop +800054d0: 00000013 nop +800054d4: 00000013 nop +800054d8: 00000013 nop +800054dc: 00000013 nop +800054e0: 00000013 nop +800054e4: 00000013 nop +800054e8: 00000013 nop +800054ec: 00000013 nop +800054f0: 00000013 nop +800054f4: 00000013 nop +800054f8: 00000013 nop +800054fc: 00000013 nop +80005500: 00000013 nop +80005504: 00000013 nop +80005508: 00000013 nop +8000550c: 00000013 nop +80005510: 00000013 nop +80005514: 00000013 nop +80005518: 00000013 nop +8000551c: 00000013 nop +80005520: 00000013 nop +80005524: 00000013 nop +80005528: 00000013 nop +8000552c: 00000013 nop +80005530: 00000013 nop +80005534: 00000013 nop +80005538: 00000013 nop +8000553c: 00000013 nop +80005540: 00000013 nop +80005544: 00000013 nop +80005548: 00000013 nop +8000554c: 00000013 nop +80005550: 00000013 nop +80005554: 00000013 nop +80005558: 00000013 nop +8000555c: 00000013 nop +80005560: 00000013 nop +80005564: 00000013 nop +80005568: 00000013 nop +8000556c: 00000013 nop +80005570: 00000013 nop +80005574: 00000013 nop +80005578: 00000013 nop +8000557c: 00000013 nop +80005580: 00000013 nop +80005584: 00000013 nop +80005588: 00000013 nop +8000558c: 00000013 nop +80005590: 00000013 nop +80005594: 00000013 nop +80005598: 00000013 nop +8000559c: 00000013 nop +800055a0: 00000013 nop +800055a4: 00000013 nop +800055a8: 00000013 nop +800055ac: 00000013 nop +800055b0: 00000013 nop +800055b4: 00000013 nop +800055b8: 00000013 nop +800055bc: 00000013 nop +800055c0: 00000013 nop +800055c4: 00000013 nop +800055c8: 00000013 nop +800055cc: 00000013 nop +800055d0: 00000013 nop +800055d4: 00000013 nop +800055d8: 00000013 nop +800055dc: 00000013 nop +800055e0: 00000013 nop +800055e4: 00000013 nop +800055e8: 00000013 nop +800055ec: 00000013 nop +800055f0: 00000013 nop +800055f4: 00000013 nop +800055f8: 00000013 nop +800055fc: 00000013 nop +80005600: 00000013 nop +80005604: 00000013 nop +80005608: 00000013 nop +8000560c: 00000013 nop +80005610: 00000013 nop +80005614: 00000013 nop +80005618: 00000013 nop +8000561c: 00000013 nop +80005620: 00000013 nop +80005624: 00000013 nop +80005628: 00000013 nop +8000562c: 00000013 nop +80005630: 00000013 nop +80005634: 00000013 nop +80005638: 00000013 nop +8000563c: 00000013 nop +80005640: 00000013 nop +80005644: 00000013 nop +80005648: 00000013 nop +8000564c: 00000013 nop +80005650: 00000013 nop +80005654: 00000013 nop +80005658: 00000013 nop +8000565c: 00000013 nop +80005660: 00000013 nop +80005664: 00000013 nop +80005668: 00000013 nop +8000566c: 00000013 nop +80005670: 00000013 nop +80005674: 00000013 nop +80005678: 00000013 nop +8000567c: 00000013 nop +80005680: 00000013 nop +80005684: 00000013 nop +80005688: 00000013 nop +8000568c: 00000013 nop +80005690: 00000013 nop +80005694: 00000013 nop +80005698: 00000013 nop +8000569c: 00000013 nop +800056a0: 00000013 nop +800056a4: 00000013 nop +800056a8: 00000013 nop +800056ac: 00000013 nop +800056b0: 00000013 nop +800056b4: 00000013 nop +800056b8: 00000013 nop +800056bc: 00000013 nop +800056c0: 00000013 nop +800056c4: 00000013 nop +800056c8: 00000013 nop +800056cc: 00000013 nop +800056d0: 00000013 nop +800056d4: 00000013 nop +800056d8: 00000013 nop +800056dc: 00000013 nop +800056e0: 00000013 nop +800056e4: 00000013 nop +800056e8: 00000013 nop +800056ec: 00000013 nop +800056f0: 00000013 nop +800056f4: 00000013 nop +800056f8: 00000013 nop +800056fc: 00000013 nop +80005700: 00000013 nop +80005704: 00000013 nop +80005708: 00000013 nop +8000570c: 00000013 nop +80005710: 00000013 nop +80005714: 00000013 nop +80005718: 00000013 nop +8000571c: 00000013 nop +80005720: 00000013 nop +80005724: 00000013 nop +80005728: 00000013 nop +8000572c: 00000013 nop +80005730: 00000013 nop +80005734: 00000013 nop +80005738: 00000013 nop +8000573c: 00000013 nop +80005740: 00000013 nop +80005744: 00000013 nop +80005748: 00000013 nop +8000574c: 00000013 nop +80005750: 00000013 nop +80005754: 00000013 nop +80005758: 00000013 nop +8000575c: 00000013 nop +80005760: 00000013 nop +80005764: 00000013 nop +80005768: 00000013 nop +8000576c: 00000013 nop +80005770: 00000013 nop +80005774: 00000013 nop +80005778: 00000013 nop +8000577c: 00000013 nop +80005780: 00000013 nop +80005784: 00000013 nop +80005788: 00000013 nop +8000578c: 00000013 nop +80005790: 00000013 nop +80005794: 00000013 nop +80005798: 00000013 nop +8000579c: 00000013 nop +800057a0: 00000013 nop +800057a4: 00000013 nop +800057a8: 00000013 nop +800057ac: 00000013 nop +800057b0: 00000013 nop +800057b4: 00000013 nop +800057b8: 00000013 nop +800057bc: 00000013 nop +800057c0: 00000013 nop +800057c4: 00000013 nop +800057c8: 00000013 nop +800057cc: 00000013 nop +800057d0: 00000013 nop +800057d4: 00000013 nop +800057d8: 00000013 nop +800057dc: 00000013 nop +800057e0: 00000013 nop +800057e4: 00000013 nop +800057e8: 00000013 nop +800057ec: 00000013 nop +800057f0: 00000013 nop +800057f4: 00000013 nop +800057f8: 00000013 nop +800057fc: 00000013 nop +80005800: 00000013 nop +80005804: 00000013 nop +80005808: 00000013 nop +8000580c: 00000013 nop +80005810: 00000013 nop +80005814: 00000013 nop +80005818: 00000013 nop +8000581c: 00000013 nop +80005820: 00000013 nop +80005824: 00000013 nop +80005828: 00000013 nop +8000582c: 00000013 nop +80005830: 00000013 nop +80005834: 00000013 nop +80005838: 00000013 nop +8000583c: 00000013 nop +80005840: 00000013 nop +80005844: 00000013 nop +80005848: 00000013 nop +8000584c: 00000013 nop +80005850: 00000013 nop +80005854: 00000013 nop +80005858: 00000013 nop +8000585c: 00000013 nop +80005860: 00000013 nop +80005864: 00000013 nop +80005868: 00000013 nop +8000586c: 00000013 nop +80005870: 00000013 nop +80005874: 00000013 nop +80005878: 00000013 nop +8000587c: 00000013 nop +80005880: 00000013 nop +80005884: 00000013 nop +80005888: 00000013 nop +8000588c: 00000013 nop +80005890: 00000013 nop +80005894: 00000013 nop +80005898: 00000013 nop +8000589c: 00000013 nop +800058a0: 00000013 nop +800058a4: 00000013 nop +800058a8: 00000013 nop +800058ac: 00000013 nop +800058b0: 00000013 nop +800058b4: 00000013 nop +800058b8: 00000013 nop +800058bc: 00000013 nop +800058c0: 00000013 nop +800058c4: 00000013 nop +800058c8: 00000013 nop +800058cc: 00000013 nop +800058d0: 00000013 nop +800058d4: 00000013 nop +800058d8: 00000013 nop +800058dc: 00000013 nop +800058e0: 00000013 nop +800058e4: 00000013 nop +800058e8: 00000013 nop +800058ec: 00000013 nop +800058f0: 00000013 nop +800058f4: 00000013 nop +800058f8: 00000013 nop +800058fc: 00000013 nop +80005900: 00000013 nop +80005904: 00000013 nop +80005908: 00000013 nop +8000590c: 00000013 nop +80005910: 00000013 nop +80005914: 00000013 nop +80005918: 00000013 nop +8000591c: 00000013 nop +80005920: 00000013 nop +80005924: 00000013 nop +80005928: 00000013 nop +8000592c: 00000013 nop +80005930: 00000013 nop +80005934: 00000013 nop +80005938: 00000013 nop +8000593c: 00000013 nop +80005940: 00000013 nop +80005944: 00000013 nop +80005948: 00000013 nop +8000594c: 00000013 nop +80005950: 00000013 nop +80005954: 00000013 nop +80005958: 00000013 nop +8000595c: 00000013 nop +80005960: 00000013 nop +80005964: 00000013 nop +80005968: 00000013 nop +8000596c: 00000013 nop +80005970: 00000013 nop +80005974: 00000013 nop +80005978: 00000013 nop +8000597c: 00000013 nop +80005980: 00000013 nop +80005984: 00000013 nop +80005988: 00000013 nop +8000598c: 00000013 nop +80005990: 00000013 nop +80005994: 00000013 nop +80005998: 00000013 nop +8000599c: 00000013 nop +800059a0: 00000013 nop +800059a4: 00000013 nop +800059a8: 00000013 nop +800059ac: 00000013 nop +800059b0: 00000013 nop +800059b4: 00000013 nop +800059b8: 00000013 nop +800059bc: 00000013 nop +800059c0: 00000013 nop +800059c4: 00000013 nop +800059c8: 00000013 nop +800059cc: 00000013 nop +800059d0: 00000013 nop +800059d4: 00000013 nop +800059d8: 00000013 nop +800059dc: 00000013 nop +800059e0: 00000013 nop +800059e4: 00000013 nop +800059e8: 00000013 nop +800059ec: 00000013 nop +800059f0: 00000013 nop +800059f4: 00000013 nop +800059f8: 00000013 nop +800059fc: 00000013 nop +80005a00: 00000013 nop +80005a04: 00000013 nop +80005a08: 00000013 nop +80005a0c: 00000013 nop +80005a10: 00000013 nop +80005a14: 00000013 nop +80005a18: 00000013 nop +80005a1c: 00000013 nop +80005a20: 00000013 nop +80005a24: 00000013 nop +80005a28: 00000013 nop +80005a2c: 00000013 nop +80005a30: 00000013 nop +80005a34: 00000013 nop +80005a38: 00000013 nop +80005a3c: 00000013 nop +80005a40: 00000013 nop +80005a44: 00000013 nop +80005a48: 00000013 nop +80005a4c: 00000013 nop +80005a50: 00000013 nop +80005a54: 00000013 nop +80005a58: 00000013 nop +80005a5c: 00000013 nop +80005a60: 00000013 nop +80005a64: 00000013 nop +80005a68: 00000013 nop +80005a6c: 00000013 nop +80005a70: 00000013 nop +80005a74: 00000013 nop +80005a78: 00000013 nop +80005a7c: 00000013 nop +80005a80: 00000013 nop +80005a84: 00000013 nop +80005a88: 00000013 nop +80005a8c: 00000013 nop +80005a90: 00000013 nop +80005a94: 00000013 nop +80005a98: 00000013 nop +80005a9c: 00000013 nop +80005aa0: 00000013 nop +80005aa4: 00000013 nop +80005aa8: 00000013 nop +80005aac: 00000013 nop +80005ab0: 00000013 nop +80005ab4: 00000013 nop +80005ab8: 00000013 nop +80005abc: 00000013 nop +80005ac0: 00000013 nop +80005ac4: 00000013 nop +80005ac8: 00000013 nop +80005acc: 00000013 nop +80005ad0: 00000013 nop +80005ad4: 00000013 nop +80005ad8: 00000013 nop +80005adc: 00000013 nop +80005ae0: 00000013 nop +80005ae4: 00000013 nop +80005ae8: 00000013 nop +80005aec: 00000013 nop +80005af0: 00000013 nop +80005af4: 00000013 nop +80005af8: 00000013 nop +80005afc: 00000013 nop +80005b00: 00000013 nop +80005b04: 00000013 nop +80005b08: 00000013 nop +80005b0c: 00000013 nop +80005b10: 00000013 nop +80005b14: 00000013 nop +80005b18: 00000013 nop +80005b1c: 00000013 nop +80005b20: 00000013 nop +80005b24: 00000013 nop +80005b28: 00000013 nop +80005b2c: 00000013 nop +80005b30: 00000013 nop +80005b34: 00000013 nop +80005b38: 00000013 nop +80005b3c: 00000013 nop +80005b40: 00000013 nop +80005b44: 00000013 nop +80005b48: 00000013 nop +80005b4c: 00000013 nop +80005b50: 00000013 nop +80005b54: 00000013 nop +80005b58: 00000013 nop +80005b5c: 00000013 nop +80005b60: 00000013 nop +80005b64: 00000013 nop +80005b68: 00000013 nop +80005b6c: 00000013 nop +80005b70: 00000013 nop +80005b74: 00000013 nop +80005b78: 00000013 nop +80005b7c: 00000013 nop +80005b80: 00000013 nop +80005b84: 00000013 nop +80005b88: 00000013 nop +80005b8c: 00000013 nop +80005b90: 00000013 nop +80005b94: 00000013 nop +80005b98: 00000013 nop +80005b9c: 00000013 nop +80005ba0: 00000013 nop +80005ba4: 00000013 nop +80005ba8: 00000013 nop +80005bac: 00000013 nop +80005bb0: 00000013 nop +80005bb4: 00000013 nop +80005bb8: 00000013 nop +80005bbc: 00000013 nop +80005bc0: 00000013 nop +80005bc4: 00000013 nop +80005bc8: 00000013 nop +80005bcc: 00000013 nop +80005bd0: 00000013 nop +80005bd4: 00000013 nop +80005bd8: 00000013 nop +80005bdc: 00000013 nop +80005be0: 00000013 nop +80005be4: 00000013 nop +80005be8: 00000013 nop +80005bec: 00000013 nop +80005bf0: 00000013 nop +80005bf4: 00000013 nop +80005bf8: 00000013 nop +80005bfc: 00000013 nop +80005c00: 00000013 nop +80005c04: 00000013 nop +80005c08: 00000013 nop +80005c0c: 00000013 nop +80005c10: 00000013 nop +80005c14: 00000013 nop +80005c18: 00000013 nop +80005c1c: 00000013 nop +80005c20: 00000013 nop +80005c24: 00000013 nop +80005c28: 00000013 nop +80005c2c: 00000013 nop +80005c30: 00000013 nop +80005c34: 00000013 nop +80005c38: 00000013 nop +80005c3c: 00000013 nop +80005c40: 00000013 nop +80005c44: 00000013 nop +80005c48: 00000013 nop +80005c4c: 00000013 nop +80005c50: 00000013 nop +80005c54: 00000013 nop +80005c58: 00000013 nop +80005c5c: 00000013 nop +80005c60: 00000013 nop +80005c64: 00000013 nop +80005c68: 00000013 nop +80005c6c: 00000013 nop +80005c70: 00000013 nop +80005c74: 00000013 nop +80005c78: 00000013 nop +80005c7c: 00000013 nop +80005c80: 00000013 nop +80005c84: 00000013 nop +80005c88: 00000013 nop +80005c8c: 00000013 nop +80005c90: 00000013 nop +80005c94: 00000013 nop +80005c98: 00000013 nop +80005c9c: 00000013 nop +80005ca0: 00000013 nop +80005ca4: 00000013 nop +80005ca8: 00000013 nop +80005cac: 00000013 nop +80005cb0: 00000013 nop +80005cb4: 00000013 nop +80005cb8: 00000013 nop +80005cbc: 00000013 nop +80005cc0: 00000013 nop +80005cc4: 00000013 nop +80005cc8: 00000013 nop +80005ccc: 00000013 nop +80005cd0: 00000013 nop +80005cd4: 00000013 nop +80005cd8: 00000013 nop +80005cdc: 00000013 nop +80005ce0: 00000013 nop +80005ce4: 00000013 nop +80005ce8: 00000013 nop +80005cec: 00000013 nop +80005cf0: 00000013 nop +80005cf4: 00000013 nop +80005cf8: 00000013 nop +80005cfc: 00000013 nop +80005d00: 00000013 nop +80005d04: 00000013 nop +80005d08: 00000013 nop +80005d0c: 00000013 nop +80005d10: 00000013 nop +80005d14: 00000013 nop +80005d18: 00000013 nop +80005d1c: 00000013 nop +80005d20: 00000013 nop +80005d24: 00000013 nop +80005d28: 00000013 nop +80005d2c: 00000013 nop +80005d30: 00000013 nop +80005d34: 00000013 nop +80005d38: 00000013 nop +80005d3c: 00000013 nop +80005d40: 00000013 nop +80005d44: 00000013 nop +80005d48: 00000013 nop +80005d4c: 00000013 nop +80005d50: 00000013 nop +80005d54: 00000013 nop +80005d58: 00000013 nop +80005d5c: 00000013 nop +80005d60: 00000013 nop +80005d64: 00000013 nop +80005d68: 00000013 nop +80005d6c: 00000013 nop +80005d70: 00000013 nop +80005d74: 00000013 nop +80005d78: 00000013 nop +80005d7c: 00000013 nop +80005d80: 00000013 nop +80005d84: 00000013 nop +80005d88: 00000013 nop +80005d8c: 00000013 nop +80005d90: 00000013 nop +80005d94: 00000013 nop +80005d98: 00000013 nop +80005d9c: 00000013 nop +80005da0: 00000013 nop +80005da4: 00000013 nop +80005da8: 00000013 nop +80005dac: 00000013 nop +80005db0: 00000013 nop +80005db4: 00000013 nop +80005db8: 00000013 nop +80005dbc: 00000013 nop +80005dc0: 00000013 nop +80005dc4: 00000013 nop +80005dc8: 00000013 nop +80005dcc: 00000013 nop +80005dd0: 00000013 nop +80005dd4: 00000013 nop +80005dd8: 00000013 nop +80005ddc: 00000013 nop +80005de0: 00000013 nop +80005de4: 00000013 nop +80005de8: 00000013 nop +80005dec: 00000013 nop +80005df0: 00000013 nop +80005df4: 00000013 nop +80005df8: 00000013 nop +80005dfc: 00000013 nop +80005e00: 00000013 nop +80005e04: 00000013 nop +80005e08: 00000013 nop +80005e0c: 00000013 nop +80005e10: 00000013 nop +80005e14: 00000013 nop +80005e18: 00000013 nop +80005e1c: 00000013 nop +80005e20: 00000013 nop +80005e24: 00000013 nop +80005e28: 00000013 nop +80005e2c: 00000013 nop +80005e30: 00000013 nop +80005e34: 00000013 nop +80005e38: 00000013 nop +80005e3c: 00000013 nop +80005e40: 00000013 nop +80005e44: 00000013 nop +80005e48: 00000013 nop +80005e4c: 00000013 nop +80005e50: 00000013 nop +80005e54: 00000013 nop +80005e58: 00000013 nop +80005e5c: 00000013 nop +80005e60: 00000013 nop +80005e64: 00000013 nop +80005e68: 00000013 nop +80005e6c: 00000013 nop +80005e70: 00000013 nop +80005e74: 00000013 nop +80005e78: 00000013 nop +80005e7c: 00000013 nop +80005e80: 00000013 nop +80005e84: 00000013 nop +80005e88: 00000013 nop +80005e8c: 00000013 nop +80005e90: 00000013 nop +80005e94: 00000013 nop +80005e98: 00000013 nop +80005e9c: 00000013 nop +80005ea0: 00000013 nop +80005ea4: 00000013 nop +80005ea8: 00000013 nop +80005eac: 00000013 nop +80005eb0: 00000013 nop +80005eb4: 00000013 nop +80005eb8: 00000013 nop +80005ebc: 00000013 nop +80005ec0: 00000013 nop +80005ec4: 00000013 nop +80005ec8: 00000013 nop +80005ecc: 00000013 nop +80005ed0: 00000013 nop +80005ed4: 00000013 nop +80005ed8: 00000013 nop +80005edc: 00000013 nop +80005ee0: 00000013 nop +80005ee4: 00000013 nop +80005ee8: 00000013 nop +80005eec: 00000013 nop +80005ef0: 00000013 nop +80005ef4: 00000013 nop +80005ef8: 00000013 nop +80005efc: 00000013 nop +80005f00: 00000013 nop +80005f04: 00000013 nop +80005f08: 00000013 nop +80005f0c: 00000013 nop +80005f10: 00000013 nop +80005f14: 00000013 nop +80005f18: 00000013 nop +80005f1c: 00000013 nop +80005f20: 00000013 nop +80005f24: 00000013 nop +80005f28: 00000013 nop +80005f2c: 00000013 nop +80005f30: 00000013 nop +80005f34: 00000013 nop +80005f38: 00000013 nop +80005f3c: 00000013 nop +80005f40: 00000013 nop +80005f44: 00000013 nop +80005f48: 00000013 nop +80005f4c: 00000013 nop +80005f50: 00000013 nop +80005f54: 00000013 nop +80005f58: 00000013 nop +80005f5c: 00000013 nop +80005f60: 00000013 nop +80005f64: 00000013 nop +80005f68: 00000013 nop +80005f6c: 00000013 nop +80005f70: 00000013 nop +80005f74: 00000013 nop +80005f78: 00000013 nop +80005f7c: 00000013 nop +80005f80: 00000013 nop +80005f84: 00000013 nop +80005f88: 00000013 nop +80005f8c: 00000013 nop +80005f90: 00000013 nop +80005f94: 00000013 nop +80005f98: 00000013 nop +80005f9c: 00000013 nop +80005fa0: 00000013 nop +80005fa4: 00000013 nop +80005fa8: 00000013 nop +80005fac: 00000013 nop +80005fb0: 00000013 nop +80005fb4: 00000013 nop +80005fb8: 00000013 nop +80005fbc: 00000013 nop +80005fc0: 00000013 nop +80005fc4: 00000013 nop +80005fc8: 00000013 nop +80005fcc: 00000013 nop +80005fd0: 00000013 nop +80005fd4: 00000013 nop +80005fd8: 00000013 nop +80005fdc: 00000013 nop +80005fe0: 00000013 nop +80005fe4: 00000013 nop +80005fe8: 00000013 nop +80005fec: 00000013 nop +80005ff0: 00000013 nop +80005ff4: 00000013 nop +80005ff8: 00000013 nop +80005ffc: 00000013 nop + +80006000 : +80006000: 1110 addi a2,sp,160 +80006002: 1312 slli t1,t1,0x24 +80006004: 1514 addi a3,sp,672 +80006006: 1716 slli a4,a4,0x25 +80006008: 1918 addi a4,sp,176 +8000600a: 1b1a slli s6,s6,0x26 +8000600c: 1d1c addi a5,sp,688 +8000600e: 1f1e slli t5,t5,0x27 +80006010: 00000013 nop +80006014: 00000013 nop +80006018: 00000013 nop +8000601c: 00000013 nop +80006020: 00000013 nop +80006024: 00000013 nop +80006028: 00000013 nop +8000602c: 00000013 nop +80006030: 00000013 nop +80006034: 00000013 nop +80006038: 00000013 nop +8000603c: 00000013 nop +80006040: 00000013 nop +80006044: 00000013 nop +80006048: 00000013 nop +8000604c: 00000013 nop +80006050: 00000013 nop +80006054: 00000013 nop +80006058: 00000013 nop +8000605c: 00000013 nop +80006060: 00000013 nop +80006064: 00000013 nop +80006068: 00000013 nop +8000606c: 00000013 nop +80006070: 00000013 nop +80006074: 00000013 nop +80006078: 00000013 nop +8000607c: 00000013 nop +80006080: 00000013 nop +80006084: 00000013 nop +80006088: 00000013 nop +8000608c: 00000013 nop +80006090: 00000013 nop +80006094: 00000013 nop +80006098: 00000013 nop +8000609c: 00000013 nop +800060a0: 00000013 nop +800060a4: 00000013 nop +800060a8: 00000013 nop +800060ac: 00000013 nop +800060b0: 00000013 nop +800060b4: 00000013 nop +800060b8: 00000013 nop +800060bc: 00000013 nop +800060c0: 00000013 nop +800060c4: 00000013 nop +800060c8: 00000013 nop +800060cc: 00000013 nop +800060d0: 00000013 nop +800060d4: 00000013 nop +800060d8: 00000013 nop +800060dc: 00000013 nop +800060e0: 00000013 nop +800060e4: 00000013 nop +800060e8: 00000013 nop +800060ec: 00000013 nop +800060f0: 00000013 nop +800060f4: 00000013 nop +800060f8: 00000013 nop +800060fc: 00000013 nop +80006100: 00000013 nop +80006104: 00000013 nop +80006108: 00000013 nop +8000610c: 00000013 nop +80006110: 00000013 nop +80006114: 00000013 nop +80006118: 00000013 nop +8000611c: 00000013 nop +80006120: 00000013 nop +80006124: 00000013 nop +80006128: 00000013 nop +8000612c: 00000013 nop +80006130: 00000013 nop +80006134: 00000013 nop +80006138: 00000013 nop +8000613c: 00000013 nop +80006140: 00000013 nop +80006144: 00000013 nop +80006148: 00000013 nop +8000614c: 00000013 nop +80006150: 00000013 nop +80006154: 00000013 nop +80006158: 00000013 nop +8000615c: 00000013 nop +80006160: 00000013 nop +80006164: 00000013 nop +80006168: 00000013 nop +8000616c: 00000013 nop +80006170: 00000013 nop +80006174: 00000013 nop +80006178: 00000013 nop +8000617c: 00000013 nop +80006180: 00000013 nop +80006184: 00000013 nop +80006188: 00000013 nop +8000618c: 00000013 nop +80006190: 00000013 nop +80006194: 00000013 nop +80006198: 00000013 nop +8000619c: 00000013 nop +800061a0: 00000013 nop +800061a4: 00000013 nop +800061a8: 00000013 nop +800061ac: 00000013 nop +800061b0: 00000013 nop +800061b4: 00000013 nop +800061b8: 00000013 nop +800061bc: 00000013 nop +800061c0: 00000013 nop +800061c4: 00000013 nop +800061c8: 00000013 nop +800061cc: 00000013 nop +800061d0: 00000013 nop +800061d4: 00000013 nop +800061d8: 00000013 nop +800061dc: 00000013 nop +800061e0: 00000013 nop +800061e4: 00000013 nop +800061e8: 00000013 nop +800061ec: 00000013 nop +800061f0: 00000013 nop +800061f4: 00000013 nop +800061f8: 00000013 nop +800061fc: 00000013 nop +80006200: 00000013 nop +80006204: 00000013 nop +80006208: 00000013 nop +8000620c: 00000013 nop +80006210: 00000013 nop +80006214: 00000013 nop +80006218: 00000013 nop +8000621c: 00000013 nop +80006220: 00000013 nop +80006224: 00000013 nop +80006228: 00000013 nop +8000622c: 00000013 nop +80006230: 00000013 nop +80006234: 00000013 nop +80006238: 00000013 nop +8000623c: 00000013 nop +80006240: 00000013 nop +80006244: 00000013 nop +80006248: 00000013 nop +8000624c: 00000013 nop +80006250: 00000013 nop +80006254: 00000013 nop +80006258: 00000013 nop +8000625c: 00000013 nop +80006260: 00000013 nop +80006264: 00000013 nop +80006268: 00000013 nop +8000626c: 00000013 nop +80006270: 00000013 nop +80006274: 00000013 nop +80006278: 00000013 nop +8000627c: 00000013 nop +80006280: 00000013 nop +80006284: 00000013 nop +80006288: 00000013 nop +8000628c: 00000013 nop +80006290: 00000013 nop +80006294: 00000013 nop +80006298: 00000013 nop +8000629c: 00000013 nop +800062a0: 00000013 nop +800062a4: 00000013 nop +800062a8: 00000013 nop +800062ac: 00000013 nop +800062b0: 00000013 nop +800062b4: 00000013 nop +800062b8: 00000013 nop +800062bc: 00000013 nop +800062c0: 00000013 nop +800062c4: 00000013 nop +800062c8: 00000013 nop +800062cc: 00000013 nop +800062d0: 00000013 nop +800062d4: 00000013 nop +800062d8: 00000013 nop +800062dc: 00000013 nop +800062e0: 00000013 nop +800062e4: 00000013 nop +800062e8: 00000013 nop +800062ec: 00000013 nop +800062f0: 00000013 nop +800062f4: 00000013 nop +800062f8: 00000013 nop +800062fc: 00000013 nop +80006300: 00000013 nop +80006304: 00000013 nop +80006308: 00000013 nop +8000630c: 00000013 nop +80006310: 00000013 nop +80006314: 00000013 nop +80006318: 00000013 nop +8000631c: 00000013 nop +80006320: 00000013 nop +80006324: 00000013 nop +80006328: 00000013 nop +8000632c: 00000013 nop +80006330: 00000013 nop +80006334: 00000013 nop +80006338: 00000013 nop +8000633c: 00000013 nop +80006340: 00000013 nop +80006344: 00000013 nop +80006348: 00000013 nop +8000634c: 00000013 nop +80006350: 00000013 nop +80006354: 00000013 nop +80006358: 00000013 nop +8000635c: 00000013 nop +80006360: 00000013 nop +80006364: 00000013 nop +80006368: 00000013 nop +8000636c: 00000013 nop +80006370: 00000013 nop +80006374: 00000013 nop +80006378: 00000013 nop +8000637c: 00000013 nop +80006380: 00000013 nop +80006384: 00000013 nop +80006388: 00000013 nop +8000638c: 00000013 nop +80006390: 00000013 nop +80006394: 00000013 nop +80006398: 00000013 nop +8000639c: 00000013 nop +800063a0: 00000013 nop +800063a4: 00000013 nop +800063a8: 00000013 nop +800063ac: 00000013 nop +800063b0: 00000013 nop +800063b4: 00000013 nop +800063b8: 00000013 nop +800063bc: 00000013 nop +800063c0: 00000013 nop +800063c4: 00000013 nop +800063c8: 00000013 nop +800063cc: 00000013 nop +800063d0: 00000013 nop +800063d4: 00000013 nop +800063d8: 00000013 nop +800063dc: 00000013 nop +800063e0: 00000013 nop +800063e4: 00000013 nop +800063e8: 00000013 nop +800063ec: 00000013 nop +800063f0: 00000013 nop +800063f4: 00000013 nop +800063f8: 00000013 nop +800063fc: 00000013 nop +80006400: 00000013 nop +80006404: 00000013 nop +80006408: 00000013 nop +8000640c: 00000013 nop +80006410: 00000013 nop +80006414: 00000013 nop +80006418: 00000013 nop +8000641c: 00000013 nop +80006420: 00000013 nop +80006424: 00000013 nop +80006428: 00000013 nop +8000642c: 00000013 nop +80006430: 00000013 nop +80006434: 00000013 nop +80006438: 00000013 nop +8000643c: 00000013 nop +80006440: 00000013 nop +80006444: 00000013 nop +80006448: 00000013 nop +8000644c: 00000013 nop +80006450: 00000013 nop +80006454: 00000013 nop +80006458: 00000013 nop +8000645c: 00000013 nop +80006460: 00000013 nop +80006464: 00000013 nop +80006468: 00000013 nop +8000646c: 00000013 nop +80006470: 00000013 nop +80006474: 00000013 nop +80006478: 00000013 nop +8000647c: 00000013 nop +80006480: 00000013 nop +80006484: 00000013 nop +80006488: 00000013 nop +8000648c: 00000013 nop +80006490: 00000013 nop +80006494: 00000013 nop +80006498: 00000013 nop +8000649c: 00000013 nop +800064a0: 00000013 nop +800064a4: 00000013 nop +800064a8: 00000013 nop +800064ac: 00000013 nop +800064b0: 00000013 nop +800064b4: 00000013 nop +800064b8: 00000013 nop +800064bc: 00000013 nop +800064c0: 00000013 nop +800064c4: 00000013 nop +800064c8: 00000013 nop +800064cc: 00000013 nop +800064d0: 00000013 nop +800064d4: 00000013 nop +800064d8: 00000013 nop +800064dc: 00000013 nop +800064e0: 00000013 nop +800064e4: 00000013 nop +800064e8: 00000013 nop +800064ec: 00000013 nop +800064f0: 00000013 nop +800064f4: 00000013 nop +800064f8: 00000013 nop +800064fc: 00000013 nop +80006500: 00000013 nop +80006504: 00000013 nop +80006508: 00000013 nop +8000650c: 00000013 nop +80006510: 00000013 nop +80006514: 00000013 nop +80006518: 00000013 nop +8000651c: 00000013 nop +80006520: 00000013 nop +80006524: 00000013 nop +80006528: 00000013 nop +8000652c: 00000013 nop +80006530: 00000013 nop +80006534: 00000013 nop +80006538: 00000013 nop +8000653c: 00000013 nop +80006540: 00000013 nop +80006544: 00000013 nop +80006548: 00000013 nop +8000654c: 00000013 nop +80006550: 00000013 nop +80006554: 00000013 nop +80006558: 00000013 nop +8000655c: 00000013 nop +80006560: 00000013 nop +80006564: 00000013 nop +80006568: 00000013 nop +8000656c: 00000013 nop +80006570: 00000013 nop +80006574: 00000013 nop +80006578: 00000013 nop +8000657c: 00000013 nop +80006580: 00000013 nop +80006584: 00000013 nop +80006588: 00000013 nop +8000658c: 00000013 nop +80006590: 00000013 nop +80006594: 00000013 nop +80006598: 00000013 nop +8000659c: 00000013 nop +800065a0: 00000013 nop +800065a4: 00000013 nop +800065a8: 00000013 nop +800065ac: 00000013 nop +800065b0: 00000013 nop +800065b4: 00000013 nop +800065b8: 00000013 nop +800065bc: 00000013 nop +800065c0: 00000013 nop +800065c4: 00000013 nop +800065c8: 00000013 nop +800065cc: 00000013 nop +800065d0: 00000013 nop +800065d4: 00000013 nop +800065d8: 00000013 nop +800065dc: 00000013 nop +800065e0: 00000013 nop +800065e4: 00000013 nop +800065e8: 00000013 nop +800065ec: 00000013 nop +800065f0: 00000013 nop +800065f4: 00000013 nop +800065f8: 00000013 nop +800065fc: 00000013 nop +80006600: 00000013 nop +80006604: 00000013 nop +80006608: 00000013 nop +8000660c: 00000013 nop +80006610: 00000013 nop +80006614: 00000013 nop +80006618: 00000013 nop +8000661c: 00000013 nop +80006620: 00000013 nop +80006624: 00000013 nop +80006628: 00000013 nop +8000662c: 00000013 nop +80006630: 00000013 nop +80006634: 00000013 nop +80006638: 00000013 nop +8000663c: 00000013 nop +80006640: 00000013 nop +80006644: 00000013 nop +80006648: 00000013 nop +8000664c: 00000013 nop +80006650: 00000013 nop +80006654: 00000013 nop +80006658: 00000013 nop +8000665c: 00000013 nop +80006660: 00000013 nop +80006664: 00000013 nop +80006668: 00000013 nop +8000666c: 00000013 nop +80006670: 00000013 nop +80006674: 00000013 nop +80006678: 00000013 nop +8000667c: 00000013 nop +80006680: 00000013 nop +80006684: 00000013 nop +80006688: 00000013 nop +8000668c: 00000013 nop +80006690: 00000013 nop +80006694: 00000013 nop +80006698: 00000013 nop +8000669c: 00000013 nop +800066a0: 00000013 nop +800066a4: 00000013 nop +800066a8: 00000013 nop +800066ac: 00000013 nop +800066b0: 00000013 nop +800066b4: 00000013 nop +800066b8: 00000013 nop +800066bc: 00000013 nop +800066c0: 00000013 nop +800066c4: 00000013 nop +800066c8: 00000013 nop +800066cc: 00000013 nop +800066d0: 00000013 nop +800066d4: 00000013 nop +800066d8: 00000013 nop +800066dc: 00000013 nop +800066e0: 00000013 nop +800066e4: 00000013 nop +800066e8: 00000013 nop +800066ec: 00000013 nop +800066f0: 00000013 nop +800066f4: 00000013 nop +800066f8: 00000013 nop +800066fc: 00000013 nop +80006700: 00000013 nop +80006704: 00000013 nop +80006708: 00000013 nop +8000670c: 00000013 nop +80006710: 00000013 nop +80006714: 00000013 nop +80006718: 00000013 nop +8000671c: 00000013 nop +80006720: 00000013 nop +80006724: 00000013 nop +80006728: 00000013 nop +8000672c: 00000013 nop +80006730: 00000013 nop +80006734: 00000013 nop +80006738: 00000013 nop +8000673c: 00000013 nop +80006740: 00000013 nop +80006744: 00000013 nop +80006748: 00000013 nop +8000674c: 00000013 nop +80006750: 00000013 nop +80006754: 00000013 nop +80006758: 00000013 nop +8000675c: 00000013 nop +80006760: 00000013 nop +80006764: 00000013 nop +80006768: 00000013 nop +8000676c: 00000013 nop +80006770: 00000013 nop +80006774: 00000013 nop +80006778: 00000013 nop +8000677c: 00000013 nop +80006780: 00000013 nop +80006784: 00000013 nop +80006788: 00000013 nop +8000678c: 00000013 nop +80006790: 00000013 nop +80006794: 00000013 nop +80006798: 00000013 nop +8000679c: 00000013 nop +800067a0: 00000013 nop +800067a4: 00000013 nop +800067a8: 00000013 nop +800067ac: 00000013 nop +800067b0: 00000013 nop +800067b4: 00000013 nop +800067b8: 00000013 nop +800067bc: 00000013 nop +800067c0: 00000013 nop +800067c4: 00000013 nop +800067c8: 00000013 nop +800067cc: 00000013 nop +800067d0: 00000013 nop +800067d4: 00000013 nop +800067d8: 00000013 nop +800067dc: 00000013 nop +800067e0: 00000013 nop +800067e4: 00000013 nop +800067e8: 00000013 nop +800067ec: 00000013 nop +800067f0: 00000013 nop +800067f4: 00000013 nop +800067f8: 00000013 nop +800067fc: 00000013 nop +80006800: 00000013 nop +80006804: 00000013 nop +80006808: 00000013 nop +8000680c: 00000013 nop +80006810: 00000013 nop +80006814: 00000013 nop +80006818: 00000013 nop +8000681c: 00000013 nop +80006820: 00000013 nop +80006824: 00000013 nop +80006828: 00000013 nop +8000682c: 00000013 nop +80006830: 00000013 nop +80006834: 00000013 nop +80006838: 00000013 nop +8000683c: 00000013 nop +80006840: 00000013 nop +80006844: 00000013 nop +80006848: 00000013 nop +8000684c: 00000013 nop +80006850: 00000013 nop +80006854: 00000013 nop +80006858: 00000013 nop +8000685c: 00000013 nop +80006860: 00000013 nop +80006864: 00000013 nop +80006868: 00000013 nop +8000686c: 00000013 nop +80006870: 00000013 nop +80006874: 00000013 nop +80006878: 00000013 nop +8000687c: 00000013 nop +80006880: 00000013 nop +80006884: 00000013 nop +80006888: 00000013 nop +8000688c: 00000013 nop +80006890: 00000013 nop +80006894: 00000013 nop +80006898: 00000013 nop +8000689c: 00000013 nop +800068a0: 00000013 nop +800068a4: 00000013 nop +800068a8: 00000013 nop +800068ac: 00000013 nop +800068b0: 00000013 nop +800068b4: 00000013 nop +800068b8: 00000013 nop +800068bc: 00000013 nop +800068c0: 00000013 nop +800068c4: 00000013 nop +800068c8: 00000013 nop +800068cc: 00000013 nop +800068d0: 00000013 nop +800068d4: 00000013 nop +800068d8: 00000013 nop +800068dc: 00000013 nop +800068e0: 00000013 nop +800068e4: 00000013 nop +800068e8: 00000013 nop +800068ec: 00000013 nop +800068f0: 00000013 nop +800068f4: 00000013 nop +800068f8: 00000013 nop +800068fc: 00000013 nop +80006900: 00000013 nop +80006904: 00000013 nop +80006908: 00000013 nop +8000690c: 00000013 nop +80006910: 00000013 nop +80006914: 00000013 nop +80006918: 00000013 nop +8000691c: 00000013 nop +80006920: 00000013 nop +80006924: 00000013 nop +80006928: 00000013 nop +8000692c: 00000013 nop +80006930: 00000013 nop +80006934: 00000013 nop +80006938: 00000013 nop +8000693c: 00000013 nop +80006940: 00000013 nop +80006944: 00000013 nop +80006948: 00000013 nop +8000694c: 00000013 nop +80006950: 00000013 nop +80006954: 00000013 nop +80006958: 00000013 nop +8000695c: 00000013 nop +80006960: 00000013 nop +80006964: 00000013 nop +80006968: 00000013 nop +8000696c: 00000013 nop +80006970: 00000013 nop +80006974: 00000013 nop +80006978: 00000013 nop +8000697c: 00000013 nop +80006980: 00000013 nop +80006984: 00000013 nop +80006988: 00000013 nop +8000698c: 00000013 nop +80006990: 00000013 nop +80006994: 00000013 nop +80006998: 00000013 nop +8000699c: 00000013 nop +800069a0: 00000013 nop +800069a4: 00000013 nop +800069a8: 00000013 nop +800069ac: 00000013 nop +800069b0: 00000013 nop +800069b4: 00000013 nop +800069b8: 00000013 nop +800069bc: 00000013 nop +800069c0: 00000013 nop +800069c4: 00000013 nop +800069c8: 00000013 nop +800069cc: 00000013 nop +800069d0: 00000013 nop +800069d4: 00000013 nop +800069d8: 00000013 nop +800069dc: 00000013 nop +800069e0: 00000013 nop +800069e4: 00000013 nop +800069e8: 00000013 nop +800069ec: 00000013 nop +800069f0: 00000013 nop +800069f4: 00000013 nop +800069f8: 00000013 nop +800069fc: 00000013 nop +80006a00: 00000013 nop +80006a04: 00000013 nop +80006a08: 00000013 nop +80006a0c: 00000013 nop +80006a10: 00000013 nop +80006a14: 00000013 nop +80006a18: 00000013 nop +80006a1c: 00000013 nop +80006a20: 00000013 nop +80006a24: 00000013 nop +80006a28: 00000013 nop +80006a2c: 00000013 nop +80006a30: 00000013 nop +80006a34: 00000013 nop +80006a38: 00000013 nop +80006a3c: 00000013 nop +80006a40: 00000013 nop +80006a44: 00000013 nop +80006a48: 00000013 nop +80006a4c: 00000013 nop +80006a50: 00000013 nop +80006a54: 00000013 nop +80006a58: 00000013 nop +80006a5c: 00000013 nop +80006a60: 00000013 nop +80006a64: 00000013 nop +80006a68: 00000013 nop +80006a6c: 00000013 nop +80006a70: 00000013 nop +80006a74: 00000013 nop +80006a78: 00000013 nop +80006a7c: 00000013 nop +80006a80: 00000013 nop +80006a84: 00000013 nop +80006a88: 00000013 nop +80006a8c: 00000013 nop +80006a90: 00000013 nop +80006a94: 00000013 nop +80006a98: 00000013 nop +80006a9c: 00000013 nop +80006aa0: 00000013 nop +80006aa4: 00000013 nop +80006aa8: 00000013 nop +80006aac: 00000013 nop +80006ab0: 00000013 nop +80006ab4: 00000013 nop +80006ab8: 00000013 nop +80006abc: 00000013 nop +80006ac0: 00000013 nop +80006ac4: 00000013 nop +80006ac8: 00000013 nop +80006acc: 00000013 nop +80006ad0: 00000013 nop +80006ad4: 00000013 nop +80006ad8: 00000013 nop +80006adc: 00000013 nop +80006ae0: 00000013 nop +80006ae4: 00000013 nop +80006ae8: 00000013 nop +80006aec: 00000013 nop +80006af0: 00000013 nop +80006af4: 00000013 nop +80006af8: 00000013 nop +80006afc: 00000013 nop +80006b00: 00000013 nop +80006b04: 00000013 nop +80006b08: 00000013 nop +80006b0c: 00000013 nop +80006b10: 00000013 nop +80006b14: 00000013 nop +80006b18: 00000013 nop +80006b1c: 00000013 nop +80006b20: 00000013 nop +80006b24: 00000013 nop +80006b28: 00000013 nop +80006b2c: 00000013 nop +80006b30: 00000013 nop +80006b34: 00000013 nop +80006b38: 00000013 nop +80006b3c: 00000013 nop +80006b40: 00000013 nop +80006b44: 00000013 nop +80006b48: 00000013 nop +80006b4c: 00000013 nop +80006b50: 00000013 nop +80006b54: 00000013 nop +80006b58: 00000013 nop +80006b5c: 00000013 nop +80006b60: 00000013 nop +80006b64: 00000013 nop +80006b68: 00000013 nop +80006b6c: 00000013 nop +80006b70: 00000013 nop +80006b74: 00000013 nop +80006b78: 00000013 nop +80006b7c: 00000013 nop +80006b80: 00000013 nop +80006b84: 00000013 nop +80006b88: 00000013 nop +80006b8c: 00000013 nop +80006b90: 00000013 nop +80006b94: 00000013 nop +80006b98: 00000013 nop +80006b9c: 00000013 nop +80006ba0: 00000013 nop +80006ba4: 00000013 nop +80006ba8: 00000013 nop +80006bac: 00000013 nop +80006bb0: 00000013 nop +80006bb4: 00000013 nop +80006bb8: 00000013 nop +80006bbc: 00000013 nop +80006bc0: 00000013 nop +80006bc4: 00000013 nop +80006bc8: 00000013 nop +80006bcc: 00000013 nop +80006bd0: 00000013 nop +80006bd4: 00000013 nop +80006bd8: 00000013 nop +80006bdc: 00000013 nop +80006be0: 00000013 nop +80006be4: 00000013 nop +80006be8: 00000013 nop +80006bec: 00000013 nop +80006bf0: 00000013 nop +80006bf4: 00000013 nop +80006bf8: 00000013 nop +80006bfc: 00000013 nop +80006c00: 00000013 nop +80006c04: 00000013 nop +80006c08: 00000013 nop +80006c0c: 00000013 nop +80006c10: 00000013 nop +80006c14: 00000013 nop +80006c18: 00000013 nop +80006c1c: 00000013 nop +80006c20: 00000013 nop +80006c24: 00000013 nop +80006c28: 00000013 nop +80006c2c: 00000013 nop +80006c30: 00000013 nop +80006c34: 00000013 nop +80006c38: 00000013 nop +80006c3c: 00000013 nop +80006c40: 00000013 nop +80006c44: 00000013 nop +80006c48: 00000013 nop +80006c4c: 00000013 nop +80006c50: 00000013 nop +80006c54: 00000013 nop +80006c58: 00000013 nop +80006c5c: 00000013 nop +80006c60: 00000013 nop +80006c64: 00000013 nop +80006c68: 00000013 nop +80006c6c: 00000013 nop +80006c70: 00000013 nop +80006c74: 00000013 nop +80006c78: 00000013 nop +80006c7c: 00000013 nop +80006c80: 00000013 nop +80006c84: 00000013 nop +80006c88: 00000013 nop +80006c8c: 00000013 nop +80006c90: 00000013 nop +80006c94: 00000013 nop +80006c98: 00000013 nop +80006c9c: 00000013 nop +80006ca0: 00000013 nop +80006ca4: 00000013 nop +80006ca8: 00000013 nop +80006cac: 00000013 nop +80006cb0: 00000013 nop +80006cb4: 00000013 nop +80006cb8: 00000013 nop +80006cbc: 00000013 nop +80006cc0: 00000013 nop +80006cc4: 00000013 nop +80006cc8: 00000013 nop +80006ccc: 00000013 nop +80006cd0: 00000013 nop +80006cd4: 00000013 nop +80006cd8: 00000013 nop +80006cdc: 00000013 nop +80006ce0: 00000013 nop +80006ce4: 00000013 nop +80006ce8: 00000013 nop +80006cec: 00000013 nop +80006cf0: 00000013 nop +80006cf4: 00000013 nop +80006cf8: 00000013 nop +80006cfc: 00000013 nop +80006d00: 00000013 nop +80006d04: 00000013 nop +80006d08: 00000013 nop +80006d0c: 00000013 nop +80006d10: 00000013 nop +80006d14: 00000013 nop +80006d18: 00000013 nop +80006d1c: 00000013 nop +80006d20: 00000013 nop +80006d24: 00000013 nop +80006d28: 00000013 nop +80006d2c: 00000013 nop +80006d30: 00000013 nop +80006d34: 00000013 nop +80006d38: 00000013 nop +80006d3c: 00000013 nop +80006d40: 00000013 nop +80006d44: 00000013 nop +80006d48: 00000013 nop +80006d4c: 00000013 nop +80006d50: 00000013 nop +80006d54: 00000013 nop +80006d58: 00000013 nop +80006d5c: 00000013 nop +80006d60: 00000013 nop +80006d64: 00000013 nop +80006d68: 00000013 nop +80006d6c: 00000013 nop +80006d70: 00000013 nop +80006d74: 00000013 nop +80006d78: 00000013 nop +80006d7c: 00000013 nop +80006d80: 00000013 nop +80006d84: 00000013 nop +80006d88: 00000013 nop +80006d8c: 00000013 nop +80006d90: 00000013 nop +80006d94: 00000013 nop +80006d98: 00000013 nop +80006d9c: 00000013 nop +80006da0: 00000013 nop +80006da4: 00000013 nop +80006da8: 00000013 nop +80006dac: 00000013 nop +80006db0: 00000013 nop +80006db4: 00000013 nop +80006db8: 00000013 nop +80006dbc: 00000013 nop +80006dc0: 00000013 nop +80006dc4: 00000013 nop +80006dc8: 00000013 nop +80006dcc: 00000013 nop +80006dd0: 00000013 nop +80006dd4: 00000013 nop +80006dd8: 00000013 nop +80006ddc: 00000013 nop +80006de0: 00000013 nop +80006de4: 00000013 nop +80006de8: 00000013 nop +80006dec: 00000013 nop +80006df0: 00000013 nop +80006df4: 00000013 nop +80006df8: 00000013 nop +80006dfc: 00000013 nop +80006e00: 00000013 nop +80006e04: 00000013 nop +80006e08: 00000013 nop +80006e0c: 00000013 nop +80006e10: 00000013 nop +80006e14: 00000013 nop +80006e18: 00000013 nop +80006e1c: 00000013 nop +80006e20: 00000013 nop +80006e24: 00000013 nop +80006e28: 00000013 nop +80006e2c: 00000013 nop +80006e30: 00000013 nop +80006e34: 00000013 nop +80006e38: 00000013 nop +80006e3c: 00000013 nop +80006e40: 00000013 nop +80006e44: 00000013 nop +80006e48: 00000013 nop +80006e4c: 00000013 nop +80006e50: 00000013 nop +80006e54: 00000013 nop +80006e58: 00000013 nop +80006e5c: 00000013 nop +80006e60: 00000013 nop +80006e64: 00000013 nop +80006e68: 00000013 nop +80006e6c: 00000013 nop +80006e70: 00000013 nop +80006e74: 00000013 nop +80006e78: 00000013 nop +80006e7c: 00000013 nop +80006e80: 00000013 nop +80006e84: 00000013 nop +80006e88: 00000013 nop +80006e8c: 00000013 nop +80006e90: 00000013 nop +80006e94: 00000013 nop +80006e98: 00000013 nop +80006e9c: 00000013 nop +80006ea0: 00000013 nop +80006ea4: 00000013 nop +80006ea8: 00000013 nop +80006eac: 00000013 nop +80006eb0: 00000013 nop +80006eb4: 00000013 nop +80006eb8: 00000013 nop +80006ebc: 00000013 nop +80006ec0: 00000013 nop +80006ec4: 00000013 nop +80006ec8: 00000013 nop +80006ecc: 00000013 nop +80006ed0: 00000013 nop +80006ed4: 00000013 nop +80006ed8: 00000013 nop +80006edc: 00000013 nop +80006ee0: 00000013 nop +80006ee4: 00000013 nop +80006ee8: 00000013 nop +80006eec: 00000013 nop +80006ef0: 00000013 nop +80006ef4: 00000013 nop +80006ef8: 00000013 nop +80006efc: 00000013 nop +80006f00: 00000013 nop +80006f04: 00000013 nop +80006f08: 00000013 nop +80006f0c: 00000013 nop +80006f10: 00000013 nop +80006f14: 00000013 nop +80006f18: 00000013 nop +80006f1c: 00000013 nop +80006f20: 00000013 nop +80006f24: 00000013 nop +80006f28: 00000013 nop +80006f2c: 00000013 nop +80006f30: 00000013 nop +80006f34: 00000013 nop +80006f38: 00000013 nop +80006f3c: 00000013 nop +80006f40: 00000013 nop +80006f44: 00000013 nop +80006f48: 00000013 nop +80006f4c: 00000013 nop +80006f50: 00000013 nop +80006f54: 00000013 nop +80006f58: 00000013 nop +80006f5c: 00000013 nop +80006f60: 00000013 nop +80006f64: 00000013 nop +80006f68: 00000013 nop +80006f6c: 00000013 nop +80006f70: 00000013 nop +80006f74: 00000013 nop +80006f78: 00000013 nop +80006f7c: 00000013 nop +80006f80: 00000013 nop +80006f84: 00000013 nop +80006f88: 00000013 nop +80006f8c: 00000013 nop +80006f90: 00000013 nop +80006f94: 00000013 nop +80006f98: 00000013 nop +80006f9c: 00000013 nop +80006fa0: 00000013 nop +80006fa4: 00000013 nop +80006fa8: 00000013 nop +80006fac: 00000013 nop +80006fb0: 00000013 nop +80006fb4: 00000013 nop +80006fb8: 00000013 nop +80006fbc: 00000013 nop +80006fc0: 00000013 nop +80006fc4: 00000013 nop +80006fc8: 00000013 nop +80006fcc: 00000013 nop +80006fd0: 00000013 nop +80006fd4: 00000013 nop +80006fd8: 00000013 nop +80006fdc: 00000013 nop +80006fe0: 00000013 nop +80006fe4: 00000013 nop +80006fe8: 00000013 nop +80006fec: 00000013 nop +80006ff0: 00000013 nop +80006ff4: 00000013 nop +80006ff8: 00000013 nop +80006ffc: 00000013 nop + +80007000 : +80007000: 2120 fld fs0,64(a0) +80007002: 2322 fld ft6,8(sp) +80007004: 2524 fld fs1,72(a0) +80007006: 2726 fld fa4,72(sp) +80007008: 2928 fld fa0,80(a0) +8000700a: 2b2a fld fs6,136(sp) +8000700c: 2d2c fld fa1,88(a0) +8000700e: 2f2e fld ft10,200(sp) +80007010: 00000013 nop +80007014: 00000013 nop +80007018: 00000013 nop +8000701c: 00000013 nop +80007020: 00000013 nop +80007024: 00000013 nop +80007028: 00000013 nop +8000702c: 00000013 nop +80007030: 00000013 nop +80007034: 00000013 nop +80007038: 00000013 nop +8000703c: 00000013 nop +80007040: 00000013 nop +80007044: 00000013 nop +80007048: 00000013 nop +8000704c: 00000013 nop +80007050: 00000013 nop +80007054: 00000013 nop +80007058: 00000013 nop +8000705c: 00000013 nop +80007060: 00000013 nop +80007064: 00000013 nop +80007068: 00000013 nop +8000706c: 00000013 nop +80007070: 00000013 nop +80007074: 00000013 nop +80007078: 00000013 nop +8000707c: 00000013 nop +80007080: 00000013 nop +80007084: 00000013 nop +80007088: 00000013 nop +8000708c: 00000013 nop +80007090: 00000013 nop +80007094: 00000013 nop +80007098: 00000013 nop +8000709c: 00000013 nop +800070a0: 00000013 nop +800070a4: 00000013 nop +800070a8: 00000013 nop +800070ac: 00000013 nop +800070b0: 00000013 nop +800070b4: 00000013 nop +800070b8: 00000013 nop +800070bc: 00000013 nop +800070c0: 00000013 nop +800070c4: 00000013 nop +800070c8: 00000013 nop +800070cc: 00000013 nop +800070d0: 00000013 nop +800070d4: 00000013 nop +800070d8: 00000013 nop +800070dc: 00000013 nop +800070e0: 00000013 nop +800070e4: 00000013 nop +800070e8: 00000013 nop +800070ec: 00000013 nop +800070f0: 00000013 nop +800070f4: 00000013 nop +800070f8: 00000013 nop +800070fc: 00000013 nop +80007100: 00000013 nop +80007104: 00000013 nop +80007108: 00000013 nop +8000710c: 00000013 nop +80007110: 00000013 nop +80007114: 00000013 nop +80007118: 00000013 nop +8000711c: 00000013 nop +80007120: 00000013 nop +80007124: 00000013 nop +80007128: 00000013 nop +8000712c: 00000013 nop +80007130: 00000013 nop +80007134: 00000013 nop +80007138: 00000013 nop +8000713c: 00000013 nop +80007140: 00000013 nop +80007144: 00000013 nop +80007148: 00000013 nop +8000714c: 00000013 nop +80007150: 00000013 nop +80007154: 00000013 nop +80007158: 00000013 nop +8000715c: 00000013 nop +80007160: 00000013 nop +80007164: 00000013 nop +80007168: 00000013 nop +8000716c: 00000013 nop +80007170: 00000013 nop +80007174: 00000013 nop +80007178: 00000013 nop +8000717c: 00000013 nop +80007180: 00000013 nop +80007184: 00000013 nop +80007188: 00000013 nop +8000718c: 00000013 nop +80007190: 00000013 nop +80007194: 00000013 nop +80007198: 00000013 nop +8000719c: 00000013 nop +800071a0: 00000013 nop +800071a4: 00000013 nop +800071a8: 00000013 nop +800071ac: 00000013 nop +800071b0: 00000013 nop +800071b4: 00000013 nop +800071b8: 00000013 nop +800071bc: 00000013 nop +800071c0: 00000013 nop +800071c4: 00000013 nop +800071c8: 00000013 nop +800071cc: 00000013 nop +800071d0: 00000013 nop +800071d4: 00000013 nop +800071d8: 00000013 nop +800071dc: 00000013 nop +800071e0: 00000013 nop +800071e4: 00000013 nop +800071e8: 00000013 nop +800071ec: 00000013 nop +800071f0: 00000013 nop +800071f4: 00000013 nop +800071f8: 00000013 nop +800071fc: 00000013 nop +80007200: 00000013 nop +80007204: 00000013 nop +80007208: 00000013 nop +8000720c: 00000013 nop +80007210: 00000013 nop +80007214: 00000013 nop +80007218: 00000013 nop +8000721c: 00000013 nop +80007220: 00000013 nop +80007224: 00000013 nop +80007228: 00000013 nop +8000722c: 00000013 nop +80007230: 00000013 nop +80007234: 00000013 nop +80007238: 00000013 nop +8000723c: 00000013 nop +80007240: 00000013 nop +80007244: 00000013 nop +80007248: 00000013 nop +8000724c: 00000013 nop +80007250: 00000013 nop +80007254: 00000013 nop +80007258: 00000013 nop +8000725c: 00000013 nop +80007260: 00000013 nop +80007264: 00000013 nop +80007268: 00000013 nop +8000726c: 00000013 nop +80007270: 00000013 nop +80007274: 00000013 nop +80007278: 00000013 nop +8000727c: 00000013 nop +80007280: 00000013 nop +80007284: 00000013 nop +80007288: 00000013 nop +8000728c: 00000013 nop +80007290: 00000013 nop +80007294: 00000013 nop +80007298: 00000013 nop +8000729c: 00000013 nop +800072a0: 00000013 nop +800072a4: 00000013 nop +800072a8: 00000013 nop +800072ac: 00000013 nop +800072b0: 00000013 nop +800072b4: 00000013 nop +800072b8: 00000013 nop +800072bc: 00000013 nop +800072c0: 00000013 nop +800072c4: 00000013 nop +800072c8: 00000013 nop +800072cc: 00000013 nop +800072d0: 00000013 nop +800072d4: 00000013 nop +800072d8: 00000013 nop +800072dc: 00000013 nop +800072e0: 00000013 nop +800072e4: 00000013 nop +800072e8: 00000013 nop +800072ec: 00000013 nop +800072f0: 00000013 nop +800072f4: 00000013 nop +800072f8: 00000013 nop +800072fc: 00000013 nop +80007300: 00000013 nop +80007304: 00000013 nop +80007308: 00000013 nop +8000730c: 00000013 nop +80007310: 00000013 nop +80007314: 00000013 nop +80007318: 00000013 nop +8000731c: 00000013 nop +80007320: 00000013 nop +80007324: 00000013 nop +80007328: 00000013 nop +8000732c: 00000013 nop +80007330: 00000013 nop +80007334: 00000013 nop +80007338: 00000013 nop +8000733c: 00000013 nop +80007340: 00000013 nop +80007344: 00000013 nop +80007348: 00000013 nop +8000734c: 00000013 nop +80007350: 00000013 nop +80007354: 00000013 nop +80007358: 00000013 nop +8000735c: 00000013 nop +80007360: 00000013 nop +80007364: 00000013 nop +80007368: 00000013 nop +8000736c: 00000013 nop +80007370: 00000013 nop +80007374: 00000013 nop +80007378: 00000013 nop +8000737c: 00000013 nop +80007380: 00000013 nop +80007384: 00000013 nop +80007388: 00000013 nop +8000738c: 00000013 nop +80007390: 00000013 nop +80007394: 00000013 nop +80007398: 00000013 nop +8000739c: 00000013 nop +800073a0: 00000013 nop +800073a4: 00000013 nop +800073a8: 00000013 nop +800073ac: 00000013 nop +800073b0: 00000013 nop +800073b4: 00000013 nop +800073b8: 00000013 nop +800073bc: 00000013 nop +800073c0: 00000013 nop +800073c4: 00000013 nop +800073c8: 00000013 nop +800073cc: 00000013 nop +800073d0: 00000013 nop +800073d4: 00000013 nop +800073d8: 00000013 nop +800073dc: 00000013 nop +800073e0: 00000013 nop +800073e4: 00000013 nop +800073e8: 00000013 nop +800073ec: 00000013 nop +800073f0: 00000013 nop +800073f4: 00000013 nop +800073f8: 00000013 nop +800073fc: 00000013 nop +80007400: 00000013 nop +80007404: 00000013 nop +80007408: 00000013 nop +8000740c: 00000013 nop +80007410: 00000013 nop +80007414: 00000013 nop +80007418: 00000013 nop +8000741c: 00000013 nop +80007420: 00000013 nop +80007424: 00000013 nop +80007428: 00000013 nop +8000742c: 00000013 nop +80007430: 00000013 nop +80007434: 00000013 nop +80007438: 00000013 nop +8000743c: 00000013 nop +80007440: 00000013 nop +80007444: 00000013 nop +80007448: 00000013 nop +8000744c: 00000013 nop +80007450: 00000013 nop +80007454: 00000013 nop +80007458: 00000013 nop +8000745c: 00000013 nop +80007460: 00000013 nop +80007464: 00000013 nop +80007468: 00000013 nop +8000746c: 00000013 nop +80007470: 00000013 nop +80007474: 00000013 nop +80007478: 00000013 nop +8000747c: 00000013 nop +80007480: 00000013 nop +80007484: 00000013 nop +80007488: 00000013 nop +8000748c: 00000013 nop +80007490: 00000013 nop +80007494: 00000013 nop +80007498: 00000013 nop +8000749c: 00000013 nop +800074a0: 00000013 nop +800074a4: 00000013 nop +800074a8: 00000013 nop +800074ac: 00000013 nop +800074b0: 00000013 nop +800074b4: 00000013 nop +800074b8: 00000013 nop +800074bc: 00000013 nop +800074c0: 00000013 nop +800074c4: 00000013 nop +800074c8: 00000013 nop +800074cc: 00000013 nop +800074d0: 00000013 nop +800074d4: 00000013 nop +800074d8: 00000013 nop +800074dc: 00000013 nop +800074e0: 00000013 nop +800074e4: 00000013 nop +800074e8: 00000013 nop +800074ec: 00000013 nop +800074f0: 00000013 nop +800074f4: 00000013 nop +800074f8: 00000013 nop +800074fc: 00000013 nop +80007500: 00000013 nop +80007504: 00000013 nop +80007508: 00000013 nop +8000750c: 00000013 nop +80007510: 00000013 nop +80007514: 00000013 nop +80007518: 00000013 nop +8000751c: 00000013 nop +80007520: 00000013 nop +80007524: 00000013 nop +80007528: 00000013 nop +8000752c: 00000013 nop +80007530: 00000013 nop +80007534: 00000013 nop +80007538: 00000013 nop +8000753c: 00000013 nop +80007540: 00000013 nop +80007544: 00000013 nop +80007548: 00000013 nop +8000754c: 00000013 nop +80007550: 00000013 nop +80007554: 00000013 nop +80007558: 00000013 nop +8000755c: 00000013 nop +80007560: 00000013 nop +80007564: 00000013 nop +80007568: 00000013 nop +8000756c: 00000013 nop +80007570: 00000013 nop +80007574: 00000013 nop +80007578: 00000013 nop +8000757c: 00000013 nop +80007580: 00000013 nop +80007584: 00000013 nop +80007588: 00000013 nop +8000758c: 00000013 nop +80007590: 00000013 nop +80007594: 00000013 nop +80007598: 00000013 nop +8000759c: 00000013 nop +800075a0: 00000013 nop +800075a4: 00000013 nop +800075a8: 00000013 nop +800075ac: 00000013 nop +800075b0: 00000013 nop +800075b4: 00000013 nop +800075b8: 00000013 nop +800075bc: 00000013 nop +800075c0: 00000013 nop +800075c4: 00000013 nop +800075c8: 00000013 nop +800075cc: 00000013 nop +800075d0: 00000013 nop +800075d4: 00000013 nop +800075d8: 00000013 nop +800075dc: 00000013 nop +800075e0: 00000013 nop +800075e4: 00000013 nop +800075e8: 00000013 nop +800075ec: 00000013 nop +800075f0: 00000013 nop +800075f4: 00000013 nop +800075f8: 00000013 nop +800075fc: 00000013 nop +80007600: 00000013 nop +80007604: 00000013 nop +80007608: 00000013 nop +8000760c: 00000013 nop +80007610: 00000013 nop +80007614: 00000013 nop +80007618: 00000013 nop +8000761c: 00000013 nop +80007620: 00000013 nop +80007624: 00000013 nop +80007628: 00000013 nop +8000762c: 00000013 nop +80007630: 00000013 nop +80007634: 00000013 nop +80007638: 00000013 nop +8000763c: 00000013 nop +80007640: 00000013 nop +80007644: 00000013 nop +80007648: 00000013 nop +8000764c: 00000013 nop +80007650: 00000013 nop +80007654: 00000013 nop +80007658: 00000013 nop +8000765c: 00000013 nop +80007660: 00000013 nop +80007664: 00000013 nop +80007668: 00000013 nop +8000766c: 00000013 nop +80007670: 00000013 nop +80007674: 00000013 nop +80007678: 00000013 nop +8000767c: 00000013 nop +80007680: 00000013 nop +80007684: 00000013 nop +80007688: 00000013 nop +8000768c: 00000013 nop +80007690: 00000013 nop +80007694: 00000013 nop +80007698: 00000013 nop +8000769c: 00000013 nop +800076a0: 00000013 nop +800076a4: 00000013 nop +800076a8: 00000013 nop +800076ac: 00000013 nop +800076b0: 00000013 nop +800076b4: 00000013 nop +800076b8: 00000013 nop +800076bc: 00000013 nop +800076c0: 00000013 nop +800076c4: 00000013 nop +800076c8: 00000013 nop +800076cc: 00000013 nop +800076d0: 00000013 nop +800076d4: 00000013 nop +800076d8: 00000013 nop +800076dc: 00000013 nop +800076e0: 00000013 nop +800076e4: 00000013 nop +800076e8: 00000013 nop +800076ec: 00000013 nop +800076f0: 00000013 nop +800076f4: 00000013 nop +800076f8: 00000013 nop +800076fc: 00000013 nop +80007700: 00000013 nop +80007704: 00000013 nop +80007708: 00000013 nop +8000770c: 00000013 nop +80007710: 00000013 nop +80007714: 00000013 nop +80007718: 00000013 nop +8000771c: 00000013 nop +80007720: 00000013 nop +80007724: 00000013 nop +80007728: 00000013 nop +8000772c: 00000013 nop +80007730: 00000013 nop +80007734: 00000013 nop +80007738: 00000013 nop +8000773c: 00000013 nop +80007740: 00000013 nop +80007744: 00000013 nop +80007748: 00000013 nop +8000774c: 00000013 nop +80007750: 00000013 nop +80007754: 00000013 nop +80007758: 00000013 nop +8000775c: 00000013 nop +80007760: 00000013 nop +80007764: 00000013 nop +80007768: 00000013 nop +8000776c: 00000013 nop +80007770: 00000013 nop +80007774: 00000013 nop +80007778: 00000013 nop +8000777c: 00000013 nop +80007780: 00000013 nop +80007784: 00000013 nop +80007788: 00000013 nop +8000778c: 00000013 nop +80007790: 00000013 nop +80007794: 00000013 nop +80007798: 00000013 nop +8000779c: 00000013 nop +800077a0: 00000013 nop +800077a4: 00000013 nop +800077a8: 00000013 nop +800077ac: 00000013 nop +800077b0: 00000013 nop +800077b4: 00000013 nop +800077b8: 00000013 nop +800077bc: 00000013 nop +800077c0: 00000013 nop +800077c4: 00000013 nop +800077c8: 00000013 nop +800077cc: 00000013 nop +800077d0: 00000013 nop +800077d4: 00000013 nop +800077d8: 00000013 nop +800077dc: 00000013 nop +800077e0: 00000013 nop +800077e4: 00000013 nop +800077e8: 00000013 nop +800077ec: 00000013 nop +800077f0: 00000013 nop +800077f4: 00000013 nop +800077f8: 00000013 nop +800077fc: 00000013 nop +80007800: 00000013 nop +80007804: 00000013 nop +80007808: 00000013 nop +8000780c: 00000013 nop +80007810: 00000013 nop +80007814: 00000013 nop +80007818: 00000013 nop +8000781c: 00000013 nop +80007820: 00000013 nop +80007824: 00000013 nop +80007828: 00000013 nop +8000782c: 00000013 nop +80007830: 00000013 nop +80007834: 00000013 nop +80007838: 00000013 nop +8000783c: 00000013 nop +80007840: 00000013 nop +80007844: 00000013 nop +80007848: 00000013 nop +8000784c: 00000013 nop +80007850: 00000013 nop +80007854: 00000013 nop +80007858: 00000013 nop +8000785c: 00000013 nop +80007860: 00000013 nop +80007864: 00000013 nop +80007868: 00000013 nop +8000786c: 00000013 nop +80007870: 00000013 nop +80007874: 00000013 nop +80007878: 00000013 nop +8000787c: 00000013 nop +80007880: 00000013 nop +80007884: 00000013 nop +80007888: 00000013 nop +8000788c: 00000013 nop +80007890: 00000013 nop +80007894: 00000013 nop +80007898: 00000013 nop +8000789c: 00000013 nop +800078a0: 00000013 nop +800078a4: 00000013 nop +800078a8: 00000013 nop +800078ac: 00000013 nop +800078b0: 00000013 nop +800078b4: 00000013 nop +800078b8: 00000013 nop +800078bc: 00000013 nop +800078c0: 00000013 nop +800078c4: 00000013 nop +800078c8: 00000013 nop +800078cc: 00000013 nop +800078d0: 00000013 nop +800078d4: 00000013 nop +800078d8: 00000013 nop +800078dc: 00000013 nop +800078e0: 00000013 nop +800078e4: 00000013 nop +800078e8: 00000013 nop +800078ec: 00000013 nop +800078f0: 00000013 nop +800078f4: 00000013 nop +800078f8: 00000013 nop +800078fc: 00000013 nop +80007900: 00000013 nop +80007904: 00000013 nop +80007908: 00000013 nop +8000790c: 00000013 nop +80007910: 00000013 nop +80007914: 00000013 nop +80007918: 00000013 nop +8000791c: 00000013 nop +80007920: 00000013 nop +80007924: 00000013 nop +80007928: 00000013 nop +8000792c: 00000013 nop +80007930: 00000013 nop +80007934: 00000013 nop +80007938: 00000013 nop +8000793c: 00000013 nop +80007940: 00000013 nop +80007944: 00000013 nop +80007948: 00000013 nop +8000794c: 00000013 nop +80007950: 00000013 nop +80007954: 00000013 nop +80007958: 00000013 nop +8000795c: 00000013 nop +80007960: 00000013 nop +80007964: 00000013 nop +80007968: 00000013 nop +8000796c: 00000013 nop +80007970: 00000013 nop +80007974: 00000013 nop +80007978: 00000013 nop +8000797c: 00000013 nop +80007980: 00000013 nop +80007984: 00000013 nop +80007988: 00000013 nop +8000798c: 00000013 nop +80007990: 00000013 nop +80007994: 00000013 nop +80007998: 00000013 nop +8000799c: 00000013 nop +800079a0: 00000013 nop +800079a4: 00000013 nop +800079a8: 00000013 nop +800079ac: 00000013 nop +800079b0: 00000013 nop +800079b4: 00000013 nop +800079b8: 00000013 nop +800079bc: 00000013 nop +800079c0: 00000013 nop +800079c4: 00000013 nop +800079c8: 00000013 nop +800079cc: 00000013 nop +800079d0: 00000013 nop +800079d4: 00000013 nop +800079d8: 00000013 nop +800079dc: 00000013 nop +800079e0: 00000013 nop +800079e4: 00000013 nop +800079e8: 00000013 nop +800079ec: 00000013 nop +800079f0: 00000013 nop +800079f4: 00000013 nop +800079f8: 00000013 nop +800079fc: 00000013 nop +80007a00: 00000013 nop +80007a04: 00000013 nop +80007a08: 00000013 nop +80007a0c: 00000013 nop +80007a10: 00000013 nop +80007a14: 00000013 nop +80007a18: 00000013 nop +80007a1c: 00000013 nop +80007a20: 00000013 nop +80007a24: 00000013 nop +80007a28: 00000013 nop +80007a2c: 00000013 nop +80007a30: 00000013 nop +80007a34: 00000013 nop +80007a38: 00000013 nop +80007a3c: 00000013 nop +80007a40: 00000013 nop +80007a44: 00000013 nop +80007a48: 00000013 nop +80007a4c: 00000013 nop +80007a50: 00000013 nop +80007a54: 00000013 nop +80007a58: 00000013 nop +80007a5c: 00000013 nop +80007a60: 00000013 nop +80007a64: 00000013 nop +80007a68: 00000013 nop +80007a6c: 00000013 nop +80007a70: 00000013 nop +80007a74: 00000013 nop +80007a78: 00000013 nop +80007a7c: 00000013 nop +80007a80: 00000013 nop +80007a84: 00000013 nop +80007a88: 00000013 nop +80007a8c: 00000013 nop +80007a90: 00000013 nop +80007a94: 00000013 nop +80007a98: 00000013 nop +80007a9c: 00000013 nop +80007aa0: 00000013 nop +80007aa4: 00000013 nop +80007aa8: 00000013 nop +80007aac: 00000013 nop +80007ab0: 00000013 nop +80007ab4: 00000013 nop +80007ab8: 00000013 nop +80007abc: 00000013 nop +80007ac0: 00000013 nop +80007ac4: 00000013 nop +80007ac8: 00000013 nop +80007acc: 00000013 nop +80007ad0: 00000013 nop +80007ad4: 00000013 nop +80007ad8: 00000013 nop +80007adc: 00000013 nop +80007ae0: 00000013 nop +80007ae4: 00000013 nop +80007ae8: 00000013 nop +80007aec: 00000013 nop +80007af0: 00000013 nop +80007af4: 00000013 nop +80007af8: 00000013 nop +80007afc: 00000013 nop +80007b00: 00000013 nop +80007b04: 00000013 nop +80007b08: 00000013 nop +80007b0c: 00000013 nop +80007b10: 00000013 nop +80007b14: 00000013 nop +80007b18: 00000013 nop +80007b1c: 00000013 nop +80007b20: 00000013 nop +80007b24: 00000013 nop +80007b28: 00000013 nop +80007b2c: 00000013 nop +80007b30: 00000013 nop +80007b34: 00000013 nop +80007b38: 00000013 nop +80007b3c: 00000013 nop +80007b40: 00000013 nop +80007b44: 00000013 nop +80007b48: 00000013 nop +80007b4c: 00000013 nop +80007b50: 00000013 nop +80007b54: 00000013 nop +80007b58: 00000013 nop +80007b5c: 00000013 nop +80007b60: 00000013 nop +80007b64: 00000013 nop +80007b68: 00000013 nop +80007b6c: 00000013 nop +80007b70: 00000013 nop +80007b74: 00000013 nop +80007b78: 00000013 nop +80007b7c: 00000013 nop +80007b80: 00000013 nop +80007b84: 00000013 nop +80007b88: 00000013 nop +80007b8c: 00000013 nop +80007b90: 00000013 nop +80007b94: 00000013 nop +80007b98: 00000013 nop +80007b9c: 00000013 nop +80007ba0: 00000013 nop +80007ba4: 00000013 nop +80007ba8: 00000013 nop +80007bac: 00000013 nop +80007bb0: 00000013 nop +80007bb4: 00000013 nop +80007bb8: 00000013 nop +80007bbc: 00000013 nop +80007bc0: 00000013 nop +80007bc4: 00000013 nop +80007bc8: 00000013 nop +80007bcc: 00000013 nop +80007bd0: 00000013 nop +80007bd4: 00000013 nop +80007bd8: 00000013 nop +80007bdc: 00000013 nop +80007be0: 00000013 nop +80007be4: 00000013 nop +80007be8: 00000013 nop +80007bec: 00000013 nop +80007bf0: 00000013 nop +80007bf4: 00000013 nop +80007bf8: 00000013 nop +80007bfc: 00000013 nop +80007c00: 00000013 nop +80007c04: 00000013 nop +80007c08: 00000013 nop +80007c0c: 00000013 nop +80007c10: 00000013 nop +80007c14: 00000013 nop +80007c18: 00000013 nop +80007c1c: 00000013 nop +80007c20: 00000013 nop +80007c24: 00000013 nop +80007c28: 00000013 nop +80007c2c: 00000013 nop +80007c30: 00000013 nop +80007c34: 00000013 nop +80007c38: 00000013 nop +80007c3c: 00000013 nop +80007c40: 00000013 nop +80007c44: 00000013 nop +80007c48: 00000013 nop +80007c4c: 00000013 nop +80007c50: 00000013 nop +80007c54: 00000013 nop +80007c58: 00000013 nop +80007c5c: 00000013 nop +80007c60: 00000013 nop +80007c64: 00000013 nop +80007c68: 00000013 nop +80007c6c: 00000013 nop +80007c70: 00000013 nop +80007c74: 00000013 nop +80007c78: 00000013 nop +80007c7c: 00000013 nop +80007c80: 00000013 nop +80007c84: 00000013 nop +80007c88: 00000013 nop +80007c8c: 00000013 nop +80007c90: 00000013 nop +80007c94: 00000013 nop +80007c98: 00000013 nop +80007c9c: 00000013 nop +80007ca0: 00000013 nop +80007ca4: 00000013 nop +80007ca8: 00000013 nop +80007cac: 00000013 nop +80007cb0: 00000013 nop +80007cb4: 00000013 nop +80007cb8: 00000013 nop +80007cbc: 00000013 nop +80007cc0: 00000013 nop +80007cc4: 00000013 nop +80007cc8: 00000013 nop +80007ccc: 00000013 nop +80007cd0: 00000013 nop +80007cd4: 00000013 nop +80007cd8: 00000013 nop +80007cdc: 00000013 nop +80007ce0: 00000013 nop +80007ce4: 00000013 nop +80007ce8: 00000013 nop +80007cec: 00000013 nop +80007cf0: 00000013 nop +80007cf4: 00000013 nop +80007cf8: 00000013 nop +80007cfc: 00000013 nop +80007d00: 00000013 nop +80007d04: 00000013 nop +80007d08: 00000013 nop +80007d0c: 00000013 nop +80007d10: 00000013 nop +80007d14: 00000013 nop +80007d18: 00000013 nop +80007d1c: 00000013 nop +80007d20: 00000013 nop +80007d24: 00000013 nop +80007d28: 00000013 nop +80007d2c: 00000013 nop +80007d30: 00000013 nop +80007d34: 00000013 nop +80007d38: 00000013 nop +80007d3c: 00000013 nop +80007d40: 00000013 nop +80007d44: 00000013 nop +80007d48: 00000013 nop +80007d4c: 00000013 nop +80007d50: 00000013 nop +80007d54: 00000013 nop +80007d58: 00000013 nop +80007d5c: 00000013 nop +80007d60: 00000013 nop +80007d64: 00000013 nop +80007d68: 00000013 nop +80007d6c: 00000013 nop +80007d70: 00000013 nop +80007d74: 00000013 nop +80007d78: 00000013 nop +80007d7c: 00000013 nop +80007d80: 00000013 nop +80007d84: 00000013 nop +80007d88: 00000013 nop +80007d8c: 00000013 nop +80007d90: 00000013 nop +80007d94: 00000013 nop +80007d98: 00000013 nop +80007d9c: 00000013 nop +80007da0: 00000013 nop +80007da4: 00000013 nop +80007da8: 00000013 nop +80007dac: 00000013 nop +80007db0: 00000013 nop +80007db4: 00000013 nop +80007db8: 00000013 nop +80007dbc: 00000013 nop +80007dc0: 00000013 nop +80007dc4: 00000013 nop +80007dc8: 00000013 nop +80007dcc: 00000013 nop +80007dd0: 00000013 nop +80007dd4: 00000013 nop +80007dd8: 00000013 nop +80007ddc: 00000013 nop +80007de0: 00000013 nop +80007de4: 00000013 nop +80007de8: 00000013 nop +80007dec: 00000013 nop +80007df0: 00000013 nop +80007df4: 00000013 nop +80007df8: 00000013 nop +80007dfc: 00000013 nop +80007e00: 00000013 nop +80007e04: 00000013 nop +80007e08: 00000013 nop +80007e0c: 00000013 nop +80007e10: 00000013 nop +80007e14: 00000013 nop +80007e18: 00000013 nop +80007e1c: 00000013 nop +80007e20: 00000013 nop +80007e24: 00000013 nop +80007e28: 00000013 nop +80007e2c: 00000013 nop +80007e30: 00000013 nop +80007e34: 00000013 nop +80007e38: 00000013 nop +80007e3c: 00000013 nop +80007e40: 00000013 nop +80007e44: 00000013 nop +80007e48: 00000013 nop +80007e4c: 00000013 nop +80007e50: 00000013 nop +80007e54: 00000013 nop +80007e58: 00000013 nop +80007e5c: 00000013 nop +80007e60: 00000013 nop +80007e64: 00000013 nop +80007e68: 00000013 nop +80007e6c: 00000013 nop +80007e70: 00000013 nop +80007e74: 00000013 nop +80007e78: 00000013 nop +80007e7c: 00000013 nop +80007e80: 00000013 nop +80007e84: 00000013 nop +80007e88: 00000013 nop +80007e8c: 00000013 nop +80007e90: 00000013 nop +80007e94: 00000013 nop +80007e98: 00000013 nop +80007e9c: 00000013 nop +80007ea0: 00000013 nop +80007ea4: 00000013 nop +80007ea8: 00000013 nop +80007eac: 00000013 nop +80007eb0: 00000013 nop +80007eb4: 00000013 nop +80007eb8: 00000013 nop +80007ebc: 00000013 nop +80007ec0: 00000013 nop +80007ec4: 00000013 nop +80007ec8: 00000013 nop +80007ecc: 00000013 nop +80007ed0: 00000013 nop +80007ed4: 00000013 nop +80007ed8: 00000013 nop +80007edc: 00000013 nop +80007ee0: 00000013 nop +80007ee4: 00000013 nop +80007ee8: 00000013 nop +80007eec: 00000013 nop +80007ef0: 00000013 nop +80007ef4: 00000013 nop +80007ef8: 00000013 nop +80007efc: 00000013 nop +80007f00: 00000013 nop +80007f04: 00000013 nop +80007f08: 00000013 nop +80007f0c: 00000013 nop +80007f10: 00000013 nop +80007f14: 00000013 nop +80007f18: 00000013 nop +80007f1c: 00000013 nop +80007f20: 00000013 nop +80007f24: 00000013 nop +80007f28: 00000013 nop +80007f2c: 00000013 nop +80007f30: 00000013 nop +80007f34: 00000013 nop +80007f38: 00000013 nop +80007f3c: 00000013 nop +80007f40: 00000013 nop +80007f44: 00000013 nop +80007f48: 00000013 nop +80007f4c: 00000013 nop +80007f50: 00000013 nop +80007f54: 00000013 nop +80007f58: 00000013 nop +80007f5c: 00000013 nop +80007f60: 00000013 nop +80007f64: 00000013 nop +80007f68: 00000013 nop +80007f6c: 00000013 nop +80007f70: 00000013 nop +80007f74: 00000013 nop +80007f78: 00000013 nop +80007f7c: 00000013 nop +80007f80: 00000013 nop +80007f84: 00000013 nop +80007f88: 00000013 nop +80007f8c: 00000013 nop +80007f90: 00000013 nop +80007f94: 00000013 nop +80007f98: 00000013 nop +80007f9c: 00000013 nop +80007fa0: 00000013 nop +80007fa4: 00000013 nop +80007fa8: 00000013 nop +80007fac: 00000013 nop +80007fb0: 00000013 nop +80007fb4: 00000013 nop +80007fb8: 00000013 nop +80007fbc: 00000013 nop +80007fc0: 00000013 nop +80007fc4: 00000013 nop +80007fc8: 00000013 nop +80007fcc: 00000013 nop +80007fd0: 00000013 nop +80007fd4: 00000013 nop +80007fd8: 00000013 nop +80007fdc: 00000013 nop +80007fe0: 00000013 nop +80007fe4: 00000013 nop +80007fe8: 00000013 nop +80007fec: 00000013 nop +80007ff0: 00000013 nop +80007ff4: 00000013 nop +80007ff8: 00000013 nop +80007ffc: 00000013 nop + +80008000 : +80008000: 3130 fld fa2,96(a0) +80008002: 3332 fld ft6,296(sp) +80008004: 3534 fld fa3,104(a0) +80008006: 3736 fld fa4,360(sp) +80008008: 3938 fld fa4,112(a0) +8000800a: 3b3a fld fs6,424(sp) +8000800c: 3d3c fld fa5,120(a0) +8000800e: 3f3e fld ft10,488(sp) +80008010: 00000013 nop +80008014: 00000013 nop +80008018: 00000013 nop +8000801c: 00000013 nop +80008020: 00000013 nop +80008024: 00000013 nop +80008028: 00000013 nop +8000802c: 00000013 nop +80008030: 00000013 nop +80008034: 00000013 nop +80008038: 00000013 nop +8000803c: 00000013 nop +80008040: 00000013 nop +80008044: 00000013 nop +80008048: 00000013 nop +8000804c: 00000013 nop +80008050: 00000013 nop +80008054: 00000013 nop +80008058: 00000013 nop +8000805c: 00000013 nop +80008060: 00000013 nop +80008064: 00000013 nop +80008068: 00000013 nop +8000806c: 00000013 nop +80008070: 00000013 nop +80008074: 00000013 nop +80008078: 00000013 nop +8000807c: 00000013 nop +80008080: 00000013 nop +80008084: 00000013 nop +80008088: 00000013 nop +8000808c: 00000013 nop +80008090: 00000013 nop +80008094: 00000013 nop +80008098: 00000013 nop +8000809c: 00000013 nop +800080a0: 00000013 nop +800080a4: 00000013 nop +800080a8: 00000013 nop +800080ac: 00000013 nop +800080b0: 00000013 nop +800080b4: 00000013 nop +800080b8: 00000013 nop +800080bc: 00000013 nop +800080c0: 00000013 nop +800080c4: 00000013 nop +800080c8: 00000013 nop +800080cc: 00000013 nop +800080d0: 00000013 nop +800080d4: 00000013 nop +800080d8: 00000013 nop +800080dc: 00000013 nop +800080e0: 00000013 nop +800080e4: 00000013 nop +800080e8: 00000013 nop +800080ec: 00000013 nop +800080f0: 00000013 nop +800080f4: 00000013 nop +800080f8: 00000013 nop +800080fc: 00000013 nop +80008100: 00000013 nop +80008104: 00000013 nop +80008108: 00000013 nop +8000810c: 00000013 nop +80008110: 00000013 nop +80008114: 00000013 nop +80008118: 00000013 nop +8000811c: 00000013 nop +80008120: 00000013 nop +80008124: 00000013 nop +80008128: 00000013 nop +8000812c: 00000013 nop +80008130: 00000013 nop +80008134: 00000013 nop +80008138: 00000013 nop +8000813c: 00000013 nop +80008140: 00000013 nop +80008144: 00000013 nop +80008148: 00000013 nop +8000814c: 00000013 nop +80008150: 00000013 nop +80008154: 00000013 nop +80008158: 00000013 nop +8000815c: 00000013 nop +80008160: 00000013 nop +80008164: 00000013 nop +80008168: 00000013 nop +8000816c: 00000013 nop +80008170: 00000013 nop +80008174: 00000013 nop +80008178: 00000013 nop +8000817c: 00000013 nop +80008180: 00000013 nop +80008184: 00000013 nop +80008188: 00000013 nop +8000818c: 00000013 nop +80008190: 00000013 nop +80008194: 00000013 nop +80008198: 00000013 nop +8000819c: 00000013 nop +800081a0: 00000013 nop +800081a4: 00000013 nop +800081a8: 00000013 nop +800081ac: 00000013 nop +800081b0: 00000013 nop +800081b4: 00000013 nop +800081b8: 00000013 nop +800081bc: 00000013 nop +800081c0: 00000013 nop +800081c4: 00000013 nop +800081c8: 00000013 nop +800081cc: 00000013 nop +800081d0: 00000013 nop +800081d4: 00000013 nop +800081d8: 00000013 nop +800081dc: 00000013 nop +800081e0: 00000013 nop +800081e4: 00000013 nop +800081e8: 00000013 nop +800081ec: 00000013 nop +800081f0: 00000013 nop +800081f4: 00000013 nop +800081f8: 00000013 nop +800081fc: 00000013 nop +80008200: 00000013 nop +80008204: 00000013 nop +80008208: 00000013 nop +8000820c: 00000013 nop +80008210: 00000013 nop +80008214: 00000013 nop +80008218: 00000013 nop +8000821c: 00000013 nop +80008220: 00000013 nop +80008224: 00000013 nop +80008228: 00000013 nop +8000822c: 00000013 nop +80008230: 00000013 nop +80008234: 00000013 nop +80008238: 00000013 nop +8000823c: 00000013 nop +80008240: 00000013 nop +80008244: 00000013 nop +80008248: 00000013 nop +8000824c: 00000013 nop +80008250: 00000013 nop +80008254: 00000013 nop +80008258: 00000013 nop +8000825c: 00000013 nop +80008260: 00000013 nop +80008264: 00000013 nop +80008268: 00000013 nop +8000826c: 00000013 nop +80008270: 00000013 nop +80008274: 00000013 nop +80008278: 00000013 nop +8000827c: 00000013 nop +80008280: 00000013 nop +80008284: 00000013 nop +80008288: 00000013 nop +8000828c: 00000013 nop +80008290: 00000013 nop +80008294: 00000013 nop +80008298: 00000013 nop +8000829c: 00000013 nop +800082a0: 00000013 nop +800082a4: 00000013 nop +800082a8: 00000013 nop +800082ac: 00000013 nop +800082b0: 00000013 nop +800082b4: 00000013 nop +800082b8: 00000013 nop +800082bc: 00000013 nop +800082c0: 00000013 nop +800082c4: 00000013 nop +800082c8: 00000013 nop +800082cc: 00000013 nop +800082d0: 00000013 nop +800082d4: 00000013 nop +800082d8: 00000013 nop +800082dc: 00000013 nop +800082e0: 00000013 nop +800082e4: 00000013 nop +800082e8: 00000013 nop +800082ec: 00000013 nop +800082f0: 00000013 nop +800082f4: 00000013 nop +800082f8: 00000013 nop +800082fc: 00000013 nop +80008300: 00000013 nop +80008304: 00000013 nop +80008308: 00000013 nop +8000830c: 00000013 nop +80008310: 00000013 nop +80008314: 00000013 nop +80008318: 00000013 nop +8000831c: 00000013 nop +80008320: 00000013 nop +80008324: 00000013 nop +80008328: 00000013 nop +8000832c: 00000013 nop +80008330: 00000013 nop +80008334: 00000013 nop +80008338: 00000013 nop +8000833c: 00000013 nop +80008340: 00000013 nop +80008344: 00000013 nop +80008348: 00000013 nop +8000834c: 00000013 nop +80008350: 00000013 nop +80008354: 00000013 nop +80008358: 00000013 nop +8000835c: 00000013 nop +80008360: 00000013 nop +80008364: 00000013 nop +80008368: 00000013 nop +8000836c: 00000013 nop +80008370: 00000013 nop +80008374: 00000013 nop +80008378: 00000013 nop +8000837c: 00000013 nop +80008380: 00000013 nop +80008384: 00000013 nop +80008388: 00000013 nop +8000838c: 00000013 nop +80008390: 00000013 nop +80008394: 00000013 nop +80008398: 00000013 nop +8000839c: 00000013 nop +800083a0: 00000013 nop +800083a4: 00000013 nop +800083a8: 00000013 nop +800083ac: 00000013 nop +800083b0: 00000013 nop +800083b4: 00000013 nop +800083b8: 00000013 nop +800083bc: 00000013 nop +800083c0: 00000013 nop +800083c4: 00000013 nop +800083c8: 00000013 nop +800083cc: 00000013 nop +800083d0: 00000013 nop +800083d4: 00000013 nop +800083d8: 00000013 nop +800083dc: 00000013 nop +800083e0: 00000013 nop +800083e4: 00000013 nop +800083e8: 00000013 nop +800083ec: 00000013 nop +800083f0: 00000013 nop +800083f4: 00000013 nop +800083f8: 00000013 nop +800083fc: 00000013 nop +80008400: 00000013 nop +80008404: 00000013 nop +80008408: 00000013 nop +8000840c: 00000013 nop +80008410: 00000013 nop +80008414: 00000013 nop +80008418: 00000013 nop +8000841c: 00000013 nop +80008420: 00000013 nop +80008424: 00000013 nop +80008428: 00000013 nop +8000842c: 00000013 nop +80008430: 00000013 nop +80008434: 00000013 nop +80008438: 00000013 nop +8000843c: 00000013 nop +80008440: 00000013 nop +80008444: 00000013 nop +80008448: 00000013 nop +8000844c: 00000013 nop +80008450: 00000013 nop +80008454: 00000013 nop +80008458: 00000013 nop +8000845c: 00000013 nop +80008460: 00000013 nop +80008464: 00000013 nop +80008468: 00000013 nop +8000846c: 00000013 nop +80008470: 00000013 nop +80008474: 00000013 nop +80008478: 00000013 nop +8000847c: 00000013 nop +80008480: 00000013 nop +80008484: 00000013 nop +80008488: 00000013 nop +8000848c: 00000013 nop +80008490: 00000013 nop +80008494: 00000013 nop +80008498: 00000013 nop +8000849c: 00000013 nop +800084a0: 00000013 nop +800084a4: 00000013 nop +800084a8: 00000013 nop +800084ac: 00000013 nop +800084b0: 00000013 nop +800084b4: 00000013 nop +800084b8: 00000013 nop +800084bc: 00000013 nop +800084c0: 00000013 nop +800084c4: 00000013 nop +800084c8: 00000013 nop +800084cc: 00000013 nop +800084d0: 00000013 nop +800084d4: 00000013 nop +800084d8: 00000013 nop +800084dc: 00000013 nop +800084e0: 00000013 nop +800084e4: 00000013 nop +800084e8: 00000013 nop +800084ec: 00000013 nop +800084f0: 00000013 nop +800084f4: 00000013 nop +800084f8: 00000013 nop +800084fc: 00000013 nop +80008500: 00000013 nop +80008504: 00000013 nop +80008508: 00000013 nop +8000850c: 00000013 nop +80008510: 00000013 nop +80008514: 00000013 nop +80008518: 00000013 nop +8000851c: 00000013 nop +80008520: 00000013 nop +80008524: 00000013 nop +80008528: 00000013 nop +8000852c: 00000013 nop +80008530: 00000013 nop +80008534: 00000013 nop +80008538: 00000013 nop +8000853c: 00000013 nop +80008540: 00000013 nop +80008544: 00000013 nop +80008548: 00000013 nop +8000854c: 00000013 nop +80008550: 00000013 nop +80008554: 00000013 nop +80008558: 00000013 nop +8000855c: 00000013 nop +80008560: 00000013 nop +80008564: 00000013 nop +80008568: 00000013 nop +8000856c: 00000013 nop +80008570: 00000013 nop +80008574: 00000013 nop +80008578: 00000013 nop +8000857c: 00000013 nop +80008580: 00000013 nop +80008584: 00000013 nop +80008588: 00000013 nop +8000858c: 00000013 nop +80008590: 00000013 nop +80008594: 00000013 nop +80008598: 00000013 nop +8000859c: 00000013 nop +800085a0: 00000013 nop +800085a4: 00000013 nop +800085a8: 00000013 nop +800085ac: 00000013 nop +800085b0: 00000013 nop +800085b4: 00000013 nop +800085b8: 00000013 nop +800085bc: 00000013 nop +800085c0: 00000013 nop +800085c4: 00000013 nop +800085c8: 00000013 nop +800085cc: 00000013 nop +800085d0: 00000013 nop +800085d4: 00000013 nop +800085d8: 00000013 nop +800085dc: 00000013 nop +800085e0: 00000013 nop +800085e4: 00000013 nop +800085e8: 00000013 nop +800085ec: 00000013 nop +800085f0: 00000013 nop +800085f4: 00000013 nop +800085f8: 00000013 nop +800085fc: 00000013 nop +80008600: 00000013 nop +80008604: 00000013 nop +80008608: 00000013 nop +8000860c: 00000013 nop +80008610: 00000013 nop +80008614: 00000013 nop +80008618: 00000013 nop +8000861c: 00000013 nop +80008620: 00000013 nop +80008624: 00000013 nop +80008628: 00000013 nop +8000862c: 00000013 nop +80008630: 00000013 nop +80008634: 00000013 nop +80008638: 00000013 nop +8000863c: 00000013 nop +80008640: 00000013 nop +80008644: 00000013 nop +80008648: 00000013 nop +8000864c: 00000013 nop +80008650: 00000013 nop +80008654: 00000013 nop +80008658: 00000013 nop +8000865c: 00000013 nop +80008660: 00000013 nop +80008664: 00000013 nop +80008668: 00000013 nop +8000866c: 00000013 nop +80008670: 00000013 nop +80008674: 00000013 nop +80008678: 00000013 nop +8000867c: 00000013 nop +80008680: 00000013 nop +80008684: 00000013 nop +80008688: 00000013 nop +8000868c: 00000013 nop +80008690: 00000013 nop +80008694: 00000013 nop +80008698: 00000013 nop +8000869c: 00000013 nop +800086a0: 00000013 nop +800086a4: 00000013 nop +800086a8: 00000013 nop +800086ac: 00000013 nop +800086b0: 00000013 nop +800086b4: 00000013 nop +800086b8: 00000013 nop +800086bc: 00000013 nop +800086c0: 00000013 nop +800086c4: 00000013 nop +800086c8: 00000013 nop +800086cc: 00000013 nop +800086d0: 00000013 nop +800086d4: 00000013 nop +800086d8: 00000013 nop +800086dc: 00000013 nop +800086e0: 00000013 nop +800086e4: 00000013 nop +800086e8: 00000013 nop +800086ec: 00000013 nop +800086f0: 00000013 nop +800086f4: 00000013 nop +800086f8: 00000013 nop +800086fc: 00000013 nop +80008700: 00000013 nop +80008704: 00000013 nop +80008708: 00000013 nop +8000870c: 00000013 nop +80008710: 00000013 nop +80008714: 00000013 nop +80008718: 00000013 nop +8000871c: 00000013 nop +80008720: 00000013 nop +80008724: 00000013 nop +80008728: 00000013 nop +8000872c: 00000013 nop +80008730: 00000013 nop +80008734: 00000013 nop +80008738: 00000013 nop +8000873c: 00000013 nop +80008740: 00000013 nop +80008744: 00000013 nop +80008748: 00000013 nop +8000874c: 00000013 nop +80008750: 00000013 nop +80008754: 00000013 nop +80008758: 00000013 nop +8000875c: 00000013 nop +80008760: 00000013 nop +80008764: 00000013 nop +80008768: 00000013 nop +8000876c: 00000013 nop +80008770: 00000013 nop +80008774: 00000013 nop +80008778: 00000013 nop +8000877c: 00000013 nop +80008780: 00000013 nop +80008784: 00000013 nop +80008788: 00000013 nop +8000878c: 00000013 nop +80008790: 00000013 nop +80008794: 00000013 nop +80008798: 00000013 nop +8000879c: 00000013 nop +800087a0: 00000013 nop +800087a4: 00000013 nop +800087a8: 00000013 nop +800087ac: 00000013 nop +800087b0: 00000013 nop +800087b4: 00000013 nop +800087b8: 00000013 nop +800087bc: 00000013 nop +800087c0: 00000013 nop +800087c4: 00000013 nop +800087c8: 00000013 nop +800087cc: 00000013 nop +800087d0: 00000013 nop +800087d4: 00000013 nop +800087d8: 00000013 nop +800087dc: 00000013 nop +800087e0: 00000013 nop +800087e4: 00000013 nop +800087e8: 00000013 nop +800087ec: 00000013 nop +800087f0: 00000013 nop +800087f4: 00000013 nop +800087f8: 00000013 nop +800087fc: 00000013 nop +80008800: 00000013 nop +80008804: 00000013 nop +80008808: 00000013 nop +8000880c: 00000013 nop +80008810: 00000013 nop +80008814: 00000013 nop +80008818: 00000013 nop +8000881c: 00000013 nop +80008820: 00000013 nop +80008824: 00000013 nop +80008828: 00000013 nop +8000882c: 00000013 nop +80008830: 00000013 nop +80008834: 00000013 nop +80008838: 00000013 nop +8000883c: 00000013 nop +80008840: 00000013 nop +80008844: 00000013 nop +80008848: 00000013 nop +8000884c: 00000013 nop +80008850: 00000013 nop +80008854: 00000013 nop +80008858: 00000013 nop +8000885c: 00000013 nop +80008860: 00000013 nop +80008864: 00000013 nop +80008868: 00000013 nop +8000886c: 00000013 nop +80008870: 00000013 nop +80008874: 00000013 nop +80008878: 00000013 nop +8000887c: 00000013 nop +80008880: 00000013 nop +80008884: 00000013 nop +80008888: 00000013 nop +8000888c: 00000013 nop +80008890: 00000013 nop +80008894: 00000013 nop +80008898: 00000013 nop +8000889c: 00000013 nop +800088a0: 00000013 nop +800088a4: 00000013 nop +800088a8: 00000013 nop +800088ac: 00000013 nop +800088b0: 00000013 nop +800088b4: 00000013 nop +800088b8: 00000013 nop +800088bc: 00000013 nop +800088c0: 00000013 nop +800088c4: 00000013 nop +800088c8: 00000013 nop +800088cc: 00000013 nop +800088d0: 00000013 nop +800088d4: 00000013 nop +800088d8: 00000013 nop +800088dc: 00000013 nop +800088e0: 00000013 nop +800088e4: 00000013 nop +800088e8: 00000013 nop +800088ec: 00000013 nop +800088f0: 00000013 nop +800088f4: 00000013 nop +800088f8: 00000013 nop +800088fc: 00000013 nop +80008900: 00000013 nop +80008904: 00000013 nop +80008908: 00000013 nop +8000890c: 00000013 nop +80008910: 00000013 nop +80008914: 00000013 nop +80008918: 00000013 nop +8000891c: 00000013 nop +80008920: 00000013 nop +80008924: 00000013 nop +80008928: 00000013 nop +8000892c: 00000013 nop +80008930: 00000013 nop +80008934: 00000013 nop +80008938: 00000013 nop +8000893c: 00000013 nop +80008940: 00000013 nop +80008944: 00000013 nop +80008948: 00000013 nop +8000894c: 00000013 nop +80008950: 00000013 nop +80008954: 00000013 nop +80008958: 00000013 nop +8000895c: 00000013 nop +80008960: 00000013 nop +80008964: 00000013 nop +80008968: 00000013 nop +8000896c: 00000013 nop +80008970: 00000013 nop +80008974: 00000013 nop +80008978: 00000013 nop +8000897c: 00000013 nop +80008980: 00000013 nop +80008984: 00000013 nop +80008988: 00000013 nop +8000898c: 00000013 nop +80008990: 00000013 nop +80008994: 00000013 nop +80008998: 00000013 nop +8000899c: 00000013 nop +800089a0: 00000013 nop +800089a4: 00000013 nop +800089a8: 00000013 nop +800089ac: 00000013 nop +800089b0: 00000013 nop +800089b4: 00000013 nop +800089b8: 00000013 nop +800089bc: 00000013 nop +800089c0: 00000013 nop +800089c4: 00000013 nop +800089c8: 00000013 nop +800089cc: 00000013 nop +800089d0: 00000013 nop +800089d4: 00000013 nop +800089d8: 00000013 nop +800089dc: 00000013 nop +800089e0: 00000013 nop +800089e4: 00000013 nop +800089e8: 00000013 nop +800089ec: 00000013 nop +800089f0: 00000013 nop +800089f4: 00000013 nop +800089f8: 00000013 nop +800089fc: 00000013 nop +80008a00: 00000013 nop +80008a04: 00000013 nop +80008a08: 00000013 nop +80008a0c: 00000013 nop +80008a10: 00000013 nop +80008a14: 00000013 nop +80008a18: 00000013 nop +80008a1c: 00000013 nop +80008a20: 00000013 nop +80008a24: 00000013 nop +80008a28: 00000013 nop +80008a2c: 00000013 nop +80008a30: 00000013 nop +80008a34: 00000013 nop +80008a38: 00000013 nop +80008a3c: 00000013 nop +80008a40: 00000013 nop +80008a44: 00000013 nop +80008a48: 00000013 nop +80008a4c: 00000013 nop +80008a50: 00000013 nop +80008a54: 00000013 nop +80008a58: 00000013 nop +80008a5c: 00000013 nop +80008a60: 00000013 nop +80008a64: 00000013 nop +80008a68: 00000013 nop +80008a6c: 00000013 nop +80008a70: 00000013 nop +80008a74: 00000013 nop +80008a78: 00000013 nop +80008a7c: 00000013 nop +80008a80: 00000013 nop +80008a84: 00000013 nop +80008a88: 00000013 nop +80008a8c: 00000013 nop +80008a90: 00000013 nop +80008a94: 00000013 nop +80008a98: 00000013 nop +80008a9c: 00000013 nop +80008aa0: 00000013 nop +80008aa4: 00000013 nop +80008aa8: 00000013 nop +80008aac: 00000013 nop +80008ab0: 00000013 nop +80008ab4: 00000013 nop +80008ab8: 00000013 nop +80008abc: 00000013 nop +80008ac0: 00000013 nop +80008ac4: 00000013 nop +80008ac8: 00000013 nop +80008acc: 00000013 nop +80008ad0: 00000013 nop +80008ad4: 00000013 nop +80008ad8: 00000013 nop +80008adc: 00000013 nop +80008ae0: 00000013 nop +80008ae4: 00000013 nop +80008ae8: 00000013 nop +80008aec: 00000013 nop +80008af0: 00000013 nop +80008af4: 00000013 nop +80008af8: 00000013 nop +80008afc: 00000013 nop +80008b00: 00000013 nop +80008b04: 00000013 nop +80008b08: 00000013 nop +80008b0c: 00000013 nop +80008b10: 00000013 nop +80008b14: 00000013 nop +80008b18: 00000013 nop +80008b1c: 00000013 nop +80008b20: 00000013 nop +80008b24: 00000013 nop +80008b28: 00000013 nop +80008b2c: 00000013 nop +80008b30: 00000013 nop +80008b34: 00000013 nop +80008b38: 00000013 nop +80008b3c: 00000013 nop +80008b40: 00000013 nop +80008b44: 00000013 nop +80008b48: 00000013 nop +80008b4c: 00000013 nop +80008b50: 00000013 nop +80008b54: 00000013 nop +80008b58: 00000013 nop +80008b5c: 00000013 nop +80008b60: 00000013 nop +80008b64: 00000013 nop +80008b68: 00000013 nop +80008b6c: 00000013 nop +80008b70: 00000013 nop +80008b74: 00000013 nop +80008b78: 00000013 nop +80008b7c: 00000013 nop +80008b80: 00000013 nop +80008b84: 00000013 nop +80008b88: 00000013 nop +80008b8c: 00000013 nop +80008b90: 00000013 nop +80008b94: 00000013 nop +80008b98: 00000013 nop +80008b9c: 00000013 nop +80008ba0: 00000013 nop +80008ba4: 00000013 nop +80008ba8: 00000013 nop +80008bac: 00000013 nop +80008bb0: 00000013 nop +80008bb4: 00000013 nop +80008bb8: 00000013 nop +80008bbc: 00000013 nop +80008bc0: 00000013 nop +80008bc4: 00000013 nop +80008bc8: 00000013 nop +80008bcc: 00000013 nop +80008bd0: 00000013 nop +80008bd4: 00000013 nop +80008bd8: 00000013 nop +80008bdc: 00000013 nop +80008be0: 00000013 nop +80008be4: 00000013 nop +80008be8: 00000013 nop +80008bec: 00000013 nop +80008bf0: 00000013 nop +80008bf4: 00000013 nop +80008bf8: 00000013 nop +80008bfc: 00000013 nop +80008c00: 00000013 nop +80008c04: 00000013 nop +80008c08: 00000013 nop +80008c0c: 00000013 nop +80008c10: 00000013 nop +80008c14: 00000013 nop +80008c18: 00000013 nop +80008c1c: 00000013 nop +80008c20: 00000013 nop +80008c24: 00000013 nop +80008c28: 00000013 nop +80008c2c: 00000013 nop +80008c30: 00000013 nop +80008c34: 00000013 nop +80008c38: 00000013 nop +80008c3c: 00000013 nop +80008c40: 00000013 nop +80008c44: 00000013 nop +80008c48: 00000013 nop +80008c4c: 00000013 nop +80008c50: 00000013 nop +80008c54: 00000013 nop +80008c58: 00000013 nop +80008c5c: 00000013 nop +80008c60: 00000013 nop +80008c64: 00000013 nop +80008c68: 00000013 nop +80008c6c: 00000013 nop +80008c70: 00000013 nop +80008c74: 00000013 nop +80008c78: 00000013 nop +80008c7c: 00000013 nop +80008c80: 00000013 nop +80008c84: 00000013 nop +80008c88: 00000013 nop +80008c8c: 00000013 nop +80008c90: 00000013 nop +80008c94: 00000013 nop +80008c98: 00000013 nop +80008c9c: 00000013 nop +80008ca0: 00000013 nop +80008ca4: 00000013 nop +80008ca8: 00000013 nop +80008cac: 00000013 nop +80008cb0: 00000013 nop +80008cb4: 00000013 nop +80008cb8: 00000013 nop +80008cbc: 00000013 nop +80008cc0: 00000013 nop +80008cc4: 00000013 nop +80008cc8: 00000013 nop +80008ccc: 00000013 nop +80008cd0: 00000013 nop +80008cd4: 00000013 nop +80008cd8: 00000013 nop +80008cdc: 00000013 nop +80008ce0: 00000013 nop +80008ce4: 00000013 nop +80008ce8: 00000013 nop +80008cec: 00000013 nop +80008cf0: 00000013 nop +80008cf4: 00000013 nop +80008cf8: 00000013 nop +80008cfc: 00000013 nop +80008d00: 00000013 nop +80008d04: 00000013 nop +80008d08: 00000013 nop +80008d0c: 00000013 nop +80008d10: 00000013 nop +80008d14: 00000013 nop +80008d18: 00000013 nop +80008d1c: 00000013 nop +80008d20: 00000013 nop +80008d24: 00000013 nop +80008d28: 00000013 nop +80008d2c: 00000013 nop +80008d30: 00000013 nop +80008d34: 00000013 nop +80008d38: 00000013 nop +80008d3c: 00000013 nop +80008d40: 00000013 nop +80008d44: 00000013 nop +80008d48: 00000013 nop +80008d4c: 00000013 nop +80008d50: 00000013 nop +80008d54: 00000013 nop +80008d58: 00000013 nop +80008d5c: 00000013 nop +80008d60: 00000013 nop +80008d64: 00000013 nop +80008d68: 00000013 nop +80008d6c: 00000013 nop +80008d70: 00000013 nop +80008d74: 00000013 nop +80008d78: 00000013 nop +80008d7c: 00000013 nop +80008d80: 00000013 nop +80008d84: 00000013 nop +80008d88: 00000013 nop +80008d8c: 00000013 nop +80008d90: 00000013 nop +80008d94: 00000013 nop +80008d98: 00000013 nop +80008d9c: 00000013 nop +80008da0: 00000013 nop +80008da4: 00000013 nop +80008da8: 00000013 nop +80008dac: 00000013 nop +80008db0: 00000013 nop +80008db4: 00000013 nop +80008db8: 00000013 nop +80008dbc: 00000013 nop +80008dc0: 00000013 nop +80008dc4: 00000013 nop +80008dc8: 00000013 nop +80008dcc: 00000013 nop +80008dd0: 00000013 nop +80008dd4: 00000013 nop +80008dd8: 00000013 nop +80008ddc: 00000013 nop +80008de0: 00000013 nop +80008de4: 00000013 nop +80008de8: 00000013 nop +80008dec: 00000013 nop +80008df0: 00000013 nop +80008df4: 00000013 nop +80008df8: 00000013 nop +80008dfc: 00000013 nop +80008e00: 00000013 nop +80008e04: 00000013 nop +80008e08: 00000013 nop +80008e0c: 00000013 nop +80008e10: 00000013 nop +80008e14: 00000013 nop +80008e18: 00000013 nop +80008e1c: 00000013 nop +80008e20: 00000013 nop +80008e24: 00000013 nop +80008e28: 00000013 nop +80008e2c: 00000013 nop +80008e30: 00000013 nop +80008e34: 00000013 nop +80008e38: 00000013 nop +80008e3c: 00000013 nop +80008e40: 00000013 nop +80008e44: 00000013 nop +80008e48: 00000013 nop +80008e4c: 00000013 nop +80008e50: 00000013 nop +80008e54: 00000013 nop +80008e58: 00000013 nop +80008e5c: 00000013 nop +80008e60: 00000013 nop +80008e64: 00000013 nop +80008e68: 00000013 nop +80008e6c: 00000013 nop +80008e70: 00000013 nop +80008e74: 00000013 nop +80008e78: 00000013 nop +80008e7c: 00000013 nop +80008e80: 00000013 nop +80008e84: 00000013 nop +80008e88: 00000013 nop +80008e8c: 00000013 nop +80008e90: 00000013 nop +80008e94: 00000013 nop +80008e98: 00000013 nop +80008e9c: 00000013 nop +80008ea0: 00000013 nop +80008ea4: 00000013 nop +80008ea8: 00000013 nop +80008eac: 00000013 nop +80008eb0: 00000013 nop +80008eb4: 00000013 nop +80008eb8: 00000013 nop +80008ebc: 00000013 nop +80008ec0: 00000013 nop +80008ec4: 00000013 nop +80008ec8: 00000013 nop +80008ecc: 00000013 nop +80008ed0: 00000013 nop +80008ed4: 00000013 nop +80008ed8: 00000013 nop +80008edc: 00000013 nop +80008ee0: 00000013 nop +80008ee4: 00000013 nop +80008ee8: 00000013 nop +80008eec: 00000013 nop +80008ef0: 00000013 nop +80008ef4: 00000013 nop +80008ef8: 00000013 nop +80008efc: 00000013 nop +80008f00: 00000013 nop +80008f04: 00000013 nop +80008f08: 00000013 nop +80008f0c: 00000013 nop +80008f10: 00000013 nop +80008f14: 00000013 nop +80008f18: 00000013 nop +80008f1c: 00000013 nop +80008f20: 00000013 nop +80008f24: 00000013 nop +80008f28: 00000013 nop +80008f2c: 00000013 nop +80008f30: 00000013 nop +80008f34: 00000013 nop +80008f38: 00000013 nop +80008f3c: 00000013 nop +80008f40: 00000013 nop +80008f44: 00000013 nop +80008f48: 00000013 nop +80008f4c: 00000013 nop +80008f50: 00000013 nop +80008f54: 00000013 nop +80008f58: 00000013 nop +80008f5c: 00000013 nop +80008f60: 00000013 nop +80008f64: 00000013 nop +80008f68: 00000013 nop +80008f6c: 00000013 nop +80008f70: 00000013 nop +80008f74: 00000013 nop +80008f78: 00000013 nop +80008f7c: 00000013 nop +80008f80: 00000013 nop +80008f84: 00000013 nop +80008f88: 00000013 nop +80008f8c: 00000013 nop +80008f90: 00000013 nop +80008f94: 00000013 nop +80008f98: 00000013 nop +80008f9c: 00000013 nop +80008fa0: 00000013 nop +80008fa4: 00000013 nop +80008fa8: 00000013 nop +80008fac: 00000013 nop +80008fb0: 00000013 nop +80008fb4: 00000013 nop +80008fb8: 00000013 nop +80008fbc: 00000013 nop +80008fc0: 00000013 nop +80008fc4: 00000013 nop +80008fc8: 00000013 nop +80008fcc: 00000013 nop +80008fd0: 00000013 nop +80008fd4: 00000013 nop +80008fd8: 00000013 nop +80008fdc: 00000013 nop +80008fe0: 00000013 nop +80008fe4: 00000013 nop +80008fe8: 00000013 nop +80008fec: 00000013 nop +80008ff0: 00000013 nop +80008ff4: 00000013 nop +80008ff8: 00000013 nop +80008ffc: 00000013 nop + +80009000 : +80009000: 4140 lw s0,4(a0) +80009002: 4342 lw t1,16(sp) +80009004: 4544 lw s1,12(a0) +80009006: 4746 lw a4,80(sp) +80009008: 4948 lw a0,20(a0) +8000900a: 4b4a lw s6,144(sp) +8000900c: 4d4c lw a1,28(a0) +8000900e: 4f4e lw t5,208(sp) +80009010: 00000013 nop +80009014: 00000013 nop +80009018: 00000013 nop +8000901c: 00000013 nop +80009020: 00000013 nop +80009024: 00000013 nop +80009028: 00000013 nop +8000902c: 00000013 nop +80009030: 00000013 nop +80009034: 00000013 nop +80009038: 00000013 nop +8000903c: 00000013 nop +80009040: 00000013 nop +80009044: 00000013 nop +80009048: 00000013 nop +8000904c: 00000013 nop +80009050: 00000013 nop +80009054: 00000013 nop +80009058: 00000013 nop +8000905c: 00000013 nop +80009060: 00000013 nop +80009064: 00000013 nop +80009068: 00000013 nop +8000906c: 00000013 nop +80009070: 00000013 nop +80009074: 00000013 nop +80009078: 00000013 nop +8000907c: 00000013 nop +80009080: 00000013 nop +80009084: 00000013 nop +80009088: 00000013 nop +8000908c: 00000013 nop +80009090: 00000013 nop +80009094: 00000013 nop +80009098: 00000013 nop +8000909c: 00000013 nop +800090a0: 00000013 nop +800090a4: 00000013 nop +800090a8: 00000013 nop +800090ac: 00000013 nop +800090b0: 00000013 nop +800090b4: 00000013 nop +800090b8: 00000013 nop +800090bc: 00000013 nop +800090c0: 00000013 nop +800090c4: 00000013 nop +800090c8: 00000013 nop +800090cc: 00000013 nop +800090d0: 00000013 nop +800090d4: 00000013 nop +800090d8: 00000013 nop +800090dc: 00000013 nop +800090e0: 00000013 nop +800090e4: 00000013 nop +800090e8: 00000013 nop +800090ec: 00000013 nop +800090f0: 00000013 nop +800090f4: 00000013 nop +800090f8: 00000013 nop +800090fc: 00000013 nop +80009100: 00000013 nop +80009104: 00000013 nop +80009108: 00000013 nop +8000910c: 00000013 nop +80009110: 00000013 nop +80009114: 00000013 nop +80009118: 00000013 nop +8000911c: 00000013 nop +80009120: 00000013 nop +80009124: 00000013 nop +80009128: 00000013 nop +8000912c: 00000013 nop +80009130: 00000013 nop +80009134: 00000013 nop +80009138: 00000013 nop +8000913c: 00000013 nop +80009140: 00000013 nop +80009144: 00000013 nop +80009148: 00000013 nop +8000914c: 00000013 nop +80009150: 00000013 nop +80009154: 00000013 nop +80009158: 00000013 nop +8000915c: 00000013 nop +80009160: 00000013 nop +80009164: 00000013 nop +80009168: 00000013 nop +8000916c: 00000013 nop +80009170: 00000013 nop +80009174: 00000013 nop +80009178: 00000013 nop +8000917c: 00000013 nop +80009180: 00000013 nop +80009184: 00000013 nop +80009188: 00000013 nop +8000918c: 00000013 nop +80009190: 00000013 nop +80009194: 00000013 nop +80009198: 00000013 nop +8000919c: 00000013 nop +800091a0: 00000013 nop +800091a4: 00000013 nop +800091a8: 00000013 nop +800091ac: 00000013 nop +800091b0: 00000013 nop +800091b4: 00000013 nop +800091b8: 00000013 nop +800091bc: 00000013 nop +800091c0: 00000013 nop +800091c4: 00000013 nop +800091c8: 00000013 nop +800091cc: 00000013 nop +800091d0: 00000013 nop +800091d4: 00000013 nop +800091d8: 00000013 nop +800091dc: 00000013 nop +800091e0: 00000013 nop +800091e4: 00000013 nop +800091e8: 00000013 nop +800091ec: 00000013 nop +800091f0: 00000013 nop +800091f4: 00000013 nop +800091f8: 00000013 nop +800091fc: 00000013 nop +80009200: 00000013 nop +80009204: 00000013 nop +80009208: 00000013 nop +8000920c: 00000013 nop +80009210: 00000013 nop +80009214: 00000013 nop +80009218: 00000013 nop +8000921c: 00000013 nop +80009220: 00000013 nop +80009224: 00000013 nop +80009228: 00000013 nop +8000922c: 00000013 nop +80009230: 00000013 nop +80009234: 00000013 nop +80009238: 00000013 nop +8000923c: 00000013 nop +80009240: 00000013 nop +80009244: 00000013 nop +80009248: 00000013 nop +8000924c: 00000013 nop +80009250: 00000013 nop +80009254: 00000013 nop +80009258: 00000013 nop +8000925c: 00000013 nop +80009260: 00000013 nop +80009264: 00000013 nop +80009268: 00000013 nop +8000926c: 00000013 nop +80009270: 00000013 nop +80009274: 00000013 nop +80009278: 00000013 nop +8000927c: 00000013 nop +80009280: 00000013 nop +80009284: 00000013 nop +80009288: 00000013 nop +8000928c: 00000013 nop +80009290: 00000013 nop +80009294: 00000013 nop +80009298: 00000013 nop +8000929c: 00000013 nop +800092a0: 00000013 nop +800092a4: 00000013 nop +800092a8: 00000013 nop +800092ac: 00000013 nop +800092b0: 00000013 nop +800092b4: 00000013 nop +800092b8: 00000013 nop +800092bc: 00000013 nop +800092c0: 00000013 nop +800092c4: 00000013 nop +800092c8: 00000013 nop +800092cc: 00000013 nop +800092d0: 00000013 nop +800092d4: 00000013 nop +800092d8: 00000013 nop +800092dc: 00000013 nop +800092e0: 00000013 nop +800092e4: 00000013 nop +800092e8: 00000013 nop +800092ec: 00000013 nop +800092f0: 00000013 nop +800092f4: 00000013 nop +800092f8: 00000013 nop +800092fc: 00000013 nop +80009300: 00000013 nop +80009304: 00000013 nop +80009308: 00000013 nop +8000930c: 00000013 nop +80009310: 00000013 nop +80009314: 00000013 nop +80009318: 00000013 nop +8000931c: 00000013 nop +80009320: 00000013 nop +80009324: 00000013 nop +80009328: 00000013 nop +8000932c: 00000013 nop +80009330: 00000013 nop +80009334: 00000013 nop +80009338: 00000013 nop +8000933c: 00000013 nop +80009340: 00000013 nop +80009344: 00000013 nop +80009348: 00000013 nop +8000934c: 00000013 nop +80009350: 00000013 nop +80009354: 00000013 nop +80009358: 00000013 nop +8000935c: 00000013 nop +80009360: 00000013 nop +80009364: 00000013 nop +80009368: 00000013 nop +8000936c: 00000013 nop +80009370: 00000013 nop +80009374: 00000013 nop +80009378: 00000013 nop +8000937c: 00000013 nop +80009380: 00000013 nop +80009384: 00000013 nop +80009388: 00000013 nop +8000938c: 00000013 nop +80009390: 00000013 nop +80009394: 00000013 nop +80009398: 00000013 nop +8000939c: 00000013 nop +800093a0: 00000013 nop +800093a4: 00000013 nop +800093a8: 00000013 nop +800093ac: 00000013 nop +800093b0: 00000013 nop +800093b4: 00000013 nop +800093b8: 00000013 nop +800093bc: 00000013 nop +800093c0: 00000013 nop +800093c4: 00000013 nop +800093c8: 00000013 nop +800093cc: 00000013 nop +800093d0: 00000013 nop +800093d4: 00000013 nop +800093d8: 00000013 nop +800093dc: 00000013 nop +800093e0: 00000013 nop +800093e4: 00000013 nop +800093e8: 00000013 nop +800093ec: 00000013 nop +800093f0: 00000013 nop +800093f4: 00000013 nop +800093f8: 00000013 nop +800093fc: 00000013 nop +80009400: 00000013 nop +80009404: 00000013 nop +80009408: 00000013 nop +8000940c: 00000013 nop +80009410: 00000013 nop +80009414: 00000013 nop +80009418: 00000013 nop +8000941c: 00000013 nop +80009420: 00000013 nop +80009424: 00000013 nop +80009428: 00000013 nop +8000942c: 00000013 nop +80009430: 00000013 nop +80009434: 00000013 nop +80009438: 00000013 nop +8000943c: 00000013 nop +80009440: 00000013 nop +80009444: 00000013 nop +80009448: 00000013 nop +8000944c: 00000013 nop +80009450: 00000013 nop +80009454: 00000013 nop +80009458: 00000013 nop +8000945c: 00000013 nop +80009460: 00000013 nop +80009464: 00000013 nop +80009468: 00000013 nop +8000946c: 00000013 nop +80009470: 00000013 nop +80009474: 00000013 nop +80009478: 00000013 nop +8000947c: 00000013 nop +80009480: 00000013 nop +80009484: 00000013 nop +80009488: 00000013 nop +8000948c: 00000013 nop +80009490: 00000013 nop +80009494: 00000013 nop +80009498: 00000013 nop +8000949c: 00000013 nop +800094a0: 00000013 nop +800094a4: 00000013 nop +800094a8: 00000013 nop +800094ac: 00000013 nop +800094b0: 00000013 nop +800094b4: 00000013 nop +800094b8: 00000013 nop +800094bc: 00000013 nop +800094c0: 00000013 nop +800094c4: 00000013 nop +800094c8: 00000013 nop +800094cc: 00000013 nop +800094d0: 00000013 nop +800094d4: 00000013 nop +800094d8: 00000013 nop +800094dc: 00000013 nop +800094e0: 00000013 nop +800094e4: 00000013 nop +800094e8: 00000013 nop +800094ec: 00000013 nop +800094f0: 00000013 nop +800094f4: 00000013 nop +800094f8: 00000013 nop +800094fc: 00000013 nop +80009500: 00000013 nop +80009504: 00000013 nop +80009508: 00000013 nop +8000950c: 00000013 nop +80009510: 00000013 nop +80009514: 00000013 nop +80009518: 00000013 nop +8000951c: 00000013 nop +80009520: 00000013 nop +80009524: 00000013 nop +80009528: 00000013 nop +8000952c: 00000013 nop +80009530: 00000013 nop +80009534: 00000013 nop +80009538: 00000013 nop +8000953c: 00000013 nop +80009540: 00000013 nop +80009544: 00000013 nop +80009548: 00000013 nop +8000954c: 00000013 nop +80009550: 00000013 nop +80009554: 00000013 nop +80009558: 00000013 nop +8000955c: 00000013 nop +80009560: 00000013 nop +80009564: 00000013 nop +80009568: 00000013 nop +8000956c: 00000013 nop +80009570: 00000013 nop +80009574: 00000013 nop +80009578: 00000013 nop +8000957c: 00000013 nop +80009580: 00000013 nop +80009584: 00000013 nop +80009588: 00000013 nop +8000958c: 00000013 nop +80009590: 00000013 nop +80009594: 00000013 nop +80009598: 00000013 nop +8000959c: 00000013 nop +800095a0: 00000013 nop +800095a4: 00000013 nop +800095a8: 00000013 nop +800095ac: 00000013 nop +800095b0: 00000013 nop +800095b4: 00000013 nop +800095b8: 00000013 nop +800095bc: 00000013 nop +800095c0: 00000013 nop +800095c4: 00000013 nop +800095c8: 00000013 nop +800095cc: 00000013 nop +800095d0: 00000013 nop +800095d4: 00000013 nop +800095d8: 00000013 nop +800095dc: 00000013 nop +800095e0: 00000013 nop +800095e4: 00000013 nop +800095e8: 00000013 nop +800095ec: 00000013 nop +800095f0: 00000013 nop +800095f4: 00000013 nop +800095f8: 00000013 nop +800095fc: 00000013 nop +80009600: 00000013 nop +80009604: 00000013 nop +80009608: 00000013 nop +8000960c: 00000013 nop +80009610: 00000013 nop +80009614: 00000013 nop +80009618: 00000013 nop +8000961c: 00000013 nop +80009620: 00000013 nop +80009624: 00000013 nop +80009628: 00000013 nop +8000962c: 00000013 nop +80009630: 00000013 nop +80009634: 00000013 nop +80009638: 00000013 nop +8000963c: 00000013 nop +80009640: 00000013 nop +80009644: 00000013 nop +80009648: 00000013 nop +8000964c: 00000013 nop +80009650: 00000013 nop +80009654: 00000013 nop +80009658: 00000013 nop +8000965c: 00000013 nop +80009660: 00000013 nop +80009664: 00000013 nop +80009668: 00000013 nop +8000966c: 00000013 nop +80009670: 00000013 nop +80009674: 00000013 nop +80009678: 00000013 nop +8000967c: 00000013 nop +80009680: 00000013 nop +80009684: 00000013 nop +80009688: 00000013 nop +8000968c: 00000013 nop +80009690: 00000013 nop +80009694: 00000013 nop +80009698: 00000013 nop +8000969c: 00000013 nop +800096a0: 00000013 nop +800096a4: 00000013 nop +800096a8: 00000013 nop +800096ac: 00000013 nop +800096b0: 00000013 nop +800096b4: 00000013 nop +800096b8: 00000013 nop +800096bc: 00000013 nop +800096c0: 00000013 nop +800096c4: 00000013 nop +800096c8: 00000013 nop +800096cc: 00000013 nop +800096d0: 00000013 nop +800096d4: 00000013 nop +800096d8: 00000013 nop +800096dc: 00000013 nop +800096e0: 00000013 nop +800096e4: 00000013 nop +800096e8: 00000013 nop +800096ec: 00000013 nop +800096f0: 00000013 nop +800096f4: 00000013 nop +800096f8: 00000013 nop +800096fc: 00000013 nop +80009700: 00000013 nop +80009704: 00000013 nop +80009708: 00000013 nop +8000970c: 00000013 nop +80009710: 00000013 nop +80009714: 00000013 nop +80009718: 00000013 nop +8000971c: 00000013 nop +80009720: 00000013 nop +80009724: 00000013 nop +80009728: 00000013 nop +8000972c: 00000013 nop +80009730: 00000013 nop +80009734: 00000013 nop +80009738: 00000013 nop +8000973c: 00000013 nop +80009740: 00000013 nop +80009744: 00000013 nop +80009748: 00000013 nop +8000974c: 00000013 nop +80009750: 00000013 nop +80009754: 00000013 nop +80009758: 00000013 nop +8000975c: 00000013 nop +80009760: 00000013 nop +80009764: 00000013 nop +80009768: 00000013 nop +8000976c: 00000013 nop +80009770: 00000013 nop +80009774: 00000013 nop +80009778: 00000013 nop +8000977c: 00000013 nop +80009780: 00000013 nop +80009784: 00000013 nop +80009788: 00000013 nop +8000978c: 00000013 nop +80009790: 00000013 nop +80009794: 00000013 nop +80009798: 00000013 nop +8000979c: 00000013 nop +800097a0: 00000013 nop +800097a4: 00000013 nop +800097a8: 00000013 nop +800097ac: 00000013 nop +800097b0: 00000013 nop +800097b4: 00000013 nop +800097b8: 00000013 nop +800097bc: 00000013 nop +800097c0: 00000013 nop +800097c4: 00000013 nop +800097c8: 00000013 nop +800097cc: 00000013 nop +800097d0: 00000013 nop +800097d4: 00000013 nop +800097d8: 00000013 nop +800097dc: 00000013 nop +800097e0: 00000013 nop +800097e4: 00000013 nop +800097e8: 00000013 nop +800097ec: 00000013 nop +800097f0: 00000013 nop +800097f4: 00000013 nop +800097f8: 00000013 nop +800097fc: 00000013 nop +80009800: 00000013 nop +80009804: 00000013 nop +80009808: 00000013 nop +8000980c: 00000013 nop +80009810: 00000013 nop +80009814: 00000013 nop +80009818: 00000013 nop +8000981c: 00000013 nop +80009820: 00000013 nop +80009824: 00000013 nop +80009828: 00000013 nop +8000982c: 00000013 nop +80009830: 00000013 nop +80009834: 00000013 nop +80009838: 00000013 nop +8000983c: 00000013 nop +80009840: 00000013 nop +80009844: 00000013 nop +80009848: 00000013 nop +8000984c: 00000013 nop +80009850: 00000013 nop +80009854: 00000013 nop +80009858: 00000013 nop +8000985c: 00000013 nop +80009860: 00000013 nop +80009864: 00000013 nop +80009868: 00000013 nop +8000986c: 00000013 nop +80009870: 00000013 nop +80009874: 00000013 nop +80009878: 00000013 nop +8000987c: 00000013 nop +80009880: 00000013 nop +80009884: 00000013 nop +80009888: 00000013 nop +8000988c: 00000013 nop +80009890: 00000013 nop +80009894: 00000013 nop +80009898: 00000013 nop +8000989c: 00000013 nop +800098a0: 00000013 nop +800098a4: 00000013 nop +800098a8: 00000013 nop +800098ac: 00000013 nop +800098b0: 00000013 nop +800098b4: 00000013 nop +800098b8: 00000013 nop +800098bc: 00000013 nop +800098c0: 00000013 nop +800098c4: 00000013 nop +800098c8: 00000013 nop +800098cc: 00000013 nop +800098d0: 00000013 nop +800098d4: 00000013 nop +800098d8: 00000013 nop +800098dc: 00000013 nop +800098e0: 00000013 nop +800098e4: 00000013 nop +800098e8: 00000013 nop +800098ec: 00000013 nop +800098f0: 00000013 nop +800098f4: 00000013 nop +800098f8: 00000013 nop +800098fc: 00000013 nop +80009900: 00000013 nop +80009904: 00000013 nop +80009908: 00000013 nop +8000990c: 00000013 nop +80009910: 00000013 nop +80009914: 00000013 nop +80009918: 00000013 nop +8000991c: 00000013 nop +80009920: 00000013 nop +80009924: 00000013 nop +80009928: 00000013 nop +8000992c: 00000013 nop +80009930: 00000013 nop +80009934: 00000013 nop +80009938: 00000013 nop +8000993c: 00000013 nop +80009940: 00000013 nop +80009944: 00000013 nop +80009948: 00000013 nop +8000994c: 00000013 nop +80009950: 00000013 nop +80009954: 00000013 nop +80009958: 00000013 nop +8000995c: 00000013 nop +80009960: 00000013 nop +80009964: 00000013 nop +80009968: 00000013 nop +8000996c: 00000013 nop +80009970: 00000013 nop +80009974: 00000013 nop +80009978: 00000013 nop +8000997c: 00000013 nop +80009980: 00000013 nop +80009984: 00000013 nop +80009988: 00000013 nop +8000998c: 00000013 nop +80009990: 00000013 nop +80009994: 00000013 nop +80009998: 00000013 nop +8000999c: 00000013 nop +800099a0: 00000013 nop +800099a4: 00000013 nop +800099a8: 00000013 nop +800099ac: 00000013 nop +800099b0: 00000013 nop +800099b4: 00000013 nop +800099b8: 00000013 nop +800099bc: 00000013 nop +800099c0: 00000013 nop +800099c4: 00000013 nop +800099c8: 00000013 nop +800099cc: 00000013 nop +800099d0: 00000013 nop +800099d4: 00000013 nop +800099d8: 00000013 nop +800099dc: 00000013 nop +800099e0: 00000013 nop +800099e4: 00000013 nop +800099e8: 00000013 nop +800099ec: 00000013 nop +800099f0: 00000013 nop +800099f4: 00000013 nop +800099f8: 00000013 nop +800099fc: 00000013 nop +80009a00: 00000013 nop +80009a04: 00000013 nop +80009a08: 00000013 nop +80009a0c: 00000013 nop +80009a10: 00000013 nop +80009a14: 00000013 nop +80009a18: 00000013 nop +80009a1c: 00000013 nop +80009a20: 00000013 nop +80009a24: 00000013 nop +80009a28: 00000013 nop +80009a2c: 00000013 nop +80009a30: 00000013 nop +80009a34: 00000013 nop +80009a38: 00000013 nop +80009a3c: 00000013 nop +80009a40: 00000013 nop +80009a44: 00000013 nop +80009a48: 00000013 nop +80009a4c: 00000013 nop +80009a50: 00000013 nop +80009a54: 00000013 nop +80009a58: 00000013 nop +80009a5c: 00000013 nop +80009a60: 00000013 nop +80009a64: 00000013 nop +80009a68: 00000013 nop +80009a6c: 00000013 nop +80009a70: 00000013 nop +80009a74: 00000013 nop +80009a78: 00000013 nop +80009a7c: 00000013 nop +80009a80: 00000013 nop +80009a84: 00000013 nop +80009a88: 00000013 nop +80009a8c: 00000013 nop +80009a90: 00000013 nop +80009a94: 00000013 nop +80009a98: 00000013 nop +80009a9c: 00000013 nop +80009aa0: 00000013 nop +80009aa4: 00000013 nop +80009aa8: 00000013 nop +80009aac: 00000013 nop +80009ab0: 00000013 nop +80009ab4: 00000013 nop +80009ab8: 00000013 nop +80009abc: 00000013 nop +80009ac0: 00000013 nop +80009ac4: 00000013 nop +80009ac8: 00000013 nop +80009acc: 00000013 nop +80009ad0: 00000013 nop +80009ad4: 00000013 nop +80009ad8: 00000013 nop +80009adc: 00000013 nop +80009ae0: 00000013 nop +80009ae4: 00000013 nop +80009ae8: 00000013 nop +80009aec: 00000013 nop +80009af0: 00000013 nop +80009af4: 00000013 nop +80009af8: 00000013 nop +80009afc: 00000013 nop +80009b00: 00000013 nop +80009b04: 00000013 nop +80009b08: 00000013 nop +80009b0c: 00000013 nop +80009b10: 00000013 nop +80009b14: 00000013 nop +80009b18: 00000013 nop +80009b1c: 00000013 nop +80009b20: 00000013 nop +80009b24: 00000013 nop +80009b28: 00000013 nop +80009b2c: 00000013 nop +80009b30: 00000013 nop +80009b34: 00000013 nop +80009b38: 00000013 nop +80009b3c: 00000013 nop +80009b40: 00000013 nop +80009b44: 00000013 nop +80009b48: 00000013 nop +80009b4c: 00000013 nop +80009b50: 00000013 nop +80009b54: 00000013 nop +80009b58: 00000013 nop +80009b5c: 00000013 nop +80009b60: 00000013 nop +80009b64: 00000013 nop +80009b68: 00000013 nop +80009b6c: 00000013 nop +80009b70: 00000013 nop +80009b74: 00000013 nop +80009b78: 00000013 nop +80009b7c: 00000013 nop +80009b80: 00000013 nop +80009b84: 00000013 nop +80009b88: 00000013 nop +80009b8c: 00000013 nop +80009b90: 00000013 nop +80009b94: 00000013 nop +80009b98: 00000013 nop +80009b9c: 00000013 nop +80009ba0: 00000013 nop +80009ba4: 00000013 nop +80009ba8: 00000013 nop +80009bac: 00000013 nop +80009bb0: 00000013 nop +80009bb4: 00000013 nop +80009bb8: 00000013 nop +80009bbc: 00000013 nop +80009bc0: 00000013 nop +80009bc4: 00000013 nop +80009bc8: 00000013 nop +80009bcc: 00000013 nop +80009bd0: 00000013 nop +80009bd4: 00000013 nop +80009bd8: 00000013 nop +80009bdc: 00000013 nop +80009be0: 00000013 nop +80009be4: 00000013 nop +80009be8: 00000013 nop +80009bec: 00000013 nop +80009bf0: 00000013 nop +80009bf4: 00000013 nop +80009bf8: 00000013 nop +80009bfc: 00000013 nop +80009c00: 00000013 nop +80009c04: 00000013 nop +80009c08: 00000013 nop +80009c0c: 00000013 nop +80009c10: 00000013 nop +80009c14: 00000013 nop +80009c18: 00000013 nop +80009c1c: 00000013 nop +80009c20: 00000013 nop +80009c24: 00000013 nop +80009c28: 00000013 nop +80009c2c: 00000013 nop +80009c30: 00000013 nop +80009c34: 00000013 nop +80009c38: 00000013 nop +80009c3c: 00000013 nop +80009c40: 00000013 nop +80009c44: 00000013 nop +80009c48: 00000013 nop +80009c4c: 00000013 nop +80009c50: 00000013 nop +80009c54: 00000013 nop +80009c58: 00000013 nop +80009c5c: 00000013 nop +80009c60: 00000013 nop +80009c64: 00000013 nop +80009c68: 00000013 nop +80009c6c: 00000013 nop +80009c70: 00000013 nop +80009c74: 00000013 nop +80009c78: 00000013 nop +80009c7c: 00000013 nop +80009c80: 00000013 nop +80009c84: 00000013 nop +80009c88: 00000013 nop +80009c8c: 00000013 nop +80009c90: 00000013 nop +80009c94: 00000013 nop +80009c98: 00000013 nop +80009c9c: 00000013 nop +80009ca0: 00000013 nop +80009ca4: 00000013 nop +80009ca8: 00000013 nop +80009cac: 00000013 nop +80009cb0: 00000013 nop +80009cb4: 00000013 nop +80009cb8: 00000013 nop +80009cbc: 00000013 nop +80009cc0: 00000013 nop +80009cc4: 00000013 nop +80009cc8: 00000013 nop +80009ccc: 00000013 nop +80009cd0: 00000013 nop +80009cd4: 00000013 nop +80009cd8: 00000013 nop +80009cdc: 00000013 nop +80009ce0: 00000013 nop +80009ce4: 00000013 nop +80009ce8: 00000013 nop +80009cec: 00000013 nop +80009cf0: 00000013 nop +80009cf4: 00000013 nop +80009cf8: 00000013 nop +80009cfc: 00000013 nop +80009d00: 00000013 nop +80009d04: 00000013 nop +80009d08: 00000013 nop +80009d0c: 00000013 nop +80009d10: 00000013 nop +80009d14: 00000013 nop +80009d18: 00000013 nop +80009d1c: 00000013 nop +80009d20: 00000013 nop +80009d24: 00000013 nop +80009d28: 00000013 nop +80009d2c: 00000013 nop +80009d30: 00000013 nop +80009d34: 00000013 nop +80009d38: 00000013 nop +80009d3c: 00000013 nop +80009d40: 00000013 nop +80009d44: 00000013 nop +80009d48: 00000013 nop +80009d4c: 00000013 nop +80009d50: 00000013 nop +80009d54: 00000013 nop +80009d58: 00000013 nop +80009d5c: 00000013 nop +80009d60: 00000013 nop +80009d64: 00000013 nop +80009d68: 00000013 nop +80009d6c: 00000013 nop +80009d70: 00000013 nop +80009d74: 00000013 nop +80009d78: 00000013 nop +80009d7c: 00000013 nop +80009d80: 00000013 nop +80009d84: 00000013 nop +80009d88: 00000013 nop +80009d8c: 00000013 nop +80009d90: 00000013 nop +80009d94: 00000013 nop +80009d98: 00000013 nop +80009d9c: 00000013 nop +80009da0: 00000013 nop +80009da4: 00000013 nop +80009da8: 00000013 nop +80009dac: 00000013 nop +80009db0: 00000013 nop +80009db4: 00000013 nop +80009db8: 00000013 nop +80009dbc: 00000013 nop +80009dc0: 00000013 nop +80009dc4: 00000013 nop +80009dc8: 00000013 nop +80009dcc: 00000013 nop +80009dd0: 00000013 nop +80009dd4: 00000013 nop +80009dd8: 00000013 nop +80009ddc: 00000013 nop +80009de0: 00000013 nop +80009de4: 00000013 nop +80009de8: 00000013 nop +80009dec: 00000013 nop +80009df0: 00000013 nop +80009df4: 00000013 nop +80009df8: 00000013 nop +80009dfc: 00000013 nop +80009e00: 00000013 nop +80009e04: 00000013 nop +80009e08: 00000013 nop +80009e0c: 00000013 nop +80009e10: 00000013 nop +80009e14: 00000013 nop +80009e18: 00000013 nop +80009e1c: 00000013 nop +80009e20: 00000013 nop +80009e24: 00000013 nop +80009e28: 00000013 nop +80009e2c: 00000013 nop +80009e30: 00000013 nop +80009e34: 00000013 nop +80009e38: 00000013 nop +80009e3c: 00000013 nop +80009e40: 00000013 nop +80009e44: 00000013 nop +80009e48: 00000013 nop +80009e4c: 00000013 nop +80009e50: 00000013 nop +80009e54: 00000013 nop +80009e58: 00000013 nop +80009e5c: 00000013 nop +80009e60: 00000013 nop +80009e64: 00000013 nop +80009e68: 00000013 nop +80009e6c: 00000013 nop +80009e70: 00000013 nop +80009e74: 00000013 nop +80009e78: 00000013 nop +80009e7c: 00000013 nop +80009e80: 00000013 nop +80009e84: 00000013 nop +80009e88: 00000013 nop +80009e8c: 00000013 nop +80009e90: 00000013 nop +80009e94: 00000013 nop +80009e98: 00000013 nop +80009e9c: 00000013 nop +80009ea0: 00000013 nop +80009ea4: 00000013 nop +80009ea8: 00000013 nop +80009eac: 00000013 nop +80009eb0: 00000013 nop +80009eb4: 00000013 nop +80009eb8: 00000013 nop +80009ebc: 00000013 nop +80009ec0: 00000013 nop +80009ec4: 00000013 nop +80009ec8: 00000013 nop +80009ecc: 00000013 nop +80009ed0: 00000013 nop +80009ed4: 00000013 nop +80009ed8: 00000013 nop +80009edc: 00000013 nop +80009ee0: 00000013 nop +80009ee4: 00000013 nop +80009ee8: 00000013 nop +80009eec: 00000013 nop +80009ef0: 00000013 nop +80009ef4: 00000013 nop +80009ef8: 00000013 nop +80009efc: 00000013 nop +80009f00: 00000013 nop +80009f04: 00000013 nop +80009f08: 00000013 nop +80009f0c: 00000013 nop +80009f10: 00000013 nop +80009f14: 00000013 nop +80009f18: 00000013 nop +80009f1c: 00000013 nop +80009f20: 00000013 nop +80009f24: 00000013 nop +80009f28: 00000013 nop +80009f2c: 00000013 nop +80009f30: 00000013 nop +80009f34: 00000013 nop +80009f38: 00000013 nop +80009f3c: 00000013 nop +80009f40: 00000013 nop +80009f44: 00000013 nop +80009f48: 00000013 nop +80009f4c: 00000013 nop +80009f50: 00000013 nop +80009f54: 00000013 nop +80009f58: 00000013 nop +80009f5c: 00000013 nop +80009f60: 00000013 nop +80009f64: 00000013 nop +80009f68: 00000013 nop +80009f6c: 00000013 nop +80009f70: 00000013 nop +80009f74: 00000013 nop +80009f78: 00000013 nop +80009f7c: 00000013 nop +80009f80: 00000013 nop +80009f84: 00000013 nop +80009f88: 00000013 nop +80009f8c: 00000013 nop +80009f90: 00000013 nop +80009f94: 00000013 nop +80009f98: 00000013 nop +80009f9c: 00000013 nop +80009fa0: 00000013 nop +80009fa4: 00000013 nop +80009fa8: 00000013 nop +80009fac: 00000013 nop +80009fb0: 00000013 nop +80009fb4: 00000013 nop +80009fb8: 00000013 nop +80009fbc: 00000013 nop +80009fc0: 00000013 nop +80009fc4: 00000013 nop +80009fc8: 00000013 nop +80009fcc: 00000013 nop +80009fd0: 00000013 nop +80009fd4: 00000013 nop +80009fd8: 00000013 nop +80009fdc: 00000013 nop +80009fe0: 00000013 nop +80009fe4: 00000013 nop +80009fe8: 00000013 nop +80009fec: 00000013 nop +80009ff0: 00000013 nop +80009ff4: 00000013 nop +80009ff8: 00000013 nop +80009ffc: 00000013 nop + +8000a000 : +8000a000: 5150 lw a2,36(a0) +8000a002: 5352 lw t1,52(sp) +8000a004: 5554 lw a3,44(a0) +8000a006: 5756 lw a4,116(sp) +8000a008: 5958 lw a4,52(a0) +8000a00a: 5b5a lw s6,180(sp) +8000a00c: 5d5c lw a5,60(a0) +8000a00e: 5f5e lw t5,244(sp) +8000a010: 00008067 ret +8000a014: 00000013 nop +8000a018: 00000013 nop +8000a01c: 00000013 nop +8000a020: 00000013 nop +8000a024: 00000013 nop +8000a028: 00000013 nop +8000a02c: 00000013 nop +8000a030: 00000013 nop +8000a034: 00000013 nop +8000a038: 00000013 nop +8000a03c: 00000013 nop +8000a040: 00000013 nop +8000a044: 00000013 nop +8000a048: 00000013 nop +8000a04c: 00000013 nop +8000a050: 00000013 nop +8000a054: 00000013 nop +8000a058: 00000013 nop +8000a05c: 00000013 nop +8000a060: 00000013 nop +8000a064: 00000013 nop +8000a068: 00000013 nop +8000a06c: 00000013 nop +8000a070: 00000013 nop +8000a074: 00000013 nop +8000a078: 00000013 nop +8000a07c: 00000013 nop +8000a080: 00000013 nop +8000a084: 00000013 nop +8000a088: 00000013 nop +8000a08c: 00000013 nop +8000a090: 00000013 nop +8000a094: 00000013 nop +8000a098: 00000013 nop +8000a09c: 00000013 nop +8000a0a0: 00000013 nop +8000a0a4: 00000013 nop +8000a0a8: 00000013 nop +8000a0ac: 00000013 nop +8000a0b0: 00000013 nop +8000a0b4: 00000013 nop +8000a0b8: 00000013 nop +8000a0bc: 00000013 nop +8000a0c0: 00000013 nop +8000a0c4: 00000013 nop +8000a0c8: 00000013 nop +8000a0cc: 00000013 nop +8000a0d0: 00000013 nop +8000a0d4: 00000013 nop +8000a0d8: 00000013 nop +8000a0dc: 00000013 nop +8000a0e0: 00000013 nop +8000a0e4: 00000013 nop +8000a0e8: 00000013 nop +8000a0ec: 00000013 nop +8000a0f0: 00000013 nop +8000a0f4: 00000013 nop +8000a0f8: 00000013 nop +8000a0fc: 00000013 nop +8000a100: 00000013 nop +8000a104: 00000013 nop +8000a108: 00000013 nop +8000a10c: 00000013 nop +8000a110: 00000013 nop +8000a114: 00000013 nop +8000a118: 00000013 nop +8000a11c: 00000013 nop +8000a120: 00000013 nop +8000a124: 00000013 nop +8000a128: 00000013 nop +8000a12c: 00000013 nop +8000a130: 00000013 nop +8000a134: 00000013 nop +8000a138: 00000013 nop +8000a13c: 00000013 nop +8000a140: 00000013 nop +8000a144: 00000013 nop +8000a148: 00000013 nop +8000a14c: 00000013 nop +8000a150: 00000013 nop +8000a154: 00000013 nop +8000a158: 00000013 nop +8000a15c: 00000013 nop +8000a160: 00000013 nop +8000a164: 00000013 nop +8000a168: 00000013 nop +8000a16c: 00000013 nop +8000a170: 00000013 nop +8000a174: 00000013 nop +8000a178: 00000013 nop +8000a17c: 00000013 nop +8000a180: 00000013 nop +8000a184: 00000013 nop +8000a188: 00000013 nop +8000a18c: 00000013 nop +8000a190: 00000013 nop +8000a194: 00000013 nop +8000a198: 00000013 nop +8000a19c: 00000013 nop +8000a1a0: 00000013 nop +8000a1a4: 00000013 nop +8000a1a8: 00000013 nop +8000a1ac: 00000013 nop +8000a1b0: 00000013 nop +8000a1b4: 00000013 nop +8000a1b8: 00000013 nop +8000a1bc: 00000013 nop +8000a1c0: 00000013 nop +8000a1c4: 00000013 nop +8000a1c8: 00000013 nop +8000a1cc: 00000013 nop +8000a1d0: 00000013 nop +8000a1d4: 00000013 nop +8000a1d8: 00000013 nop +8000a1dc: 00000013 nop +8000a1e0: 00000013 nop +8000a1e4: 00000013 nop +8000a1e8: 00000013 nop +8000a1ec: 00000013 nop +8000a1f0: 00000013 nop +8000a1f4: 00000013 nop +8000a1f8: 00000013 nop +8000a1fc: 00000013 nop +8000a200: 00000013 nop +8000a204: 00000013 nop +8000a208: 00000013 nop +8000a20c: 00000013 nop +8000a210: 00000013 nop +8000a214: 00000013 nop +8000a218: 00000013 nop +8000a21c: 00000013 nop +8000a220: 00000013 nop +8000a224: 00000013 nop +8000a228: 00000013 nop +8000a22c: 00000013 nop +8000a230: 00000013 nop +8000a234: 00000013 nop +8000a238: 00000013 nop +8000a23c: 00000013 nop +8000a240: 00000013 nop +8000a244: 00000013 nop +8000a248: 00000013 nop +8000a24c: 00000013 nop +8000a250: 00000013 nop +8000a254: 00000013 nop +8000a258: 00000013 nop +8000a25c: 00000013 nop +8000a260: 00000013 nop +8000a264: 00000013 nop +8000a268: 00000013 nop +8000a26c: 00000013 nop +8000a270: 00000013 nop +8000a274: 00000013 nop +8000a278: 00000013 nop +8000a27c: 00000013 nop +8000a280: 00000013 nop +8000a284: 00000013 nop +8000a288: 00000013 nop +8000a28c: 00000013 nop +8000a290: 00000013 nop +8000a294: 00000013 nop +8000a298: 00000013 nop +8000a29c: 00000013 nop +8000a2a0: 00000013 nop +8000a2a4: 00000013 nop +8000a2a8: 00000013 nop +8000a2ac: 00000013 nop +8000a2b0: 00000013 nop +8000a2b4: 00000013 nop +8000a2b8: 00000013 nop +8000a2bc: 00000013 nop +8000a2c0: 00000013 nop +8000a2c4: 00000013 nop +8000a2c8: 00000013 nop +8000a2cc: 00000013 nop +8000a2d0: 00000013 nop +8000a2d4: 00000013 nop +8000a2d8: 00000013 nop +8000a2dc: 00000013 nop +8000a2e0: 00000013 nop +8000a2e4: 00000013 nop +8000a2e8: 00000013 nop +8000a2ec: 00000013 nop +8000a2f0: 00000013 nop +8000a2f4: 00000013 nop +8000a2f8: 00000013 nop +8000a2fc: 00000013 nop +8000a300: 00000013 nop +8000a304: 00000013 nop +8000a308: 00000013 nop +8000a30c: 00000013 nop +8000a310: 00000013 nop +8000a314: 00000013 nop +8000a318: 00000013 nop +8000a31c: 00000013 nop +8000a320: 00000013 nop +8000a324: 00000013 nop +8000a328: 00000013 nop +8000a32c: 00000013 nop +8000a330: 00000013 nop +8000a334: 00000013 nop +8000a338: 00000013 nop +8000a33c: 00000013 nop +8000a340: 00000013 nop +8000a344: 00000013 nop +8000a348: 00000013 nop +8000a34c: 00000013 nop +8000a350: 00000013 nop +8000a354: 00000013 nop +8000a358: 00000013 nop +8000a35c: 00000013 nop +8000a360: 00000013 nop +8000a364: 00000013 nop +8000a368: 00000013 nop +8000a36c: 00000013 nop +8000a370: 00000013 nop +8000a374: 00000013 nop +8000a378: 00000013 nop +8000a37c: 00000013 nop +8000a380: 00000013 nop +8000a384: 00000013 nop +8000a388: 00000013 nop +8000a38c: 00000013 nop +8000a390: 00000013 nop +8000a394: 00000013 nop +8000a398: 00000013 nop +8000a39c: 00000013 nop +8000a3a0: 00000013 nop +8000a3a4: 00000013 nop +8000a3a8: 00000013 nop +8000a3ac: 00000013 nop +8000a3b0: 00000013 nop +8000a3b4: 00000013 nop +8000a3b8: 00000013 nop +8000a3bc: 00000013 nop +8000a3c0: 00000013 nop +8000a3c4: 00000013 nop +8000a3c8: 00000013 nop +8000a3cc: 00000013 nop +8000a3d0: 00000013 nop +8000a3d4: 00000013 nop +8000a3d8: 00000013 nop +8000a3dc: 00000013 nop +8000a3e0: 00000013 nop +8000a3e4: 00000013 nop +8000a3e8: 00000013 nop +8000a3ec: 00000013 nop +8000a3f0: 00000013 nop +8000a3f4: 00000013 nop +8000a3f8: 00000013 nop +8000a3fc: 00000013 nop +8000a400: 00000013 nop +8000a404: 00000013 nop +8000a408: 00000013 nop +8000a40c: 00000013 nop +8000a410: 00000013 nop +8000a414: 00000013 nop +8000a418: 00000013 nop +8000a41c: 00000013 nop +8000a420: 00000013 nop +8000a424: 00000013 nop +8000a428: 00000013 nop +8000a42c: 00000013 nop +8000a430: 00000013 nop +8000a434: 00000013 nop +8000a438: 00000013 nop +8000a43c: 00000013 nop +8000a440: 00000013 nop +8000a444: 00000013 nop +8000a448: 00000013 nop +8000a44c: 00000013 nop +8000a450: 00000013 nop +8000a454: 00000013 nop +8000a458: 00000013 nop +8000a45c: 00000013 nop +8000a460: 00000013 nop +8000a464: 00000013 nop +8000a468: 00000013 nop +8000a46c: 00000013 nop +8000a470: 00000013 nop +8000a474: 00000013 nop +8000a478: 00000013 nop +8000a47c: 00000013 nop +8000a480: 00000013 nop +8000a484: 00000013 nop +8000a488: 00000013 nop +8000a48c: 00000013 nop +8000a490: 00000013 nop +8000a494: 00000013 nop +8000a498: 00000013 nop +8000a49c: 00000013 nop +8000a4a0: 00000013 nop +8000a4a4: 00000013 nop +8000a4a8: 00000013 nop +8000a4ac: 00000013 nop +8000a4b0: 00000013 nop +8000a4b4: 00000013 nop +8000a4b8: 00000013 nop +8000a4bc: 00000013 nop +8000a4c0: 00000013 nop +8000a4c4: 00000013 nop +8000a4c8: 00000013 nop +8000a4cc: 00000013 nop +8000a4d0: 00000013 nop +8000a4d4: 00000013 nop +8000a4d8: 00000013 nop +8000a4dc: 00000013 nop +8000a4e0: 00000013 nop +8000a4e4: 00000013 nop +8000a4e8: 00000013 nop +8000a4ec: 00000013 nop +8000a4f0: 00000013 nop +8000a4f4: 00000013 nop +8000a4f8: 00000013 nop +8000a4fc: 00000013 nop +8000a500: 00000013 nop +8000a504: 00000013 nop +8000a508: 00000013 nop +8000a50c: 00000013 nop +8000a510: 00000013 nop +8000a514: 00000013 nop +8000a518: 00000013 nop +8000a51c: 00000013 nop +8000a520: 00000013 nop +8000a524: 00000013 nop +8000a528: 00000013 nop +8000a52c: 00000013 nop +8000a530: 00000013 nop +8000a534: 00000013 nop +8000a538: 00000013 nop +8000a53c: 00000013 nop +8000a540: 00000013 nop +8000a544: 00000013 nop +8000a548: 00000013 nop +8000a54c: 00000013 nop +8000a550: 00000013 nop +8000a554: 00000013 nop +8000a558: 00000013 nop +8000a55c: 00000013 nop +8000a560: 00000013 nop +8000a564: 00000013 nop +8000a568: 00000013 nop +8000a56c: 00000013 nop +8000a570: 00000013 nop +8000a574: 00000013 nop +8000a578: 00000013 nop +8000a57c: 00000013 nop +8000a580: 00000013 nop +8000a584: 00000013 nop +8000a588: 00000013 nop +8000a58c: 00000013 nop +8000a590: 00000013 nop +8000a594: 00000013 nop +8000a598: 00000013 nop +8000a59c: 00000013 nop +8000a5a0: 00000013 nop +8000a5a4: 00000013 nop +8000a5a8: 00000013 nop +8000a5ac: 00000013 nop +8000a5b0: 00000013 nop +8000a5b4: 00000013 nop +8000a5b8: 00000013 nop +8000a5bc: 00000013 nop +8000a5c0: 00000013 nop +8000a5c4: 00000013 nop +8000a5c8: 00000013 nop +8000a5cc: 00000013 nop +8000a5d0: 00000013 nop +8000a5d4: 00000013 nop +8000a5d8: 00000013 nop +8000a5dc: 00000013 nop +8000a5e0: 00000013 nop +8000a5e4: 00000013 nop +8000a5e8: 00000013 nop +8000a5ec: 00000013 nop +8000a5f0: 00000013 nop +8000a5f4: 00000013 nop +8000a5f8: 00000013 nop +8000a5fc: 00000013 nop +8000a600: 00000013 nop +8000a604: 00000013 nop +8000a608: 00000013 nop +8000a60c: 00000013 nop +8000a610: 00000013 nop +8000a614: 00000013 nop +8000a618: 00000013 nop +8000a61c: 00000013 nop +8000a620: 00000013 nop +8000a624: 00000013 nop +8000a628: 00000013 nop +8000a62c: 00000013 nop +8000a630: 00000013 nop +8000a634: 00000013 nop +8000a638: 00000013 nop +8000a63c: 00000013 nop +8000a640: 00000013 nop +8000a644: 00000013 nop +8000a648: 00000013 nop +8000a64c: 00000013 nop +8000a650: 00000013 nop +8000a654: 00000013 nop +8000a658: 00000013 nop +8000a65c: 00000013 nop +8000a660: 00000013 nop +8000a664: 00000013 nop +8000a668: 00000013 nop +8000a66c: 00000013 nop +8000a670: 00000013 nop +8000a674: 00000013 nop +8000a678: 00000013 nop +8000a67c: 00000013 nop +8000a680: 00000013 nop +8000a684: 00000013 nop +8000a688: 00000013 nop +8000a68c: 00000013 nop +8000a690: 00000013 nop +8000a694: 00000013 nop +8000a698: 00000013 nop +8000a69c: 00000013 nop +8000a6a0: 00000013 nop +8000a6a4: 00000013 nop +8000a6a8: 00000013 nop +8000a6ac: 00000013 nop +8000a6b0: 00000013 nop +8000a6b4: 00000013 nop +8000a6b8: 00000013 nop +8000a6bc: 00000013 nop +8000a6c0: 00000013 nop +8000a6c4: 00000013 nop +8000a6c8: 00000013 nop +8000a6cc: 00000013 nop +8000a6d0: 00000013 nop +8000a6d4: 00000013 nop +8000a6d8: 00000013 nop +8000a6dc: 00000013 nop +8000a6e0: 00000013 nop +8000a6e4: 00000013 nop +8000a6e8: 00000013 nop +8000a6ec: 00000013 nop +8000a6f0: 00000013 nop +8000a6f4: 00000013 nop +8000a6f8: 00000013 nop +8000a6fc: 00000013 nop +8000a700: 00000013 nop +8000a704: 00000013 nop +8000a708: 00000013 nop +8000a70c: 00000013 nop +8000a710: 00000013 nop +8000a714: 00000013 nop +8000a718: 00000013 nop +8000a71c: 00000013 nop +8000a720: 00000013 nop +8000a724: 00000013 nop +8000a728: 00000013 nop +8000a72c: 00000013 nop +8000a730: 00000013 nop +8000a734: 00000013 nop +8000a738: 00000013 nop +8000a73c: 00000013 nop +8000a740: 00000013 nop +8000a744: 00000013 nop +8000a748: 00000013 nop +8000a74c: 00000013 nop +8000a750: 00000013 nop +8000a754: 00000013 nop +8000a758: 00000013 nop +8000a75c: 00000013 nop +8000a760: 00000013 nop +8000a764: 00000013 nop +8000a768: 00000013 nop +8000a76c: 00000013 nop +8000a770: 00000013 nop +8000a774: 00000013 nop +8000a778: 00000013 nop +8000a77c: 00000013 nop +8000a780: 00000013 nop +8000a784: 00000013 nop +8000a788: 00000013 nop +8000a78c: 00000013 nop +8000a790: 00000013 nop +8000a794: 00000013 nop +8000a798: 00000013 nop +8000a79c: 00000013 nop +8000a7a0: 00000013 nop +8000a7a4: 00000013 nop +8000a7a8: 00000013 nop +8000a7ac: 00000013 nop +8000a7b0: 00000013 nop +8000a7b4: 00000013 nop +8000a7b8: 00000013 nop +8000a7bc: 00000013 nop +8000a7c0: 00000013 nop +8000a7c4: 00000013 nop +8000a7c8: 00000013 nop +8000a7cc: 00000013 nop +8000a7d0: 00000013 nop +8000a7d4: 00000013 nop +8000a7d8: 00000013 nop +8000a7dc: 00000013 nop +8000a7e0: 00000013 nop +8000a7e4: 00000013 nop +8000a7e8: 00000013 nop +8000a7ec: 00000013 nop +8000a7f0: 00000013 nop +8000a7f4: 00000013 nop +8000a7f8: 00000013 nop +8000a7fc: 00000013 nop +8000a800: 00000013 nop +8000a804: 00000013 nop +8000a808: 00000013 nop +8000a80c: 00000013 nop +8000a810: 00000013 nop +8000a814: 00000013 nop +8000a818: 00000013 nop +8000a81c: 00000013 nop +8000a820: 00000013 nop +8000a824: 00000013 nop +8000a828: 00000013 nop +8000a82c: 00000013 nop +8000a830: 00000013 nop +8000a834: 00000013 nop +8000a838: 00000013 nop +8000a83c: 00000013 nop +8000a840: 00000013 nop +8000a844: 00000013 nop +8000a848: 00000013 nop +8000a84c: 00000013 nop +8000a850: 00000013 nop +8000a854: 00000013 nop +8000a858: 00000013 nop +8000a85c: 00000013 nop +8000a860: 00000013 nop +8000a864: 00000013 nop +8000a868: 00000013 nop +8000a86c: 00000013 nop +8000a870: 00000013 nop +8000a874: 00000013 nop +8000a878: 00000013 nop +8000a87c: 00000013 nop +8000a880: 00000013 nop +8000a884: 00000013 nop +8000a888: 00000013 nop +8000a88c: 00000013 nop +8000a890: 00000013 nop +8000a894: 00000013 nop +8000a898: 00000013 nop +8000a89c: 00000013 nop +8000a8a0: 00000013 nop +8000a8a4: 00000013 nop +8000a8a8: 00000013 nop +8000a8ac: 00000013 nop +8000a8b0: 00000013 nop +8000a8b4: 00000013 nop +8000a8b8: 00000013 nop +8000a8bc: 00000013 nop +8000a8c0: 00000013 nop +8000a8c4: 00000013 nop +8000a8c8: 00000013 nop +8000a8cc: 00000013 nop +8000a8d0: 00000013 nop +8000a8d4: 00000013 nop +8000a8d8: 00000013 nop +8000a8dc: 00000013 nop +8000a8e0: 00000013 nop +8000a8e4: 00000013 nop +8000a8e8: 00000013 nop +8000a8ec: 00000013 nop +8000a8f0: 00000013 nop +8000a8f4: 00000013 nop +8000a8f8: 00000013 nop +8000a8fc: 00000013 nop +8000a900: 00000013 nop +8000a904: 00000013 nop +8000a908: 00000013 nop +8000a90c: 00000013 nop +8000a910: 00000013 nop +8000a914: 00000013 nop +8000a918: 00000013 nop +8000a91c: 00000013 nop +8000a920: 00000013 nop +8000a924: 00000013 nop +8000a928: 00000013 nop +8000a92c: 00000013 nop +8000a930: 00000013 nop +8000a934: 00000013 nop +8000a938: 00000013 nop +8000a93c: 00000013 nop +8000a940: 00000013 nop +8000a944: 00000013 nop +8000a948: 00000013 nop +8000a94c: 00000013 nop +8000a950: 00000013 nop +8000a954: 00000013 nop +8000a958: 00000013 nop +8000a95c: 00000013 nop +8000a960: 00000013 nop +8000a964: 00000013 nop +8000a968: 00000013 nop +8000a96c: 00000013 nop +8000a970: 00000013 nop +8000a974: 00000013 nop +8000a978: 00000013 nop +8000a97c: 00000013 nop +8000a980: 00000013 nop +8000a984: 00000013 nop +8000a988: 00000013 nop +8000a98c: 00000013 nop +8000a990: 00000013 nop +8000a994: 00000013 nop +8000a998: 00000013 nop +8000a99c: 00000013 nop +8000a9a0: 00000013 nop +8000a9a4: 00000013 nop +8000a9a8: 00000013 nop +8000a9ac: 00000013 nop +8000a9b0: 00000013 nop +8000a9b4: 00000013 nop +8000a9b8: 00000013 nop +8000a9bc: 00000013 nop +8000a9c0: 00000013 nop +8000a9c4: 00000013 nop +8000a9c8: 00000013 nop +8000a9cc: 00000013 nop +8000a9d0: 00000013 nop +8000a9d4: 00000013 nop +8000a9d8: 00000013 nop +8000a9dc: 00000013 nop +8000a9e0: 00000013 nop +8000a9e4: 00000013 nop +8000a9e8: 00000013 nop +8000a9ec: 00000013 nop +8000a9f0: 00000013 nop +8000a9f4: 00000013 nop +8000a9f8: 00000013 nop +8000a9fc: 00000013 nop +8000aa00: 00000013 nop +8000aa04: 00000013 nop +8000aa08: 00000013 nop +8000aa0c: 00000013 nop +8000aa10: 00000013 nop +8000aa14: 00000013 nop +8000aa18: 00000013 nop +8000aa1c: 00000013 nop +8000aa20: 00000013 nop +8000aa24: 00000013 nop +8000aa28: 00000013 nop +8000aa2c: 00000013 nop +8000aa30: 00000013 nop +8000aa34: 00000013 nop +8000aa38: 00000013 nop +8000aa3c: 00000013 nop +8000aa40: 00000013 nop +8000aa44: 00000013 nop +8000aa48: 00000013 nop +8000aa4c: 00000013 nop +8000aa50: 00000013 nop +8000aa54: 00000013 nop +8000aa58: 00000013 nop +8000aa5c: 00000013 nop +8000aa60: 00000013 nop +8000aa64: 00000013 nop +8000aa68: 00000013 nop +8000aa6c: 00000013 nop +8000aa70: 00000013 nop +8000aa74: 00000013 nop +8000aa78: 00000013 nop +8000aa7c: 00000013 nop +8000aa80: 00000013 nop +8000aa84: 00000013 nop +8000aa88: 00000013 nop +8000aa8c: 00000013 nop +8000aa90: 00000013 nop +8000aa94: 00000013 nop +8000aa98: 00000013 nop +8000aa9c: 00000013 nop +8000aaa0: 00000013 nop +8000aaa4: 00000013 nop +8000aaa8: 00000013 nop +8000aaac: 00000013 nop +8000aab0: 00000013 nop +8000aab4: 00000013 nop +8000aab8: 00000013 nop +8000aabc: 00000013 nop +8000aac0: 00000013 nop +8000aac4: 00000013 nop +8000aac8: 00000013 nop +8000aacc: 00000013 nop +8000aad0: 00000013 nop +8000aad4: 00000013 nop +8000aad8: 00000013 nop +8000aadc: 00000013 nop +8000aae0: 00000013 nop +8000aae4: 00000013 nop +8000aae8: 00000013 nop +8000aaec: 00000013 nop +8000aaf0: 00000013 nop +8000aaf4: 00000013 nop +8000aaf8: 00000013 nop +8000aafc: 00000013 nop +8000ab00: 00000013 nop +8000ab04: 00000013 nop +8000ab08: 00000013 nop +8000ab0c: 00000013 nop +8000ab10: 00000013 nop +8000ab14: 00000013 nop +8000ab18: 00000013 nop +8000ab1c: 00000013 nop +8000ab20: 00000013 nop +8000ab24: 00000013 nop +8000ab28: 00000013 nop +8000ab2c: 00000013 nop +8000ab30: 00000013 nop +8000ab34: 00000013 nop +8000ab38: 00000013 nop +8000ab3c: 00000013 nop +8000ab40: 00000013 nop +8000ab44: 00000013 nop +8000ab48: 00000013 nop +8000ab4c: 00000013 nop +8000ab50: 00000013 nop +8000ab54: 00000013 nop +8000ab58: 00000013 nop +8000ab5c: 00000013 nop +8000ab60: 00000013 nop +8000ab64: 00000013 nop +8000ab68: 00000013 nop +8000ab6c: 00000013 nop +8000ab70: 00000013 nop +8000ab74: 00000013 nop +8000ab78: 00000013 nop +8000ab7c: 00000013 nop +8000ab80: 00000013 nop +8000ab84: 00000013 nop +8000ab88: 00000013 nop +8000ab8c: 00000013 nop +8000ab90: 00000013 nop +8000ab94: 00000013 nop +8000ab98: 00000013 nop +8000ab9c: 00000013 nop +8000aba0: 00000013 nop +8000aba4: 00000013 nop +8000aba8: 00000013 nop +8000abac: 00000013 nop +8000abb0: 00000013 nop +8000abb4: 00000013 nop +8000abb8: 00000013 nop +8000abbc: 00000013 nop +8000abc0: 00000013 nop +8000abc4: 00000013 nop +8000abc8: 00000013 nop +8000abcc: 00000013 nop +8000abd0: 00000013 nop +8000abd4: 00000013 nop +8000abd8: 00000013 nop +8000abdc: 00000013 nop +8000abe0: 00000013 nop +8000abe4: 00000013 nop +8000abe8: 00000013 nop +8000abec: 00000013 nop +8000abf0: 00000013 nop +8000abf4: 00000013 nop +8000abf8: 00000013 nop +8000abfc: 00000013 nop +8000ac00: 00000013 nop +8000ac04: 00000013 nop +8000ac08: 00000013 nop +8000ac0c: 00000013 nop +8000ac10: 00000013 nop +8000ac14: 00000013 nop +8000ac18: 00000013 nop +8000ac1c: 00000013 nop +8000ac20: 00000013 nop +8000ac24: 00000013 nop +8000ac28: 00000013 nop +8000ac2c: 00000013 nop +8000ac30: 00000013 nop +8000ac34: 00000013 nop +8000ac38: 00000013 nop +8000ac3c: 00000013 nop +8000ac40: 00000013 nop +8000ac44: 00000013 nop +8000ac48: 00000013 nop +8000ac4c: 00000013 nop +8000ac50: 00000013 nop +8000ac54: 00000013 nop +8000ac58: 00000013 nop +8000ac5c: 00000013 nop +8000ac60: 00000013 nop +8000ac64: 00000013 nop +8000ac68: 00000013 nop +8000ac6c: 00000013 nop +8000ac70: 00000013 nop +8000ac74: 00000013 nop +8000ac78: 00000013 nop +8000ac7c: 00000013 nop +8000ac80: 00000013 nop +8000ac84: 00000013 nop +8000ac88: 00000013 nop +8000ac8c: 00000013 nop +8000ac90: 00000013 nop +8000ac94: 00000013 nop +8000ac98: 00000013 nop +8000ac9c: 00000013 nop +8000aca0: 00000013 nop +8000aca4: 00000013 nop +8000aca8: 00000013 nop +8000acac: 00000013 nop +8000acb0: 00000013 nop +8000acb4: 00000013 nop +8000acb8: 00000013 nop +8000acbc: 00000013 nop +8000acc0: 00000013 nop +8000acc4: 00000013 nop +8000acc8: 00000013 nop +8000accc: 00000013 nop +8000acd0: 00000013 nop +8000acd4: 00000013 nop +8000acd8: 00000013 nop +8000acdc: 00000013 nop +8000ace0: 00000013 nop +8000ace4: 00000013 nop +8000ace8: 00000013 nop +8000acec: 00000013 nop +8000acf0: 00000013 nop +8000acf4: 00000013 nop +8000acf8: 00000013 nop +8000acfc: 00000013 nop +8000ad00: 00000013 nop +8000ad04: 00000013 nop +8000ad08: 00000013 nop +8000ad0c: 00000013 nop +8000ad10: 00000013 nop +8000ad14: 00000013 nop +8000ad18: 00000013 nop +8000ad1c: 00000013 nop +8000ad20: 00000013 nop +8000ad24: 00000013 nop +8000ad28: 00000013 nop +8000ad2c: 00000013 nop +8000ad30: 00000013 nop +8000ad34: 00000013 nop +8000ad38: 00000013 nop +8000ad3c: 00000013 nop +8000ad40: 00000013 nop +8000ad44: 00000013 nop +8000ad48: 00000013 nop +8000ad4c: 00000013 nop +8000ad50: 00000013 nop +8000ad54: 00000013 nop +8000ad58: 00000013 nop +8000ad5c: 00000013 nop +8000ad60: 00000013 nop +8000ad64: 00000013 nop +8000ad68: 00000013 nop +8000ad6c: 00000013 nop +8000ad70: 00000013 nop +8000ad74: 00000013 nop +8000ad78: 00000013 nop +8000ad7c: 00000013 nop +8000ad80: 00000013 nop +8000ad84: 00000013 nop +8000ad88: 00000013 nop +8000ad8c: 00000013 nop +8000ad90: 00000013 nop +8000ad94: 00000013 nop +8000ad98: 00000013 nop +8000ad9c: 00000013 nop +8000ada0: 00000013 nop +8000ada4: 00000013 nop +8000ada8: 00000013 nop +8000adac: 00000013 nop +8000adb0: 00000013 nop +8000adb4: 00000013 nop +8000adb8: 00000013 nop +8000adbc: 00000013 nop +8000adc0: 00000013 nop +8000adc4: 00000013 nop +8000adc8: 00000013 nop +8000adcc: 00000013 nop +8000add0: 00000013 nop +8000add4: 00000013 nop +8000add8: 00000013 nop +8000addc: 00000013 nop +8000ade0: 00000013 nop +8000ade4: 00000013 nop +8000ade8: 00000013 nop +8000adec: 00000013 nop +8000adf0: 00000013 nop +8000adf4: 00000013 nop +8000adf8: 00000013 nop +8000adfc: 00000013 nop +8000ae00: 00000013 nop +8000ae04: 00000013 nop +8000ae08: 00000013 nop +8000ae0c: 00000013 nop +8000ae10: 00000013 nop +8000ae14: 00000013 nop +8000ae18: 00000013 nop +8000ae1c: 00000013 nop +8000ae20: 00000013 nop +8000ae24: 00000013 nop +8000ae28: 00000013 nop +8000ae2c: 00000013 nop +8000ae30: 00000013 nop +8000ae34: 00000013 nop +8000ae38: 00000013 nop +8000ae3c: 00000013 nop +8000ae40: 00000013 nop +8000ae44: 00000013 nop +8000ae48: 00000013 nop +8000ae4c: 00000013 nop +8000ae50: 00000013 nop +8000ae54: 00000013 nop +8000ae58: 00000013 nop +8000ae5c: 00000013 nop +8000ae60: 00000013 nop +8000ae64: 00000013 nop +8000ae68: 00000013 nop +8000ae6c: 00000013 nop +8000ae70: 00000013 nop +8000ae74: 00000013 nop +8000ae78: 00000013 nop +8000ae7c: 00000013 nop +8000ae80: 00000013 nop +8000ae84: 00000013 nop +8000ae88: 00000013 nop +8000ae8c: 00000013 nop +8000ae90: 00000013 nop +8000ae94: 00000013 nop +8000ae98: 00000013 nop +8000ae9c: 00000013 nop +8000aea0: 00000013 nop +8000aea4: 00000013 nop +8000aea8: 00000013 nop +8000aeac: 00000013 nop +8000aeb0: 00000013 nop +8000aeb4: 00000013 nop +8000aeb8: 00000013 nop +8000aebc: 00000013 nop +8000aec0: 00000013 nop +8000aec4: 00000013 nop +8000aec8: 00000013 nop +8000aecc: 00000013 nop +8000aed0: 00000013 nop +8000aed4: 00000013 nop +8000aed8: 00000013 nop +8000aedc: 00000013 nop +8000aee0: 00000013 nop +8000aee4: 00000013 nop +8000aee8: 00000013 nop +8000aeec: 00000013 nop +8000aef0: 00000013 nop +8000aef4: 00000013 nop +8000aef8: 00000013 nop +8000aefc: 00000013 nop +8000af00: 00000013 nop +8000af04: 00000013 nop +8000af08: 00000013 nop +8000af0c: 00000013 nop +8000af10: 00000013 nop +8000af14: 00000013 nop +8000af18: 00000013 nop +8000af1c: 00000013 nop +8000af20: 00000013 nop +8000af24: 00000013 nop +8000af28: 00000013 nop +8000af2c: 00000013 nop +8000af30: 00000013 nop +8000af34: 00000013 nop +8000af38: 00000013 nop +8000af3c: 00000013 nop +8000af40: 00000013 nop +8000af44: 00000013 nop +8000af48: 00000013 nop +8000af4c: 00000013 nop +8000af50: 00000013 nop +8000af54: 00000013 nop +8000af58: 00000013 nop +8000af5c: 00000013 nop +8000af60: 00000013 nop +8000af64: 00000013 nop +8000af68: 00000013 nop +8000af6c: 00000013 nop +8000af70: 00000013 nop +8000af74: 00000013 nop +8000af78: 00000013 nop +8000af7c: 00000013 nop +8000af80: 00000013 nop +8000af84: 00000013 nop +8000af88: 00000013 nop +8000af8c: 00000013 nop +8000af90: 00000013 nop +8000af94: 00000013 nop +8000af98: 00000013 nop +8000af9c: 00000013 nop +8000afa0: 00000013 nop +8000afa4: 00000013 nop +8000afa8: 00000013 nop +8000afac: 00000013 nop +8000afb0: 00000013 nop +8000afb4: 00000013 nop +8000afb8: 00000013 nop +8000afbc: 00000013 nop +8000afc0: 00000013 nop +8000afc4: 00000013 nop +8000afc8: 00000013 nop +8000afcc: 00000013 nop +8000afd0: 00000013 nop +8000afd4: 00000013 nop +8000afd8: 00000013 nop +8000afdc: 00000013 nop +8000afe0: 00000013 nop +8000afe4: 00000013 nop +8000afe8: 00000013 nop +8000afec: 00000013 nop +8000aff0: 00000013 nop +8000aff4: 00000013 nop +8000aff8: 00000013 nop +8000affc: 00000013 nop + +8000b000 : +8000b000: 6160 flw fs0,68(a0) +8000b002: 6362 flw ft6,24(sp) +8000b004: 6564 flw fs1,76(a0) +8000b006: 6766 flw fa4,88(sp) +8000b008: 6968 flw fa0,84(a0) +8000b00a: 6b6a flw fs6,152(sp) +8000b00c: 6d6c flw fa1,92(a0) +8000b00e: 6f6e flw ft10,216(sp) +8000b010: 00000013 nop +8000b014: 00000013 nop +8000b018: 00000013 nop +8000b01c: 00000013 nop +8000b020: 00000013 nop +8000b024: 00000013 nop +8000b028: 00000013 nop +8000b02c: 00000013 nop +8000b030: 00000013 nop +8000b034: 00000013 nop +8000b038: 00000013 nop +8000b03c: 00000013 nop +8000b040: 00000013 nop +8000b044: 00000013 nop +8000b048: 00000013 nop +8000b04c: 00000013 nop +8000b050: 00000013 nop +8000b054: 00000013 nop +8000b058: 00000013 nop +8000b05c: 00000013 nop +8000b060: 00000013 nop +8000b064: 00000013 nop +8000b068: 00000013 nop +8000b06c: 00000013 nop +8000b070: 00000013 nop +8000b074: 00000013 nop +8000b078: 00000013 nop +8000b07c: 00000013 nop +8000b080: 00000013 nop +8000b084: 00000013 nop +8000b088: 00000013 nop +8000b08c: 00000013 nop +8000b090: 00000013 nop +8000b094: 00000013 nop +8000b098: 00000013 nop +8000b09c: 00000013 nop +8000b0a0: 00000013 nop +8000b0a4: 00000013 nop +8000b0a8: 00000013 nop +8000b0ac: 00000013 nop +8000b0b0: 00000013 nop +8000b0b4: 00000013 nop +8000b0b8: 00000013 nop +8000b0bc: 00000013 nop +8000b0c0: 00000013 nop +8000b0c4: 00000013 nop +8000b0c8: 00000013 nop +8000b0cc: 00000013 nop +8000b0d0: 00000013 nop +8000b0d4: 00000013 nop +8000b0d8: 00000013 nop +8000b0dc: 00000013 nop +8000b0e0: 00000013 nop +8000b0e4: 00000013 nop +8000b0e8: 00000013 nop +8000b0ec: 00000013 nop +8000b0f0: 00000013 nop +8000b0f4: 00000013 nop +8000b0f8: 00000013 nop +8000b0fc: 00000013 nop +8000b100: 00000013 nop +8000b104: 00000013 nop +8000b108: 00000013 nop +8000b10c: 00000013 nop +8000b110: 00000013 nop +8000b114: 00000013 nop +8000b118: 00000013 nop +8000b11c: 00000013 nop +8000b120: 00000013 nop +8000b124: 00000013 nop +8000b128: 00000013 nop +8000b12c: 00000013 nop +8000b130: 00000013 nop +8000b134: 00000013 nop +8000b138: 00000013 nop +8000b13c: 00000013 nop +8000b140: 00000013 nop +8000b144: 00000013 nop +8000b148: 00000013 nop +8000b14c: 00000013 nop +8000b150: 00000013 nop +8000b154: 00000013 nop +8000b158: 00000013 nop +8000b15c: 00000013 nop +8000b160: 00000013 nop +8000b164: 00000013 nop +8000b168: 00000013 nop +8000b16c: 00000013 nop +8000b170: 00000013 nop +8000b174: 00000013 nop +8000b178: 00000013 nop +8000b17c: 00000013 nop +8000b180: 00000013 nop +8000b184: 00000013 nop +8000b188: 00000013 nop +8000b18c: 00000013 nop +8000b190: 00000013 nop +8000b194: 00000013 nop +8000b198: 00000013 nop +8000b19c: 00000013 nop +8000b1a0: 00000013 nop +8000b1a4: 00000013 nop +8000b1a8: 00000013 nop +8000b1ac: 00000013 nop +8000b1b0: 00000013 nop +8000b1b4: 00000013 nop +8000b1b8: 00000013 nop +8000b1bc: 00000013 nop +8000b1c0: 00000013 nop +8000b1c4: 00000013 nop +8000b1c8: 00000013 nop +8000b1cc: 00000013 nop +8000b1d0: 00000013 nop +8000b1d4: 00000013 nop +8000b1d8: 00000013 nop +8000b1dc: 00000013 nop +8000b1e0: 00000013 nop +8000b1e4: 00000013 nop +8000b1e8: 00000013 nop +8000b1ec: 00000013 nop +8000b1f0: 00000013 nop +8000b1f4: 00000013 nop +8000b1f8: 00000013 nop +8000b1fc: 00000013 nop +8000b200: 00000013 nop +8000b204: 00000013 nop +8000b208: 00000013 nop +8000b20c: 00000013 nop +8000b210: 00000013 nop +8000b214: 00000013 nop +8000b218: 00000013 nop +8000b21c: 00000013 nop +8000b220: 00000013 nop +8000b224: 00000013 nop +8000b228: 00000013 nop +8000b22c: 00000013 nop +8000b230: 00000013 nop +8000b234: 00000013 nop +8000b238: 00000013 nop +8000b23c: 00000013 nop +8000b240: 00000013 nop +8000b244: 00000013 nop +8000b248: 00000013 nop +8000b24c: 00000013 nop +8000b250: 00000013 nop +8000b254: 00000013 nop +8000b258: 00000013 nop +8000b25c: 00000013 nop +8000b260: 00000013 nop +8000b264: 00000013 nop +8000b268: 00000013 nop +8000b26c: 00000013 nop +8000b270: 00000013 nop +8000b274: 00000013 nop +8000b278: 00000013 nop +8000b27c: 00000013 nop +8000b280: 00000013 nop +8000b284: 00000013 nop +8000b288: 00000013 nop +8000b28c: 00000013 nop +8000b290: 00000013 nop +8000b294: 00000013 nop +8000b298: 00000013 nop +8000b29c: 00000013 nop +8000b2a0: 00000013 nop +8000b2a4: 00000013 nop +8000b2a8: 00000013 nop +8000b2ac: 00000013 nop +8000b2b0: 00000013 nop +8000b2b4: 00000013 nop +8000b2b8: 00000013 nop +8000b2bc: 00000013 nop +8000b2c0: 00000013 nop +8000b2c4: 00000013 nop +8000b2c8: 00000013 nop +8000b2cc: 00000013 nop +8000b2d0: 00000013 nop +8000b2d4: 00000013 nop +8000b2d8: 00000013 nop +8000b2dc: 00000013 nop +8000b2e0: 00000013 nop +8000b2e4: 00000013 nop +8000b2e8: 00000013 nop +8000b2ec: 00000013 nop +8000b2f0: 00000013 nop +8000b2f4: 00000013 nop +8000b2f8: 00000013 nop +8000b2fc: 00000013 nop +8000b300: 00000013 nop +8000b304: 00000013 nop +8000b308: 00000013 nop +8000b30c: 00000013 nop +8000b310: 00000013 nop +8000b314: 00000013 nop +8000b318: 00000013 nop +8000b31c: 00000013 nop +8000b320: 00000013 nop +8000b324: 00000013 nop +8000b328: 00000013 nop +8000b32c: 00000013 nop +8000b330: 00000013 nop +8000b334: 00000013 nop +8000b338: 00000013 nop +8000b33c: 00000013 nop +8000b340: 00000013 nop +8000b344: 00000013 nop +8000b348: 00000013 nop +8000b34c: 00000013 nop +8000b350: 00000013 nop +8000b354: 00000013 nop +8000b358: 00000013 nop +8000b35c: 00000013 nop +8000b360: 00000013 nop +8000b364: 00000013 nop +8000b368: 00000013 nop +8000b36c: 00000013 nop +8000b370: 00000013 nop +8000b374: 00000013 nop +8000b378: 00000013 nop +8000b37c: 00000013 nop +8000b380: 00000013 nop +8000b384: 00000013 nop +8000b388: 00000013 nop +8000b38c: 00000013 nop +8000b390: 00000013 nop +8000b394: 00000013 nop +8000b398: 00000013 nop +8000b39c: 00000013 nop +8000b3a0: 00000013 nop +8000b3a4: 00000013 nop +8000b3a8: 00000013 nop +8000b3ac: 00000013 nop +8000b3b0: 00000013 nop +8000b3b4: 00000013 nop +8000b3b8: 00000013 nop +8000b3bc: 00000013 nop +8000b3c0: 00000013 nop +8000b3c4: 00000013 nop +8000b3c8: 00000013 nop +8000b3cc: 00000013 nop +8000b3d0: 00000013 nop +8000b3d4: 00000013 nop +8000b3d8: 00000013 nop +8000b3dc: 00000013 nop +8000b3e0: 00000013 nop +8000b3e4: 00000013 nop +8000b3e8: 00000013 nop +8000b3ec: 00000013 nop +8000b3f0: 00000013 nop +8000b3f4: 00000013 nop +8000b3f8: 00000013 nop +8000b3fc: 00000013 nop +8000b400: 00000013 nop +8000b404: 00000013 nop +8000b408: 00000013 nop +8000b40c: 00000013 nop +8000b410: 00000013 nop +8000b414: 00000013 nop +8000b418: 00000013 nop +8000b41c: 00000013 nop +8000b420: 00000013 nop +8000b424: 00000013 nop +8000b428: 00000013 nop +8000b42c: 00000013 nop +8000b430: 00000013 nop +8000b434: 00000013 nop +8000b438: 00000013 nop +8000b43c: 00000013 nop +8000b440: 00000013 nop +8000b444: 00000013 nop +8000b448: 00000013 nop +8000b44c: 00000013 nop +8000b450: 00000013 nop +8000b454: 00000013 nop +8000b458: 00000013 nop +8000b45c: 00000013 nop +8000b460: 00000013 nop +8000b464: 00000013 nop +8000b468: 00000013 nop +8000b46c: 00000013 nop +8000b470: 00000013 nop +8000b474: 00000013 nop +8000b478: 00000013 nop +8000b47c: 00000013 nop +8000b480: 00000013 nop +8000b484: 00000013 nop +8000b488: 00000013 nop +8000b48c: 00000013 nop +8000b490: 00000013 nop +8000b494: 00000013 nop +8000b498: 00000013 nop +8000b49c: 00000013 nop +8000b4a0: 00000013 nop +8000b4a4: 00000013 nop +8000b4a8: 00000013 nop +8000b4ac: 00000013 nop +8000b4b0: 00000013 nop +8000b4b4: 00000013 nop +8000b4b8: 00000013 nop +8000b4bc: 00000013 nop +8000b4c0: 00000013 nop +8000b4c4: 00000013 nop +8000b4c8: 00000013 nop +8000b4cc: 00000013 nop +8000b4d0: 00000013 nop +8000b4d4: 00000013 nop +8000b4d8: 00000013 nop +8000b4dc: 00000013 nop +8000b4e0: 00000013 nop +8000b4e4: 00000013 nop +8000b4e8: 00000013 nop +8000b4ec: 00000013 nop +8000b4f0: 00000013 nop +8000b4f4: 00000013 nop +8000b4f8: 00000013 nop +8000b4fc: 00000013 nop +8000b500: 00000013 nop +8000b504: 00000013 nop +8000b508: 00000013 nop +8000b50c: 00000013 nop +8000b510: 00000013 nop +8000b514: 00000013 nop +8000b518: 00000013 nop +8000b51c: 00000013 nop +8000b520: 00000013 nop +8000b524: 00000013 nop +8000b528: 00000013 nop +8000b52c: 00000013 nop +8000b530: 00000013 nop +8000b534: 00000013 nop +8000b538: 00000013 nop +8000b53c: 00000013 nop +8000b540: 00000013 nop +8000b544: 00000013 nop +8000b548: 00000013 nop +8000b54c: 00000013 nop +8000b550: 00000013 nop +8000b554: 00000013 nop +8000b558: 00000013 nop +8000b55c: 00000013 nop +8000b560: 00000013 nop +8000b564: 00000013 nop +8000b568: 00000013 nop +8000b56c: 00000013 nop +8000b570: 00000013 nop +8000b574: 00000013 nop +8000b578: 00000013 nop +8000b57c: 00000013 nop +8000b580: 00000013 nop +8000b584: 00000013 nop +8000b588: 00000013 nop +8000b58c: 00000013 nop +8000b590: 00000013 nop +8000b594: 00000013 nop +8000b598: 00000013 nop +8000b59c: 00000013 nop +8000b5a0: 00000013 nop +8000b5a4: 00000013 nop +8000b5a8: 00000013 nop +8000b5ac: 00000013 nop +8000b5b0: 00000013 nop +8000b5b4: 00000013 nop +8000b5b8: 00000013 nop +8000b5bc: 00000013 nop +8000b5c0: 00000013 nop +8000b5c4: 00000013 nop +8000b5c8: 00000013 nop +8000b5cc: 00000013 nop +8000b5d0: 00000013 nop +8000b5d4: 00000013 nop +8000b5d8: 00000013 nop +8000b5dc: 00000013 nop +8000b5e0: 00000013 nop +8000b5e4: 00000013 nop +8000b5e8: 00000013 nop +8000b5ec: 00000013 nop +8000b5f0: 00000013 nop +8000b5f4: 00000013 nop +8000b5f8: 00000013 nop +8000b5fc: 00000013 nop +8000b600: 00000013 nop +8000b604: 00000013 nop +8000b608: 00000013 nop +8000b60c: 00000013 nop +8000b610: 00000013 nop +8000b614: 00000013 nop +8000b618: 00000013 nop +8000b61c: 00000013 nop +8000b620: 00000013 nop +8000b624: 00000013 nop +8000b628: 00000013 nop +8000b62c: 00000013 nop +8000b630: 00000013 nop +8000b634: 00000013 nop +8000b638: 00000013 nop +8000b63c: 00000013 nop +8000b640: 00000013 nop +8000b644: 00000013 nop +8000b648: 00000013 nop +8000b64c: 00000013 nop +8000b650: 00000013 nop +8000b654: 00000013 nop +8000b658: 00000013 nop +8000b65c: 00000013 nop +8000b660: 00000013 nop +8000b664: 00000013 nop +8000b668: 00000013 nop +8000b66c: 00000013 nop +8000b670: 00000013 nop +8000b674: 00000013 nop +8000b678: 00000013 nop +8000b67c: 00000013 nop +8000b680: 00000013 nop +8000b684: 00000013 nop +8000b688: 00000013 nop +8000b68c: 00000013 nop +8000b690: 00000013 nop +8000b694: 00000013 nop +8000b698: 00000013 nop +8000b69c: 00000013 nop +8000b6a0: 00000013 nop +8000b6a4: 00000013 nop +8000b6a8: 00000013 nop +8000b6ac: 00000013 nop +8000b6b0: 00000013 nop +8000b6b4: 00000013 nop +8000b6b8: 00000013 nop +8000b6bc: 00000013 nop +8000b6c0: 00000013 nop +8000b6c4: 00000013 nop +8000b6c8: 00000013 nop +8000b6cc: 00000013 nop +8000b6d0: 00000013 nop +8000b6d4: 00000013 nop +8000b6d8: 00000013 nop +8000b6dc: 00000013 nop +8000b6e0: 00000013 nop +8000b6e4: 00000013 nop +8000b6e8: 00000013 nop +8000b6ec: 00000013 nop +8000b6f0: 00000013 nop +8000b6f4: 00000013 nop +8000b6f8: 00000013 nop +8000b6fc: 00000013 nop +8000b700: 00000013 nop +8000b704: 00000013 nop +8000b708: 00000013 nop +8000b70c: 00000013 nop +8000b710: 00000013 nop +8000b714: 00000013 nop +8000b718: 00000013 nop +8000b71c: 00000013 nop +8000b720: 00000013 nop +8000b724: 00000013 nop +8000b728: 00000013 nop +8000b72c: 00000013 nop +8000b730: 00000013 nop +8000b734: 00000013 nop +8000b738: 00000013 nop +8000b73c: 00000013 nop +8000b740: 00000013 nop +8000b744: 00000013 nop +8000b748: 00000013 nop +8000b74c: 00000013 nop +8000b750: 00000013 nop +8000b754: 00000013 nop +8000b758: 00000013 nop +8000b75c: 00000013 nop +8000b760: 00000013 nop +8000b764: 00000013 nop +8000b768: 00000013 nop +8000b76c: 00000013 nop +8000b770: 00000013 nop +8000b774: 00000013 nop +8000b778: 00000013 nop +8000b77c: 00000013 nop +8000b780: 00000013 nop +8000b784: 00000013 nop +8000b788: 00000013 nop +8000b78c: 00000013 nop +8000b790: 00000013 nop +8000b794: 00000013 nop +8000b798: 00000013 nop +8000b79c: 00000013 nop +8000b7a0: 00000013 nop +8000b7a4: 00000013 nop +8000b7a8: 00000013 nop +8000b7ac: 00000013 nop +8000b7b0: 00000013 nop +8000b7b4: 00000013 nop +8000b7b8: 00000013 nop +8000b7bc: 00000013 nop +8000b7c0: 00000013 nop +8000b7c4: 00000013 nop +8000b7c8: 00000013 nop +8000b7cc: 00000013 nop +8000b7d0: 00000013 nop +8000b7d4: 00000013 nop +8000b7d8: 00000013 nop +8000b7dc: 00000013 nop +8000b7e0: 00000013 nop +8000b7e4: 00000013 nop +8000b7e8: 00000013 nop +8000b7ec: 00000013 nop +8000b7f0: 00000013 nop +8000b7f4: 00000013 nop +8000b7f8: 00000013 nop +8000b7fc: 00000013 nop +8000b800: 00000013 nop +8000b804: 00000013 nop +8000b808: 00000013 nop +8000b80c: 00000013 nop +8000b810: 00000013 nop +8000b814: 00000013 nop +8000b818: 00000013 nop +8000b81c: 00000013 nop +8000b820: 00000013 nop +8000b824: 00000013 nop +8000b828: 00000013 nop +8000b82c: 00000013 nop +8000b830: 00000013 nop +8000b834: 00000013 nop +8000b838: 00000013 nop +8000b83c: 00000013 nop +8000b840: 00000013 nop +8000b844: 00000013 nop +8000b848: 00000013 nop +8000b84c: 00000013 nop +8000b850: 00000013 nop +8000b854: 00000013 nop +8000b858: 00000013 nop +8000b85c: 00000013 nop +8000b860: 00000013 nop +8000b864: 00000013 nop +8000b868: 00000013 nop +8000b86c: 00000013 nop +8000b870: 00000013 nop +8000b874: 00000013 nop +8000b878: 00000013 nop +8000b87c: 00000013 nop +8000b880: 00000013 nop +8000b884: 00000013 nop +8000b888: 00000013 nop +8000b88c: 00000013 nop +8000b890: 00000013 nop +8000b894: 00000013 nop +8000b898: 00000013 nop +8000b89c: 00000013 nop +8000b8a0: 00000013 nop +8000b8a4: 00000013 nop +8000b8a8: 00000013 nop +8000b8ac: 00000013 nop +8000b8b0: 00000013 nop +8000b8b4: 00000013 nop +8000b8b8: 00000013 nop +8000b8bc: 00000013 nop +8000b8c0: 00000013 nop +8000b8c4: 00000013 nop +8000b8c8: 00000013 nop +8000b8cc: 00000013 nop +8000b8d0: 00000013 nop +8000b8d4: 00000013 nop +8000b8d8: 00000013 nop +8000b8dc: 00000013 nop +8000b8e0: 00000013 nop +8000b8e4: 00000013 nop +8000b8e8: 00000013 nop +8000b8ec: 00000013 nop +8000b8f0: 00000013 nop +8000b8f4: 00000013 nop +8000b8f8: 00000013 nop +8000b8fc: 00000013 nop +8000b900: 00000013 nop +8000b904: 00000013 nop +8000b908: 00000013 nop +8000b90c: 00000013 nop +8000b910: 00000013 nop +8000b914: 00000013 nop +8000b918: 00000013 nop +8000b91c: 00000013 nop +8000b920: 00000013 nop +8000b924: 00000013 nop +8000b928: 00000013 nop +8000b92c: 00000013 nop +8000b930: 00000013 nop +8000b934: 00000013 nop +8000b938: 00000013 nop +8000b93c: 00000013 nop +8000b940: 00000013 nop +8000b944: 00000013 nop +8000b948: 00000013 nop +8000b94c: 00000013 nop +8000b950: 00000013 nop +8000b954: 00000013 nop +8000b958: 00000013 nop +8000b95c: 00000013 nop +8000b960: 00000013 nop +8000b964: 00000013 nop +8000b968: 00000013 nop +8000b96c: 00000013 nop +8000b970: 00000013 nop +8000b974: 00000013 nop +8000b978: 00000013 nop +8000b97c: 00000013 nop +8000b980: 00000013 nop +8000b984: 00000013 nop +8000b988: 00000013 nop +8000b98c: 00000013 nop +8000b990: 00000013 nop +8000b994: 00000013 nop +8000b998: 00000013 nop +8000b99c: 00000013 nop +8000b9a0: 00000013 nop +8000b9a4: 00000013 nop +8000b9a8: 00000013 nop +8000b9ac: 00000013 nop +8000b9b0: 00000013 nop +8000b9b4: 00000013 nop +8000b9b8: 00000013 nop +8000b9bc: 00000013 nop +8000b9c0: 00000013 nop +8000b9c4: 00000013 nop +8000b9c8: 00000013 nop +8000b9cc: 00000013 nop +8000b9d0: 00000013 nop +8000b9d4: 00000013 nop +8000b9d8: 00000013 nop +8000b9dc: 00000013 nop +8000b9e0: 00000013 nop +8000b9e4: 00000013 nop +8000b9e8: 00000013 nop +8000b9ec: 00000013 nop +8000b9f0: 00000013 nop +8000b9f4: 00000013 nop +8000b9f8: 00000013 nop +8000b9fc: 00000013 nop +8000ba00: 00000013 nop +8000ba04: 00000013 nop +8000ba08: 00000013 nop +8000ba0c: 00000013 nop +8000ba10: 00000013 nop +8000ba14: 00000013 nop +8000ba18: 00000013 nop +8000ba1c: 00000013 nop +8000ba20: 00000013 nop +8000ba24: 00000013 nop +8000ba28: 00000013 nop +8000ba2c: 00000013 nop +8000ba30: 00000013 nop +8000ba34: 00000013 nop +8000ba38: 00000013 nop +8000ba3c: 00000013 nop +8000ba40: 00000013 nop +8000ba44: 00000013 nop +8000ba48: 00000013 nop +8000ba4c: 00000013 nop +8000ba50: 00000013 nop +8000ba54: 00000013 nop +8000ba58: 00000013 nop +8000ba5c: 00000013 nop +8000ba60: 00000013 nop +8000ba64: 00000013 nop +8000ba68: 00000013 nop +8000ba6c: 00000013 nop +8000ba70: 00000013 nop +8000ba74: 00000013 nop +8000ba78: 00000013 nop +8000ba7c: 00000013 nop +8000ba80: 00000013 nop +8000ba84: 00000013 nop +8000ba88: 00000013 nop +8000ba8c: 00000013 nop +8000ba90: 00000013 nop +8000ba94: 00000013 nop +8000ba98: 00000013 nop +8000ba9c: 00000013 nop +8000baa0: 00000013 nop +8000baa4: 00000013 nop +8000baa8: 00000013 nop +8000baac: 00000013 nop +8000bab0: 00000013 nop +8000bab4: 00000013 nop +8000bab8: 00000013 nop +8000babc: 00000013 nop +8000bac0: 00000013 nop +8000bac4: 00000013 nop +8000bac8: 00000013 nop +8000bacc: 00000013 nop +8000bad0: 00000013 nop +8000bad4: 00000013 nop +8000bad8: 00000013 nop +8000badc: 00000013 nop +8000bae0: 00000013 nop +8000bae4: 00000013 nop +8000bae8: 00000013 nop +8000baec: 00000013 nop +8000baf0: 00000013 nop +8000baf4: 00000013 nop +8000baf8: 00000013 nop +8000bafc: 00000013 nop +8000bb00: 00000013 nop +8000bb04: 00000013 nop +8000bb08: 00000013 nop +8000bb0c: 00000013 nop +8000bb10: 00000013 nop +8000bb14: 00000013 nop +8000bb18: 00000013 nop +8000bb1c: 00000013 nop +8000bb20: 00000013 nop +8000bb24: 00000013 nop +8000bb28: 00000013 nop +8000bb2c: 00000013 nop +8000bb30: 00000013 nop +8000bb34: 00000013 nop +8000bb38: 00000013 nop +8000bb3c: 00000013 nop +8000bb40: 00000013 nop +8000bb44: 00000013 nop +8000bb48: 00000013 nop +8000bb4c: 00000013 nop +8000bb50: 00000013 nop +8000bb54: 00000013 nop +8000bb58: 00000013 nop +8000bb5c: 00000013 nop +8000bb60: 00000013 nop +8000bb64: 00000013 nop +8000bb68: 00000013 nop +8000bb6c: 00000013 nop +8000bb70: 00000013 nop +8000bb74: 00000013 nop +8000bb78: 00000013 nop +8000bb7c: 00000013 nop +8000bb80: 00000013 nop +8000bb84: 00000013 nop +8000bb88: 00000013 nop +8000bb8c: 00000013 nop +8000bb90: 00000013 nop +8000bb94: 00000013 nop +8000bb98: 00000013 nop +8000bb9c: 00000013 nop +8000bba0: 00000013 nop +8000bba4: 00000013 nop +8000bba8: 00000013 nop +8000bbac: 00000013 nop +8000bbb0: 00000013 nop +8000bbb4: 00000013 nop +8000bbb8: 00000013 nop +8000bbbc: 00000013 nop +8000bbc0: 00000013 nop +8000bbc4: 00000013 nop +8000bbc8: 00000013 nop +8000bbcc: 00000013 nop +8000bbd0: 00000013 nop +8000bbd4: 00000013 nop +8000bbd8: 00000013 nop +8000bbdc: 00000013 nop +8000bbe0: 00000013 nop +8000bbe4: 00000013 nop +8000bbe8: 00000013 nop +8000bbec: 00000013 nop +8000bbf0: 00000013 nop +8000bbf4: 00000013 nop +8000bbf8: 00000013 nop +8000bbfc: 00000013 nop +8000bc00: 00000013 nop +8000bc04: 00000013 nop +8000bc08: 00000013 nop +8000bc0c: 00000013 nop +8000bc10: 00000013 nop +8000bc14: 00000013 nop +8000bc18: 00000013 nop +8000bc1c: 00000013 nop +8000bc20: 00000013 nop +8000bc24: 00000013 nop +8000bc28: 00000013 nop +8000bc2c: 00000013 nop +8000bc30: 00000013 nop +8000bc34: 00000013 nop +8000bc38: 00000013 nop +8000bc3c: 00000013 nop +8000bc40: 00000013 nop +8000bc44: 00000013 nop +8000bc48: 00000013 nop +8000bc4c: 00000013 nop +8000bc50: 00000013 nop +8000bc54: 00000013 nop +8000bc58: 00000013 nop +8000bc5c: 00000013 nop +8000bc60: 00000013 nop +8000bc64: 00000013 nop +8000bc68: 00000013 nop +8000bc6c: 00000013 nop +8000bc70: 00000013 nop +8000bc74: 00000013 nop +8000bc78: 00000013 nop +8000bc7c: 00000013 nop +8000bc80: 00000013 nop +8000bc84: 00000013 nop +8000bc88: 00000013 nop +8000bc8c: 00000013 nop +8000bc90: 00000013 nop +8000bc94: 00000013 nop +8000bc98: 00000013 nop +8000bc9c: 00000013 nop +8000bca0: 00000013 nop +8000bca4: 00000013 nop +8000bca8: 00000013 nop +8000bcac: 00000013 nop +8000bcb0: 00000013 nop +8000bcb4: 00000013 nop +8000bcb8: 00000013 nop +8000bcbc: 00000013 nop +8000bcc0: 00000013 nop +8000bcc4: 00000013 nop +8000bcc8: 00000013 nop +8000bccc: 00000013 nop +8000bcd0: 00000013 nop +8000bcd4: 00000013 nop +8000bcd8: 00000013 nop +8000bcdc: 00000013 nop +8000bce0: 00000013 nop +8000bce4: 00000013 nop +8000bce8: 00000013 nop +8000bcec: 00000013 nop +8000bcf0: 00000013 nop +8000bcf4: 00000013 nop +8000bcf8: 00000013 nop +8000bcfc: 00000013 nop +8000bd00: 00000013 nop +8000bd04: 00000013 nop +8000bd08: 00000013 nop +8000bd0c: 00000013 nop +8000bd10: 00000013 nop +8000bd14: 00000013 nop +8000bd18: 00000013 nop +8000bd1c: 00000013 nop +8000bd20: 00000013 nop +8000bd24: 00000013 nop +8000bd28: 00000013 nop +8000bd2c: 00000013 nop +8000bd30: 00000013 nop +8000bd34: 00000013 nop +8000bd38: 00000013 nop +8000bd3c: 00000013 nop +8000bd40: 00000013 nop +8000bd44: 00000013 nop +8000bd48: 00000013 nop +8000bd4c: 00000013 nop +8000bd50: 00000013 nop +8000bd54: 00000013 nop +8000bd58: 00000013 nop +8000bd5c: 00000013 nop +8000bd60: 00000013 nop +8000bd64: 00000013 nop +8000bd68: 00000013 nop +8000bd6c: 00000013 nop +8000bd70: 00000013 nop +8000bd74: 00000013 nop +8000bd78: 00000013 nop +8000bd7c: 00000013 nop +8000bd80: 00000013 nop +8000bd84: 00000013 nop +8000bd88: 00000013 nop +8000bd8c: 00000013 nop +8000bd90: 00000013 nop +8000bd94: 00000013 nop +8000bd98: 00000013 nop +8000bd9c: 00000013 nop +8000bda0: 00000013 nop +8000bda4: 00000013 nop +8000bda8: 00000013 nop +8000bdac: 00000013 nop +8000bdb0: 00000013 nop +8000bdb4: 00000013 nop +8000bdb8: 00000013 nop +8000bdbc: 00000013 nop +8000bdc0: 00000013 nop +8000bdc4: 00000013 nop +8000bdc8: 00000013 nop +8000bdcc: 00000013 nop +8000bdd0: 00000013 nop +8000bdd4: 00000013 nop +8000bdd8: 00000013 nop +8000bddc: 00000013 nop +8000bde0: 00000013 nop +8000bde4: 00000013 nop +8000bde8: 00000013 nop +8000bdec: 00000013 nop +8000bdf0: 00000013 nop +8000bdf4: 00000013 nop +8000bdf8: 00000013 nop +8000bdfc: 00000013 nop +8000be00: 00000013 nop +8000be04: 00000013 nop +8000be08: 00000013 nop +8000be0c: 00000013 nop +8000be10: 00000013 nop +8000be14: 00000013 nop +8000be18: 00000013 nop +8000be1c: 00000013 nop +8000be20: 00000013 nop +8000be24: 00000013 nop +8000be28: 00000013 nop +8000be2c: 00000013 nop +8000be30: 00000013 nop +8000be34: 00000013 nop +8000be38: 00000013 nop +8000be3c: 00000013 nop +8000be40: 00000013 nop +8000be44: 00000013 nop +8000be48: 00000013 nop +8000be4c: 00000013 nop +8000be50: 00000013 nop +8000be54: 00000013 nop +8000be58: 00000013 nop +8000be5c: 00000013 nop +8000be60: 00000013 nop +8000be64: 00000013 nop +8000be68: 00000013 nop +8000be6c: 00000013 nop +8000be70: 00000013 nop +8000be74: 00000013 nop +8000be78: 00000013 nop +8000be7c: 00000013 nop +8000be80: 00000013 nop +8000be84: 00000013 nop +8000be88: 00000013 nop +8000be8c: 00000013 nop +8000be90: 00000013 nop +8000be94: 00000013 nop +8000be98: 00000013 nop +8000be9c: 00000013 nop +8000bea0: 00000013 nop +8000bea4: 00000013 nop +8000bea8: 00000013 nop +8000beac: 00000013 nop +8000beb0: 00000013 nop +8000beb4: 00000013 nop +8000beb8: 00000013 nop +8000bebc: 00000013 nop +8000bec0: 00000013 nop +8000bec4: 00000013 nop +8000bec8: 00000013 nop +8000becc: 00000013 nop +8000bed0: 00000013 nop +8000bed4: 00000013 nop +8000bed8: 00000013 nop +8000bedc: 00000013 nop +8000bee0: 00000013 nop +8000bee4: 00000013 nop +8000bee8: 00000013 nop +8000beec: 00000013 nop +8000bef0: 00000013 nop +8000bef4: 00000013 nop +8000bef8: 00000013 nop +8000befc: 00000013 nop +8000bf00: 00000013 nop +8000bf04: 00000013 nop +8000bf08: 00000013 nop +8000bf0c: 00000013 nop +8000bf10: 00000013 nop +8000bf14: 00000013 nop +8000bf18: 00000013 nop +8000bf1c: 00000013 nop +8000bf20: 00000013 nop +8000bf24: 00000013 nop +8000bf28: 00000013 nop +8000bf2c: 00000013 nop +8000bf30: 00000013 nop +8000bf34: 00000013 nop +8000bf38: 00000013 nop +8000bf3c: 00000013 nop +8000bf40: 00000013 nop +8000bf44: 00000013 nop +8000bf48: 00000013 nop +8000bf4c: 00000013 nop +8000bf50: 00000013 nop +8000bf54: 00000013 nop +8000bf58: 00000013 nop +8000bf5c: 00000013 nop +8000bf60: 00000013 nop +8000bf64: 00000013 nop +8000bf68: 00000013 nop +8000bf6c: 00000013 nop +8000bf70: 00000013 nop +8000bf74: 00000013 nop +8000bf78: 00000013 nop +8000bf7c: 00000013 nop +8000bf80: 00000013 nop +8000bf84: 00000013 nop +8000bf88: 00000013 nop +8000bf8c: 00000013 nop +8000bf90: 00000013 nop +8000bf94: 00000013 nop +8000bf98: 00000013 nop +8000bf9c: 00000013 nop +8000bfa0: 00000013 nop +8000bfa4: 00000013 nop +8000bfa8: 00000013 nop +8000bfac: 00000013 nop +8000bfb0: 00000013 nop +8000bfb4: 00000013 nop +8000bfb8: 00000013 nop +8000bfbc: 00000013 nop +8000bfc0: 00000013 nop +8000bfc4: 00000013 nop +8000bfc8: 00000013 nop +8000bfcc: 00000013 nop +8000bfd0: 00000013 nop +8000bfd4: 00000013 nop +8000bfd8: 00000013 nop +8000bfdc: 00000013 nop +8000bfe0: 00000013 nop +8000bfe4: 00000013 nop +8000bfe8: 00000013 nop +8000bfec: 00000013 nop +8000bff0: 00000013 nop +8000bff4: 00000013 nop +8000bff8: 00000013 nop +8000bffc: 00000013 nop + +8000c000 : +8000c000: 7170 flw fa2,100(a0) +8000c002: 7372 flw ft6,60(sp) +8000c004: 7574 flw fa3,108(a0) +8000c006: 7776 flw fa4,124(sp) +8000c008: 7978 flw fa4,116(a0) +8000c00a: 7b7a flw fs6,188(sp) +8000c00c: 7d7c flw fa5,124(a0) +8000c00e: 7f7e flw ft10,252(sp) + ... diff --git a/VexRiscv/src/test/cpp/raw/mmu/build/mmu.hex b/VexRiscv/src/test/cpp/raw/mmu/build/mmu.hex new file mode 100644 index 0000000..6760347 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/mmu/build/mmu.hex @@ -0,0 +1,3233 @@ +:0200000480007A +:10000000930E0000970000009380005973905030C9 +:10001000130E1000977000009380C0FE3721262732 +:100020001301415283A0400063922054130E20001C +:10003000970000009380000273901034B7200000F6 +:100040009380008073900030730020306F00005266 +:10005000130E3000B71000009380008073900030C2 +:10006000970000009380400173901034730020309B +:100070006F00C04F130E400097800000938080F8FF +:10008000373136371301415383A040006390204E2F +:10009000130E5000971000009380C07617210000C7 +:1000A000130141F6135121001361110123A0200017 +:1000B00097200000938000F5370100801351210044 +:1000C0001361F10023A02000130E500097200000C0 +:1000D00093804083173100001301C1F213512100B6 +:1000E0001361110123A0200097300000938000F4D9 +:1000F00017910000130101F1135121001361F10167 +:1001000023A02000973000009380C0F317A10000C7 +:10011000130141EF135121001361310123A020008D +:1001200097300000938040F217A10000130181ED89 +:10013000135121001361710123A0200097300000AA +:100140009380C0F017A100001301C1EB13512100EF +:100150001361910123A0200097300000938040EFAD +:1001600017A10000130101EA135121001361B1012D +:1001700023A02000973000009380C0ED17A100005D +:10018000130141E8135121001361F10023A0200065 +:10019000130E5000972000009380C08617010000C6 +:1001A000130141E6135121001361F10123A0200046 +:1001B000130E5000972000009380C09423A00000ED +:1001C000B700040073A0001097100000938080E334 +:1001D00093D0C00037010080B3E020007390001876 +:1001E000130E6000B7A000909380800037514A4BF7 +:1001F0001301819483A00000639A2036130E7000CF +:10020000B7A00090938000363701EEAA13011100C9 +:1002100023A0200083A00000639A2034130E8000E6 +:1002200097C00020938040DE3771767713014157E5 +:1002300083A00000639C2032130E9000B7A000A0A2 +:10024000938000363701EEAA1301210023A020007D +:1002500083A00000639C2030130EA0007350001890 +:1002600097900000938000103701EEAA130111004F +:1002700083A00000639C202E130EB00097A0000006 +:100280009380400E3701EEAA1301210083A00000E5 +:10029000639E202C971000009380C0D693D0C0009E +:1002A00037010080B3E0200073900018130EC000E7 +:1002B000930E1000170F0000130F0F0173000000C2 +:1002C0006F00C02A130ED000170F0000130F4F014C +:1002D000B70000B083A080006F004029130EE0003B +:1002E000170F0000130F4F01B70000B023A4100038 +:1002F0006F00C027130EF000170F0000130F4F01FF +:10030000B70000B0678000006F004026130E0001A8 +:10031000930E0000B70001909380800037615A5B14 +:100320001301819583A0000063922024B7100190EF +:100330009380800037615A5B1301819583A0000090 +:1003400063962022B73001909380800037615A5B1A +:100350001301819583A00000639A2020130E1001E1 +:10036000B7100190938000363701EEAA13013100D7 +:1003700023A0200083A00000639A201E130E2001FA +:100380009700000093808001372101901301010143 +:10039000670001006F00801D130E3001930E1000E6 +:1003A000170F0000130F8F01B720019093800001F9 +:1003B00083A000006F00801B170F0000130F8F0138 +:1003C000B73001909380000123A010006F00001A45 +:1003D000170F0000130F8F01B710019093800001D9 +:1003E000678000006F008018130E5001930E00000C +:1003F000B700080073A00010B72001909380800020 +:1004000037615A5B1301819583A000006390201629 +:10041000B700080073B00010930E0000130E4001E7 +:10042000B7400190938000383701EEAA13015100C4 +:1004300023A0200083A00000639A2012B7000400CC +:1004400073B00010930E1000170F0000130F8F01F0 +:10045000B71001909380806423A010006F000011FA +:10046000130E2003930E0000B700040073A00010C9 +:10047000732500187310001897200000938080B82F +:1004800037010080135121001361F10123A02000E6 +:10049000731005189300001073B00010970000004F +:1004A0009380800173901014730000127300201069 +:1004B0006F00C00B130E3003B710019093808000C3 +:1004C00037615A5B1301819583A000006390200A75 +:1004D000B7A000A0938040323701EEAA130181003B +:1004E00023A0200083A0000063922008130E400385 +:1004F00097000000938080013721019013010101D2 +:10050000670001006F008006130E5003930E100069 +:10051000170F0000130F8F01B7400190938000392F +:10052000678000006F008004130E6003930E1000BC +:10053000170F0000130F8F01B740019093804039CF +:1005400083A000006F008002130E7003930E100052 +:10055000170F0000130F8F01B7400190938080396F +:1005600023A010006F0080006F008001930E000038 +:1005700073000000370110F0130141F22320C10184 +:10058000930E200073000000370110F0130101F2F8 +:1005900023200100E3800EFEF3202034F3201034EA +:1005A000F3200030F320303493002000E38E1EFC53 +:1005B00073101F347300203013000000130000007C +:1005C00013000000130000001300000013000000DF +:1005D00013000000130000001300000013000000CF +:1005E00013000000130000001300000013000000BF +:1005F00013000000130000001300000013000000AF +:10060000130000001300000013000000130000009E +:10061000130000001300000013000000130000008E +:10062000130000001300000013000000130000007E +:10063000130000001300000013000000130000006E +:10064000130000001300000013000000130000005E +:10065000130000001300000013000000130000004E +:10066000130000001300000013000000130000003E +:10067000130000001300000013000000130000002E +:10068000130000001300000013000000130000001E +:10069000130000001300000013000000130000000E +:1006A00013000000130000001300000013000000FE +:1006B00013000000130000001300000013000000EE +:1006C00013000000130000001300000013000000DE +:1006D00013000000130000001300000013000000CE +:1006E00013000000130000001300000013000000BE +:1006F00013000000130000001300000013000000AE +:10070000130000001300000013000000130000009D +:10071000130000001300000013000000130000008D +:10072000130000001300000013000000130000007D +:10073000130000001300000013000000130000006D +:10074000130000001300000013000000130000005D +:10075000130000001300000013000000130000004D +:10076000130000001300000013000000130000003D +:10077000130000001300000013000000130000002D +:10078000130000001300000013000000130000001D +:10079000130000001300000013000000130000000D +:1007A00013000000130000001300000013000000FD +:1007B00013000000130000001300000013000000ED +:1007C00013000000130000001300000013000000DD +:1007D00013000000130000001300000013000000CD +:1007E00013000000130000001300000013000000BD +:1007F00013000000130000001300000013000000AD +:10080000130000001300000013000000130000009C +:10081000130000001300000013000000130000008C +:10082000130000001300000013000000130000007C +:10083000130000001300000013000000130000006C +:10084000130000001300000013000000130000005C +:10085000130000001300000013000000130000004C +:10086000130000001300000013000000130000003C +:10087000130000001300000013000000130000002C +:10088000130000001300000013000000130000001C +:10089000130000001300000013000000130000000C +:1008A00013000000130000001300000013000000FC +:1008B00013000000130000001300000013000000EC +:1008C00013000000130000001300000013000000DC +:1008D00013000000130000001300000013000000CC +:1008E00013000000130000001300000013000000BC +:1008F00013000000130000001300000013000000AC +:10090000130000001300000013000000130000009B +:10091000130000001300000013000000130000008B +:10092000130000001300000013000000130000007B +:10093000130000001300000013000000130000006B +:10094000130000001300000013000000130000005B +:10095000130000001300000013000000130000004B +:10096000130000001300000013000000130000003B +:10097000130000001300000013000000130000002B +:10098000130000001300000013000000130000001B +:10099000130000001300000013000000130000000B +:1009A00013000000130000001300000013000000FB +:1009B00013000000130000001300000013000000EB +:1009C00013000000130000001300000013000000DB +:1009D00013000000130000001300000013000000CB +:1009E00013000000130000001300000013000000BB +:1009F00013000000130000001300000013000000AB +:100A0000130000001300000013000000130000009A +:100A1000130000001300000013000000130000008A +:100A2000130000001300000013000000130000007A +:100A3000130000001300000013000000130000006A +:100A4000130000001300000013000000130000005A +:100A5000130000001300000013000000130000004A +:100A6000130000001300000013000000130000003A +:100A7000130000001300000013000000130000002A +:100A8000130000001300000013000000130000001A +:100A9000130000001300000013000000130000000A +:100AA00013000000130000001300000013000000FA +:100AB00013000000130000001300000013000000EA +:100AC00013000000130000001300000013000000DA +:100AD00013000000130000001300000013000000CA +:100AE00013000000130000001300000013000000BA +:100AF00013000000130000001300000013000000AA +:100B00001300000013000000130000001300000099 +:100B10001300000013000000130000001300000089 +:100B20001300000013000000130000001300000079 +:100B30001300000013000000130000001300000069 +:100B40001300000013000000130000001300000059 +:100B50001300000013000000130000001300000049 +:100B60001300000013000000130000001300000039 +:100B70001300000013000000130000001300000029 +:100B80001300000013000000130000001300000019 +:100B90001300000013000000130000001300000009 +:100BA00013000000130000001300000013000000F9 +:100BB00013000000130000001300000013000000E9 +:100BC00013000000130000001300000013000000D9 +:100BD00013000000130000001300000013000000C9 +:100BE00013000000130000001300000013000000B9 +:100BF00013000000130000001300000013000000A9 +:100C00001300000013000000130000001300000098 +:100C10001300000013000000130000001300000088 +:100C20001300000013000000130000001300000078 +:100C30001300000013000000130000001300000068 +:100C40001300000013000000130000001300000058 +:100C50001300000013000000130000001300000048 +:100C60001300000013000000130000001300000038 +:100C70001300000013000000130000001300000028 +:100C80001300000013000000130000001300000018 +:100C90001300000013000000130000001300000008 +:100CA00013000000130000001300000013000000F8 +:100CB00013000000130000001300000013000000E8 +:100CC00013000000130000001300000013000000D8 +:100CD00013000000130000001300000013000000C8 +:100CE00013000000130000001300000013000000B8 +:100CF00013000000130000001300000013000000A8 +:100D00001300000013000000130000001300000097 +:100D10001300000013000000130000001300000087 +:100D20001300000013000000130000001300000077 +:100D30001300000013000000130000001300000067 +:100D40001300000013000000130000001300000057 +:100D50001300000013000000130000001300000047 +:100D60001300000013000000130000001300000037 +:100D70001300000013000000130000001300000027 +:100D80001300000013000000130000001300000017 +:100D90001300000013000000130000001300000007 +:100DA00013000000130000001300000013000000F7 +:100DB00013000000130000001300000013000000E7 +:100DC00013000000130000001300000013000000D7 +:100DD00013000000130000001300000013000000C7 +:100DE00013000000130000001300000013000000B7 +:100DF00013000000130000001300000013000000A7 +:100E00001300000013000000130000001300000096 +:100E10001300000013000000130000001300000086 +:100E20001300000013000000130000001300000076 +:100E30001300000013000000130000001300000066 +:100E40001300000013000000130000001300000056 +:100E50001300000013000000130000001300000046 +:100E60001300000013000000130000001300000036 +:100E70001300000013000000130000001300000026 +:100E80001300000013000000130000001300000016 +:100E90001300000013000000130000001300000006 +:100EA00013000000130000001300000013000000F6 +:100EB00013000000130000001300000013000000E6 +:100EC00013000000130000001300000013000000D6 +:100ED00013000000130000001300000013000000C6 +:100EE00013000000130000001300000013000000B6 +:100EF00013000000130000001300000013000000A6 +:100F00001300000013000000130000001300000095 +:100F10001300000013000000130000001300000085 +:100F20001300000013000000130000001300000075 +:100F30001300000013000000130000001300000065 +:100F40001300000013000000130000001300000055 +:100F50001300000013000000130000001300000045 +:100F60001300000013000000130000001300000035 +:100F70001300000013000000130000001300000025 +:100F80001300000013000000130000001300000015 +:100F90001300000013000000130000001300000005 +:100FA00013000000130000001300000013000000F5 +:100FB00013000000130000001300000013000000E5 +:100FC00013000000130000001300000013000000D5 +:100FD00013000000130000001300000013000000C5 +:100FE00013000000130000001300000013000000B5 +:100FF00013000000130000001300000013000000A5 +:1010000000000000130000001300000013000000A7 +:101010001300000013000000130000001300000084 +:101020001300000013000000130000001300000074 +:101030001300000013000000130000001300000064 +:101040001300000013000000130000001300000054 +:101050001300000013000000130000001300000044 +:101060001300000013000000130000001300000034 +:101070001300000013000000130000001300000024 +:101080001300000013000000130000001300000014 +:101090001300000013000000130000001300000004 +:1010A00013000000130000001300000013000000F4 +:1010B00013000000130000001300000013000000E4 +:1010C00013000000130000001300000013000000D4 +:1010D00013000000130000001300000013000000C4 +:1010E00013000000130000001300000013000000B4 +:1010F00013000000130000001300000013000000A4 +:101100001300000013000000130000001300000093 +:101110001300000013000000130000001300000083 +:101120001300000013000000130000001300000073 +:101130001300000013000000130000001300000063 +:101140001300000013000000130000001300000053 +:101150001300000013000000130000001300000043 +:101160001300000013000000130000001300000033 +:101170001300000013000000130000001300000023 +:101180001300000013000000130000001300000013 +:101190001300000013000000130000001300000003 +:1011A00013000000130000001300000013000000F3 +:1011B00013000000130000001300000013000000E3 +:1011C00013000000130000001300000013000000D3 +:1011D00013000000130000001300000013000000C3 +:1011E00013000000130000001300000013000000B3 +:1011F00013000000130000001300000013000000A3 +:101200001300000013000000130000001300000092 +:101210001300000013000000130000001300000082 +:101220001300000013000000130000001300000072 +:101230001300000013000000130000001300000062 +:101240001300000013000000130000001300000052 +:101250001300000013000000130000001300000042 +:101260001300000013000000130000001300000032 +:101270001300000013000000130000001300000022 +:101280001300000013000000130000001300000012 +:101290001300000013000000130000001300000002 +:1012A00013000000130000001300000013000000F2 +:1012B00013000000130000001300000013000000E2 +:1012C00013000000130000001300000013000000D2 +:1012D00013000000130000001300000013000000C2 +:1012E00013000000130000001300000013000000B2 +:1012F00013000000130000001300000013000000A2 +:101300001300000013000000130000001300000091 +:101310001300000013000000130000001300000081 +:101320001300000013000000130000001300000071 +:101330001300000013000000130000001300000061 +:101340001300000013000000130000001300000051 +:101350001300000013000000130000001300000041 +:101360001300000013000000130000001300000031 +:101370001300000013000000130000001300000021 +:101380001300000013000000130000001300000011 +:101390001300000013000000130000001300000001 +:1013A00013000000130000001300000013000000F1 +:1013B00013000000130000001300000013000000E1 +:1013C00013000000130000001300000013000000D1 +:1013D00013000000130000001300000013000000C1 +:1013E00013000000130000001300000013000000B1 +:1013F00013000000130000001300000013000000A1 +:101400001300000013000000130000001300000090 +:101410001300000013000000130000001300000080 +:101420001300000013000000130000001300000070 +:101430001300000013000000130000001300000060 +:101440001300000013000000130000001300000050 +:101450001300000013000000130000001300000040 +:101460001300000013000000130000001300000030 +:101470001300000013000000130000001300000020 +:101480001300000013000000130000001300000010 +:101490001300000013000000130000001300000000 +:1014A00013000000130000001300000013000000F0 +:1014B00013000000130000001300000013000000E0 +:1014C00013000000130000001300000013000000D0 +:1014D00013000000130000001300000013000000C0 +:1014E00013000000130000001300000013000000B0 +:1014F00013000000130000001300000013000000A0 +:10150000130000001300000013000000130000008F +:10151000130000001300000013000000130000007F +:10152000130000001300000013000000130000006F +:10153000130000001300000013000000130000005F +:10154000130000001300000013000000130000004F +:10155000130000001300000013000000130000003F +:10156000130000001300000013000000130000002F +:10157000130000001300000013000000130000001F +:10158000130000001300000013000000130000000F +:1015900013000000130000001300000013000000FF +:1015A00013000000130000001300000013000000EF +:1015B00013000000130000001300000013000000DF +:1015C00013000000130000001300000013000000CF +:1015D00013000000130000001300000013000000BF +:1015E00013000000130000001300000013000000AF +:1015F000130000001300000013000000130000009F +:10160000130000001300000013000000130000008E +:10161000130000001300000013000000130000007E +:10162000130000001300000013000000130000006E +:10163000130000001300000013000000130000005E +:10164000130000001300000013000000130000004E +:10165000130000001300000013000000130000003E +:10166000130000001300000013000000130000002E +:10167000130000001300000013000000130000001E +:10168000130000001300000013000000130000000E +:1016900013000000130000001300000013000000FE +:1016A00013000000130000001300000013000000EE +:1016B00013000000130000001300000013000000DE +:1016C00013000000130000001300000013000000CE +:1016D00013000000130000001300000013000000BE +:1016E00013000000130000001300000013000000AE +:1016F000130000001300000013000000130000009E +:10170000130000001300000013000000130000008D +:10171000130000001300000013000000130000007D +:10172000130000001300000013000000130000006D +:10173000130000001300000013000000130000005D +:10174000130000001300000013000000130000004D +:10175000130000001300000013000000130000003D +:10176000130000001300000013000000130000002D +:10177000130000001300000013000000130000001D +:10178000130000001300000013000000130000000D +:1017900013000000130000001300000013000000FD +:1017A00013000000130000001300000013000000ED +:1017B00013000000130000001300000013000000DD +:1017C00013000000130000001300000013000000CD +:1017D00013000000130000001300000013000000BD +:1017E00013000000130000001300000013000000AD +:1017F000130000001300000013000000130000009D +:10180000130000001300000013000000130000008C +:10181000130000001300000013000000130000007C +:10182000130000001300000013000000130000006C +:10183000130000001300000013000000130000005C +:10184000130000001300000013000000130000004C +:10185000130000001300000013000000130000003C +:10186000130000001300000013000000130000002C +:10187000130000001300000013000000130000001C +:10188000130000001300000013000000130000000C +:1018900013000000130000001300000013000000FC +:1018A00013000000130000001300000013000000EC +:1018B00013000000130000001300000013000000DC +:1018C00013000000130000001300000013000000CC +:1018D00013000000130000001300000013000000BC +:1018E00013000000130000001300000013000000AC +:1018F000130000001300000013000000130000009C +:10190000130000001300000013000000130000008B +:10191000130000001300000013000000130000007B +:10192000130000001300000013000000130000006B +:10193000130000001300000013000000130000005B +:10194000130000001300000013000000130000004B +:10195000130000001300000013000000130000003B +:10196000130000001300000013000000130000002B +:10197000130000001300000013000000130000001B +:10198000130000001300000013000000130000000B +:1019900013000000130000001300000013000000FB +:1019A00013000000130000001300000013000000EB +:1019B00013000000130000001300000013000000DB +:1019C00013000000130000001300000013000000CB +:1019D00013000000130000001300000013000000BB +:1019E00013000000130000001300000013000000AB +:1019F000130000001300000013000000130000009B +:101A0000130000001300000013000000130000008A +:101A1000130000001300000013000000130000007A +:101A2000130000001300000013000000130000006A +:101A3000130000001300000013000000130000005A +:101A4000130000001300000013000000130000004A +:101A5000130000001300000013000000130000003A +:101A6000130000001300000013000000130000002A +:101A7000130000001300000013000000130000001A +:101A8000130000001300000013000000130000000A +:101A900013000000130000001300000013000000FA +:101AA00013000000130000001300000013000000EA +:101AB00013000000130000001300000013000000DA +:101AC00013000000130000001300000013000000CA +:101AD00013000000130000001300000013000000BA +:101AE00013000000130000001300000013000000AA +:101AF000130000001300000013000000130000009A +:101B00001300000013000000130000001300000089 +:101B10001300000013000000130000001300000079 +:101B20001300000013000000130000001300000069 +:101B30001300000013000000130000001300000059 +:101B40001300000013000000130000001300000049 +:101B50001300000013000000130000001300000039 +:101B60001300000013000000130000001300000029 +:101B70001300000013000000130000001300000019 +:101B80001300000013000000130000001300000009 +:101B900013000000130000001300000013000000F9 +:101BA00013000000130000001300000013000000E9 +:101BB00013000000130000001300000013000000D9 +:101BC00013000000130000001300000013000000C9 +:101BD00013000000130000001300000013000000B9 +:101BE00013000000130000001300000013000000A9 +:101BF0001300000013000000130000001300000099 +:101C00001300000013000000130000001300000088 +:101C10001300000013000000130000001300000078 +:101C20001300000013000000130000001300000068 +:101C30001300000013000000130000001300000058 +:101C40001300000013000000130000001300000048 +:101C50001300000013000000130000001300000038 +:101C60001300000013000000130000001300000028 +:101C70001300000013000000130000001300000018 +:101C80001300000013000000130000001300000008 +:101C900013000000130000001300000013000000F8 +:101CA00013000000130000001300000013000000E8 +:101CB00013000000130000001300000013000000D8 +:101CC00013000000130000001300000013000000C8 +:101CD00013000000130000001300000013000000B8 +:101CE00013000000130000001300000013000000A8 +:101CF0001300000013000000130000001300000098 +:101D00001300000013000000130000001300000087 +:101D10001300000013000000130000001300000077 +:101D20001300000013000000130000001300000067 +:101D30001300000013000000130000001300000057 +:101D40001300000013000000130000001300000047 +:101D50001300000013000000130000001300000037 +:101D60001300000013000000130000001300000027 +:101D70001300000013000000130000001300000017 +:101D80001300000013000000130000001300000007 +:101D900013000000130000001300000013000000F7 +:101DA00013000000130000001300000013000000E7 +:101DB00013000000130000001300000013000000D7 +:101DC00013000000130000001300000013000000C7 +:101DD00013000000130000001300000013000000B7 +:101DE00013000000130000001300000013000000A7 +:101DF0001300000013000000130000001300000097 +:101E00001300000013000000130000001300000086 +:101E10001300000013000000130000001300000076 +:101E20001300000013000000130000001300000066 +:101E30001300000013000000130000001300000056 +:101E40001300000013000000130000001300000046 +:101E50001300000013000000130000001300000036 +:101E60001300000013000000130000001300000026 +:101E70001300000013000000130000001300000016 +:101E80001300000013000000130000001300000006 +:101E900013000000130000001300000013000000F6 +:101EA00013000000130000001300000013000000E6 +:101EB00013000000130000001300000013000000D6 +:101EC00013000000130000001300000013000000C6 +:101ED00013000000130000001300000013000000B6 +:101EE00013000000130000001300000013000000A6 +:101EF0001300000013000000130000001300000096 +:101F00001300000013000000130000001300000085 +:101F10001300000013000000130000001300000075 +:101F20001300000013000000130000001300000065 +:101F30001300000013000000130000001300000055 +:101F40001300000013000000130000001300000045 +:101F50001300000013000000130000001300000035 +:101F60001300000013000000130000001300000025 +:101F70001300000013000000130000001300000015 +:101F80001300000013000000130000001300000005 +:101F900013000000130000001300000013000000F5 +:101FA00013000000130000001300000013000000E5 +:101FB00013000000130000001300000013000000D5 +:101FC00013000000130000001300000013000000C5 +:101FD00013000000130000001300000013000000B5 +:101FE00013000000130000001300000013000000A5 +:101FF0001300000013000000130000001300000095 +:102000000000000013000000130000001300000097 +:102010001300000013000000130000001300000074 +:102020001300000013000000130000001300000064 +:102030001300000013000000130000001300000054 +:102040001300000013000000130000001300000044 +:102050001300000013000000130000001300000034 +:102060001300000013000000130000001300000024 +:102070001300000013000000130000001300000014 +:102080001300000013000000130000001300000004 +:1020900013000000130000001300000013000000F4 +:1020A00013000000130000001300000013000000E4 +:1020B00013000000130000001300000013000000D4 +:1020C00013000000130000001300000013000000C4 +:1020D00013000000130000001300000013000000B4 +:1020E00013000000130000001300000013000000A4 +:1020F0001300000013000000130000001300000094 +:102100001300000013000000130000001300000083 +:102110001300000013000000130000001300000073 +:102120001300000013000000130000001300000063 +:102130001300000013000000130000001300000053 +:102140001300000013000000130000001300000043 +:102150001300000013000000130000001300000033 +:102160001300000013000000130000001300000023 +:102170001300000013000000130000001300000013 +:102180001300000013000000130000001300000003 +:1021900013000000130000001300000013000000F3 +:1021A00013000000130000001300000013000000E3 +:1021B00013000000130000001300000013000000D3 +:1021C00013000000130000001300000013000000C3 +:1021D00013000000130000001300000013000000B3 +:1021E00013000000130000001300000013000000A3 +:1021F0001300000013000000130000001300000093 +:102200001300000013000000130000001300000082 +:102210001300000013000000130000001300000072 +:102220001300000013000000130000001300000062 +:102230001300000013000000130000001300000052 +:102240001300000013000000130000001300000042 +:102250001300000013000000130000001300000032 +:102260001300000013000000130000001300000022 +:102270001300000013000000130000001300000012 +:102280001300000013000000130000001300000002 +:1022900013000000130000001300000013000000F2 +:1022A00013000000130000001300000013000000E2 +:1022B00013000000130000001300000013000000D2 +:1022C00013000000130000001300000013000000C2 +:1022D00013000000130000001300000013000000B2 +:1022E00013000000130000001300000013000000A2 +:1022F0001300000013000000130000001300000092 +:102300001300000013000000130000001300000081 +:102310001300000013000000130000001300000071 +:102320001300000013000000130000001300000061 +:102330001300000013000000130000001300000051 +:102340001300000013000000130000001300000041 +:102350001300000013000000130000001300000031 +:102360001300000013000000130000001300000021 +:102370001300000013000000130000001300000011 +:102380001300000013000000130000001300000001 +:1023900013000000130000001300000013000000F1 +:1023A00013000000130000001300000013000000E1 +:1023B00013000000130000001300000013000000D1 +:1023C00013000000130000001300000013000000C1 +:1023D00013000000130000001300000013000000B1 +:1023E00013000000130000001300000013000000A1 +:1023F0001300000013000000130000001300000091 +:102400001300000013000000130000001300000080 +:102410001300000013000000130000001300000070 +:102420001300000013000000130000001300000060 +:102430001300000013000000130000001300000050 +:102440001300000013000000130000001300000040 +:102450001300000013000000130000001300000030 +:102460001300000013000000130000001300000020 +:102470001300000013000000130000001300000010 +:102480001300000013000000130000001300000000 +:1024900013000000130000001300000013000000F0 +:1024A00013000000130000001300000013000000E0 +:1024B00013000000130000001300000013000000D0 +:1024C00013000000130000001300000013000000C0 +:1024D00013000000130000001300000013000000B0 +:1024E00013000000130000001300000013000000A0 +:1024F0001300000013000000130000001300000090 +:10250000130000001300000013000000130000007F +:10251000130000001300000013000000130000006F +:10252000130000001300000013000000130000005F +:10253000130000001300000013000000130000004F +:10254000130000001300000013000000130000003F +:10255000130000001300000013000000130000002F +:10256000130000001300000013000000130000001F +:10257000130000001300000013000000130000000F +:1025800013000000130000001300000013000000FF +:1025900013000000130000001300000013000000EF +:1025A00013000000130000001300000013000000DF +:1025B00013000000130000001300000013000000CF +:1025C00013000000130000001300000013000000BF +:1025D00013000000130000001300000013000000AF +:1025E000130000001300000013000000130000009F +:1025F000130000001300000013000000130000008F +:10260000130000001300000013000000130000007E +:10261000130000001300000013000000130000006E +:10262000130000001300000013000000130000005E +:10263000130000001300000013000000130000004E +:10264000130000001300000013000000130000003E +:10265000130000001300000013000000130000002E +:10266000130000001300000013000000130000001E +:10267000130000001300000013000000130000000E +:1026800013000000130000001300000013000000FE +:1026900013000000130000001300000013000000EE +:1026A00013000000130000001300000013000000DE +:1026B00013000000130000001300000013000000CE +:1026C00013000000130000001300000013000000BE +:1026D00013000000130000001300000013000000AE +:1026E000130000001300000013000000130000009E +:1026F000130000001300000013000000130000008E +:10270000130000001300000013000000130000007D +:10271000130000001300000013000000130000006D +:10272000130000001300000013000000130000005D +:10273000130000001300000013000000130000004D +:10274000130000001300000013000000130000003D +:10275000130000001300000013000000130000002D +:10276000130000001300000013000000130000001D +:10277000130000001300000013000000130000000D +:1027800013000000130000001300000013000000FD +:1027900013000000130000001300000013000000ED +:1027A00013000000130000001300000013000000DD +:1027B00013000000130000001300000013000000CD +:1027C00013000000130000001300000013000000BD +:1027D00013000000130000001300000013000000AD +:1027E000130000001300000013000000130000009D +:1027F000130000001300000013000000130000008D +:10280000130000001300000013000000130000007C +:10281000130000001300000013000000130000006C +:10282000130000001300000013000000130000005C +:10283000130000001300000013000000130000004C +:10284000130000001300000013000000130000003C +:10285000130000001300000013000000130000002C +:10286000130000001300000013000000130000001C +:10287000130000001300000013000000130000000C +:1028800013000000130000001300000013000000FC +:1028900013000000130000001300000013000000EC +:1028A00013000000130000001300000013000000DC +:1028B00013000000130000001300000013000000CC +:1028C00013000000130000001300000013000000BC +:1028D00013000000130000001300000013000000AC +:1028E000130000001300000013000000130000009C +:1028F000130000001300000013000000130000008C +:10290000130000001300000013000000130000007B +:10291000130000001300000013000000130000006B +:10292000130000001300000013000000130000005B +:10293000130000001300000013000000130000004B +:10294000130000001300000013000000130000003B +:10295000130000001300000013000000130000002B +:10296000130000001300000013000000130000001B +:10297000130000001300000013000000130000000B +:1029800013000000130000001300000013000000FB +:1029900013000000130000001300000013000000EB +:1029A00013000000130000001300000013000000DB +:1029B00013000000130000001300000013000000CB +:1029C00013000000130000001300000013000000BB +:1029D00013000000130000001300000013000000AB +:1029E000130000001300000013000000130000009B +:1029F000130000001300000013000000130000008B +:102A0000130000001300000013000000130000007A +:102A1000130000001300000013000000130000006A +:102A2000130000001300000013000000130000005A +:102A3000130000001300000013000000130000004A +:102A4000130000001300000013000000130000003A +:102A5000130000001300000013000000130000002A +:102A6000130000001300000013000000130000001A +:102A7000130000001300000013000000130000000A +:102A800013000000130000001300000013000000FA +:102A900013000000130000001300000013000000EA +:102AA00013000000130000001300000013000000DA +:102AB00013000000130000001300000013000000CA +:102AC00013000000130000001300000013000000BA +:102AD00013000000130000001300000013000000AA +:102AE000130000001300000013000000130000009A +:102AF000130000001300000013000000130000008A +:102B00001300000013000000130000001300000079 +:102B10001300000013000000130000001300000069 +:102B20001300000013000000130000001300000059 +:102B30001300000013000000130000001300000049 +:102B40001300000013000000130000001300000039 +:102B50001300000013000000130000001300000029 +:102B60001300000013000000130000001300000019 +:102B70001300000013000000130000001300000009 +:102B800013000000130000001300000013000000F9 +:102B900013000000130000001300000013000000E9 +:102BA00013000000130000001300000013000000D9 +:102BB00013000000130000001300000013000000C9 +:102BC00013000000130000001300000013000000B9 +:102BD00013000000130000001300000013000000A9 +:102BE0001300000013000000130000001300000099 +:102BF0001300000013000000130000001300000089 +:102C00001300000013000000130000001300000078 +:102C10001300000013000000130000001300000068 +:102C20001300000013000000130000001300000058 +:102C30001300000013000000130000001300000048 +:102C40001300000013000000130000001300000038 +:102C50001300000013000000130000001300000028 +:102C60001300000013000000130000001300000018 +:102C70001300000013000000130000001300000008 +:102C800013000000130000001300000013000000F8 +:102C900013000000130000001300000013000000E8 +:102CA00013000000130000001300000013000000D8 +:102CB00013000000130000001300000013000000C8 +:102CC00013000000130000001300000013000000B8 +:102CD00013000000130000001300000013000000A8 +:102CE0001300000013000000130000001300000098 +:102CF0001300000013000000130000001300000088 +:102D00001300000013000000130000001300000077 +:102D10001300000013000000130000001300000067 +:102D20001300000013000000130000001300000057 +:102D30001300000013000000130000001300000047 +:102D40001300000013000000130000001300000037 +:102D50001300000013000000130000001300000027 +:102D60001300000013000000130000001300000017 +:102D70001300000013000000130000001300000007 +:102D800013000000130000001300000013000000F7 +:102D900013000000130000001300000013000000E7 +:102DA00013000000130000001300000013000000D7 +:102DB00013000000130000001300000013000000C7 +:102DC00013000000130000001300000013000000B7 +:102DD00013000000130000001300000013000000A7 +:102DE0001300000013000000130000001300000097 +:102DF0001300000013000000130000001300000087 +:102E00001300000013000000130000001300000076 +:102E10001300000013000000130000001300000066 +:102E20001300000013000000130000001300000056 +:102E30001300000013000000130000001300000046 +:102E40001300000013000000130000001300000036 +:102E50001300000013000000130000001300000026 +:102E60001300000013000000130000001300000016 +:102E70001300000013000000130000001300000006 +:102E800013000000130000001300000013000000F6 +:102E900013000000130000001300000013000000E6 +:102EA00013000000130000001300000013000000D6 +:102EB00013000000130000001300000013000000C6 +:102EC00013000000130000001300000013000000B6 +:102ED00013000000130000001300000013000000A6 +:102EE0001300000013000000130000001300000096 +:102EF0001300000013000000130000001300000086 +:102F00001300000013000000130000001300000075 +:102F10001300000013000000130000001300000065 +:102F20001300000013000000130000001300000055 +:102F30001300000013000000130000001300000045 +:102F40001300000013000000130000001300000035 +:102F50001300000013000000130000001300000025 +:102F60001300000013000000130000001300000015 +:102F70001300000013000000130000001300000005 +:102F800013000000130000001300000013000000F5 +:102F900013000000130000001300000013000000E5 +:102FA00013000000130000001300000013000000D5 +:102FB00013000000130000001300000013000000C5 +:102FC00013000000130000001300000013000000B5 +:102FD00013000000130000001300000013000000A5 +:102FE0001300000013000000130000001300000095 +:102FF0001300000013000000130000001300000085 +:103000000000000013000000130000001300000087 +:103010001300000013000000130000001300000064 +:103020001300000013000000130000001300000054 +:103030001300000013000000130000001300000044 +:103040001300000013000000130000001300000034 +:103050001300000013000000130000001300000024 +:103060001300000013000000130000001300000014 +:103070001300000013000000130000001300000004 +:1030800013000000130000001300000013000000F4 +:1030900013000000130000001300000013000000E4 +:1030A00013000000130000001300000013000000D4 +:1030B00013000000130000001300000013000000C4 +:1030C00013000000130000001300000013000000B4 +:1030D00013000000130000001300000013000000A4 +:1030E0001300000013000000130000001300000094 +:1030F0001300000013000000130000001300000084 +:103100001300000013000000130000001300000073 +:103110001300000013000000130000001300000063 +:103120001300000013000000130000001300000053 +:103130001300000013000000130000001300000043 +:103140001300000013000000130000001300000033 +:103150001300000013000000130000001300000023 +:103160001300000013000000130000001300000013 +:103170001300000013000000130000001300000003 +:1031800013000000130000001300000013000000F3 +:1031900013000000130000001300000013000000E3 +:1031A00013000000130000001300000013000000D3 +:1031B00013000000130000001300000013000000C3 +:1031C00013000000130000001300000013000000B3 +:1031D00013000000130000001300000013000000A3 +:1031E0001300000013000000130000001300000093 +:1031F0001300000013000000130000001300000083 +:103200001300000013000000130000001300000072 +:103210001300000013000000130000001300000062 +:103220001300000013000000130000001300000052 +:103230001300000013000000130000001300000042 +:103240001300000013000000130000001300000032 +:103250001300000013000000130000001300000022 +:103260001300000013000000130000001300000012 +:103270001300000013000000130000001300000002 +:1032800013000000130000001300000013000000F2 +:1032900013000000130000001300000013000000E2 +:1032A00013000000130000001300000013000000D2 +:1032B00013000000130000001300000013000000C2 +:1032C00013000000130000001300000013000000B2 +:1032D00013000000130000001300000013000000A2 +:1032E0001300000013000000130000001300000092 +:1032F0001300000013000000130000001300000082 +:103300001300000013000000130000001300000071 +:103310001300000013000000130000001300000061 +:103320001300000013000000130000001300000051 +:103330001300000013000000130000001300000041 +:103340001300000013000000130000001300000031 +:103350001300000013000000130000001300000021 +:103360001300000013000000130000001300000011 +:103370001300000013000000130000001300000001 +:1033800013000000130000001300000013000000F1 +:1033900013000000130000001300000013000000E1 +:1033A00013000000130000001300000013000000D1 +:1033B00013000000130000001300000013000000C1 +:1033C00013000000130000001300000013000000B1 +:1033D00013000000130000001300000013000000A1 +:1033E0001300000013000000130000001300000091 +:1033F0001300000013000000130000001300000081 +:103400001300000013000000130000001300000070 +:103410001300000013000000130000001300000060 +:103420001300000013000000130000001300000050 +:103430001300000013000000130000001300000040 +:103440001300000013000000130000001300000030 +:103450001300000013000000130000001300000020 +:103460001300000013000000130000001300000010 +:103470001300000013000000130000001300000000 +:1034800013000000130000001300000013000000F0 +:1034900013000000130000001300000013000000E0 +:1034A00013000000130000001300000013000000D0 +:1034B00013000000130000001300000013000000C0 +:1034C00013000000130000001300000013000000B0 +:1034D00013000000130000001300000013000000A0 +:1034E0001300000013000000130000001300000090 +:1034F0001300000013000000130000001300000080 +:10350000130000001300000013000000130000006F +:10351000130000001300000013000000130000005F +:10352000130000001300000013000000130000004F +:10353000130000001300000013000000130000003F +:10354000130000001300000013000000130000002F +:10355000130000001300000013000000130000001F +:10356000130000001300000013000000130000000F +:1035700013000000130000001300000013000000FF +:1035800013000000130000001300000013000000EF +:1035900013000000130000001300000013000000DF +:1035A00013000000130000001300000013000000CF +:1035B00013000000130000001300000013000000BF +:1035C00013000000130000001300000013000000AF +:1035D000130000001300000013000000130000009F +:1035E000130000001300000013000000130000008F +:1035F000130000001300000013000000130000007F +:10360000130000001300000013000000130000006E +:10361000130000001300000013000000130000005E +:10362000130000001300000013000000130000004E +:10363000130000001300000013000000130000003E +:10364000130000001300000013000000130000002E +:10365000130000001300000013000000130000001E +:10366000130000001300000013000000130000000E +:1036700013000000130000001300000013000000FE +:1036800013000000130000001300000013000000EE +:1036900013000000130000001300000013000000DE +:1036A00013000000130000001300000013000000CE +:1036B00013000000130000001300000013000000BE +:1036C00013000000130000001300000013000000AE +:1036D000130000001300000013000000130000009E +:1036E000130000001300000013000000130000008E +:1036F000130000001300000013000000130000007E +:10370000130000001300000013000000130000006D +:10371000130000001300000013000000130000005D +:10372000130000001300000013000000130000004D +:10373000130000001300000013000000130000003D +:10374000130000001300000013000000130000002D +:10375000130000001300000013000000130000001D +:10376000130000001300000013000000130000000D +:1037700013000000130000001300000013000000FD +:1037800013000000130000001300000013000000ED +:1037900013000000130000001300000013000000DD +:1037A00013000000130000001300000013000000CD +:1037B00013000000130000001300000013000000BD +:1037C00013000000130000001300000013000000AD +:1037D000130000001300000013000000130000009D +:1037E000130000001300000013000000130000008D +:1037F000130000001300000013000000130000007D +:10380000130000001300000013000000130000006C +:10381000130000001300000013000000130000005C +:10382000130000001300000013000000130000004C +:10383000130000001300000013000000130000003C +:10384000130000001300000013000000130000002C +:10385000130000001300000013000000130000001C +:10386000130000001300000013000000130000000C +:1038700013000000130000001300000013000000FC +:1038800013000000130000001300000013000000EC +:1038900013000000130000001300000013000000DC +:1038A00013000000130000001300000013000000CC +:1038B00013000000130000001300000013000000BC +:1038C00013000000130000001300000013000000AC +:1038D000130000001300000013000000130000009C +:1038E000130000001300000013000000130000008C +:1038F000130000001300000013000000130000007C +:10390000130000001300000013000000130000006B +:10391000130000001300000013000000130000005B +:10392000130000001300000013000000130000004B +:10393000130000001300000013000000130000003B +:10394000130000001300000013000000130000002B +:10395000130000001300000013000000130000001B +:10396000130000001300000013000000130000000B +:1039700013000000130000001300000013000000FB +:1039800013000000130000001300000013000000EB +:1039900013000000130000001300000013000000DB +:1039A00013000000130000001300000013000000CB +:1039B00013000000130000001300000013000000BB +:1039C00013000000130000001300000013000000AB +:1039D000130000001300000013000000130000009B +:1039E000130000001300000013000000130000008B +:1039F000130000001300000013000000130000007B +:103A0000130000001300000013000000130000006A +:103A1000130000001300000013000000130000005A +:103A2000130000001300000013000000130000004A +:103A3000130000001300000013000000130000003A +:103A4000130000001300000013000000130000002A +:103A5000130000001300000013000000130000001A +:103A6000130000001300000013000000130000000A +:103A700013000000130000001300000013000000FA +:103A800013000000130000001300000013000000EA +:103A900013000000130000001300000013000000DA +:103AA00013000000130000001300000013000000CA +:103AB00013000000130000001300000013000000BA +:103AC00013000000130000001300000013000000AA +:103AD000130000001300000013000000130000009A +:103AE000130000001300000013000000130000008A +:103AF000130000001300000013000000130000007A +:103B00001300000013000000130000001300000069 +:103B10001300000013000000130000001300000059 +:103B20001300000013000000130000001300000049 +:103B30001300000013000000130000001300000039 +:103B40001300000013000000130000001300000029 +:103B50001300000013000000130000001300000019 +:103B60001300000013000000130000001300000009 +:103B700013000000130000001300000013000000F9 +:103B800013000000130000001300000013000000E9 +:103B900013000000130000001300000013000000D9 +:103BA00013000000130000001300000013000000C9 +:103BB00013000000130000001300000013000000B9 +:103BC00013000000130000001300000013000000A9 +:103BD0001300000013000000130000001300000099 +:103BE0001300000013000000130000001300000089 +:103BF0001300000013000000130000001300000079 +:103C00001300000013000000130000001300000068 +:103C10001300000013000000130000001300000058 +:103C20001300000013000000130000001300000048 +:103C30001300000013000000130000001300000038 +:103C40001300000013000000130000001300000028 +:103C50001300000013000000130000001300000018 +:103C60001300000013000000130000001300000008 +:103C700013000000130000001300000013000000F8 +:103C800013000000130000001300000013000000E8 +:103C900013000000130000001300000013000000D8 +:103CA00013000000130000001300000013000000C8 +:103CB00013000000130000001300000013000000B8 +:103CC00013000000130000001300000013000000A8 +:103CD0001300000013000000130000001300000098 +:103CE0001300000013000000130000001300000088 +:103CF0001300000013000000130000001300000078 +:103D00001300000013000000130000001300000067 +:103D10001300000013000000130000001300000057 +:103D20001300000013000000130000001300000047 +:103D30001300000013000000130000001300000037 +:103D40001300000013000000130000001300000027 +:103D50001300000013000000130000001300000017 +:103D60001300000013000000130000001300000007 +:103D700013000000130000001300000013000000F7 +:103D800013000000130000001300000013000000E7 +:103D900013000000130000001300000013000000D7 +:103DA00013000000130000001300000013000000C7 +:103DB00013000000130000001300000013000000B7 +:103DC00013000000130000001300000013000000A7 +:103DD0001300000013000000130000001300000097 +:103DE0001300000013000000130000001300000087 +:103DF0001300000013000000130000001300000077 +:103E00001300000013000000130000001300000066 +:103E10001300000013000000130000001300000056 +:103E20001300000013000000130000001300000046 +:103E30001300000013000000130000001300000036 +:103E40001300000013000000130000001300000026 +:103E50001300000013000000130000001300000016 +:103E60001300000013000000130000001300000006 +:103E700013000000130000001300000013000000F6 +:103E800013000000130000001300000013000000E6 +:103E900013000000130000001300000013000000D6 +:103EA00013000000130000001300000013000000C6 +:103EB00013000000130000001300000013000000B6 +:103EC00013000000130000001300000013000000A6 +:103ED0001300000013000000130000001300000096 +:103EE0001300000013000000130000001300000086 +:103EF0001300000013000000130000001300000076 +:103F00001300000013000000130000001300000065 +:103F10001300000013000000130000001300000055 +:103F20001300000013000000130000001300000045 +:103F30001300000013000000130000001300000035 +:103F40001300000013000000130000001300000025 +:103F50001300000013000000130000001300000015 +:103F60001300000013000000130000001300000005 +:103F700013000000130000001300000013000000F5 +:103F800013000000130000001300000013000000E5 +:103F900013000000130000001300000013000000D5 +:103FA00013000000130000001300000013000000C5 +:103FB00013000000130000001300000013000000B5 +:103FC00013000000130000001300000013000000A5 +:103FD0001300000013000000130000001300000095 +:103FE0001300000013000000130000001300000085 +:103FF0001300000013000000130000001300000075 +:104000000000000013000000130000001300000077 +:104010001300000013000000130000001300000054 +:104020001300000013000000130000001300000044 +:104030001300000013000000130000001300000034 +:104040001300000013000000130000001300000024 +:104050001300000013000000130000001300000014 +:104060001300000013000000130000001300000004 +:1040700013000000130000001300000013000000F4 +:1040800013000000130000001300000013000000E4 +:1040900013000000130000001300000013000000D4 +:1040A00013000000130000001300000013000000C4 +:1040B00013000000130000001300000013000000B4 +:1040C00013000000130000001300000013000000A4 +:1040D0001300000013000000130000001300000094 +:1040E0001300000013000000130000001300000084 +:1040F0001300000013000000130000001300000074 +:104100001300000013000000130000001300000063 +:104110001300000013000000130000001300000053 +:104120001300000013000000130000001300000043 +:104130001300000013000000130000001300000033 +:104140001300000013000000130000001300000023 +:104150001300000013000000130000001300000013 +:104160001300000013000000130000001300000003 +:1041700013000000130000001300000013000000F3 +:1041800013000000130000001300000013000000E3 +:1041900013000000130000001300000013000000D3 +:1041A00013000000130000001300000013000000C3 +:1041B00013000000130000001300000013000000B3 +:1041C00013000000130000001300000013000000A3 +:1041D0001300000013000000130000001300000093 +:1041E0001300000013000000130000001300000083 +:1041F0001300000013000000130000001300000073 +:104200001300000013000000130000001300000062 +:104210001300000013000000130000001300000052 +:104220001300000013000000130000001300000042 +:104230001300000013000000130000001300000032 +:104240001300000013000000130000001300000022 +:104250001300000013000000130000001300000012 +:104260001300000013000000130000001300000002 +:1042700013000000130000001300000013000000F2 +:1042800013000000130000001300000013000000E2 +:1042900013000000130000001300000013000000D2 +:1042A00013000000130000001300000013000000C2 +:1042B00013000000130000001300000013000000B2 +:1042C00013000000130000001300000013000000A2 +:1042D0001300000013000000130000001300000092 +:1042E0001300000013000000130000001300000082 +:1042F0001300000013000000130000001300000072 +:104300001300000013000000130000001300000061 +:104310001300000013000000130000001300000051 +:104320001300000013000000130000001300000041 +:104330001300000013000000130000001300000031 +:104340001300000013000000130000001300000021 +:104350001300000013000000130000001300000011 +:104360001300000013000000130000001300000001 +:1043700013000000130000001300000013000000F1 +:1043800013000000130000001300000013000000E1 +:1043900013000000130000001300000013000000D1 +:1043A00013000000130000001300000013000000C1 +:1043B00013000000130000001300000013000000B1 +:1043C00013000000130000001300000013000000A1 +:1043D0001300000013000000130000001300000091 +:1043E0001300000013000000130000001300000081 +:1043F0001300000013000000130000001300000071 +:104400001300000013000000130000001300000060 +:104410001300000013000000130000001300000050 +:104420001300000013000000130000001300000040 +:104430001300000013000000130000001300000030 +:104440001300000013000000130000001300000020 +:104450001300000013000000130000001300000010 +:104460001300000013000000130000001300000000 +:1044700013000000130000001300000013000000F0 +:1044800013000000130000001300000013000000E0 +:1044900013000000130000001300000013000000D0 +:1044A00013000000130000001300000013000000C0 +:1044B00013000000130000001300000013000000B0 +:1044C00013000000130000001300000013000000A0 +:1044D0001300000013000000130000001300000090 +:1044E0001300000013000000130000001300000080 +:1044F0001300000013000000130000001300000070 +:10450000130000001300000013000000130000005F +:10451000130000001300000013000000130000004F +:10452000130000001300000013000000130000003F +:10453000130000001300000013000000130000002F +:10454000130000001300000013000000130000001F +:10455000130000001300000013000000130000000F +:1045600013000000130000001300000013000000FF +:1045700013000000130000001300000013000000EF +:1045800013000000130000001300000013000000DF +:1045900013000000130000001300000013000000CF +:1045A00013000000130000001300000013000000BF +:1045B00013000000130000001300000013000000AF +:1045C000130000001300000013000000130000009F +:1045D000130000001300000013000000130000008F +:1045E000130000001300000013000000130000007F +:1045F000130000001300000013000000130000006F +:10460000130000001300000013000000130000005E +:10461000130000001300000013000000130000004E +:10462000130000001300000013000000130000003E +:10463000130000001300000013000000130000002E +:10464000130000001300000013000000130000001E +:10465000130000001300000013000000130000000E +:1046600013000000130000001300000013000000FE +:1046700013000000130000001300000013000000EE +:1046800013000000130000001300000013000000DE +:1046900013000000130000001300000013000000CE +:1046A00013000000130000001300000013000000BE +:1046B00013000000130000001300000013000000AE +:1046C000130000001300000013000000130000009E +:1046D000130000001300000013000000130000008E +:1046E000130000001300000013000000130000007E +:1046F000130000001300000013000000130000006E +:10470000130000001300000013000000130000005D +:10471000130000001300000013000000130000004D +:10472000130000001300000013000000130000003D +:10473000130000001300000013000000130000002D +:10474000130000001300000013000000130000001D +:10475000130000001300000013000000130000000D +:1047600013000000130000001300000013000000FD +:1047700013000000130000001300000013000000ED +:1047800013000000130000001300000013000000DD +:1047900013000000130000001300000013000000CD +:1047A00013000000130000001300000013000000BD +:1047B00013000000130000001300000013000000AD +:1047C000130000001300000013000000130000009D +:1047D000130000001300000013000000130000008D +:1047E000130000001300000013000000130000007D +:1047F000130000001300000013000000130000006D +:10480000130000001300000013000000130000005C +:10481000130000001300000013000000130000004C +:10482000130000001300000013000000130000003C +:10483000130000001300000013000000130000002C +:10484000130000001300000013000000130000001C +:10485000130000001300000013000000130000000C +:1048600013000000130000001300000013000000FC +:1048700013000000130000001300000013000000EC +:1048800013000000130000001300000013000000DC +:1048900013000000130000001300000013000000CC +:1048A00013000000130000001300000013000000BC +:1048B00013000000130000001300000013000000AC +:1048C000130000001300000013000000130000009C +:1048D000130000001300000013000000130000008C +:1048E000130000001300000013000000130000007C +:1048F000130000001300000013000000130000006C +:10490000130000001300000013000000130000005B +:10491000130000001300000013000000130000004B +:10492000130000001300000013000000130000003B +:10493000130000001300000013000000130000002B +:10494000130000001300000013000000130000001B +:10495000130000001300000013000000130000000B +:1049600013000000130000001300000013000000FB +:1049700013000000130000001300000013000000EB +:1049800013000000130000001300000013000000DB +:1049900013000000130000001300000013000000CB +:1049A00013000000130000001300000013000000BB +:1049B00013000000130000001300000013000000AB +:1049C000130000001300000013000000130000009B +:1049D000130000001300000013000000130000008B +:1049E000130000001300000013000000130000007B +:1049F000130000001300000013000000130000006B +:104A0000130000001300000013000000130000005A +:104A1000130000001300000013000000130000004A +:104A2000130000001300000013000000130000003A +:104A3000130000001300000013000000130000002A +:104A4000130000001300000013000000130000001A +:104A5000130000001300000013000000130000000A +:104A600013000000130000001300000013000000FA +:104A700013000000130000001300000013000000EA +:104A800013000000130000001300000013000000DA +:104A900013000000130000001300000013000000CA +:104AA00013000000130000001300000013000000BA +:104AB00013000000130000001300000013000000AA +:104AC000130000001300000013000000130000009A +:104AD000130000001300000013000000130000008A +:104AE000130000001300000013000000130000007A +:104AF000130000001300000013000000130000006A +:104B00001300000013000000130000001300000059 +:104B10001300000013000000130000001300000049 +:104B20001300000013000000130000001300000039 +:104B30001300000013000000130000001300000029 +:104B40001300000013000000130000001300000019 +:104B50001300000013000000130000001300000009 +:104B600013000000130000001300000013000000F9 +:104B700013000000130000001300000013000000E9 +:104B800013000000130000001300000013000000D9 +:104B900013000000130000001300000013000000C9 +:104BA00013000000130000001300000013000000B9 +:104BB00013000000130000001300000013000000A9 +:104BC0001300000013000000130000001300000099 +:104BD0001300000013000000130000001300000089 +:104BE0001300000013000000130000001300000079 +:104BF0001300000013000000130000001300000069 +:104C00001300000013000000130000001300000058 +:104C10001300000013000000130000001300000048 +:104C20001300000013000000130000001300000038 +:104C30001300000013000000130000001300000028 +:104C40001300000013000000130000001300000018 +:104C50001300000013000000130000001300000008 +:104C600013000000130000001300000013000000F8 +:104C700013000000130000001300000013000000E8 +:104C800013000000130000001300000013000000D8 +:104C900013000000130000001300000013000000C8 +:104CA00013000000130000001300000013000000B8 +:104CB00013000000130000001300000013000000A8 +:104CC0001300000013000000130000001300000098 +:104CD0001300000013000000130000001300000088 +:104CE0001300000013000000130000001300000078 +:104CF0001300000013000000130000001300000068 +:104D00001300000013000000130000001300000057 +:104D10001300000013000000130000001300000047 +:104D20001300000013000000130000001300000037 +:104D30001300000013000000130000001300000027 +:104D40001300000013000000130000001300000017 +:104D50001300000013000000130000001300000007 +:104D600013000000130000001300000013000000F7 +:104D700013000000130000001300000013000000E7 +:104D800013000000130000001300000013000000D7 +:104D900013000000130000001300000013000000C7 +:104DA00013000000130000001300000013000000B7 +:104DB00013000000130000001300000013000000A7 +:104DC0001300000013000000130000001300000097 +:104DD0001300000013000000130000001300000087 +:104DE0001300000013000000130000001300000077 +:104DF0001300000013000000130000001300000067 +:104E00001300000013000000130000001300000056 +:104E10001300000013000000130000001300000046 +:104E20001300000013000000130000001300000036 +:104E30001300000013000000130000001300000026 +:104E40001300000013000000130000001300000016 +:104E50001300000013000000130000001300000006 +:104E600013000000130000001300000013000000F6 +:104E700013000000130000001300000013000000E6 +:104E800013000000130000001300000013000000D6 +:104E900013000000130000001300000013000000C6 +:104EA00013000000130000001300000013000000B6 +:104EB00013000000130000001300000013000000A6 +:104EC0001300000013000000130000001300000096 +:104ED0001300000013000000130000001300000086 +:104EE0001300000013000000130000001300000076 +:104EF0001300000013000000130000001300000066 +:104F00001300000013000000130000001300000055 +:104F10001300000013000000130000001300000045 +:104F20001300000013000000130000001300000035 +:104F30001300000013000000130000001300000025 +:104F40001300000013000000130000001300000015 +:104F50001300000013000000130000001300000005 +:104F600013000000130000001300000013000000F5 +:104F700013000000130000001300000013000000E5 +:104F800013000000130000001300000013000000D5 +:104F900013000000130000001300000013000000C5 +:104FA00013000000130000001300000013000000B5 +:104FB00013000000130000001300000013000000A5 +:104FC0001300000013000000130000001300000095 +:104FD0001300000013000000130000001300000085 +:104FE0001300000013000000130000001300000075 +:104FF0001300000013000000130000001300000065 +:10500000000102030405060708090A0B0C0D0E0F28 +:105010001300000013000000130000001300000044 +:105020001300000013000000130000001300000034 +:105030001300000013000000130000001300000024 +:105040001300000013000000130000001300000014 +:105050001300000013000000130000001300000004 +:1050600013000000130000001300000013000000F4 +:1050700013000000130000001300000013000000E4 +:1050800013000000130000001300000013000000D4 +:1050900013000000130000001300000013000000C4 +:1050A00013000000130000001300000013000000B4 +:1050B00013000000130000001300000013000000A4 +:1050C0001300000013000000130000001300000094 +:1050D0001300000013000000130000001300000084 +:1050E0001300000013000000130000001300000074 +:1050F0001300000013000000130000001300000064 +:105100001300000013000000130000001300000053 +:105110001300000013000000130000001300000043 +:105120001300000013000000130000001300000033 +:105130001300000013000000130000001300000023 +:105140001300000013000000130000001300000013 +:105150001300000013000000130000001300000003 +:1051600013000000130000001300000013000000F3 +:1051700013000000130000001300000013000000E3 +:1051800013000000130000001300000013000000D3 +:1051900013000000130000001300000013000000C3 +:1051A00013000000130000001300000013000000B3 +:1051B00013000000130000001300000013000000A3 +:1051C0001300000013000000130000001300000093 +:1051D0001300000013000000130000001300000083 +:1051E0001300000013000000130000001300000073 +:1051F0001300000013000000130000001300000063 +:105200001300000013000000130000001300000052 +:105210001300000013000000130000001300000042 +:105220001300000013000000130000001300000032 +:105230001300000013000000130000001300000022 +:105240001300000013000000130000001300000012 +:105250001300000013000000130000001300000002 +:1052600013000000130000001300000013000000F2 +:1052700013000000130000001300000013000000E2 +:1052800013000000130000001300000013000000D2 +:1052900013000000130000001300000013000000C2 +:1052A00013000000130000001300000013000000B2 +:1052B00013000000130000001300000013000000A2 +:1052C0001300000013000000130000001300000092 +:1052D0001300000013000000130000001300000082 +:1052E0001300000013000000130000001300000072 +:1052F0001300000013000000130000001300000062 +:105300001300000013000000130000001300000051 +:105310001300000013000000130000001300000041 +:105320001300000013000000130000001300000031 +:105330001300000013000000130000001300000021 +:105340001300000013000000130000001300000011 +:105350001300000013000000130000001300000001 +:1053600013000000130000001300000013000000F1 +:1053700013000000130000001300000013000000E1 +:1053800013000000130000001300000013000000D1 +:1053900013000000130000001300000013000000C1 +:1053A00013000000130000001300000013000000B1 +:1053B00013000000130000001300000013000000A1 +:1053C0001300000013000000130000001300000091 +:1053D0001300000013000000130000001300000081 +:1053E0001300000013000000130000001300000071 +:1053F0001300000013000000130000001300000061 +:105400001300000013000000130000001300000050 +:105410001300000013000000130000001300000040 +:105420001300000013000000130000001300000030 +:105430001300000013000000130000001300000020 +:105440001300000013000000130000001300000010 +:105450001300000013000000130000001300000000 +:1054600013000000130000001300000013000000F0 +:1054700013000000130000001300000013000000E0 +:1054800013000000130000001300000013000000D0 +:1054900013000000130000001300000013000000C0 +:1054A00013000000130000001300000013000000B0 +:1054B00013000000130000001300000013000000A0 +:1054C0001300000013000000130000001300000090 +:1054D0001300000013000000130000001300000080 +:1054E0001300000013000000130000001300000070 +:1054F0001300000013000000130000001300000060 +:10550000130000001300000013000000130000004F +:10551000130000001300000013000000130000003F +:10552000130000001300000013000000130000002F +:10553000130000001300000013000000130000001F +:10554000130000001300000013000000130000000F +:1055500013000000130000001300000013000000FF +:1055600013000000130000001300000013000000EF +:1055700013000000130000001300000013000000DF +:1055800013000000130000001300000013000000CF +:1055900013000000130000001300000013000000BF +:1055A00013000000130000001300000013000000AF +:1055B000130000001300000013000000130000009F +:1055C000130000001300000013000000130000008F +:1055D000130000001300000013000000130000007F +:1055E000130000001300000013000000130000006F +:1055F000130000001300000013000000130000005F +:10560000130000001300000013000000130000004E +:10561000130000001300000013000000130000003E +:10562000130000001300000013000000130000002E +:10563000130000001300000013000000130000001E +:10564000130000001300000013000000130000000E +:1056500013000000130000001300000013000000FE +:1056600013000000130000001300000013000000EE +:1056700013000000130000001300000013000000DE +:1056800013000000130000001300000013000000CE +:1056900013000000130000001300000013000000BE +:1056A00013000000130000001300000013000000AE +:1056B000130000001300000013000000130000009E +:1056C000130000001300000013000000130000008E +:1056D000130000001300000013000000130000007E +:1056E000130000001300000013000000130000006E +:1056F000130000001300000013000000130000005E +:10570000130000001300000013000000130000004D +:10571000130000001300000013000000130000003D +:10572000130000001300000013000000130000002D +:10573000130000001300000013000000130000001D +:10574000130000001300000013000000130000000D +:1057500013000000130000001300000013000000FD +:1057600013000000130000001300000013000000ED +:1057700013000000130000001300000013000000DD +:1057800013000000130000001300000013000000CD +:1057900013000000130000001300000013000000BD +:1057A00013000000130000001300000013000000AD +:1057B000130000001300000013000000130000009D +:1057C000130000001300000013000000130000008D +:1057D000130000001300000013000000130000007D +:1057E000130000001300000013000000130000006D +:1057F000130000001300000013000000130000005D +:10580000130000001300000013000000130000004C +:10581000130000001300000013000000130000003C +:10582000130000001300000013000000130000002C +:10583000130000001300000013000000130000001C +:10584000130000001300000013000000130000000C +:1058500013000000130000001300000013000000FC +:1058600013000000130000001300000013000000EC +:1058700013000000130000001300000013000000DC +:1058800013000000130000001300000013000000CC +:1058900013000000130000001300000013000000BC +:1058A00013000000130000001300000013000000AC +:1058B000130000001300000013000000130000009C +:1058C000130000001300000013000000130000008C +:1058D000130000001300000013000000130000007C +:1058E000130000001300000013000000130000006C +:1058F000130000001300000013000000130000005C +:10590000130000001300000013000000130000004B +:10591000130000001300000013000000130000003B +:10592000130000001300000013000000130000002B +:10593000130000001300000013000000130000001B +:10594000130000001300000013000000130000000B +:1059500013000000130000001300000013000000FB +:1059600013000000130000001300000013000000EB +:1059700013000000130000001300000013000000DB +:1059800013000000130000001300000013000000CB +:1059900013000000130000001300000013000000BB +:1059A00013000000130000001300000013000000AB +:1059B000130000001300000013000000130000009B +:1059C000130000001300000013000000130000008B +:1059D000130000001300000013000000130000007B +:1059E000130000001300000013000000130000006B +:1059F000130000001300000013000000130000005B +:105A0000130000001300000013000000130000004A +:105A1000130000001300000013000000130000003A +:105A2000130000001300000013000000130000002A +:105A3000130000001300000013000000130000001A +:105A4000130000001300000013000000130000000A +:105A500013000000130000001300000013000000FA +:105A600013000000130000001300000013000000EA +:105A700013000000130000001300000013000000DA +:105A800013000000130000001300000013000000CA +:105A900013000000130000001300000013000000BA +:105AA00013000000130000001300000013000000AA +:105AB000130000001300000013000000130000009A +:105AC000130000001300000013000000130000008A +:105AD000130000001300000013000000130000007A +:105AE000130000001300000013000000130000006A +:105AF000130000001300000013000000130000005A +:105B00001300000013000000130000001300000049 +:105B10001300000013000000130000001300000039 +:105B20001300000013000000130000001300000029 +:105B30001300000013000000130000001300000019 +:105B40001300000013000000130000001300000009 +:105B500013000000130000001300000013000000F9 +:105B600013000000130000001300000013000000E9 +:105B700013000000130000001300000013000000D9 +:105B800013000000130000001300000013000000C9 +:105B900013000000130000001300000013000000B9 +:105BA00013000000130000001300000013000000A9 +:105BB0001300000013000000130000001300000099 +:105BC0001300000013000000130000001300000089 +:105BD0001300000013000000130000001300000079 +:105BE0001300000013000000130000001300000069 +:105BF0001300000013000000130000001300000059 +:105C00001300000013000000130000001300000048 +:105C10001300000013000000130000001300000038 +:105C20001300000013000000130000001300000028 +:105C30001300000013000000130000001300000018 +:105C40001300000013000000130000001300000008 +:105C500013000000130000001300000013000000F8 +:105C600013000000130000001300000013000000E8 +:105C700013000000130000001300000013000000D8 +:105C800013000000130000001300000013000000C8 +:105C900013000000130000001300000013000000B8 +:105CA00013000000130000001300000013000000A8 +:105CB0001300000013000000130000001300000098 +:105CC0001300000013000000130000001300000088 +:105CD0001300000013000000130000001300000078 +:105CE0001300000013000000130000001300000068 +:105CF0001300000013000000130000001300000058 +:105D00001300000013000000130000001300000047 +:105D10001300000013000000130000001300000037 +:105D20001300000013000000130000001300000027 +:105D30001300000013000000130000001300000017 +:105D40001300000013000000130000001300000007 +:105D500013000000130000001300000013000000F7 +:105D600013000000130000001300000013000000E7 +:105D700013000000130000001300000013000000D7 +:105D800013000000130000001300000013000000C7 +:105D900013000000130000001300000013000000B7 +:105DA00013000000130000001300000013000000A7 +:105DB0001300000013000000130000001300000097 +:105DC0001300000013000000130000001300000087 +:105DD0001300000013000000130000001300000077 +:105DE0001300000013000000130000001300000067 +:105DF0001300000013000000130000001300000057 +:105E00001300000013000000130000001300000046 +:105E10001300000013000000130000001300000036 +:105E20001300000013000000130000001300000026 +:105E30001300000013000000130000001300000016 +:105E40001300000013000000130000001300000006 +:105E500013000000130000001300000013000000F6 +:105E600013000000130000001300000013000000E6 +:105E700013000000130000001300000013000000D6 +:105E800013000000130000001300000013000000C6 +:105E900013000000130000001300000013000000B6 +:105EA00013000000130000001300000013000000A6 +:105EB0001300000013000000130000001300000096 +:105EC0001300000013000000130000001300000086 +:105ED0001300000013000000130000001300000076 +:105EE0001300000013000000130000001300000066 +:105EF0001300000013000000130000001300000056 +:105F00001300000013000000130000001300000045 +:105F10001300000013000000130000001300000035 +:105F20001300000013000000130000001300000025 +:105F30001300000013000000130000001300000015 +:105F40001300000013000000130000001300000005 +:105F500013000000130000001300000013000000F5 +:105F600013000000130000001300000013000000E5 +:105F700013000000130000001300000013000000D5 +:105F800013000000130000001300000013000000C5 +:105F900013000000130000001300000013000000B5 +:105FA00013000000130000001300000013000000A5 +:105FB0001300000013000000130000001300000095 +:105FC0001300000013000000130000001300000085 +:105FD0001300000013000000130000001300000075 +:105FE0001300000013000000130000001300000065 +:105FF0001300000013000000130000001300000055 +:10600000101112131415161718191A1B1C1D1E1F18 +:106010001300000013000000130000001300000034 +:106020001300000013000000130000001300000024 +:106030001300000013000000130000001300000014 +:106040001300000013000000130000001300000004 +:1060500013000000130000001300000013000000F4 +:1060600013000000130000001300000013000000E4 +:1060700013000000130000001300000013000000D4 +:1060800013000000130000001300000013000000C4 +:1060900013000000130000001300000013000000B4 +:1060A00013000000130000001300000013000000A4 +:1060B0001300000013000000130000001300000094 +:1060C0001300000013000000130000001300000084 +:1060D0001300000013000000130000001300000074 +:1060E0001300000013000000130000001300000064 +:1060F0001300000013000000130000001300000054 +:106100001300000013000000130000001300000043 +:106110001300000013000000130000001300000033 +:106120001300000013000000130000001300000023 +:106130001300000013000000130000001300000013 +:106140001300000013000000130000001300000003 +:1061500013000000130000001300000013000000F3 +:1061600013000000130000001300000013000000E3 +:1061700013000000130000001300000013000000D3 +:1061800013000000130000001300000013000000C3 +:1061900013000000130000001300000013000000B3 +:1061A00013000000130000001300000013000000A3 +:1061B0001300000013000000130000001300000093 +:1061C0001300000013000000130000001300000083 +:1061D0001300000013000000130000001300000073 +:1061E0001300000013000000130000001300000063 +:1061F0001300000013000000130000001300000053 +:106200001300000013000000130000001300000042 +:106210001300000013000000130000001300000032 +:106220001300000013000000130000001300000022 +:106230001300000013000000130000001300000012 +:106240001300000013000000130000001300000002 +:1062500013000000130000001300000013000000F2 +:1062600013000000130000001300000013000000E2 +:1062700013000000130000001300000013000000D2 +:1062800013000000130000001300000013000000C2 +:1062900013000000130000001300000013000000B2 +:1062A00013000000130000001300000013000000A2 +:1062B0001300000013000000130000001300000092 +:1062C0001300000013000000130000001300000082 +:1062D0001300000013000000130000001300000072 +:1062E0001300000013000000130000001300000062 +:1062F0001300000013000000130000001300000052 +:106300001300000013000000130000001300000041 +:106310001300000013000000130000001300000031 +:106320001300000013000000130000001300000021 +:106330001300000013000000130000001300000011 +:106340001300000013000000130000001300000001 +:1063500013000000130000001300000013000000F1 +:1063600013000000130000001300000013000000E1 +:1063700013000000130000001300000013000000D1 +:1063800013000000130000001300000013000000C1 +:1063900013000000130000001300000013000000B1 +:1063A00013000000130000001300000013000000A1 +:1063B0001300000013000000130000001300000091 +:1063C0001300000013000000130000001300000081 +:1063D0001300000013000000130000001300000071 +:1063E0001300000013000000130000001300000061 +:1063F0001300000013000000130000001300000051 +:106400001300000013000000130000001300000040 +:106410001300000013000000130000001300000030 +:106420001300000013000000130000001300000020 +:106430001300000013000000130000001300000010 +:106440001300000013000000130000001300000000 +:1064500013000000130000001300000013000000F0 +:1064600013000000130000001300000013000000E0 +:1064700013000000130000001300000013000000D0 +:1064800013000000130000001300000013000000C0 +:1064900013000000130000001300000013000000B0 +:1064A00013000000130000001300000013000000A0 +:1064B0001300000013000000130000001300000090 +:1064C0001300000013000000130000001300000080 +:1064D0001300000013000000130000001300000070 +:1064E0001300000013000000130000001300000060 +:1064F0001300000013000000130000001300000050 +:10650000130000001300000013000000130000003F +:10651000130000001300000013000000130000002F +:10652000130000001300000013000000130000001F +:10653000130000001300000013000000130000000F +:1065400013000000130000001300000013000000FF +:1065500013000000130000001300000013000000EF +:1065600013000000130000001300000013000000DF +:1065700013000000130000001300000013000000CF +:1065800013000000130000001300000013000000BF +:1065900013000000130000001300000013000000AF +:1065A000130000001300000013000000130000009F +:1065B000130000001300000013000000130000008F +:1065C000130000001300000013000000130000007F +:1065D000130000001300000013000000130000006F +:1065E000130000001300000013000000130000005F +:1065F000130000001300000013000000130000004F +:10660000130000001300000013000000130000003E +:10661000130000001300000013000000130000002E +:10662000130000001300000013000000130000001E +:10663000130000001300000013000000130000000E +:1066400013000000130000001300000013000000FE +:1066500013000000130000001300000013000000EE +:1066600013000000130000001300000013000000DE +:1066700013000000130000001300000013000000CE +:1066800013000000130000001300000013000000BE +:1066900013000000130000001300000013000000AE +:1066A000130000001300000013000000130000009E +:1066B000130000001300000013000000130000008E +:1066C000130000001300000013000000130000007E +:1066D000130000001300000013000000130000006E +:1066E000130000001300000013000000130000005E +:1066F000130000001300000013000000130000004E +:10670000130000001300000013000000130000003D +:10671000130000001300000013000000130000002D +:10672000130000001300000013000000130000001D +:10673000130000001300000013000000130000000D +:1067400013000000130000001300000013000000FD +:1067500013000000130000001300000013000000ED +:1067600013000000130000001300000013000000DD +:1067700013000000130000001300000013000000CD +:1067800013000000130000001300000013000000BD +:1067900013000000130000001300000013000000AD +:1067A000130000001300000013000000130000009D +:1067B000130000001300000013000000130000008D +:1067C000130000001300000013000000130000007D +:1067D000130000001300000013000000130000006D +:1067E000130000001300000013000000130000005D +:1067F000130000001300000013000000130000004D +:10680000130000001300000013000000130000003C +:10681000130000001300000013000000130000002C +:10682000130000001300000013000000130000001C +:10683000130000001300000013000000130000000C +:1068400013000000130000001300000013000000FC +:1068500013000000130000001300000013000000EC +:1068600013000000130000001300000013000000DC +:1068700013000000130000001300000013000000CC +:1068800013000000130000001300000013000000BC +:1068900013000000130000001300000013000000AC +:1068A000130000001300000013000000130000009C +:1068B000130000001300000013000000130000008C +:1068C000130000001300000013000000130000007C +:1068D000130000001300000013000000130000006C +:1068E000130000001300000013000000130000005C +:1068F000130000001300000013000000130000004C +:10690000130000001300000013000000130000003B +:10691000130000001300000013000000130000002B +:10692000130000001300000013000000130000001B +:10693000130000001300000013000000130000000B +:1069400013000000130000001300000013000000FB +:1069500013000000130000001300000013000000EB +:1069600013000000130000001300000013000000DB +:1069700013000000130000001300000013000000CB +:1069800013000000130000001300000013000000BB +:1069900013000000130000001300000013000000AB +:1069A000130000001300000013000000130000009B +:1069B000130000001300000013000000130000008B +:1069C000130000001300000013000000130000007B +:1069D000130000001300000013000000130000006B +:1069E000130000001300000013000000130000005B +:1069F000130000001300000013000000130000004B +:106A0000130000001300000013000000130000003A +:106A1000130000001300000013000000130000002A +:106A2000130000001300000013000000130000001A +:106A3000130000001300000013000000130000000A +:106A400013000000130000001300000013000000FA +:106A500013000000130000001300000013000000EA +:106A600013000000130000001300000013000000DA +:106A700013000000130000001300000013000000CA +:106A800013000000130000001300000013000000BA +:106A900013000000130000001300000013000000AA +:106AA000130000001300000013000000130000009A +:106AB000130000001300000013000000130000008A +:106AC000130000001300000013000000130000007A +:106AD000130000001300000013000000130000006A +:106AE000130000001300000013000000130000005A +:106AF000130000001300000013000000130000004A +:106B00001300000013000000130000001300000039 +:106B10001300000013000000130000001300000029 +:106B20001300000013000000130000001300000019 +:106B30001300000013000000130000001300000009 +:106B400013000000130000001300000013000000F9 +:106B500013000000130000001300000013000000E9 +:106B600013000000130000001300000013000000D9 +:106B700013000000130000001300000013000000C9 +:106B800013000000130000001300000013000000B9 +:106B900013000000130000001300000013000000A9 +:106BA0001300000013000000130000001300000099 +:106BB0001300000013000000130000001300000089 +:106BC0001300000013000000130000001300000079 +:106BD0001300000013000000130000001300000069 +:106BE0001300000013000000130000001300000059 +:106BF0001300000013000000130000001300000049 +:106C00001300000013000000130000001300000038 +:106C10001300000013000000130000001300000028 +:106C20001300000013000000130000001300000018 +:106C30001300000013000000130000001300000008 +:106C400013000000130000001300000013000000F8 +:106C500013000000130000001300000013000000E8 +:106C600013000000130000001300000013000000D8 +:106C700013000000130000001300000013000000C8 +:106C800013000000130000001300000013000000B8 +:106C900013000000130000001300000013000000A8 +:106CA0001300000013000000130000001300000098 +:106CB0001300000013000000130000001300000088 +:106CC0001300000013000000130000001300000078 +:106CD0001300000013000000130000001300000068 +:106CE0001300000013000000130000001300000058 +:106CF0001300000013000000130000001300000048 +:106D00001300000013000000130000001300000037 +:106D10001300000013000000130000001300000027 +:106D20001300000013000000130000001300000017 +:106D30001300000013000000130000001300000007 +:106D400013000000130000001300000013000000F7 +:106D500013000000130000001300000013000000E7 +:106D600013000000130000001300000013000000D7 +:106D700013000000130000001300000013000000C7 +:106D800013000000130000001300000013000000B7 +:106D900013000000130000001300000013000000A7 +:106DA0001300000013000000130000001300000097 +:106DB0001300000013000000130000001300000087 +:106DC0001300000013000000130000001300000077 +:106DD0001300000013000000130000001300000067 +:106DE0001300000013000000130000001300000057 +:106DF0001300000013000000130000001300000047 +:106E00001300000013000000130000001300000036 +:106E10001300000013000000130000001300000026 +:106E20001300000013000000130000001300000016 +:106E30001300000013000000130000001300000006 +:106E400013000000130000001300000013000000F6 +:106E500013000000130000001300000013000000E6 +:106E600013000000130000001300000013000000D6 +:106E700013000000130000001300000013000000C6 +:106E800013000000130000001300000013000000B6 +:106E900013000000130000001300000013000000A6 +:106EA0001300000013000000130000001300000096 +:106EB0001300000013000000130000001300000086 +:106EC0001300000013000000130000001300000076 +:106ED0001300000013000000130000001300000066 +:106EE0001300000013000000130000001300000056 +:106EF0001300000013000000130000001300000046 +:106F00001300000013000000130000001300000035 +:106F10001300000013000000130000001300000025 +:106F20001300000013000000130000001300000015 +:106F30001300000013000000130000001300000005 +:106F400013000000130000001300000013000000F5 +:106F500013000000130000001300000013000000E5 +:106F600013000000130000001300000013000000D5 +:106F700013000000130000001300000013000000C5 +:106F800013000000130000001300000013000000B5 +:106F900013000000130000001300000013000000A5 +:106FA0001300000013000000130000001300000095 +:106FB0001300000013000000130000001300000085 +:106FC0001300000013000000130000001300000075 +:106FD0001300000013000000130000001300000065 +:106FE0001300000013000000130000001300000055 +:106FF0001300000013000000130000001300000045 +:10700000202122232425262728292A2B2C2D2E2F08 +:107010001300000013000000130000001300000024 +:107020001300000013000000130000001300000014 +:107030001300000013000000130000001300000004 +:1070400013000000130000001300000013000000F4 +:1070500013000000130000001300000013000000E4 +:1070600013000000130000001300000013000000D4 +:1070700013000000130000001300000013000000C4 +:1070800013000000130000001300000013000000B4 +:1070900013000000130000001300000013000000A4 +:1070A0001300000013000000130000001300000094 +:1070B0001300000013000000130000001300000084 +:1070C0001300000013000000130000001300000074 +:1070D0001300000013000000130000001300000064 +:1070E0001300000013000000130000001300000054 +:1070F0001300000013000000130000001300000044 +:107100001300000013000000130000001300000033 +:107110001300000013000000130000001300000023 +:107120001300000013000000130000001300000013 +:107130001300000013000000130000001300000003 +:1071400013000000130000001300000013000000F3 +:1071500013000000130000001300000013000000E3 +:1071600013000000130000001300000013000000D3 +:1071700013000000130000001300000013000000C3 +:1071800013000000130000001300000013000000B3 +:1071900013000000130000001300000013000000A3 +:1071A0001300000013000000130000001300000093 +:1071B0001300000013000000130000001300000083 +:1071C0001300000013000000130000001300000073 +:1071D0001300000013000000130000001300000063 +:1071E0001300000013000000130000001300000053 +:1071F0001300000013000000130000001300000043 +:107200001300000013000000130000001300000032 +:107210001300000013000000130000001300000022 +:107220001300000013000000130000001300000012 +:107230001300000013000000130000001300000002 +:1072400013000000130000001300000013000000F2 +:1072500013000000130000001300000013000000E2 +:1072600013000000130000001300000013000000D2 +:1072700013000000130000001300000013000000C2 +:1072800013000000130000001300000013000000B2 +:1072900013000000130000001300000013000000A2 +:1072A0001300000013000000130000001300000092 +:1072B0001300000013000000130000001300000082 +:1072C0001300000013000000130000001300000072 +:1072D0001300000013000000130000001300000062 +:1072E0001300000013000000130000001300000052 +:1072F0001300000013000000130000001300000042 +:107300001300000013000000130000001300000031 +:107310001300000013000000130000001300000021 +:107320001300000013000000130000001300000011 +:107330001300000013000000130000001300000001 +:1073400013000000130000001300000013000000F1 +:1073500013000000130000001300000013000000E1 +:1073600013000000130000001300000013000000D1 +:1073700013000000130000001300000013000000C1 +:1073800013000000130000001300000013000000B1 +:1073900013000000130000001300000013000000A1 +:1073A0001300000013000000130000001300000091 +:1073B0001300000013000000130000001300000081 +:1073C0001300000013000000130000001300000071 +:1073D0001300000013000000130000001300000061 +:1073E0001300000013000000130000001300000051 +:1073F0001300000013000000130000001300000041 +:107400001300000013000000130000001300000030 +:107410001300000013000000130000001300000020 +:107420001300000013000000130000001300000010 +:107430001300000013000000130000001300000000 +:1074400013000000130000001300000013000000F0 +:1074500013000000130000001300000013000000E0 +:1074600013000000130000001300000013000000D0 +:1074700013000000130000001300000013000000C0 +:1074800013000000130000001300000013000000B0 +:1074900013000000130000001300000013000000A0 +:1074A0001300000013000000130000001300000090 +:1074B0001300000013000000130000001300000080 +:1074C0001300000013000000130000001300000070 +:1074D0001300000013000000130000001300000060 +:1074E0001300000013000000130000001300000050 +:1074F0001300000013000000130000001300000040 +:10750000130000001300000013000000130000002F +:10751000130000001300000013000000130000001F +:10752000130000001300000013000000130000000F +:1075300013000000130000001300000013000000FF +:1075400013000000130000001300000013000000EF +:1075500013000000130000001300000013000000DF +:1075600013000000130000001300000013000000CF +:1075700013000000130000001300000013000000BF +:1075800013000000130000001300000013000000AF +:10759000130000001300000013000000130000009F +:1075A000130000001300000013000000130000008F +:1075B000130000001300000013000000130000007F +:1075C000130000001300000013000000130000006F +:1075D000130000001300000013000000130000005F +:1075E000130000001300000013000000130000004F +:1075F000130000001300000013000000130000003F +:10760000130000001300000013000000130000002E +:10761000130000001300000013000000130000001E +:10762000130000001300000013000000130000000E +:1076300013000000130000001300000013000000FE +:1076400013000000130000001300000013000000EE +:1076500013000000130000001300000013000000DE +:1076600013000000130000001300000013000000CE +:1076700013000000130000001300000013000000BE +:1076800013000000130000001300000013000000AE +:10769000130000001300000013000000130000009E +:1076A000130000001300000013000000130000008E +:1076B000130000001300000013000000130000007E +:1076C000130000001300000013000000130000006E +:1076D000130000001300000013000000130000005E +:1076E000130000001300000013000000130000004E +:1076F000130000001300000013000000130000003E +:10770000130000001300000013000000130000002D +:10771000130000001300000013000000130000001D +:10772000130000001300000013000000130000000D +:1077300013000000130000001300000013000000FD +:1077400013000000130000001300000013000000ED +:1077500013000000130000001300000013000000DD +:1077600013000000130000001300000013000000CD +:1077700013000000130000001300000013000000BD +:1077800013000000130000001300000013000000AD +:10779000130000001300000013000000130000009D +:1077A000130000001300000013000000130000008D +:1077B000130000001300000013000000130000007D +:1077C000130000001300000013000000130000006D +:1077D000130000001300000013000000130000005D +:1077E000130000001300000013000000130000004D +:1077F000130000001300000013000000130000003D +:10780000130000001300000013000000130000002C +:10781000130000001300000013000000130000001C +:10782000130000001300000013000000130000000C +:1078300013000000130000001300000013000000FC +:1078400013000000130000001300000013000000EC +:1078500013000000130000001300000013000000DC +:1078600013000000130000001300000013000000CC +:1078700013000000130000001300000013000000BC +:1078800013000000130000001300000013000000AC +:10789000130000001300000013000000130000009C +:1078A000130000001300000013000000130000008C +:1078B000130000001300000013000000130000007C +:1078C000130000001300000013000000130000006C +:1078D000130000001300000013000000130000005C +:1078E000130000001300000013000000130000004C +:1078F000130000001300000013000000130000003C +:10790000130000001300000013000000130000002B +:10791000130000001300000013000000130000001B +:10792000130000001300000013000000130000000B +:1079300013000000130000001300000013000000FB +:1079400013000000130000001300000013000000EB +:1079500013000000130000001300000013000000DB +:1079600013000000130000001300000013000000CB +:1079700013000000130000001300000013000000BB +:1079800013000000130000001300000013000000AB +:10799000130000001300000013000000130000009B +:1079A000130000001300000013000000130000008B +:1079B000130000001300000013000000130000007B +:1079C000130000001300000013000000130000006B +:1079D000130000001300000013000000130000005B +:1079E000130000001300000013000000130000004B +:1079F000130000001300000013000000130000003B +:107A0000130000001300000013000000130000002A +:107A1000130000001300000013000000130000001A +:107A2000130000001300000013000000130000000A +:107A300013000000130000001300000013000000FA +:107A400013000000130000001300000013000000EA +:107A500013000000130000001300000013000000DA +:107A600013000000130000001300000013000000CA +:107A700013000000130000001300000013000000BA +:107A800013000000130000001300000013000000AA +:107A9000130000001300000013000000130000009A +:107AA000130000001300000013000000130000008A +:107AB000130000001300000013000000130000007A +:107AC000130000001300000013000000130000006A +:107AD000130000001300000013000000130000005A +:107AE000130000001300000013000000130000004A +:107AF000130000001300000013000000130000003A +:107B00001300000013000000130000001300000029 +:107B10001300000013000000130000001300000019 +:107B20001300000013000000130000001300000009 +:107B300013000000130000001300000013000000F9 +:107B400013000000130000001300000013000000E9 +:107B500013000000130000001300000013000000D9 +:107B600013000000130000001300000013000000C9 +:107B700013000000130000001300000013000000B9 +:107B800013000000130000001300000013000000A9 +:107B90001300000013000000130000001300000099 +:107BA0001300000013000000130000001300000089 +:107BB0001300000013000000130000001300000079 +:107BC0001300000013000000130000001300000069 +:107BD0001300000013000000130000001300000059 +:107BE0001300000013000000130000001300000049 +:107BF0001300000013000000130000001300000039 +:107C00001300000013000000130000001300000028 +:107C10001300000013000000130000001300000018 +:107C20001300000013000000130000001300000008 +:107C300013000000130000001300000013000000F8 +:107C400013000000130000001300000013000000E8 +:107C500013000000130000001300000013000000D8 +:107C600013000000130000001300000013000000C8 +:107C700013000000130000001300000013000000B8 +:107C800013000000130000001300000013000000A8 +:107C90001300000013000000130000001300000098 +:107CA0001300000013000000130000001300000088 +:107CB0001300000013000000130000001300000078 +:107CC0001300000013000000130000001300000068 +:107CD0001300000013000000130000001300000058 +:107CE0001300000013000000130000001300000048 +:107CF0001300000013000000130000001300000038 +:107D00001300000013000000130000001300000027 +:107D10001300000013000000130000001300000017 +:107D20001300000013000000130000001300000007 +:107D300013000000130000001300000013000000F7 +:107D400013000000130000001300000013000000E7 +:107D500013000000130000001300000013000000D7 +:107D600013000000130000001300000013000000C7 +:107D700013000000130000001300000013000000B7 +:107D800013000000130000001300000013000000A7 +:107D90001300000013000000130000001300000097 +:107DA0001300000013000000130000001300000087 +:107DB0001300000013000000130000001300000077 +:107DC0001300000013000000130000001300000067 +:107DD0001300000013000000130000001300000057 +:107DE0001300000013000000130000001300000047 +:107DF0001300000013000000130000001300000037 +:107E00001300000013000000130000001300000026 +:107E10001300000013000000130000001300000016 +:107E20001300000013000000130000001300000006 +:107E300013000000130000001300000013000000F6 +:107E400013000000130000001300000013000000E6 +:107E500013000000130000001300000013000000D6 +:107E600013000000130000001300000013000000C6 +:107E700013000000130000001300000013000000B6 +:107E800013000000130000001300000013000000A6 +:107E90001300000013000000130000001300000096 +:107EA0001300000013000000130000001300000086 +:107EB0001300000013000000130000001300000076 +:107EC0001300000013000000130000001300000066 +:107ED0001300000013000000130000001300000056 +:107EE0001300000013000000130000001300000046 +:107EF0001300000013000000130000001300000036 +:107F00001300000013000000130000001300000025 +:107F10001300000013000000130000001300000015 +:107F20001300000013000000130000001300000005 +:107F300013000000130000001300000013000000F5 +:107F400013000000130000001300000013000000E5 +:107F500013000000130000001300000013000000D5 +:107F600013000000130000001300000013000000C5 +:107F700013000000130000001300000013000000B5 +:107F800013000000130000001300000013000000A5 +:107F90001300000013000000130000001300000095 +:107FA0001300000013000000130000001300000085 +:107FB0001300000013000000130000001300000075 +:107FC0001300000013000000130000001300000065 +:107FD0001300000013000000130000001300000055 +:107FE0001300000013000000130000001300000045 +:107FF0001300000013000000130000001300000035 +:10800000303132333435363738393A3B3C3D3E3FF8 +:108010001300000013000000130000001300000014 +:108020001300000013000000130000001300000004 +:1080300013000000130000001300000013000000F4 +:1080400013000000130000001300000013000000E4 +:1080500013000000130000001300000013000000D4 +:1080600013000000130000001300000013000000C4 +:1080700013000000130000001300000013000000B4 +:1080800013000000130000001300000013000000A4 +:108090001300000013000000130000001300000094 +:1080A0001300000013000000130000001300000084 +:1080B0001300000013000000130000001300000074 +:1080C0001300000013000000130000001300000064 +:1080D0001300000013000000130000001300000054 +:1080E0001300000013000000130000001300000044 +:1080F0001300000013000000130000001300000034 +:108100001300000013000000130000001300000023 +:108110001300000013000000130000001300000013 +:108120001300000013000000130000001300000003 +:1081300013000000130000001300000013000000F3 +:1081400013000000130000001300000013000000E3 +:1081500013000000130000001300000013000000D3 +:1081600013000000130000001300000013000000C3 +:1081700013000000130000001300000013000000B3 +:1081800013000000130000001300000013000000A3 +:108190001300000013000000130000001300000093 +:1081A0001300000013000000130000001300000083 +:1081B0001300000013000000130000001300000073 +:1081C0001300000013000000130000001300000063 +:1081D0001300000013000000130000001300000053 +:1081E0001300000013000000130000001300000043 +:1081F0001300000013000000130000001300000033 +:108200001300000013000000130000001300000022 +:108210001300000013000000130000001300000012 +:108220001300000013000000130000001300000002 +:1082300013000000130000001300000013000000F2 +:1082400013000000130000001300000013000000E2 +:1082500013000000130000001300000013000000D2 +:1082600013000000130000001300000013000000C2 +:1082700013000000130000001300000013000000B2 +:1082800013000000130000001300000013000000A2 +:108290001300000013000000130000001300000092 +:1082A0001300000013000000130000001300000082 +:1082B0001300000013000000130000001300000072 +:1082C0001300000013000000130000001300000062 +:1082D0001300000013000000130000001300000052 +:1082E0001300000013000000130000001300000042 +:1082F0001300000013000000130000001300000032 +:108300001300000013000000130000001300000021 +:108310001300000013000000130000001300000011 +:108320001300000013000000130000001300000001 +:1083300013000000130000001300000013000000F1 +:1083400013000000130000001300000013000000E1 +:1083500013000000130000001300000013000000D1 +:1083600013000000130000001300000013000000C1 +:1083700013000000130000001300000013000000B1 +:1083800013000000130000001300000013000000A1 +:108390001300000013000000130000001300000091 +:1083A0001300000013000000130000001300000081 +:1083B0001300000013000000130000001300000071 +:1083C0001300000013000000130000001300000061 +:1083D0001300000013000000130000001300000051 +:1083E0001300000013000000130000001300000041 +:1083F0001300000013000000130000001300000031 +:108400001300000013000000130000001300000020 +:108410001300000013000000130000001300000010 +:108420001300000013000000130000001300000000 +:1084300013000000130000001300000013000000F0 +:1084400013000000130000001300000013000000E0 +:1084500013000000130000001300000013000000D0 +:1084600013000000130000001300000013000000C0 +:1084700013000000130000001300000013000000B0 +:1084800013000000130000001300000013000000A0 +:108490001300000013000000130000001300000090 +:1084A0001300000013000000130000001300000080 +:1084B0001300000013000000130000001300000070 +:1084C0001300000013000000130000001300000060 +:1084D0001300000013000000130000001300000050 +:1084E0001300000013000000130000001300000040 +:1084F0001300000013000000130000001300000030 +:10850000130000001300000013000000130000001F +:10851000130000001300000013000000130000000F +:1085200013000000130000001300000013000000FF +:1085300013000000130000001300000013000000EF +:1085400013000000130000001300000013000000DF +:1085500013000000130000001300000013000000CF +:1085600013000000130000001300000013000000BF +:1085700013000000130000001300000013000000AF +:10858000130000001300000013000000130000009F +:10859000130000001300000013000000130000008F +:1085A000130000001300000013000000130000007F +:1085B000130000001300000013000000130000006F +:1085C000130000001300000013000000130000005F +:1085D000130000001300000013000000130000004F +:1085E000130000001300000013000000130000003F +:1085F000130000001300000013000000130000002F +:10860000130000001300000013000000130000001E +:10861000130000001300000013000000130000000E +:1086200013000000130000001300000013000000FE +:1086300013000000130000001300000013000000EE +:1086400013000000130000001300000013000000DE +:1086500013000000130000001300000013000000CE +:1086600013000000130000001300000013000000BE +:1086700013000000130000001300000013000000AE +:10868000130000001300000013000000130000009E +:10869000130000001300000013000000130000008E +:1086A000130000001300000013000000130000007E +:1086B000130000001300000013000000130000006E +:1086C000130000001300000013000000130000005E +:1086D000130000001300000013000000130000004E +:1086E000130000001300000013000000130000003E +:1086F000130000001300000013000000130000002E +:10870000130000001300000013000000130000001D +:10871000130000001300000013000000130000000D +:1087200013000000130000001300000013000000FD +:1087300013000000130000001300000013000000ED +:1087400013000000130000001300000013000000DD +:1087500013000000130000001300000013000000CD +:1087600013000000130000001300000013000000BD +:1087700013000000130000001300000013000000AD +:10878000130000001300000013000000130000009D +:10879000130000001300000013000000130000008D +:1087A000130000001300000013000000130000007D +:1087B000130000001300000013000000130000006D +:1087C000130000001300000013000000130000005D +:1087D000130000001300000013000000130000004D +:1087E000130000001300000013000000130000003D +:1087F000130000001300000013000000130000002D +:10880000130000001300000013000000130000001C +:10881000130000001300000013000000130000000C +:1088200013000000130000001300000013000000FC +:1088300013000000130000001300000013000000EC +:1088400013000000130000001300000013000000DC +:1088500013000000130000001300000013000000CC +:1088600013000000130000001300000013000000BC +:1088700013000000130000001300000013000000AC +:10888000130000001300000013000000130000009C +:10889000130000001300000013000000130000008C +:1088A000130000001300000013000000130000007C +:1088B000130000001300000013000000130000006C +:1088C000130000001300000013000000130000005C +:1088D000130000001300000013000000130000004C +:1088E000130000001300000013000000130000003C +:1088F000130000001300000013000000130000002C +:10890000130000001300000013000000130000001B +:10891000130000001300000013000000130000000B +:1089200013000000130000001300000013000000FB +:1089300013000000130000001300000013000000EB +:1089400013000000130000001300000013000000DB +:1089500013000000130000001300000013000000CB +:1089600013000000130000001300000013000000BB +:1089700013000000130000001300000013000000AB +:10898000130000001300000013000000130000009B +:10899000130000001300000013000000130000008B +:1089A000130000001300000013000000130000007B +:1089B000130000001300000013000000130000006B +:1089C000130000001300000013000000130000005B +:1089D000130000001300000013000000130000004B +:1089E000130000001300000013000000130000003B +:1089F000130000001300000013000000130000002B +:108A0000130000001300000013000000130000001A +:108A1000130000001300000013000000130000000A +:108A200013000000130000001300000013000000FA +:108A300013000000130000001300000013000000EA +:108A400013000000130000001300000013000000DA +:108A500013000000130000001300000013000000CA +:108A600013000000130000001300000013000000BA +:108A700013000000130000001300000013000000AA +:108A8000130000001300000013000000130000009A +:108A9000130000001300000013000000130000008A +:108AA000130000001300000013000000130000007A +:108AB000130000001300000013000000130000006A +:108AC000130000001300000013000000130000005A +:108AD000130000001300000013000000130000004A +:108AE000130000001300000013000000130000003A +:108AF000130000001300000013000000130000002A +:108B00001300000013000000130000001300000019 +:108B10001300000013000000130000001300000009 +:108B200013000000130000001300000013000000F9 +:108B300013000000130000001300000013000000E9 +:108B400013000000130000001300000013000000D9 +:108B500013000000130000001300000013000000C9 +:108B600013000000130000001300000013000000B9 +:108B700013000000130000001300000013000000A9 +:108B80001300000013000000130000001300000099 +:108B90001300000013000000130000001300000089 +:108BA0001300000013000000130000001300000079 +:108BB0001300000013000000130000001300000069 +:108BC0001300000013000000130000001300000059 +:108BD0001300000013000000130000001300000049 +:108BE0001300000013000000130000001300000039 +:108BF0001300000013000000130000001300000029 +:108C00001300000013000000130000001300000018 +:108C10001300000013000000130000001300000008 +:108C200013000000130000001300000013000000F8 +:108C300013000000130000001300000013000000E8 +:108C400013000000130000001300000013000000D8 +:108C500013000000130000001300000013000000C8 +:108C600013000000130000001300000013000000B8 +:108C700013000000130000001300000013000000A8 +:108C80001300000013000000130000001300000098 +:108C90001300000013000000130000001300000088 +:108CA0001300000013000000130000001300000078 +:108CB0001300000013000000130000001300000068 +:108CC0001300000013000000130000001300000058 +:108CD0001300000013000000130000001300000048 +:108CE0001300000013000000130000001300000038 +:108CF0001300000013000000130000001300000028 +:108D00001300000013000000130000001300000017 +:108D10001300000013000000130000001300000007 +:108D200013000000130000001300000013000000F7 +:108D300013000000130000001300000013000000E7 +:108D400013000000130000001300000013000000D7 +:108D500013000000130000001300000013000000C7 +:108D600013000000130000001300000013000000B7 +:108D700013000000130000001300000013000000A7 +:108D80001300000013000000130000001300000097 +:108D90001300000013000000130000001300000087 +:108DA0001300000013000000130000001300000077 +:108DB0001300000013000000130000001300000067 +:108DC0001300000013000000130000001300000057 +:108DD0001300000013000000130000001300000047 +:108DE0001300000013000000130000001300000037 +:108DF0001300000013000000130000001300000027 +:108E00001300000013000000130000001300000016 +:108E10001300000013000000130000001300000006 +:108E200013000000130000001300000013000000F6 +:108E300013000000130000001300000013000000E6 +:108E400013000000130000001300000013000000D6 +:108E500013000000130000001300000013000000C6 +:108E600013000000130000001300000013000000B6 +:108E700013000000130000001300000013000000A6 +:108E80001300000013000000130000001300000096 +:108E90001300000013000000130000001300000086 +:108EA0001300000013000000130000001300000076 +:108EB0001300000013000000130000001300000066 +:108EC0001300000013000000130000001300000056 +:108ED0001300000013000000130000001300000046 +:108EE0001300000013000000130000001300000036 +:108EF0001300000013000000130000001300000026 +:108F00001300000013000000130000001300000015 +:108F10001300000013000000130000001300000005 +:108F200013000000130000001300000013000000F5 +:108F300013000000130000001300000013000000E5 +:108F400013000000130000001300000013000000D5 +:108F500013000000130000001300000013000000C5 +:108F600013000000130000001300000013000000B5 +:108F700013000000130000001300000013000000A5 +:108F80001300000013000000130000001300000095 +:108F90001300000013000000130000001300000085 +:108FA0001300000013000000130000001300000075 +:108FB0001300000013000000130000001300000065 +:108FC0001300000013000000130000001300000055 +:108FD0001300000013000000130000001300000045 +:108FE0001300000013000000130000001300000035 +:108FF0001300000013000000130000001300000025 +:10900000404142434445464748494A4B4C4D4E4FE8 +:109010001300000013000000130000001300000004 +:1090200013000000130000001300000013000000F4 +:1090300013000000130000001300000013000000E4 +:1090400013000000130000001300000013000000D4 +:1090500013000000130000001300000013000000C4 +:1090600013000000130000001300000013000000B4 +:1090700013000000130000001300000013000000A4 +:109080001300000013000000130000001300000094 +:109090001300000013000000130000001300000084 +:1090A0001300000013000000130000001300000074 +:1090B0001300000013000000130000001300000064 +:1090C0001300000013000000130000001300000054 +:1090D0001300000013000000130000001300000044 +:1090E0001300000013000000130000001300000034 +:1090F0001300000013000000130000001300000024 +:109100001300000013000000130000001300000013 +:109110001300000013000000130000001300000003 +:1091200013000000130000001300000013000000F3 +:1091300013000000130000001300000013000000E3 +:1091400013000000130000001300000013000000D3 +:1091500013000000130000001300000013000000C3 +:1091600013000000130000001300000013000000B3 +:1091700013000000130000001300000013000000A3 +:109180001300000013000000130000001300000093 +:109190001300000013000000130000001300000083 +:1091A0001300000013000000130000001300000073 +:1091B0001300000013000000130000001300000063 +:1091C0001300000013000000130000001300000053 +:1091D0001300000013000000130000001300000043 +:1091E0001300000013000000130000001300000033 +:1091F0001300000013000000130000001300000023 +:109200001300000013000000130000001300000012 +:109210001300000013000000130000001300000002 +:1092200013000000130000001300000013000000F2 +:1092300013000000130000001300000013000000E2 +:1092400013000000130000001300000013000000D2 +:1092500013000000130000001300000013000000C2 +:1092600013000000130000001300000013000000B2 +:1092700013000000130000001300000013000000A2 +:109280001300000013000000130000001300000092 +:109290001300000013000000130000001300000082 +:1092A0001300000013000000130000001300000072 +:1092B0001300000013000000130000001300000062 +:1092C0001300000013000000130000001300000052 +:1092D0001300000013000000130000001300000042 +:1092E0001300000013000000130000001300000032 +:1092F0001300000013000000130000001300000022 +:109300001300000013000000130000001300000011 +:109310001300000013000000130000001300000001 +:1093200013000000130000001300000013000000F1 +:1093300013000000130000001300000013000000E1 +:1093400013000000130000001300000013000000D1 +:1093500013000000130000001300000013000000C1 +:1093600013000000130000001300000013000000B1 +:1093700013000000130000001300000013000000A1 +:109380001300000013000000130000001300000091 +:109390001300000013000000130000001300000081 +:1093A0001300000013000000130000001300000071 +:1093B0001300000013000000130000001300000061 +:1093C0001300000013000000130000001300000051 +:1093D0001300000013000000130000001300000041 +:1093E0001300000013000000130000001300000031 +:1093F0001300000013000000130000001300000021 +:109400001300000013000000130000001300000010 +:109410001300000013000000130000001300000000 +:1094200013000000130000001300000013000000F0 +:1094300013000000130000001300000013000000E0 +:1094400013000000130000001300000013000000D0 +:1094500013000000130000001300000013000000C0 +:1094600013000000130000001300000013000000B0 +:1094700013000000130000001300000013000000A0 +:109480001300000013000000130000001300000090 +:109490001300000013000000130000001300000080 +:1094A0001300000013000000130000001300000070 +:1094B0001300000013000000130000001300000060 +:1094C0001300000013000000130000001300000050 +:1094D0001300000013000000130000001300000040 +:1094E0001300000013000000130000001300000030 +:1094F0001300000013000000130000001300000020 +:10950000130000001300000013000000130000000F +:1095100013000000130000001300000013000000FF +:1095200013000000130000001300000013000000EF +:1095300013000000130000001300000013000000DF +:1095400013000000130000001300000013000000CF +:1095500013000000130000001300000013000000BF +:1095600013000000130000001300000013000000AF +:10957000130000001300000013000000130000009F +:10958000130000001300000013000000130000008F +:10959000130000001300000013000000130000007F +:1095A000130000001300000013000000130000006F +:1095B000130000001300000013000000130000005F +:1095C000130000001300000013000000130000004F +:1095D000130000001300000013000000130000003F +:1095E000130000001300000013000000130000002F +:1095F000130000001300000013000000130000001F +:10960000130000001300000013000000130000000E +:1096100013000000130000001300000013000000FE +:1096200013000000130000001300000013000000EE +:1096300013000000130000001300000013000000DE +:1096400013000000130000001300000013000000CE +:1096500013000000130000001300000013000000BE +:1096600013000000130000001300000013000000AE +:10967000130000001300000013000000130000009E +:10968000130000001300000013000000130000008E +:10969000130000001300000013000000130000007E +:1096A000130000001300000013000000130000006E +:1096B000130000001300000013000000130000005E +:1096C000130000001300000013000000130000004E +:1096D000130000001300000013000000130000003E +:1096E000130000001300000013000000130000002E +:1096F000130000001300000013000000130000001E +:10970000130000001300000013000000130000000D +:1097100013000000130000001300000013000000FD +:1097200013000000130000001300000013000000ED +:1097300013000000130000001300000013000000DD +:1097400013000000130000001300000013000000CD +:1097500013000000130000001300000013000000BD +:1097600013000000130000001300000013000000AD +:10977000130000001300000013000000130000009D +:10978000130000001300000013000000130000008D +:10979000130000001300000013000000130000007D +:1097A000130000001300000013000000130000006D +:1097B000130000001300000013000000130000005D +:1097C000130000001300000013000000130000004D +:1097D000130000001300000013000000130000003D +:1097E000130000001300000013000000130000002D +:1097F000130000001300000013000000130000001D +:10980000130000001300000013000000130000000C +:1098100013000000130000001300000013000000FC +:1098200013000000130000001300000013000000EC +:1098300013000000130000001300000013000000DC +:1098400013000000130000001300000013000000CC +:1098500013000000130000001300000013000000BC +:1098600013000000130000001300000013000000AC +:10987000130000001300000013000000130000009C +:10988000130000001300000013000000130000008C +:10989000130000001300000013000000130000007C +:1098A000130000001300000013000000130000006C +:1098B000130000001300000013000000130000005C +:1098C000130000001300000013000000130000004C +:1098D000130000001300000013000000130000003C +:1098E000130000001300000013000000130000002C +:1098F000130000001300000013000000130000001C +:10990000130000001300000013000000130000000B +:1099100013000000130000001300000013000000FB +:1099200013000000130000001300000013000000EB +:1099300013000000130000001300000013000000DB +:1099400013000000130000001300000013000000CB +:1099500013000000130000001300000013000000BB +:1099600013000000130000001300000013000000AB +:10997000130000001300000013000000130000009B +:10998000130000001300000013000000130000008B +:10999000130000001300000013000000130000007B +:1099A000130000001300000013000000130000006B +:1099B000130000001300000013000000130000005B +:1099C000130000001300000013000000130000004B +:1099D000130000001300000013000000130000003B +:1099E000130000001300000013000000130000002B +:1099F000130000001300000013000000130000001B +:109A0000130000001300000013000000130000000A +:109A100013000000130000001300000013000000FA +:109A200013000000130000001300000013000000EA +:109A300013000000130000001300000013000000DA +:109A400013000000130000001300000013000000CA +:109A500013000000130000001300000013000000BA +:109A600013000000130000001300000013000000AA +:109A7000130000001300000013000000130000009A +:109A8000130000001300000013000000130000008A +:109A9000130000001300000013000000130000007A +:109AA000130000001300000013000000130000006A +:109AB000130000001300000013000000130000005A +:109AC000130000001300000013000000130000004A +:109AD000130000001300000013000000130000003A +:109AE000130000001300000013000000130000002A +:109AF000130000001300000013000000130000001A +:109B00001300000013000000130000001300000009 +:109B100013000000130000001300000013000000F9 +:109B200013000000130000001300000013000000E9 +:109B300013000000130000001300000013000000D9 +:109B400013000000130000001300000013000000C9 +:109B500013000000130000001300000013000000B9 +:109B600013000000130000001300000013000000A9 +:109B70001300000013000000130000001300000099 +:109B80001300000013000000130000001300000089 +:109B90001300000013000000130000001300000079 +:109BA0001300000013000000130000001300000069 +:109BB0001300000013000000130000001300000059 +:109BC0001300000013000000130000001300000049 +:109BD0001300000013000000130000001300000039 +:109BE0001300000013000000130000001300000029 +:109BF0001300000013000000130000001300000019 +:109C00001300000013000000130000001300000008 +:109C100013000000130000001300000013000000F8 +:109C200013000000130000001300000013000000E8 +:109C300013000000130000001300000013000000D8 +:109C400013000000130000001300000013000000C8 +:109C500013000000130000001300000013000000B8 +:109C600013000000130000001300000013000000A8 +:109C70001300000013000000130000001300000098 +:109C80001300000013000000130000001300000088 +:109C90001300000013000000130000001300000078 +:109CA0001300000013000000130000001300000068 +:109CB0001300000013000000130000001300000058 +:109CC0001300000013000000130000001300000048 +:109CD0001300000013000000130000001300000038 +:109CE0001300000013000000130000001300000028 +:109CF0001300000013000000130000001300000018 +:109D00001300000013000000130000001300000007 +:109D100013000000130000001300000013000000F7 +:109D200013000000130000001300000013000000E7 +:109D300013000000130000001300000013000000D7 +:109D400013000000130000001300000013000000C7 +:109D500013000000130000001300000013000000B7 +:109D600013000000130000001300000013000000A7 +:109D70001300000013000000130000001300000097 +:109D80001300000013000000130000001300000087 +:109D90001300000013000000130000001300000077 +:109DA0001300000013000000130000001300000067 +:109DB0001300000013000000130000001300000057 +:109DC0001300000013000000130000001300000047 +:109DD0001300000013000000130000001300000037 +:109DE0001300000013000000130000001300000027 +:109DF0001300000013000000130000001300000017 +:109E00001300000013000000130000001300000006 +:109E100013000000130000001300000013000000F6 +:109E200013000000130000001300000013000000E6 +:109E300013000000130000001300000013000000D6 +:109E400013000000130000001300000013000000C6 +:109E500013000000130000001300000013000000B6 +:109E600013000000130000001300000013000000A6 +:109E70001300000013000000130000001300000096 +:109E80001300000013000000130000001300000086 +:109E90001300000013000000130000001300000076 +:109EA0001300000013000000130000001300000066 +:109EB0001300000013000000130000001300000056 +:109EC0001300000013000000130000001300000046 +:109ED0001300000013000000130000001300000036 +:109EE0001300000013000000130000001300000026 +:109EF0001300000013000000130000001300000016 +:109F00001300000013000000130000001300000005 +:109F100013000000130000001300000013000000F5 +:109F200013000000130000001300000013000000E5 +:109F300013000000130000001300000013000000D5 +:109F400013000000130000001300000013000000C5 +:109F500013000000130000001300000013000000B5 +:109F600013000000130000001300000013000000A5 +:109F70001300000013000000130000001300000095 +:109F80001300000013000000130000001300000085 +:109F90001300000013000000130000001300000075 +:109FA0001300000013000000130000001300000065 +:109FB0001300000013000000130000001300000055 +:109FC0001300000013000000130000001300000045 +:109FD0001300000013000000130000001300000035 +:109FE0001300000013000000130000001300000025 +:109FF0001300000013000000130000001300000015 +:10A00000505152535455565758595A5B5C5D5E5FD8 +:10A010006780000013000000130000001300000020 +:10A0200013000000130000001300000013000000E4 +:10A0300013000000130000001300000013000000D4 +:10A0400013000000130000001300000013000000C4 +:10A0500013000000130000001300000013000000B4 +:10A0600013000000130000001300000013000000A4 +:10A070001300000013000000130000001300000094 +:10A080001300000013000000130000001300000084 +:10A090001300000013000000130000001300000074 +:10A0A0001300000013000000130000001300000064 +:10A0B0001300000013000000130000001300000054 +:10A0C0001300000013000000130000001300000044 +:10A0D0001300000013000000130000001300000034 +:10A0E0001300000013000000130000001300000024 +:10A0F0001300000013000000130000001300000014 +:10A100001300000013000000130000001300000003 +:10A1100013000000130000001300000013000000F3 +:10A1200013000000130000001300000013000000E3 +:10A1300013000000130000001300000013000000D3 +:10A1400013000000130000001300000013000000C3 +:10A1500013000000130000001300000013000000B3 +:10A1600013000000130000001300000013000000A3 +:10A170001300000013000000130000001300000093 +:10A180001300000013000000130000001300000083 +:10A190001300000013000000130000001300000073 +:10A1A0001300000013000000130000001300000063 +:10A1B0001300000013000000130000001300000053 +:10A1C0001300000013000000130000001300000043 +:10A1D0001300000013000000130000001300000033 +:10A1E0001300000013000000130000001300000023 +:10A1F0001300000013000000130000001300000013 +:10A200001300000013000000130000001300000002 +:10A2100013000000130000001300000013000000F2 +:10A2200013000000130000001300000013000000E2 +:10A2300013000000130000001300000013000000D2 +:10A2400013000000130000001300000013000000C2 +:10A2500013000000130000001300000013000000B2 +:10A2600013000000130000001300000013000000A2 +:10A270001300000013000000130000001300000092 +:10A280001300000013000000130000001300000082 +:10A290001300000013000000130000001300000072 +:10A2A0001300000013000000130000001300000062 +:10A2B0001300000013000000130000001300000052 +:10A2C0001300000013000000130000001300000042 +:10A2D0001300000013000000130000001300000032 +:10A2E0001300000013000000130000001300000022 +:10A2F0001300000013000000130000001300000012 +:10A300001300000013000000130000001300000001 +:10A3100013000000130000001300000013000000F1 +:10A3200013000000130000001300000013000000E1 +:10A3300013000000130000001300000013000000D1 +:10A3400013000000130000001300000013000000C1 +:10A3500013000000130000001300000013000000B1 +:10A3600013000000130000001300000013000000A1 +:10A370001300000013000000130000001300000091 +:10A380001300000013000000130000001300000081 +:10A390001300000013000000130000001300000071 +:10A3A0001300000013000000130000001300000061 +:10A3B0001300000013000000130000001300000051 +:10A3C0001300000013000000130000001300000041 +:10A3D0001300000013000000130000001300000031 +:10A3E0001300000013000000130000001300000021 +:10A3F0001300000013000000130000001300000011 +:10A400001300000013000000130000001300000000 +:10A4100013000000130000001300000013000000F0 +:10A4200013000000130000001300000013000000E0 +:10A4300013000000130000001300000013000000D0 +:10A4400013000000130000001300000013000000C0 +:10A4500013000000130000001300000013000000B0 +:10A4600013000000130000001300000013000000A0 +:10A470001300000013000000130000001300000090 +:10A480001300000013000000130000001300000080 +:10A490001300000013000000130000001300000070 +:10A4A0001300000013000000130000001300000060 +:10A4B0001300000013000000130000001300000050 +:10A4C0001300000013000000130000001300000040 +:10A4D0001300000013000000130000001300000030 +:10A4E0001300000013000000130000001300000020 +:10A4F0001300000013000000130000001300000010 +:10A5000013000000130000001300000013000000FF +:10A5100013000000130000001300000013000000EF +:10A5200013000000130000001300000013000000DF +:10A5300013000000130000001300000013000000CF +:10A5400013000000130000001300000013000000BF +:10A5500013000000130000001300000013000000AF +:10A56000130000001300000013000000130000009F +:10A57000130000001300000013000000130000008F +:10A58000130000001300000013000000130000007F +:10A59000130000001300000013000000130000006F +:10A5A000130000001300000013000000130000005F +:10A5B000130000001300000013000000130000004F +:10A5C000130000001300000013000000130000003F +:10A5D000130000001300000013000000130000002F +:10A5E000130000001300000013000000130000001F +:10A5F000130000001300000013000000130000000F +:10A6000013000000130000001300000013000000FE +:10A6100013000000130000001300000013000000EE +:10A6200013000000130000001300000013000000DE +:10A6300013000000130000001300000013000000CE +:10A6400013000000130000001300000013000000BE +:10A6500013000000130000001300000013000000AE +:10A66000130000001300000013000000130000009E +:10A67000130000001300000013000000130000008E +:10A68000130000001300000013000000130000007E +:10A69000130000001300000013000000130000006E +:10A6A000130000001300000013000000130000005E +:10A6B000130000001300000013000000130000004E +:10A6C000130000001300000013000000130000003E +:10A6D000130000001300000013000000130000002E +:10A6E000130000001300000013000000130000001E +:10A6F000130000001300000013000000130000000E +:10A7000013000000130000001300000013000000FD +:10A7100013000000130000001300000013000000ED +:10A7200013000000130000001300000013000000DD +:10A7300013000000130000001300000013000000CD +:10A7400013000000130000001300000013000000BD +:10A7500013000000130000001300000013000000AD +:10A76000130000001300000013000000130000009D +:10A77000130000001300000013000000130000008D +:10A78000130000001300000013000000130000007D +:10A79000130000001300000013000000130000006D +:10A7A000130000001300000013000000130000005D +:10A7B000130000001300000013000000130000004D +:10A7C000130000001300000013000000130000003D +:10A7D000130000001300000013000000130000002D +:10A7E000130000001300000013000000130000001D +:10A7F000130000001300000013000000130000000D +:10A8000013000000130000001300000013000000FC +:10A8100013000000130000001300000013000000EC +:10A8200013000000130000001300000013000000DC +:10A8300013000000130000001300000013000000CC +:10A8400013000000130000001300000013000000BC +:10A8500013000000130000001300000013000000AC +:10A86000130000001300000013000000130000009C +:10A87000130000001300000013000000130000008C +:10A88000130000001300000013000000130000007C +:10A89000130000001300000013000000130000006C +:10A8A000130000001300000013000000130000005C +:10A8B000130000001300000013000000130000004C +:10A8C000130000001300000013000000130000003C +:10A8D000130000001300000013000000130000002C +:10A8E000130000001300000013000000130000001C +:10A8F000130000001300000013000000130000000C +:10A9000013000000130000001300000013000000FB +:10A9100013000000130000001300000013000000EB +:10A9200013000000130000001300000013000000DB +:10A9300013000000130000001300000013000000CB +:10A9400013000000130000001300000013000000BB +:10A9500013000000130000001300000013000000AB +:10A96000130000001300000013000000130000009B +:10A97000130000001300000013000000130000008B +:10A98000130000001300000013000000130000007B +:10A99000130000001300000013000000130000006B +:10A9A000130000001300000013000000130000005B +:10A9B000130000001300000013000000130000004B +:10A9C000130000001300000013000000130000003B +:10A9D000130000001300000013000000130000002B +:10A9E000130000001300000013000000130000001B +:10A9F000130000001300000013000000130000000B +:10AA000013000000130000001300000013000000FA +:10AA100013000000130000001300000013000000EA +:10AA200013000000130000001300000013000000DA +:10AA300013000000130000001300000013000000CA +:10AA400013000000130000001300000013000000BA +:10AA500013000000130000001300000013000000AA +:10AA6000130000001300000013000000130000009A +:10AA7000130000001300000013000000130000008A +:10AA8000130000001300000013000000130000007A +:10AA9000130000001300000013000000130000006A +:10AAA000130000001300000013000000130000005A +:10AAB000130000001300000013000000130000004A +:10AAC000130000001300000013000000130000003A +:10AAD000130000001300000013000000130000002A +:10AAE000130000001300000013000000130000001A +:10AAF000130000001300000013000000130000000A +:10AB000013000000130000001300000013000000F9 +:10AB100013000000130000001300000013000000E9 +:10AB200013000000130000001300000013000000D9 +:10AB300013000000130000001300000013000000C9 +:10AB400013000000130000001300000013000000B9 +:10AB500013000000130000001300000013000000A9 +:10AB60001300000013000000130000001300000099 +:10AB70001300000013000000130000001300000089 +:10AB80001300000013000000130000001300000079 +:10AB90001300000013000000130000001300000069 +:10ABA0001300000013000000130000001300000059 +:10ABB0001300000013000000130000001300000049 +:10ABC0001300000013000000130000001300000039 +:10ABD0001300000013000000130000001300000029 +:10ABE0001300000013000000130000001300000019 +:10ABF0001300000013000000130000001300000009 +:10AC000013000000130000001300000013000000F8 +:10AC100013000000130000001300000013000000E8 +:10AC200013000000130000001300000013000000D8 +:10AC300013000000130000001300000013000000C8 +:10AC400013000000130000001300000013000000B8 +:10AC500013000000130000001300000013000000A8 +:10AC60001300000013000000130000001300000098 +:10AC70001300000013000000130000001300000088 +:10AC80001300000013000000130000001300000078 +:10AC90001300000013000000130000001300000068 +:10ACA0001300000013000000130000001300000058 +:10ACB0001300000013000000130000001300000048 +:10ACC0001300000013000000130000001300000038 +:10ACD0001300000013000000130000001300000028 +:10ACE0001300000013000000130000001300000018 +:10ACF0001300000013000000130000001300000008 +:10AD000013000000130000001300000013000000F7 +:10AD100013000000130000001300000013000000E7 +:10AD200013000000130000001300000013000000D7 +:10AD300013000000130000001300000013000000C7 +:10AD400013000000130000001300000013000000B7 +:10AD500013000000130000001300000013000000A7 +:10AD60001300000013000000130000001300000097 +:10AD70001300000013000000130000001300000087 +:10AD80001300000013000000130000001300000077 +:10AD90001300000013000000130000001300000067 +:10ADA0001300000013000000130000001300000057 +:10ADB0001300000013000000130000001300000047 +:10ADC0001300000013000000130000001300000037 +:10ADD0001300000013000000130000001300000027 +:10ADE0001300000013000000130000001300000017 +:10ADF0001300000013000000130000001300000007 +:10AE000013000000130000001300000013000000F6 +:10AE100013000000130000001300000013000000E6 +:10AE200013000000130000001300000013000000D6 +:10AE300013000000130000001300000013000000C6 +:10AE400013000000130000001300000013000000B6 +:10AE500013000000130000001300000013000000A6 +:10AE60001300000013000000130000001300000096 +:10AE70001300000013000000130000001300000086 +:10AE80001300000013000000130000001300000076 +:10AE90001300000013000000130000001300000066 +:10AEA0001300000013000000130000001300000056 +:10AEB0001300000013000000130000001300000046 +:10AEC0001300000013000000130000001300000036 +:10AED0001300000013000000130000001300000026 +:10AEE0001300000013000000130000001300000016 +:10AEF0001300000013000000130000001300000006 +:10AF000013000000130000001300000013000000F5 +:10AF100013000000130000001300000013000000E5 +:10AF200013000000130000001300000013000000D5 +:10AF300013000000130000001300000013000000C5 +:10AF400013000000130000001300000013000000B5 +:10AF500013000000130000001300000013000000A5 +:10AF60001300000013000000130000001300000095 +:10AF70001300000013000000130000001300000085 +:10AF80001300000013000000130000001300000075 +:10AF90001300000013000000130000001300000065 +:10AFA0001300000013000000130000001300000055 +:10AFB0001300000013000000130000001300000045 +:10AFC0001300000013000000130000001300000035 +:10AFD0001300000013000000130000001300000025 +:10AFE0001300000013000000130000001300000015 +:10AFF0001300000013000000130000001300000005 +:10B00000606162636465666768696A6B6C6D6E6FC8 +:10B0100013000000130000001300000013000000E4 +:10B0200013000000130000001300000013000000D4 +:10B0300013000000130000001300000013000000C4 +:10B0400013000000130000001300000013000000B4 +:10B0500013000000130000001300000013000000A4 +:10B060001300000013000000130000001300000094 +:10B070001300000013000000130000001300000084 +:10B080001300000013000000130000001300000074 +:10B090001300000013000000130000001300000064 +:10B0A0001300000013000000130000001300000054 +:10B0B0001300000013000000130000001300000044 +:10B0C0001300000013000000130000001300000034 +:10B0D0001300000013000000130000001300000024 +:10B0E0001300000013000000130000001300000014 +:10B0F0001300000013000000130000001300000004 +:10B1000013000000130000001300000013000000F3 +:10B1100013000000130000001300000013000000E3 +:10B1200013000000130000001300000013000000D3 +:10B1300013000000130000001300000013000000C3 +:10B1400013000000130000001300000013000000B3 +:10B1500013000000130000001300000013000000A3 +:10B160001300000013000000130000001300000093 +:10B170001300000013000000130000001300000083 +:10B180001300000013000000130000001300000073 +:10B190001300000013000000130000001300000063 +:10B1A0001300000013000000130000001300000053 +:10B1B0001300000013000000130000001300000043 +:10B1C0001300000013000000130000001300000033 +:10B1D0001300000013000000130000001300000023 +:10B1E0001300000013000000130000001300000013 +:10B1F0001300000013000000130000001300000003 +:10B2000013000000130000001300000013000000F2 +:10B2100013000000130000001300000013000000E2 +:10B2200013000000130000001300000013000000D2 +:10B2300013000000130000001300000013000000C2 +:10B2400013000000130000001300000013000000B2 +:10B2500013000000130000001300000013000000A2 +:10B260001300000013000000130000001300000092 +:10B270001300000013000000130000001300000082 +:10B280001300000013000000130000001300000072 +:10B290001300000013000000130000001300000062 +:10B2A0001300000013000000130000001300000052 +:10B2B0001300000013000000130000001300000042 +:10B2C0001300000013000000130000001300000032 +:10B2D0001300000013000000130000001300000022 +:10B2E0001300000013000000130000001300000012 +:10B2F0001300000013000000130000001300000002 +:10B3000013000000130000001300000013000000F1 +:10B3100013000000130000001300000013000000E1 +:10B3200013000000130000001300000013000000D1 +:10B3300013000000130000001300000013000000C1 +:10B3400013000000130000001300000013000000B1 +:10B3500013000000130000001300000013000000A1 +:10B360001300000013000000130000001300000091 +:10B370001300000013000000130000001300000081 +:10B380001300000013000000130000001300000071 +:10B390001300000013000000130000001300000061 +:10B3A0001300000013000000130000001300000051 +:10B3B0001300000013000000130000001300000041 +:10B3C0001300000013000000130000001300000031 +:10B3D0001300000013000000130000001300000021 +:10B3E0001300000013000000130000001300000011 +:10B3F0001300000013000000130000001300000001 +:10B4000013000000130000001300000013000000F0 +:10B4100013000000130000001300000013000000E0 +:10B4200013000000130000001300000013000000D0 +:10B4300013000000130000001300000013000000C0 +:10B4400013000000130000001300000013000000B0 +:10B4500013000000130000001300000013000000A0 +:10B460001300000013000000130000001300000090 +:10B470001300000013000000130000001300000080 +:10B480001300000013000000130000001300000070 +:10B490001300000013000000130000001300000060 +:10B4A0001300000013000000130000001300000050 +:10B4B0001300000013000000130000001300000040 +:10B4C0001300000013000000130000001300000030 +:10B4D0001300000013000000130000001300000020 +:10B4E0001300000013000000130000001300000010 +:10B4F0001300000013000000130000001300000000 +:10B5000013000000130000001300000013000000EF +:10B5100013000000130000001300000013000000DF +:10B5200013000000130000001300000013000000CF +:10B5300013000000130000001300000013000000BF +:10B5400013000000130000001300000013000000AF +:10B55000130000001300000013000000130000009F +:10B56000130000001300000013000000130000008F +:10B57000130000001300000013000000130000007F +:10B58000130000001300000013000000130000006F +:10B59000130000001300000013000000130000005F +:10B5A000130000001300000013000000130000004F +:10B5B000130000001300000013000000130000003F +:10B5C000130000001300000013000000130000002F +:10B5D000130000001300000013000000130000001F +:10B5E000130000001300000013000000130000000F +:10B5F00013000000130000001300000013000000FF +:10B6000013000000130000001300000013000000EE +:10B6100013000000130000001300000013000000DE +:10B6200013000000130000001300000013000000CE +:10B6300013000000130000001300000013000000BE +:10B6400013000000130000001300000013000000AE +:10B65000130000001300000013000000130000009E +:10B66000130000001300000013000000130000008E +:10B67000130000001300000013000000130000007E +:10B68000130000001300000013000000130000006E +:10B69000130000001300000013000000130000005E +:10B6A000130000001300000013000000130000004E +:10B6B000130000001300000013000000130000003E +:10B6C000130000001300000013000000130000002E +:10B6D000130000001300000013000000130000001E +:10B6E000130000001300000013000000130000000E +:10B6F00013000000130000001300000013000000FE +:10B7000013000000130000001300000013000000ED +:10B7100013000000130000001300000013000000DD +:10B7200013000000130000001300000013000000CD +:10B7300013000000130000001300000013000000BD +:10B7400013000000130000001300000013000000AD +:10B75000130000001300000013000000130000009D +:10B76000130000001300000013000000130000008D +:10B77000130000001300000013000000130000007D +:10B78000130000001300000013000000130000006D +:10B79000130000001300000013000000130000005D +:10B7A000130000001300000013000000130000004D +:10B7B000130000001300000013000000130000003D +:10B7C000130000001300000013000000130000002D +:10B7D000130000001300000013000000130000001D +:10B7E000130000001300000013000000130000000D +:10B7F00013000000130000001300000013000000FD +:10B8000013000000130000001300000013000000EC +:10B8100013000000130000001300000013000000DC +:10B8200013000000130000001300000013000000CC +:10B8300013000000130000001300000013000000BC +:10B8400013000000130000001300000013000000AC +:10B85000130000001300000013000000130000009C +:10B86000130000001300000013000000130000008C +:10B87000130000001300000013000000130000007C +:10B88000130000001300000013000000130000006C +:10B89000130000001300000013000000130000005C +:10B8A000130000001300000013000000130000004C +:10B8B000130000001300000013000000130000003C +:10B8C000130000001300000013000000130000002C +:10B8D000130000001300000013000000130000001C +:10B8E000130000001300000013000000130000000C +:10B8F00013000000130000001300000013000000FC +:10B9000013000000130000001300000013000000EB +:10B9100013000000130000001300000013000000DB +:10B9200013000000130000001300000013000000CB +:10B9300013000000130000001300000013000000BB +:10B9400013000000130000001300000013000000AB +:10B95000130000001300000013000000130000009B +:10B96000130000001300000013000000130000008B +:10B97000130000001300000013000000130000007B +:10B98000130000001300000013000000130000006B +:10B99000130000001300000013000000130000005B +:10B9A000130000001300000013000000130000004B +:10B9B000130000001300000013000000130000003B +:10B9C000130000001300000013000000130000002B +:10B9D000130000001300000013000000130000001B +:10B9E000130000001300000013000000130000000B +:10B9F00013000000130000001300000013000000FB +:10BA000013000000130000001300000013000000EA +:10BA100013000000130000001300000013000000DA +:10BA200013000000130000001300000013000000CA +:10BA300013000000130000001300000013000000BA +:10BA400013000000130000001300000013000000AA +:10BA5000130000001300000013000000130000009A +:10BA6000130000001300000013000000130000008A +:10BA7000130000001300000013000000130000007A +:10BA8000130000001300000013000000130000006A +:10BA9000130000001300000013000000130000005A +:10BAA000130000001300000013000000130000004A +:10BAB000130000001300000013000000130000003A +:10BAC000130000001300000013000000130000002A +:10BAD000130000001300000013000000130000001A +:10BAE000130000001300000013000000130000000A +:10BAF00013000000130000001300000013000000FA +:10BB000013000000130000001300000013000000E9 +:10BB100013000000130000001300000013000000D9 +:10BB200013000000130000001300000013000000C9 +:10BB300013000000130000001300000013000000B9 +:10BB400013000000130000001300000013000000A9 +:10BB50001300000013000000130000001300000099 +:10BB60001300000013000000130000001300000089 +:10BB70001300000013000000130000001300000079 +:10BB80001300000013000000130000001300000069 +:10BB90001300000013000000130000001300000059 +:10BBA0001300000013000000130000001300000049 +:10BBB0001300000013000000130000001300000039 +:10BBC0001300000013000000130000001300000029 +:10BBD0001300000013000000130000001300000019 +:10BBE0001300000013000000130000001300000009 +:10BBF00013000000130000001300000013000000F9 +:10BC000013000000130000001300000013000000E8 +:10BC100013000000130000001300000013000000D8 +:10BC200013000000130000001300000013000000C8 +:10BC300013000000130000001300000013000000B8 +:10BC400013000000130000001300000013000000A8 +:10BC50001300000013000000130000001300000098 +:10BC60001300000013000000130000001300000088 +:10BC70001300000013000000130000001300000078 +:10BC80001300000013000000130000001300000068 +:10BC90001300000013000000130000001300000058 +:10BCA0001300000013000000130000001300000048 +:10BCB0001300000013000000130000001300000038 +:10BCC0001300000013000000130000001300000028 +:10BCD0001300000013000000130000001300000018 +:10BCE0001300000013000000130000001300000008 +:10BCF00013000000130000001300000013000000F8 +:10BD000013000000130000001300000013000000E7 +:10BD100013000000130000001300000013000000D7 +:10BD200013000000130000001300000013000000C7 +:10BD300013000000130000001300000013000000B7 +:10BD400013000000130000001300000013000000A7 +:10BD50001300000013000000130000001300000097 +:10BD60001300000013000000130000001300000087 +:10BD70001300000013000000130000001300000077 +:10BD80001300000013000000130000001300000067 +:10BD90001300000013000000130000001300000057 +:10BDA0001300000013000000130000001300000047 +:10BDB0001300000013000000130000001300000037 +:10BDC0001300000013000000130000001300000027 +:10BDD0001300000013000000130000001300000017 +:10BDE0001300000013000000130000001300000007 +:10BDF00013000000130000001300000013000000F7 +:10BE000013000000130000001300000013000000E6 +:10BE100013000000130000001300000013000000D6 +:10BE200013000000130000001300000013000000C6 +:10BE300013000000130000001300000013000000B6 +:10BE400013000000130000001300000013000000A6 +:10BE50001300000013000000130000001300000096 +:10BE60001300000013000000130000001300000086 +:10BE70001300000013000000130000001300000076 +:10BE80001300000013000000130000001300000066 +:10BE90001300000013000000130000001300000056 +:10BEA0001300000013000000130000001300000046 +:10BEB0001300000013000000130000001300000036 +:10BEC0001300000013000000130000001300000026 +:10BED0001300000013000000130000001300000016 +:10BEE0001300000013000000130000001300000006 +:10BEF00013000000130000001300000013000000F6 +:10BF000013000000130000001300000013000000E5 +:10BF100013000000130000001300000013000000D5 +:10BF200013000000130000001300000013000000C5 +:10BF300013000000130000001300000013000000B5 +:10BF400013000000130000001300000013000000A5 +:10BF50001300000013000000130000001300000095 +:10BF60001300000013000000130000001300000085 +:10BF70001300000013000000130000001300000075 +:10BF80001300000013000000130000001300000065 +:10BF90001300000013000000130000001300000055 +:10BFA0001300000013000000130000001300000045 +:10BFB0001300000013000000130000001300000035 +:10BFC0001300000013000000130000001300000025 +:10BFD0001300000013000000130000001300000015 +:10BFE0001300000013000000130000001300000005 +:10BFF00013000000130000001300000013000000F5 +:10C00000707172737475767778797A7B7C7D7E7FB8 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:0CC9D0000000000000000000000000005B +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/cpp/raw/mmu/makefile b/VexRiscv/src/test/cpp/raw/mmu/makefile new file mode 100644 index 0000000..961e3bc --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/mmu/makefile @@ -0,0 +1,3 @@ +PROJ_NAME=mmu + +include ../common/asm.mk \ No newline at end of file diff --git a/VexRiscv/src/test/cpp/raw/mmu/src/crt.S b/VexRiscv/src/test/cpp/raw/mmu/src/crt.S new file mode 100644 index 0000000..2f85bbe --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/mmu/src/crt.S @@ -0,0 +1,526 @@ +.globl _start + +#define TEST_ID x28 +#define TRAP_OK x29 +#define TRAP_RET x30 + + +ROM_SUPER_0: + +_start: + li TRAP_OK, 0 //Do not allow trap + la x1, trap + csrw mtvec, x1 + +test1: //test ram + li TEST_ID, 1 + la x1, ROM_2 + li x2, 0x27262524 + lw x1, 4(x1) + bne x1, x2, fail + +test2: //dummy mret + li TEST_ID, 2 + la x1, test3 + csrw mepc, x1 + li x1, 0x1800 + csrw mstatus, x1 + mret + j fail + + +test3: // jump to supervisor + li TEST_ID, 3 + li x1, 0x0800 + csrw mstatus, x1 + la x1, test4 + csrw mepc, x1 + mret + j fail + + + +test4: //test ram mmu off + li TEST_ID, 4 + la x1, ROM_3 + li x2, 0x37363534 + lw x1, 4(x1) + bne x1, x2, fail + +test5: //setup MMU + + li TEST_ID, 5 + la x1, MMU_TABLE_0 + 0x800 + la x2, MMU_TABLE_1 + srli x2, x2, 2 + ori x2, x2, 0x11 + sw x2, 0(x1) + + la x1, MMU_TABLE_1 + 0x000*4 + li x2, 0x80000000 + srli x2, x2, 2 + ori x2, x2, 0x0F + sw x2, 0(x1) + + + li TEST_ID, 5 + la x1, MMU_TABLE_0 + 0x900 + la x2, MMU_TABLE_2 + srli x2, x2, 2 + ori x2, x2, 0x11 + sw x2, 0(x1) + + la x1, MMU_TABLE_2 + 0x00A*4 + la x2, ROM_4 + srli x2, x2, 2 + ori x2, x2, 0x1F + sw x2, 0(x1) + + la x1, MMU_TABLE_2 + 0x010*4 // Read Only + la x2, ROM_5 + srli x2, x2, 2 + ori x2, x2, 0x11 + (0x1 << 1) + sw x2, 0(x1) + + la x1, MMU_TABLE_2 + 0x011*4 // Read Write + la x2, ROM_5 + srli x2, x2, 2 + ori x2, x2, 0x11 + (0x3 << 1) + sw x2, 0(x1) + + la x1, MMU_TABLE_2 + 0x012*4 // Execute only + la x2, ROM_5 + srli x2, x2, 2 + ori x2, x2, 0x11 + (0x4 << 1) + sw x2, 0(x1) + + la x1, MMU_TABLE_2 + 0x013*4 //Read Execute + la x2, ROM_5 + srli x2, x2, 2 + ori x2, x2, 0x11 + (0x5 << 1) + sw x2, 0(x1) + + la x1, MMU_TABLE_2 + 0x014*4 //no user + la x2, ROM_5 + srli x2, x2, 2 + ori x2, x2, 0x01 + (0x7 << 1) + sw x2, 0(x1) + + li TEST_ID, 5 + la x1, MMU_TABLE_0 + 0xA00 + la x2, ROM_SUPER_0 + srli x2, x2, 2 + ori x2, x2, 0x1F + sw x2, 0(x1) + + li TEST_ID, 5 + la x1, MMU_TABLE_0 + 0xB00 + sw x0, 0(x1) + + li x1, 1 << 18 //SUM + csrs sstatus, x1 + + la x1, MMU_TABLE_0 + srli x1, x1, 12 + li x2, 0x80000000 + or x1, x1, x2 + csrw satp, x1 + + +test6: //read through MMU + li TEST_ID, 6 + li x1, 0x9000A008 + li x2, 0x4B4A4948 + lw x1, 0(x1) + bne x1, x2, fail + + + +test7: //write-read through MMU + li TEST_ID, 7 + li x1, 0x9000A360 + li x2, 0xAAEE0001 + sw x2, 0(x1) + lw x1, 0(x1) + bne x1, x2, fail + + +test8: //read through MMU super page + li TEST_ID, 8 + la x1, ROM_7 + 0x20000004 + li x2, 0x77767574 + lw x1, 0(x1) + bne x1, x2, fail + + + +test9: //write-read through MMU super page + li TEST_ID, 9 + li x1, 0xA000A360 + li x2, 0xAAEE0002 + sw x2, 0(x1) + lw x1, 0(x1) + bne x1, x2, fail + + + +test10: //check previously written value without the MMU + li TEST_ID, 10 + csrwi satp, 0 + + la x1, ROM_4 + 0x360 + li x2, 0xAAEE0001 + lw x1, 0(x1) + bne x1, x2, fail + + +test11: + li TEST_ID, 11 + la x1, ROM_SUPER_0 + 0xA360 + li x2, 0xAAEE0002 + lw x1, 0(x1) + bne x1, x2, fail + + + la x1, MMU_TABLE_0 + srli x1, x1, 12 + li x2, 0x80000000 + or x1, x1, x2 + csrw satp, x1 + + +test12: //Dummy trap + li TEST_ID, 12 + li TRAP_OK, 1 //Allow trap + la TRAP_RET, test13 // trap return address + ecall + j fail + + +test13: //Trap load page fault + li TEST_ID, 13 + la TRAP_RET, test14 + li x1, 0xB0000000 + lw x1, 8(x1) + j fail + + +test14: //Trap store page fault + li TEST_ID, 14 + la TRAP_RET, test15 + li x1, 0xB0000000 + sw x1, 8(x1) + j fail + + +test15: //Trap instruction fetch + li TEST_ID, 15 + la TRAP_RET, test15_end + li x1, 0xB0000000 + jr x1 + j fail + +test15_end: + + +test16: //Test limited read access + li TEST_ID, 16 + li TRAP_OK, 0 //disable trap + + li x1, 0x90010008 + li x2, 0x5B5A5958 + lw x1, 0(x1) + bne x1, x2, fail + + + li x1, 0x90011008 + li x2, 0x5B5A5958 + lw x1, 0(x1) + bne x1, x2, fail + + li x1, 0x90013008 + li x2, 0x5B5A5958 + lw x1, 0(x1) + bne x1, x2, fail + +test17: //Test limited write access + li TEST_ID, 17 + + li x1, 0x90011360 + li x2, 0xAAEE0003 + sw x2, 0(x1) + lw x1, 0(x1) + bne x1, x2, fail + +test18: //Test limited execute access + li TEST_ID, 18 + + la x1, test18_end + li x2, 0x90012010 + jr x2 + j fail +test18_end: + + +test19: //exception by access limitations + li TEST_ID, 19 + li TRAP_OK, 1 //Allow trap + la TRAP_RET, test19_readTrap + li x1, 0x90012010 + lw x1, 0(x1) + j fail +test19_readTrap: + la TRAP_RET, test19_writeTrap + li x1, 0x90013010 + sw x1, 0(x1) + j fail +test19_writeTrap: + la TRAP_RET, test19_executeTrap + li x1, 0x90011010 + jr x1 + j fail +test19_executeTrap: + + +test20: //mxr set, read executable + li TEST_ID, 21 + li TRAP_OK, 0 + li x1, 1 << 19 + csrs sstatus, x1 + li x1, 0x90012008 + li x2, 0x5B5A5958 + lw x1, 0(x1) + bne x1, x2, fail + li x1, 1 << 19 + csrc sstatus, x1 + + +test21: //supervisor accessing not user + li TRAP_OK, 0 + li TEST_ID, 20 + li x1, 0x90014380 + li x2, 0xAAEE0005 + sw x2, 0(x1) + lw x1, 0(x1) + bne x1, x2, fail + + + + li x1, 1 << 18 //clear SUM + csrc sstatus, x1 + li TRAP_OK, 1 + la TRAP_RET, test21_pass + li x1, 0x90011648 + sw x1, 0(x1) + j fail + +test21_pass: + + + + + +test50: //User mode setup + li TEST_ID, 50 + li TRAP_OK, 0 + + //set SUM + li x1, 1 << 18 + csrs sstatus, x1 + + //remap code tlb into userspace + csrr x10, satp + csrw satp, x0 + la x1, MMU_TABLE_1 + 0x000*4 + li x2, 0x80000000 + srli x2, x2, 2 + ori x2, x2, 0x1F + sw x2, 0(x1) + csrw satp, x10 + + li x1, 1 << 8 + csrc sstatus, x1 //clear SPP + + la x1, test51 + csrw sepc, x1 + sfence.vma + sret + j fail + +test51: //user read/write + li TEST_ID, 51 + li x1, 0x90011008 + li x2, 0x5B5A5958 + lw x1, 0(x1) + bne x1, x2, fail + + li x1, 0xA000A324 + li x2, 0xAAEE0008 + sw x2, 0(x1) + lw x1, 0(x1) + bne x1, x2, fail + +test52: //user fetch + li TEST_ID, 52 + la x1, test53 + li x2, 0x90012010 + jr x2 + j fail + +test53: // user fetch page fault + li TEST_ID, 53 + li TRAP_OK, 1 + la TRAP_RET, test54 + li x1, 0x90014390 + jr x1 + j fail + +test54: //user load page fault + li TEST_ID, 54 + li TRAP_OK, 1 + la TRAP_RET, test55 + li x1, 0x90014394 + lw x1, 0(x1) + j fail + +test55: //user store page fault + li TEST_ID, 55 + li TRAP_OK, 1 + la TRAP_RET, test56 + li x1, 0x90014398 + sw x1, 0(x1) + j fail + +test56: + + + + + + + + j pass + +fail: //TEST_ID => error code + li TRAP_OK, 0 + ecall +failFence: + li x2, 0xF00FFF24 + sw TEST_ID, 0(x2) + +pass: + li TRAP_OK, 2 + ecall +passFence: + li x2, 0xF00FFF20 + sw x0, 0(x2) + + +trap: + beq TRAP_OK, x0, failFence + csrr x1, mcause + csrr x1, mepc + csrr x1, mstatus + csrr x1, mbadaddr + li x1, 2 + beq TRAP_OK, x1, passFence + csrw mepc, TRAP_RET + mret + + + nop + nop + nop + nop + nop + nop + +.align 12 +MMU_TABLE_0: +.word 0 + +.align 12 +MMU_TABLE_1: +.word 0 + +.align 12 +MMU_TABLE_2: +.word 0 + +.align 12 +MMU_TABLE_3: +.word 0 + +.align 12 +ROM_0: +.word 0x03020100 +.word 0x07060504 +.word 0x0B0A0908 +.word 0x0F0E0D0C + +.align 12 +ROM_1: +.word 0x13121110 +.word 0x17161514 +.word 0x1B1A1918 +.word 0x1F1E1D1C + +.align 12 +ROM_2: +.word 0x23222120 +.word 0x27262524 +.word 0x2B2A2928 +.word 0x2F2E2D2C + +.align 12 +ROM_3: +.word 0x33323130 +.word 0x37363534 +.word 0x3B3A3938 +.word 0x3F3E3D3C + +.align 12 +ROM_4: +.word 0x43424140 +.word 0x47464544 +.word 0x4B4A4948 +.word 0x4F4E4D4C + +.align 12 +ROM_5: +.word 0x53525150 +.word 0x57565554 +.word 0x5B5A5958 +.word 0x5F5E5D5C + jr x1 + +.align 12 +ROM_6: +.word 0x63626160 +.word 0x67666564 +.word 0x6B6A6968 +.word 0x6F6E6D6C + +.align 12 +ROM_7: +.word 0x73727170 +.word 0x77767574 +.word 0x7B7A7978 +.word 0x7F7E7D7C + +/* +.align 22 +ROM_SUPER_0: +.word 0x83828180 +.word 0x87868584 +.word 0x8B8A8988 +.word 0x8F8E8D8C + +.align 12 +ROM_SUPER_1: +.word 0x93929190 +.word 0x97969594 +.word 0x9B9A9998 +.word 0x9F9E9D9C*/ + + diff --git a/VexRiscv/src/test/cpp/raw/mmu/src/ld b/VexRiscv/src/test/cpp/raw/mmu/src/ld new file mode 100644 index 0000000..93d8de8 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/mmu/src/ld @@ -0,0 +1,16 @@ +OUTPUT_ARCH( "riscv" ) + +MEMORY { + onChipRam (W!RX)/*(RX)*/ : ORIGIN = 0x80000000, LENGTH = 128K +} + +SECTIONS +{ + + .crt_section : + { + . = ALIGN(4); + *crt.o(.text) + } > onChipRam + +} diff --git a/VexRiscv/src/test/cpp/raw/pmp/build/pmp.asm b/VexRiscv/src/test/cpp/raw/pmp/build/pmp.asm new file mode 100644 index 0000000..f8a30e2 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/pmp/build/pmp.asm @@ -0,0 +1,258 @@ + +build/pmp.elf: file format elf32-littleriscv + + +Disassembly of section .crt_section: + +80000000 <_start>: +80000000: 00000493 li s1,0 +80000004: 00000097 auipc ra,0x0 +80000008: 01008093 addi ra,ra,16 # 80000014 +8000000c: 30509073 csrw mtvec,ra +80000010: 0140006f j 80000024 + +80000014 : +80000014: 341f1073 csrw mepc,t5 +80000018: 00049463 bnez s1,80000020 +8000001c: 30200073 mret + +80000020 : +80000020: 000f0067 jr t5 + +80000024 : +80000024: 00000e13 li t3,0 +80000028: 00000f17 auipc t5,0x0 +8000002c: 324f0f13 addi t5,t5,804 # 8000034c +80000030: 800000b7 lui ra,0x80000 +80000034: 80008237 lui tp,0x80008 +80000038: deadc137 lui sp,0xdeadc +8000003c: eef10113 addi sp,sp,-273 # deadbeef +80000040: 0020a023 sw sp,0(ra) # 80000000 +80000044: 00222023 sw sp,0(tp) # 80008000 +80000048: 0000a183 lw gp,0(ra) +8000004c: 30311063 bne sp,gp,8000034c +80000050: 00022183 lw gp,0(tp) # 0 <_start-0x80000000> +80000054: 2e311c63 bne sp,gp,8000034c +80000058: 071a02b7 lui t0,0x71a0 +8000005c: 3a029073 csrw pmpcfg0,t0 +80000060: 3a002373 csrr t1,pmpcfg0 +80000064: 2e629463 bne t0,t1,8000034c +80000068: 1a1902b7 lui t0,0x1a190 +8000006c: 30428293 addi t0,t0,772 # 1a190304 <_start-0x65e6fcfc> +80000070: 3a129073 csrw pmpcfg1,t0 +80000074: 000f12b7 lui t0,0xf1 +80000078: 90a28293 addi t0,t0,-1782 # f090a <_start-0x7ff0f6f6> +8000007c: 3a229073 csrw pmpcfg2,t0 +80000080: 3a202373 csrr t1,pmpcfg2 +80000084: 2c629463 bne t0,t1,8000034c +80000088: 1c1e22b7 lui t0,0x1c1e2 +8000008c: 90028293 addi t0,t0,-1792 # 1c1e1900 <_start-0x63e1e700> +80000090: 3a329073 csrw pmpcfg3,t0 +80000094: 200002b7 lui t0,0x20000 +80000098: 3b029073 csrw pmpaddr0,t0 +8000009c: 3b002373 csrr t1,pmpaddr0 +800000a0: 2a629663 bne t0,t1,8000034c +800000a4: fff00293 li t0,-1 +800000a8: 3b129073 csrw pmpaddr1,t0 +800000ac: 202002b7 lui t0,0x20200 +800000b0: 3b229073 csrw pmpaddr2,t0 +800000b4: 200042b7 lui t0,0x20004 +800000b8: fff28293 addi t0,t0,-1 # 20003fff <_start-0x5fffc001> +800000bc: 3b329073 csrw pmpaddr3,t0 +800000c0: 200042b7 lui t0,0x20004 +800000c4: fff28293 addi t0,t0,-1 # 20003fff <_start-0x5fffc001> +800000c8: 3b429073 csrw pmpaddr4,t0 +800000cc: 200042b7 lui t0,0x20004 +800000d0: fff28293 addi t0,t0,-1 # 20003fff <_start-0x5fffc001> +800000d4: 3b529073 csrw pmpaddr5,t0 +800000d8: 230002b7 lui t0,0x23000 +800000dc: fff28293 addi t0,t0,-1 # 22ffffff <_start-0x5d000001> +800000e0: 3b629073 csrw pmpaddr6,t0 +800000e4: 220402b7 lui t0,0x22040 +800000e8: fff28293 addi t0,t0,-1 # 2203ffff <_start-0x5dfc0001> +800000ec: 3b729073 csrw pmpaddr7,t0 +800000f0: 200d02b7 lui t0,0x200d0 +800000f4: 3b829073 csrw pmpaddr8,t0 +800000f8: 200e02b7 lui t0,0x200e0 +800000fc: 3b929073 csrw pmpaddr9,t0 +80000100: fff00293 li t0,-1 +80000104: 3ba29073 csrw pmpaddr10,t0 +80000108: 00000293 li t0,0 +8000010c: 3bb29073 csrw pmpaddr11,t0 +80000110: 00000293 li t0,0 +80000114: 3bc29073 csrw pmpaddr12,t0 +80000118: 00000293 li t0,0 +8000011c: 3bd29073 csrw pmpaddr13,t0 +80000120: 00000293 li t0,0 +80000124: 3be29073 csrw pmpaddr14,t0 +80000128: fff00293 li t0,-1 +8000012c: 3bf29073 csrw pmpaddr15,t0 +80000130: 00c10137 lui sp,0xc10 +80000134: fee10113 addi sp,sp,-18 # c0ffee <_start-0x7f3f0012> +80000138: 0020a023 sw sp,0(ra) +8000013c: 00222023 sw sp,0(tp) # 0 <_start-0x80000000> +80000140: 0000a183 lw gp,0(ra) +80000144: 20311463 bne sp,gp,8000034c +80000148: 00000193 li gp,0 +8000014c: 00022183 lw gp,0(tp) # 0 <_start-0x80000000> +80000150: 1e311e63 bne sp,gp,8000034c + +80000154 : +80000154: 00100e13 li t3,1 +80000158: 00000f17 auipc t5,0x0 +8000015c: 1f4f0f13 addi t5,t5,500 # 8000034c +80000160: 079a12b7 lui t0,0x79a1 +80000164: 80828293 addi t0,t0,-2040 # 79a0808 <_start-0x7865f7f8> +80000168: 3a029073 csrw pmpcfg0,t0 +8000016c: 3a002373 csrr t1,pmpcfg0 +80000170: 1c629e63 bne t0,t1,8000034c +80000174: 808000b7 lui ra,0x80800 +80000178: deadc137 lui sp,0xdeadc +8000017c: eef10113 addi sp,sp,-273 # deadbeef +80000180: 0020a023 sw sp,0(ra) # 80800000 +80000184: 00000f17 auipc t5,0x0 +80000188: 010f0f13 addi t5,t5,16 # 80000194 +8000018c: 0000a183 lw gp,0(ra) +80000190: 1bc0006f j 8000034c + +80000194 : +80000194: 00200e13 li t3,2 +80000198: 00000f17 auipc t5,0x0 +8000019c: 1b4f0f13 addi t5,t5,436 # 8000034c +800001a0: 071a02b7 lui t0,0x71a0 +800001a4: 3a029073 csrw pmpcfg0,t0 +800001a8: 3a002373 csrr t1,pmpcfg0 +800001ac: 1a628063 beq t0,t1,8000034c +800001b0: 3b305073 csrwi pmpaddr3,0 +800001b4: 3b302373 csrr t1,pmpaddr3 +800001b8: 18031a63 bnez t1,8000034c +800001bc: 3b205073 csrwi pmpaddr2,0 +800001c0: 3b202373 csrr t1,pmpaddr2 +800001c4: 18030463 beqz t1,8000034c +800001c8: 808000b7 lui ra,0x80800 +800001cc: deadc137 lui sp,0xdeadc +800001d0: eef10113 addi sp,sp,-273 # deadbeef +800001d4: 0020a023 sw sp,0(ra) # 80800000 +800001d8: 00000f17 auipc t5,0x0 +800001dc: 010f0f13 addi t5,t5,16 # 800001e8 +800001e0: 0000a183 lw gp,0(ra) +800001e4: 1680006f j 8000034c + +800001e8 : +800001e8: 00300e13 li t3,3 +800001ec: 00000f17 auipc t5,0x0 +800001f0: 160f0f13 addi t5,t5,352 # 8000034c +800001f4: 00ff02b7 lui t0,0xff0 +800001f8: 3b32a073 csrs pmpaddr3,t0 +800001fc: 3b302373 csrr t1,pmpaddr3 +80000200: 14629663 bne t0,t1,8000034c +80000204: 0ff00293 li t0,255 +80000208: 3b32a073 csrs pmpaddr3,t0 +8000020c: 3b302373 csrr t1,pmpaddr3 +80000210: 00ff02b7 lui t0,0xff0 +80000214: 0ff28293 addi t0,t0,255 # ff00ff <_start-0x7f00ff01> +80000218: 12629a63 bne t0,t1,8000034c +8000021c: 00ff02b7 lui t0,0xff0 +80000220: 3b32b073 csrc pmpaddr3,t0 +80000224: 3b302373 csrr t1,pmpaddr3 +80000228: 0ff00293 li t0,255 +8000022c: 12629063 bne t0,t1,8000034c +80000230: 00ff02b7 lui t0,0xff0 +80000234: 0ff28293 addi t0,t0,255 # ff00ff <_start-0x7f00ff01> +80000238: 3a02b073 csrc pmpcfg0,t0 +8000023c: 3a002373 csrr t1,pmpcfg0 +80000240: 079a02b7 lui t0,0x79a0 +80000244: 10629463 bne t0,t1,8000034c +80000248: 00ff02b7 lui t0,0xff0 +8000024c: 70728293 addi t0,t0,1799 # ff0707 <_start-0x7f00f8f9> +80000250: 3a02a073 csrs pmpcfg0,t0 +80000254: 3a002373 csrr t1,pmpcfg0 +80000258: 079a02b7 lui t0,0x79a0 +8000025c: 70728293 addi t0,t0,1799 # 79a0707 <_start-0x7865f8f9> +80000260: 0e629663 bne t0,t1,8000034c + +80000264 : +80000264: 00400e13 li t3,4 +80000268: 00000f17 auipc t5,0x0 +8000026c: 0e4f0f13 addi t5,t5,228 # 8000034c +80000270: 00000117 auipc sp,0x0 +80000274: 01010113 addi sp,sp,16 # 80000280 +80000278: 34111073 csrw mepc,sp +8000027c: 30200073 mret + +80000280 : +80000280: 00500e13 li t3,5 +80000284: 00000f17 auipc t5,0x0 +80000288: 0c8f0f13 addi t5,t5,200 # 8000034c +8000028c: deadc137 lui sp,0xdeadc +80000290: eef10113 addi sp,sp,-273 # deadbeef +80000294: 808000b7 lui ra,0x80800 +80000298: 0020a023 sw sp,0(ra) # 80800000 +8000029c: 00000f17 auipc t5,0x0 +800002a0: 010f0f13 addi t5,t5,16 # 800002ac +800002a4: 0000a183 lw gp,0(ra) +800002a8: 0a40006f j 8000034c + +800002ac : +800002ac: 00600e13 li t3,6 + +800002b0 : +800002b0: 00700e13 li t3,7 +800002b4: 00000f17 auipc t5,0x0 +800002b8: 098f0f13 addi t5,t5,152 # 8000034c +800002bc: 890000b7 lui ra,0x89000 +800002c0: ff008093 addi ra,ra,-16 # 88fffff0 +800002c4: 0000a183 lw gp,0(ra) +800002c8: 00000f17 auipc t5,0x0 +800002cc: 010f0f13 addi t5,t5,16 # 800002d8 +800002d0: 0030a023 sw gp,0(ra) +800002d4: 0780006f j 8000034c + +800002d8 : +800002d8: 00800e13 li t3,8 +800002dc: 00000f17 auipc t5,0x0 +800002e0: 014f0f13 addi t5,t5,20 # 800002f0 +800002e4: 00100493 li s1,1 +800002e8: 3a305073 csrwi pmpcfg3,0 +800002ec: 0600006f j 8000034c + +800002f0 : +800002f0: 00800e13 li t3,8 +800002f4: 1c1e22b7 lui t0,0x1c1e2 +800002f8: 90028293 addi t0,t0,-1792 # 1c1e1900 <_start-0x63e1e700> +800002fc: 3a302373 csrr t1,pmpcfg3 +80000300: 04629663 bne t0,t1,8000034c + +80000304 : +80000304: 00900e13 li t3,9 +80000308: 00000f17 auipc t5,0x0 +8000030c: 044f0f13 addi t5,t5,68 # 8000034c +80000310: 00000493 li s1,0 +80000314: 00000117 auipc sp,0x0 +80000318: 01010113 addi sp,sp,16 # 80000324 +8000031c: 34111073 csrw mepc,sp +80000320: 30200073 mret + +80000324 : +80000324: 00900e13 li t3,9 +80000328: 00000f17 auipc t5,0x0 +8000032c: 014f0f13 addi t5,t5,20 # 8000033c +80000330: 00100493 li s1,1 +80000334: 3ba05073 csrwi pmpaddr10,0 +80000338: 0140006f j 8000034c + +8000033c : +8000033c: 00900e13 li t3,9 +80000340: fff00293 li t0,-1 +80000344: 3ba02373 csrr t1,pmpaddr10 +80000348: 00628863 beq t0,t1,80000358 + +8000034c : +8000034c: f0100137 lui sp,0xf0100 +80000350: f2410113 addi sp,sp,-220 # f00fff24 +80000354: 01c12023 sw t3,0(sp) + +80000358 : +80000358: f0100137 lui sp,0xf0100 +8000035c: f2010113 addi sp,sp,-224 # f00fff20 +80000360: 00012023 sw zero,0(sp) diff --git a/VexRiscv/src/test/cpp/raw/pmp/build/pmp.elf b/VexRiscv/src/test/cpp/raw/pmp/build/pmp.elf new file mode 100755 index 0000000..f6c3c69 Binary files /dev/null and b/VexRiscv/src/test/cpp/raw/pmp/build/pmp.elf differ diff --git a/VexRiscv/src/test/cpp/raw/pmp/build/pmp.hex b/VexRiscv/src/test/cpp/raw/pmp/build/pmp.hex new file mode 100644 index 0000000..509c291 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/pmp/build/pmp.hex @@ -0,0 +1,58 @@ +:0200000480007A +:10000000930400009700000093800001739050302B +:100010006F00400173101F3463940400730020309C +:1000200067000F00130E0000170F0000130F4F3270 +:10003000B70000803782008037C1ADDE1301F1EEDA +:1000400023A020002320220083A100006310313070 +:1000500083210200631C312EB7021A077390023A03 +:100060007323003A6394622EB702191A93824230C6 +:100070007390123AB7120F009382A2907390223AB3 +:100080007323203A6394622CB7221E1C9382029041 +:100090007390323AB70200207390023B7323003B07 +:1000A0006396622A9302F0FF7390123BB7022020FE +:1000B0007390223BB74200209382F2FF7390323B51 +:1000C000B74200209382F2FF7390423BB742002078 +:1000D0009382F2FF7390523BB70200239382F2FFA8 +:1000E0007390623BB70204229382F2FF7390723BDB +:1000F000B7020D207390823BB7020E207390923BA3 +:100100009302F0FF7390A23B930200007390B23B06 +:10011000930200007390C23B930200007390D23BA5 +:10012000930200007390E23B9302F0FF7390F23B66 +:100130003701C1001301E1FE23A02000232022008B +:1001400083A1000063143120930100008321020089 +:10015000631E311E130E1000170F0000130F4F1FE8 +:10016000B7129A07938282807390023A7323003AFF +:10017000639E621CB700808037C1ADDE1301F1EED3 +:1001800023A02000170F0000130F0F0183A1000010 +:100190006F00C01B130E2000170F0000130F4F1B22 +:1001A000B7021A077390023A7323003A6380621A07 +:1001B0007350303B7323303B631A03187350203B5A +:1001C0007323203B63040318B700808037C1ADDE82 +:1001D0001301F1EE23A02000170F0000130F0F01F1 +:1001E00083A100006F008016130E3000170F00006F +:1001F000130F0F16B702FF0073A0323B7323303B7F +:10020000639662149302F00F73A0323B7323303B6A +:10021000B702FF009382F20F639A6212B702FF00E7 +:1002200073B0323B7323303B9302F00F6390621242 +:10023000B702FF009382F20F73B0023A7323003AC1 +:10024000B7029A0763946210B702FF00938272703C +:1002500073A0023A7323003AB7029A07938272702E +:100260006396620E130E4000170F0000130F4F0E1F +:1002700017010000130101017310113473002030C5 +:10028000130E5000170F0000130F8F0C37C1ADDE97 +:100290001301F1EEB700808023A02000170F0000AB +:1002A000130F0F0183A100006F00400A130E6000BE +:1002B000130E7000170F0000130F8F09B70000898D +:1002C000938000FF83A10000170F0000130F0F01A0 +:1002D00023A030006F008007130E8000170F00006E +:1002E000130F4F01930410007350303A6F00000653 +:1002F000130E8000B7221E1C938202907323303AA3 +:1003000063966204130E9000170F0000130F4F0442 +:100310009304000017010000130101017310113450 +:1003200073002030130E9000170F0000130F4F01C1 +:10033000930410007350A03B6F004001130E900017 +:100340009302F0FF7323A03B63886200370110F033 +:10035000130141F22320C101370110F0130101F212 +:040360002320010055 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/cpp/raw/pmp/build/pmp.map b/VexRiscv/src/test/cpp/raw/pmp/build/pmp.map new file mode 100644 index 0000000..ab2c78d --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/pmp/build/pmp.map @@ -0,0 +1,35 @@ + +Memory Configuration + +Name Origin Length Attributes +onChipRam 0x0000000080000000 0x0000000000020000 w !xr +*default* 0x0000000000000000 0xffffffffffffffff + +Linker script and memory map + +LOAD build/src/crt.o +LOAD /opt/riscv_10092021/bin/../lib/gcc/riscv64-unknown-elf/8.3.0/rv32i/ilp32/libgcc.a +START GROUP +LOAD /opt/riscv_10092021/bin/../lib/gcc/riscv64-unknown-elf/8.3.0/../../../../riscv64-unknown-elf/lib/rv32i/ilp32/libc.a +LOAD /opt/riscv_10092021/bin/../lib/gcc/riscv64-unknown-elf/8.3.0/../../../../riscv64-unknown-elf/lib/rv32i/ilp32/libgloss.a +END GROUP +LOAD /opt/riscv_10092021/bin/../lib/gcc/riscv64-unknown-elf/8.3.0/rv32i/ilp32/libgcc.a + +.crt_section 0x0000000080000000 0x364 + 0x0000000080000000 . = ALIGN (0x4) + *crt.o(.text) + .text 0x0000000080000000 0x364 build/src/crt.o + 0x0000000080000000 _start + 0x0000000080000014 trap +OUTPUT(build/pmp.elf elf32-littleriscv) + +.data 0x0000000080000364 0x0 + .data 0x0000000080000364 0x0 build/src/crt.o + +.bss 0x0000000080000364 0x0 + .bss 0x0000000080000364 0x0 build/src/crt.o + +.riscv.attributes + 0x0000000000000000 0x1a + .riscv.attributes + 0x0000000000000000 0x1a build/src/crt.o diff --git a/VexRiscv/src/test/cpp/raw/pmp/makefile b/VexRiscv/src/test/cpp/raw/pmp/makefile new file mode 100644 index 0000000..0069df4 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/pmp/makefile @@ -0,0 +1,3 @@ +PROJ_NAME=pmp + +include ../common/asm.mk diff --git a/VexRiscv/src/test/cpp/raw/pmp/src/crt.S b/VexRiscv/src/test/cpp/raw/pmp/src/crt.S new file mode 100644 index 0000000..ce10ad7 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/pmp/src/crt.S @@ -0,0 +1,269 @@ +/* + * Copyright (c) 2020 Samuel Lindemer + * + * SPDX-License-Identifier: MIT + */ + +#define TEST_ID x28 +#define TRAP_RETURN x30 +#define TRAP_EXIT x9 + +#define PMPCFG0 0x071a0000 +#define PMPCFG0_ 0x079a0808 +#define PMPCFG1 0x1a190304 +#define PMPCFG2 0x000f090a +#define PMPCFG3 0x1c1e1900 + +#define PMPADDR0 0x20000000 // OFF (test0) -> TOR (test1) -> OFF (test2) +#define PMPADDR1 0xffffffff // OFF (test0) -> TOR (test1) -> OFF (test2) +#define PMPADDR2 0x20200000 // NAPOT W +#define PMPADDR3 0x20003fff // OFF RWX -> 0x00000000 OFF RWX (test2) +#define PMPADDR4 0x20003fff // OFF X +#define PMPADDR5 0x20003fff // OFF RW +#define PMPADDR6 0x22ffffff // NAPOT R +#define PMPADDR7 0x2203ffff // NAPOT W +#define PMPADDR8 0x200d0000 // TOR W +#define PMPADDR9 0x200e0000 // TOR R +#define PMPADDR10 0xffffffff // TOR RWX +#define PMPADDR11 0x00000000 // OFF +#define PMPADDR12 0x00000000 // OFF +#define PMPADDR13 0x00000000 // NAPOT R +#define PMPADDR14 0x00000000 // NAPOT WX +#define PMPADDR15 0xffffffff // NAPOT X + +.global _start +_start: + li TRAP_EXIT, 0x0 + la x1, trap + csrw mtvec, x1 + j test0 + +.global trap +trap: + csrw mepc, TRAP_RETURN + bnez TRAP_EXIT, trap_exit + mret + +// return from trap, but stay in M-mode +trap_exit: + jr TRAP_RETURN + +// configure PMP, attempt read/write from machine mode +test0: + li TEST_ID, 0 + la TRAP_RETURN, fail + + li x1, 0x80000000 + li x4, 0x80008000 + li x2, 0xdeadbeef + sw x2, 0x0(x1) + sw x2, 0x0(x4) + lw x3, 0x0(x1) + bne x2, x3, fail + lw x3, 0x0(x4) + bne x2, x3, fail + + li x5, PMPCFG0 + csrw pmpcfg0, x5 + csrr x6, pmpcfg0 + bne x5, x6, fail + li x5, PMPCFG1 + csrw pmpcfg1, x5 + li x5, PMPCFG2 + csrw pmpcfg2, x5 + csrr x6, pmpcfg2 + bne x5, x6, fail + li x5, PMPCFG3 + csrw pmpcfg3, x5 + li x5, PMPADDR0 + csrw pmpaddr0, x5 + csrr x6, pmpaddr0 + bne x5, x6, fail + li x5, PMPADDR1 + csrw pmpaddr1, x5 + li x5, PMPADDR2 + csrw pmpaddr2, x5 + li x5, PMPADDR3 + csrw pmpaddr3, x5 + li x5, PMPADDR4 + csrw pmpaddr4, x5 + li x5, PMPADDR5 + csrw pmpaddr5, x5 + li x5, PMPADDR6 + csrw pmpaddr6, x5 + li x5, PMPADDR7 + csrw pmpaddr7, x5 + li x5, PMPADDR8 + csrw pmpaddr8, x5 + li x5, PMPADDR9 + csrw pmpaddr9, x5 + li x5, PMPADDR10 + csrw pmpaddr10, x5 + li x5, PMPADDR11 + csrw pmpaddr11, x5 + li x5, PMPADDR12 + csrw pmpaddr12, x5 + li x5, PMPADDR13 + csrw pmpaddr13, x5 + li x5, PMPADDR14 + csrw pmpaddr14, x5 + li x5, PMPADDR15 + csrw pmpaddr15, x5 + + li x2, 0xc0ffee + sw x2, 0x0(x1) + sw x2, 0x0(x4) + lw x3, 0x0(x1) + bne x2, x3, fail + li x3, 0x0 + lw x3, 0x0(x4) + bne x2, x3, fail + +// lock region 2, attempt read/write from machine mode +test1: + li TEST_ID, 1 + la TRAP_RETURN, fail + li x5, PMPCFG0_ + csrw pmpcfg0, x5 // lock region 2 + csrr x6, pmpcfg0 + bne x5, x6, fail + li x1, 0x80800000 + li x2, 0xdeadbeef + sw x2, 0x0(x1) // should be OK (write region 2) + la TRAP_RETURN, test2 + lw x3, 0x0(x1) // should fault (read region 2) + j fail + +// "unlock" region 2, attempt read/write from machine mode +test2: + li TEST_ID, 2 + la TRAP_RETURN, fail + li x5, PMPCFG0 + csrw pmpcfg0, x5 // "unlock" region 2 + csrr x6, pmpcfg0 + beq x5, x6, fail + csrwi pmpaddr3, 0x0 + csrr x6, pmpaddr3 + bnez x6, fail + csrwi pmpaddr2, 0x0 + csrr x6, pmpaddr2 + beqz x6, fail + li x1, 0x80800000 + li x2, 0xdeadbeef + sw x2, 0x0(x1) // should still be OK (write region 2) + la TRAP_RETURN, test3 + lw x3, 0x0(x1) // should still fault (read region 2) + j fail + +// verify masked CSR read/write operations +test3: + li TEST_ID, 3 + la TRAP_RETURN, fail + li x5, 0x00ff0000 + csrs pmpaddr3, x5 + csrr x6, pmpaddr3 + bne x5, x6, fail + li x5, 0x000000ff + csrs pmpaddr3, x5 + csrr x6, pmpaddr3 + li x5, 0x00ff00ff + bne x5, x6, fail + li x5, 0x00ff0000 + csrc pmpaddr3, x5 + csrr x6, pmpaddr3 + li x5, 0x000000ff + bne x5, x6, fail + li x5, 0x00ff00ff + csrc pmpcfg0, x5 + csrr x6, pmpcfg0 + li x5, 0x079a0000 + bne x5, x6, fail + li x5, 0x00ff0707 + csrs pmpcfg0, x5 + csrr x6, pmpcfg0 + li x5, 0x079a0707 + bne x5, x6, fail + +// jump into U-mode +test4: + li TEST_ID, 4 + la TRAP_RETURN, fail + la x2, test5 + csrw mepc, x2 + mret + +// attempt to read/write the locked region from U-mode +test5: + li TEST_ID, 5 + la TRAP_RETURN, fail + li x2, 0xdeadbeef + li x1, 0x80800000 + sw x2, 0x0(x1) // should be OK (write region 2) + la TRAP_RETURN, test6 + lw x3, 0x0(x1) // should fault (read region 2) + j fail + +// attempt to read/write overlapping regions from U-mode +test6: + li TEST_ID, 6 + //la TRAP_RETURN, fail + //li x2, 0xdeadbeef + //li x1, 0x88000000 + //sw x2, 0x0(x1) // should be OK (write region 6/7) + //lw x3, 0x0(x1) // should be OK (write region 6/7) + +test7: + li TEST_ID, 7 + la TRAP_RETURN, fail + li x1, 0x88fffff0 + lw x3, 0x0(x1) // should be OK (read region 6) + la TRAP_RETURN, test8a + sw x3, 0x0(x1) // should fault (write region 6) + j fail + +// attempt to write a pmpcfg# register from U-mode +test8a: + li TEST_ID, 8 + la TRAP_RETURN, test8b + li TRAP_EXIT, 0x1 + csrwi pmpcfg3, 0x0 + j fail + +// check the result from M-mode +test8b: + li TEST_ID, 8 + li x5, PMPCFG3 + csrr x6, pmpcfg3 + bne x5, x6, fail + +// jump back into U-mode +test9a: + li TEST_ID, 9 + la TRAP_RETURN, fail + li TRAP_EXIT, 0x0 + la x2, test9b + csrw mepc, x2 + mret + +// attempt to write a pmpaddr# register from U-mode +test9b: + li TEST_ID, 9 + la TRAP_RETURN, test9c + li TRAP_EXIT, 0x1 + csrwi pmpaddr10, 0x0 + j fail + +// check the result from M-mode +test9c: + li TEST_ID, 9 + li x5, PMPADDR10 + csrr x6, pmpaddr10 + beq x5, x6, pass + +fail: + li x2, 0xf00fff24 + sw TEST_ID, 0(x2) + +pass: + li x2, 0xf00fff20 + sw x0, 0(x2) \ No newline at end of file diff --git a/VexRiscv/src/test/cpp/raw/pmp/src/ld b/VexRiscv/src/test/cpp/raw/pmp/src/ld new file mode 100644 index 0000000..93d8de8 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/pmp/src/ld @@ -0,0 +1,16 @@ +OUTPUT_ARCH( "riscv" ) + +MEMORY { + onChipRam (W!RX)/*(RX)*/ : ORIGIN = 0x80000000, LENGTH = 128K +} + +SECTIONS +{ + + .crt_section : + { + . = ALIGN(4); + *crt.o(.text) + } > onChipRam + +} diff --git a/VexRiscv/src/test/cpp/raw/smp/.gitignore b/VexRiscv/src/test/cpp/raw/smp/.gitignore new file mode 100644 index 0000000..a7caa3b --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/smp/.gitignore @@ -0,0 +1,6 @@ +*.map +*.v +*.elf +*.o +*.hex +!*.bin \ No newline at end of file diff --git a/VexRiscv/src/test/cpp/raw/smp/build/smp.asm b/VexRiscv/src/test/cpp/raw/smp/build/smp.asm new file mode 100644 index 0000000..b0492f9 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/smp/build/smp.asm @@ -0,0 +1,441 @@ + +build/smp.elf: file format elf32-littleriscv + + +Disassembly of section .crt_section: + +80000000 <_start>: +80000000: f1402473 csrr s0,mhartid +80000004: f80002b7 lui t0,0xf8000 +80000008: f1402373 csrr t1,mhartid +8000000c: 01031313 slli t1,t1,0x10 +80000010: 006282b3 add t0,t0,t1 +80000014: 0082a023 sw s0,0(t0) # f8000000 + +80000018 : +80000018: 00100513 li a0,1 +8000001c: 00000597 auipc a1,0x0 +80000020: 42058593 addi a1,a1,1056 # 8000043c +80000024: 00a5a02f amoadd.w zero,a0,(a1) + +80000028 : +80000028: 00000417 auipc s0,0x0 +8000002c: 41442403 lw s0,1044(s0) # 8000043c +80000030: 19000513 li a0,400 +80000034: 3fc000ef jal ra,80000430 +80000038: 00000497 auipc s1,0x0 +8000003c: 4044a483 lw s1,1028(s1) # 8000043c +80000040: fe8494e3 bne s1,s0,80000028 +80000044: f80002b7 lui t0,0xf8000 +80000048: 00428293 addi t0,t0,4 # f8000004 +8000004c: f1402373 csrr t1,mhartid +80000050: 01031313 slli t1,t1,0x10 +80000054: 006282b3 add t0,t0,t1 +80000058: 0092a023 sw s1,0(t0) + +8000005c : +8000005c: 00100513 li a0,1 +80000060: 290000ef jal ra,800002f0 +80000064: 00200513 li a0,2 +80000068: 288000ef jal ra,800002f0 +8000006c: 00300513 li a0,3 +80000070: 280000ef jal ra,800002f0 +80000074: 00400513 li a0,4 +80000078: 2f4000ef jal ra,8000036c +8000007c: 00500513 li a0,5 +80000080: 2ec000ef jal ra,8000036c +80000084: 00600513 li a0,6 +80000088: 2e4000ef jal ra,8000036c +8000008c: 00700513 li a0,7 +80000090: 260000ef jal ra,800002f0 +80000094: 00800513 li a0,8 +80000098: 2d4000ef jal ra,8000036c +8000009c: 00000197 auipc gp,0x0 +800000a0: 3ac1a183 lw gp,940(gp) # 80000448 + +800000a4 : +800000a4: 00000297 auipc t0,0x0 +800000a8: 06828293 addi t0,t0,104 # 8000010c +800000ac: 00000317 auipc t1,0x0 +800000b0: 48532a23 sw t0,1172(t1) # 80000540 +800000b4: 00000297 auipc t0,0x0 +800000b8: 06028293 addi t0,t0,96 # 80000114 +800000bc: 00000317 auipc t1,0x0 +800000c0: 48532423 sw t0,1160(t1) # 80000544 +800000c4: 00000297 auipc t0,0x0 +800000c8: 01428293 addi t0,t0,20 # 800000d8 +800000cc: 00000317 auipc t1,0x0 +800000d0: 46532e23 sw t0,1148(t1) # 80000548 +800000d4: 0640006f j 80000138 + +800000d8 : +800000d8: 00000297 auipc t0,0x0 +800000dc: 03428293 addi t0,t0,52 # 8000010c +800000e0: 00000317 auipc t1,0x0 +800000e4: 46532023 sw t0,1120(t1) # 80000540 +800000e8: 00000297 auipc t0,0x0 +800000ec: 04028293 addi t0,t0,64 # 80000128 +800000f0: 00000317 auipc t1,0x0 +800000f4: 44532a23 sw t0,1108(t1) # 80000544 +800000f8: 00000297 auipc t0,0x0 +800000fc: 2f428293 addi t0,t0,756 # 800003ec +80000100: 00000317 auipc t1,0x0 +80000104: 44532423 sw t0,1096(t1) # 80000548 +80000108: 0300006f j 80000138 + +8000010c : +8000010c: 0004a983 lw s3,0(s1) +80000110: 0c40006f j 800001d4 + +80000114 : +80000114: 01242023 sw s2,0(s0) +80000118: 0120000f fence w,r +8000011c: 0004a983 lw s3,0(s1) +80000120: 05342023 sw s3,64(s0) +80000124: 0cc0006f j 800001f0 + +80000128 : +80000128: 01242023 sw s2,0(s0) +8000012c: 1204a9af lr.w.rl s3,(s1) +80000130: 05342023 sw s3,64(s0) +80000134: 0bc0006f j 800001f0 + +80000138 : +80000138: 00018513 mv a0,gp +8000013c: 00118193 addi gp,gp,1 +80000140: 22c000ef jal ra,8000036c +80000144: 00000297 auipc t0,0x0 +80000148: 3002a823 sw zero,784(t0) # 80000454 + +8000014c : +8000014c: 00018513 mv a0,gp +80000150: 00118193 addi gp,gp,1 +80000154: 218000ef jal ra,8000036c +80000158: 00000297 auipc t0,0x0 +8000015c: 2fc2a283 lw t0,764(t0) # 80000454 +80000160: 03200313 li t1,50 +80000164: 1662da63 bge t0,t1,800002d8 +80000168: 00000297 auipc t0,0x0 +8000016c: 2e42a283 lw t0,740(t0) # 8000044c +80000170: 00000317 auipc t1,0x0 +80000174: 2e032303 lw t1,736(t1) # 80000450 +80000178: 06628c63 beq t0,t1,800001f0 +8000017c: f14022f3 csrr t0,mhartid +80000180: 00000317 auipc t1,0x0 +80000184: 2cc32303 lw t1,716(t1) # 8000044c +80000188: 00000417 auipc s0,0x0 +8000018c: 33840413 addi s0,s0,824 # 800004c0 +80000190: 00000497 auipc s1,0x0 +80000194: 33448493 addi s1,s1,820 # 800004c4 +80000198: 02628863 beq t0,t1,800001c8 +8000019c: 00000317 auipc t1,0x0 +800001a0: 2b432303 lw t1,692(t1) # 80000450 +800001a4: 00000417 auipc s0,0x0 +800001a8: 32040413 addi s0,s0,800 # 800004c4 +800001ac: 00000497 auipc s1,0x0 +800001b0: 31448493 addi s1,s1,788 # 800004c0 +800001b4: 00628a63 beq t0,t1,800001c8 + +800001b8 : +800001b8: 00018513 mv a0,gp +800001bc: 00118193 addi gp,gp,1 +800001c0: 1ac000ef jal ra,8000036c +800001c4: 02c0006f j 800001f0 + +800001c8 : +800001c8: 00000297 auipc t0,0x0 +800001cc: 3782a283 lw t0,888(t0) # 80000540 +800001d0: 000280e7 jalr t0 + +800001d4 : +800001d4: 29a00913 li s2,666 +800001d8: 00018513 mv a0,gp +800001dc: 00118193 addi gp,gp,1 +800001e0: 18c000ef jal ra,8000036c +800001e4: 00000297 auipc t0,0x0 +800001e8: 3602a283 lw t0,864(t0) # 80000544 +800001ec: 000280e7 jalr t0 + +800001f0 : +800001f0: 0330000f fence rw,rw +800001f4: 00018513 mv a0,gp +800001f8: 00118193 addi gp,gp,1 +800001fc: 170000ef jal ra,8000036c +80000200: f14022f3 csrr t0,mhartid +80000204: f40294e3 bnez t0,8000014c + +80000208 : +80000208: 00000297 auipc t0,0x0 +8000020c: 2442a283 lw t0,580(t0) # 8000044c +80000210: 00000317 auipc t1,0x0 +80000214: 24032303 lw t1,576(t1) # 80000450 +80000218: 04628263 beq t0,t1,8000025c +8000021c: 00000517 auipc a0,0x0 +80000220: 2e852503 lw a0,744(a0) # 80000504 +80000224: f80002b7 lui t0,0xf8000 +80000228: 01428293 addi t0,t0,20 # f8000014 +8000022c: f1402373 csrr t1,mhartid +80000230: 01031313 slli t1,t1,0x10 +80000234: 006282b3 add t0,t0,t1 +80000238: 00a2a023 sw a0,0(t0) +8000023c: 00000517 auipc a0,0x0 +80000240: 2c452503 lw a0,708(a0) # 80000500 +80000244: f80002b7 lui t0,0xf8000 +80000248: 01428293 addi t0,t0,20 # f8000014 +8000024c: f1402373 csrr t1,mhartid +80000250: 01031313 slli t1,t1,0x10 +80000254: 006282b3 add t0,t0,t1 +80000258: 00a2a023 sw a0,0(t0) + +8000025c : +8000025c: f14022f3 csrr t0,mhartid +80000260: ee0296e3 bnez t0,8000014c +80000264: 00000297 auipc t0,0x0 +80000268: 2402ae23 sw zero,604(t0) # 800004c0 +8000026c: 00000297 auipc t0,0x0 +80000270: 2402ac23 sw zero,600(t0) # 800004c4 +80000274: 00000417 auipc s0,0x0 +80000278: 1c842403 lw s0,456(s0) # 8000043c +8000027c: 00000297 auipc t0,0x0 +80000280: 1d42a283 lw t0,468(t0) # 80000450 +80000284: 00128293 addi t0,t0,1 +80000288: 00000317 auipc t1,0x0 +8000028c: 1c532423 sw t0,456(t1) # 80000450 +80000290: 04829063 bne t0,s0,800002d0 +80000294: 00000317 auipc t1,0x0 +80000298: 1a032e23 sw zero,444(t1) # 80000450 +8000029c: 00000297 auipc t0,0x0 +800002a0: 1b02a283 lw t0,432(t0) # 8000044c +800002a4: 00128293 addi t0,t0,1 +800002a8: 00000317 auipc t1,0x0 +800002ac: 1a532223 sw t0,420(t1) # 8000044c +800002b0: 02829063 bne t0,s0,800002d0 +800002b4: 00000317 auipc t1,0x0 +800002b8: 18032c23 sw zero,408(t1) # 8000044c +800002bc: 00000297 auipc t0,0x0 +800002c0: 1982a283 lw t0,408(t0) # 80000454 +800002c4: 00128293 addi t0,t0,1 +800002c8: 00000317 auipc t1,0x0 +800002cc: 18532623 sw t0,396(t1) # 80000454 + +800002d0 : +800002d0: 0130000f fence w,rw +800002d4: e79ff06f j 8000014c + +800002d8 : +800002d8: 00000417 auipc s0,0x0 +800002dc: 27042403 lw s0,624(s0) # 80000548 +800002e0: 00018513 mv a0,gp +800002e4: 00118193 addi gp,gp,1 +800002e8: 084000ef jal ra,8000036c +800002ec: 000400e7 jalr s0 + +800002f0 : +800002f0: f80002b7 lui t0,0xf8000 +800002f4: 00c28293 addi t0,t0,12 # f800000c +800002f8: f1402373 csrr t1,mhartid +800002fc: 01031313 slli t1,t1,0x10 +80000300: 006282b3 add t0,t0,t1 +80000304: 00a2a023 sw a0,0(t0) +80000308: 00000e97 auipc t4,0x0 +8000030c: 13ceae83 lw t4,316(t4) # 80000444 +80000310: 00000297 auipc t0,0x0 +80000314: 13028293 addi t0,t0,304 # 80000440 +80000318: 00100313 li t1,1 +8000031c: 0062a2af amoadd.w t0,t1,(t0) +80000320: 00128293 addi t0,t0,1 +80000324: 00000317 auipc t1,0x0 +80000328: 11832303 lw t1,280(t1) # 8000043c +8000032c: 00629c63 bne t0,t1,80000344 +80000330: 001e8293 addi t0,t4,1 +80000334: 00000317 auipc t1,0x0 +80000338: 10032623 sw zero,268(t1) # 80000440 +8000033c: 00000317 auipc t1,0x0 +80000340: 10532423 sw t0,264(t1) # 80000444 + +80000344 : +80000344: 00000297 auipc t0,0x0 +80000348: 1002a283 lw t0,256(t0) # 80000444 +8000034c: ffd28ce3 beq t0,t4,80000344 +80000350: f80002b7 lui t0,0xf8000 +80000354: 01028293 addi t0,t0,16 # f8000010 +80000358: f1402373 csrr t1,mhartid +8000035c: 01031313 slli t1,t1,0x10 +80000360: 006282b3 add t0,t0,t1 +80000364: 00a2a023 sw a0,0(t0) +80000368: 00008067 ret + +8000036c : +8000036c: f80002b7 lui t0,0xf8000 +80000370: 00c28293 addi t0,t0,12 # f800000c +80000374: f1402373 csrr t1,mhartid +80000378: 01031313 slli t1,t1,0x10 +8000037c: 006282b3 add t0,t0,t1 +80000380: 00a2a023 sw a0,0(t0) +80000384: 00000e97 auipc t4,0x0 +80000388: 0c0eae83 lw t4,192(t4) # 80000444 +8000038c: 00000297 auipc t0,0x0 +80000390: 0b428293 addi t0,t0,180 # 80000440 + +80000394 : +80000394: 1002a32f lr.w t1,(t0) +80000398: 00130313 addi t1,t1,1 +8000039c: 1862a3af sc.w t2,t1,(t0) +800003a0: fe039ae3 bnez t2,80000394 +800003a4: 00000297 auipc t0,0x0 +800003a8: 0982a283 lw t0,152(t0) # 8000043c +800003ac: 00629c63 bne t0,t1,800003c4 +800003b0: 001e8293 addi t0,t4,1 +800003b4: 00000317 auipc t1,0x0 +800003b8: 08032623 sw zero,140(t1) # 80000440 +800003bc: 00000317 auipc t1,0x0 +800003c0: 08532423 sw t0,136(t1) # 80000444 + +800003c4 : +800003c4: 00000297 auipc t0,0x0 +800003c8: 0802a283 lw t0,128(t0) # 80000444 +800003cc: ffd28ce3 beq t0,t4,800003c4 +800003d0: f80002b7 lui t0,0xf8000 +800003d4: 01028293 addi t0,t0,16 # f8000010 +800003d8: f1402373 csrr t1,mhartid +800003dc: 01031313 slli t1,t1,0x10 +800003e0: 006282b3 add t0,t0,t1 +800003e4: 00a2a023 sw a0,0(t0) +800003e8: 00008067 ret + +800003ec : +800003ec: 00000413 li s0,0 +800003f0: f80002b7 lui t0,0xf8000 +800003f4: 00828293 addi t0,t0,8 # f8000008 +800003f8: f1402373 csrr t1,mhartid +800003fc: 01031313 slli t1,t1,0x10 +80000400: 006282b3 add t0,t0,t1 +80000404: 0082a023 sw s0,0(t0) +80000408: 0240006f j 8000042c + +8000040c : +8000040c: 00100413 li s0,1 +80000410: f80002b7 lui t0,0xf8000 +80000414: 00828293 addi t0,t0,8 # f8000008 +80000418: f1402373 csrr t1,mhartid +8000041c: 01031313 slli t1,t1,0x10 +80000420: 006282b3 add t0,t0,t1 +80000424: 0082a023 sw s0,0(t0) +80000428: 0040006f j 8000042c + +8000042c : +8000042c: 0000006f j 8000042c + +80000430 : +80000430: fff50513 addi a0,a0,-1 +80000434: fe051ee3 bnez a0,80000430 +80000438: 00008067 ret + +8000043c : +8000043c: 0000 unimp + ... + +80000440 : +80000440: 0000 unimp + ... + +80000444 : +80000444: 0000 unimp + ... + +80000448 : +80000448: 1000 addi s0,sp,32 + ... + +8000044c : +8000044c: 0000 unimp + ... + +80000450 : +80000450: 0000 unimp + ... + +80000454 : +80000454: 0000 unimp +80000456: 0000 unimp +80000458: 00000013 nop +8000045c: 00000013 nop +80000460: 00000013 nop +80000464: 00000013 nop +80000468: 00000013 nop +8000046c: 00000013 nop +80000470: 00000013 nop +80000474: 00000013 nop +80000478: 00000013 nop +8000047c: 00000013 nop +80000480: 00000013 nop +80000484: 00000013 nop +80000488: 00000013 nop +8000048c: 00000013 nop +80000490: 00000013 nop +80000494: 00000013 nop +80000498: 00000013 nop +8000049c: 00000013 nop +800004a0: 00000013 nop +800004a4: 00000013 nop +800004a8: 00000013 nop +800004ac: 00000013 nop +800004b0: 00000013 nop +800004b4: 00000013 nop +800004b8: 00000013 nop +800004bc: 00000013 nop + +800004c0 : +800004c0: 0000 unimp + ... + +800004c4 : +800004c4: 0000 unimp +800004c6: 0000 unimp +800004c8: 00000013 nop +800004cc: 00000013 nop +800004d0: 00000013 nop +800004d4: 00000013 nop +800004d8: 00000013 nop +800004dc: 00000013 nop +800004e0: 00000013 nop +800004e4: 00000013 nop +800004e8: 00000013 nop +800004ec: 00000013 nop +800004f0: 00000013 nop +800004f4: 00000013 nop +800004f8: 00000013 nop +800004fc: 00000013 nop + +80000500 : +80000500: 0000 unimp + ... + +80000504 : +80000504: 0000 unimp +80000506: 0000 unimp +80000508: 00000013 nop +8000050c: 00000013 nop +80000510: 00000013 nop +80000514: 00000013 nop +80000518: 00000013 nop +8000051c: 00000013 nop +80000520: 00000013 nop +80000524: 00000013 nop +80000528: 00000013 nop +8000052c: 00000013 nop +80000530: 00000013 nop +80000534: 00000013 nop +80000538: 00000013 nop +8000053c: 00000013 nop + +80000540 : +80000540: 0000 unimp + ... + +80000544 : +80000544: 0000 unimp + ... + +80000548 : + ... diff --git a/VexRiscv/src/test/cpp/raw/smp/build/smp.bin b/VexRiscv/src/test/cpp/raw/smp/build/smp.bin new file mode 100755 index 0000000..5ce6918 Binary files /dev/null and b/VexRiscv/src/test/cpp/raw/smp/build/smp.bin differ diff --git a/VexRiscv/src/test/cpp/raw/smp/makefile b/VexRiscv/src/test/cpp/raw/smp/makefile new file mode 100644 index 0000000..0886c1b --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/smp/makefile @@ -0,0 +1,5 @@ +PROJ_NAME=smp + +ATOMIC=yes + +include ../common/asm.mk \ No newline at end of file diff --git a/VexRiscv/src/test/cpp/raw/smp/src/crt.S b/VexRiscv/src/test/cpp/raw/smp/src/crt.S new file mode 100644 index 0000000..9a72bd7 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/smp/src/crt.S @@ -0,0 +1,287 @@ +#define CONSISTENCY_REDO_COUNT 50 + + +#define REPORT_OFFSET 0xF8000000 +#define REPORT_THREAD_ID 0x00 +#define REPORT_THREAD_COUNT 0x04 +#define REPORT_END 0x08 +#define REPORT_BARRIER_START 0x0C +#define REPORT_BARRIER_END 0x10 +#define REPORT_CONSISTENCY_VALUES 0x14 + +#define report(reg, id) \ + li t0, REPORT_OFFSET+id; \ + csrr t1, mhartid; \ + slli t1, t1, 16; \ + add t0, t0, t1; \ + sw reg, 0(t0); \ + +_start: + csrr s0, mhartid + report(s0, REPORT_THREAD_ID) + + +count_thread_start: + //Count up threads + li a0, 1 + la a1, thread_count + amoadd.w x0, a0, (a1) + +count_thread_wait: + //Wait everybody + lw s0, thread_count + li a0, 400 + call sleep + lw s1, thread_count + bne s1, s0, count_thread_wait + report(s1, REPORT_THREAD_COUNT) + + +barrier_amo_test: + li a0, 1 + call barrier_amo + li a0, 2 + call barrier_amo + li a0, 3 + call barrier_amo + + li a0, 4 + call barrier_lrsc + li a0, 5 + call barrier_lrsc + li a0, 6 + call barrier_lrsc + + li a0, 7 + call barrier_amo + li a0, 8 + call barrier_lrsc + + + lw gp, barrier_allocator + +consistancy_test1: + la t0, consistancy_init_load + sw t0, consistancy_init_call, t1 + la t0, consistancy_do_simple_fence + sw t0, consistancy_do_call, t1 + la t0, consistancy_test2 + sw t0, consistancy_done_call, t1 + j consistancy_start + +consistancy_test2: + la t0, consistancy_init_load + sw t0, consistancy_init_call, t1 + la t0, consistancy_do_rl_fence + sw t0, consistancy_do_call, t1 + la t0, success + sw t0, consistancy_done_call, t1 + j consistancy_start + + +consistancy_init_load: + lw s3, (s1) //Help getting the cache loaded for the consistancy check + j consistancy_do_init_done + +consistancy_do_simple_fence: + //Consistancy check : write to read ordering on two thread + sw s2, (s0) + fence w,r + lw s3, (s1) + sw s3, 64(s0) + j consistancy_join + +consistancy_do_rl_fence: + //Consistancy check : write to read ordering on two thread + sw s2, (s0) + lr.w.rl s3, (s1) + sw s3, 64(s0) + j consistancy_join + + +consistancy_start: + mv a0, gp + addi gp, gp, 1 + call barrier_lrsc + sw x0, consistancy_all_tested, t0 +consistancy_loop: + //Sync + mv a0, gp + addi gp, gp, 1 + call barrier_lrsc + + //all passed ? + lw t0, consistancy_all_tested + li t1, CONSISTENCY_REDO_COUNT + bge t0, t1, consistancy_passed + + //identify who is A, who is B + lw t0, consistancy_a_hart + lw t1, consistancy_b_hart + beq t0, t1, consistancy_join + csrr t0, mhartid + lw t1, consistancy_a_hart + la s0, consistancy_a_value + la s1, consistancy_b_value + beq t0, t1, consistancy_do + lw t1, consistancy_b_hart + la s0, consistancy_b_value + la s1, consistancy_a_value + beq t0, t1, consistancy_do + +consistancy_hart_not_involved: + mv a0, gp + addi gp, gp, 1 + call barrier_lrsc + j consistancy_join + +consistancy_do: + lw t0, consistancy_init_call + jalr t0 +consistancy_do_init_done: + li s2, 666 + mv a0, gp + addi gp, gp, 1 + call barrier_lrsc + + + lw t0, consistancy_do_call + jalr t0 + +consistancy_join: + fence rw, rw //ensure updated values + mv a0, gp + addi gp, gp, 1 + call barrier_lrsc + csrr t0, mhartid + bnez t0, consistancy_loop + +consistancy_assert: + lw t0, consistancy_a_hart + lw t1, consistancy_b_hart + beq t0, t1, consistancy_increment + lw a0, consistancy_a_readed + report(a0, REPORT_CONSISTENCY_VALUES) + lw a0, consistancy_b_readed + report(a0, REPORT_CONSISTENCY_VALUES) + +consistancy_increment: + csrr t0, mhartid + bnez t0, consistancy_loop + sw x0, (consistancy_a_value), t0 + sw x0, (consistancy_b_value), t0 + lw s0,thread_count + lw t0,consistancy_b_hart + addi t0, t0, 1 + sw t0, consistancy_b_hart, t1 + bne t0, s0, consistancy_increment_fence + sw x0, consistancy_b_hart, t1 + lw t0,consistancy_a_hart + addi t0, t0, 1 + sw t0, consistancy_a_hart, t1 + bne t0, s0, consistancy_increment_fence + sw x0, consistancy_a_hart, t1 + lw t0, consistancy_all_tested + addi t0, t0, 1 + sw t0, consistancy_all_tested, t1 +consistancy_increment_fence: + fence w, rw + j consistancy_loop + +consistancy_passed: + lw s0, consistancy_done_call + mv a0, gp + addi gp, gp, 1 + call barrier_lrsc + jalr s0 + + + +#define ENTRY_PHASE t4 +barrier_amo: + report(a0, REPORT_BARRIER_START) + lw ENTRY_PHASE, barrier_phase + la t0, barrier_value + li t1, 1 + amoadd.w t0, t1, (t0) + addi t0, t0, 1 + lw t1, thread_count + bne t0, t1, barrier_amo_wait + addi t0,ENTRY_PHASE,1 + sw x0, barrier_value, t1 + sw t0, barrier_phase, t1 +barrier_amo_wait: + lw t0, barrier_phase + beq t0, ENTRY_PHASE, barrier_amo_wait + report(a0, REPORT_BARRIER_END) + ret + +barrier_lrsc: + report(a0, REPORT_BARRIER_START) + lw ENTRY_PHASE, barrier_phase + la t0, barrier_value +barrier_lrsc_try: + lr.w t1, (t0) + addi t1, t1, 1 + sc.w t2, t1, (t0) + bnez t2, barrier_lrsc_try + lw t0, thread_count + bne t0, t1, barrier_lrsc_wait + addi t0,ENTRY_PHASE,1 + sw x0, barrier_value, t1 + sw t0, barrier_phase, t1 +barrier_lrsc_wait: + lw t0, barrier_phase + beq t0, ENTRY_PHASE, barrier_lrsc_wait + report(a0, REPORT_BARRIER_END) + ret + + + + +success: + li s0, 0 + report(s0, REPORT_END) + j end + +failure: + li s0, 1 + report(s0, REPORT_END) + j end + +end: + j end + + +sleep: + addi a0, a0, -1 + bnez a0, sleep + ret + + +thread_count: .word 0 + +.align 6 //Same cache line +barrier_value: .word 0 +barrier_phase: .word 0 +barrier_allocator: .word 0x1000 + +consistancy_a_hart: .word 0 +consistancy_b_hart: .word 0 +consistancy_all_tested: .word 0 + + +nop;nop;nop;nop;nop;nop;nop;nop; +nop;nop;nop;nop;nop;nop;nop;nop; +.align 6 //Same cache line +consistancy_a_value: .word 0 +consistancy_b_value: .word 0 + +.align 6 //Same cache line +consistancy_b_readed: .word 0 +consistancy_a_readed: .word 0 + +.align 6 //Same cache line +consistancy_init_call: .word 0 +consistancy_do_call: .word 0 +consistancy_done_call: .word 0 \ No newline at end of file diff --git a/VexRiscv/src/test/cpp/raw/smp/src/ld b/VexRiscv/src/test/cpp/raw/smp/src/ld new file mode 100644 index 0000000..93d8de8 --- /dev/null +++ b/VexRiscv/src/test/cpp/raw/smp/src/ld @@ -0,0 +1,16 @@ +OUTPUT_ARCH( "riscv" ) + +MEMORY { + onChipRam (W!RX)/*(RX)*/ : ORIGIN = 0x80000000, LENGTH = 128K +} + +SECTIONS +{ + + .crt_section : + { + . = ALIGN(4); + *crt.o(.text) + } > onChipRam + +} diff --git a/VexRiscv/src/test/cpp/regression/.gitignore b/VexRiscv/src/test/cpp/regression/.gitignore new file mode 100644 index 0000000..576890f --- /dev/null +++ b/VexRiscv/src/test/cpp/regression/.gitignore @@ -0,0 +1,3 @@ +*.regTraceRef +/freertos.gtkw +*.cproject diff --git a/VexRiscv/src/test/cpp/regression/atomic.gtkw b/VexRiscv/src/test/cpp/regression/atomic.gtkw new file mode 100644 index 0000000..26e6575 --- /dev/null +++ b/VexRiscv/src/test/cpp/regression/atomic.gtkw @@ -0,0 +1,52 @@ +[*] +[*] GTKWave Analyzer v3.3.58 (w)1999-2014 BSI +[*] Thu Jan 4 16:18:18 2018 +[*] +[dumpfile] "/home/spinalvm/hdl/VexRiscv/src/test/cpp/regression/atomic.vcd" +[dumpfile_mtime] "Thu Jan 4 16:18:11 2018" +[dumpfile_size] 19545269 +[savefile] "/home/spinalvm/hdl/VexRiscv/src/test/cpp/regression/atomic.gtkw" +[timestart] 1478 +[size] 1784 950 +[pos] -1 -1 +*-7.000000 1726 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] TOP. +[treeopen] TOP.VexRiscv. +[sst_width] 289 +[signals_width] 559 +[sst_expanded] 1 +[sst_vpaned_height] 191 +@22 +TOP.VexRiscv.writeBack_RegFilePlugin_regFileWrite_payload_address[4:0] +TOP.VexRiscv.writeBack_RegFilePlugin_regFileWrite_payload_data[31:0] +@28 +TOP.VexRiscv.writeBack_RegFilePlugin_regFileWrite_valid +TOP.VexRiscv.dataCache_1.stageB_atomic_entriesHit +@22 +TOP.VexRiscv.dataCache_1.stageB_atomic_entries_0_address[31:0] +@28 +TOP.VexRiscv.dataCache_1.stageB_atomic_entries_0_size[1:0] +TOP.VexRiscv.dataCache_1.stageB_atomic_entries_0_valid +@22 +TOP.VexRiscv.dataCache_1.stageB_atomic_entries_1_address[31:0] +@28 +TOP.VexRiscv.dataCache_1.stageB_atomic_entries_1_size[1:0] +TOP.VexRiscv.dataCache_1.stageB_atomic_entries_1_valid +@29 +[color] 1 +TOP.VexRiscv.writeBack_arbitration_isFiring +[color] 1 +TOP.VexRiscv.writeBack_arbitration_isValid +@23 +[color] 1 +TOP.VexRiscv.writeBack_PC[31:0] +@28 +TOP.VexRiscv.CsrPlugin_mstatus_MIE +TOP.VexRiscv.CsrPlugin_mstatus_MPIE +TOP.VexRiscv.CsrPlugin_mstatus_MPP[1:0] +@24 +TOP.VexRiscv.RegFilePlugin_regFile(28)[31:0] +@22 +TOP.VexRiscv.CsrPlugin_mepc[31:0] +[pattern_trace] 1 +[pattern_trace] 0 diff --git a/VexRiscv/src/test/cpp/regression/branch.gtkw b/VexRiscv/src/test/cpp/regression/branch.gtkw new file mode 100644 index 0000000..9bd2437 --- /dev/null +++ b/VexRiscv/src/test/cpp/regression/branch.gtkw @@ -0,0 +1,31 @@ +[*] +[*] GTKWave Analyzer v3.3.58 (w)1999-2014 BSI +[*] Mon Mar 20 10:40:36 2017 +[*] +[dumpfile] "/home/spinalvm/Spinal/VexRiscv/src/test/cpp/testA/dhrystoneO3M.vcd" +[dumpfile_mtime] "Mon Mar 20 10:40:36 2017" +[dumpfile_size] 1149914709 +[savefile] "/home/spinalvm/Spinal/VexRiscv/src/test/cpp/testA/branch.gtkw" +[timestart] 68 +[size] 1776 953 +[pos] -775 -1 +*-4.000000 87 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] TOP. +[sst_width] 432 +[signals_width] 520 +[sst_expanded] 1 +[sst_vpaned_height] 279 +@28 +TOP.VexRiscv.execute_input_HISTORY_LINE_history[1:0] +TOP.VexRiscv.fetch_HISTORY_LINE_history[1:0] +TOP.VexRiscv.memory_input_HISTORY_LINE_history[1:0] +TOP.VexRiscv.decode_arbitration_isValid +TOP.VexRiscv.decode_arbitration_isFiring +@22 +TOP.VexRiscv.decode_input_PC[31:0] +@28 +TOP.VexRiscv.decode_input_HISTORY_LINE_history[1:0] +@29 +TOP.VexRiscv.prefetch_PcManagerSimplePlugin_jump_pcLoad_valid +[pattern_trace] 1 +[pattern_trace] 0 diff --git a/VexRiscv/src/test/cpp/regression/dcache.gtkw b/VexRiscv/src/test/cpp/regression/dcache.gtkw new file mode 100644 index 0000000..388eb87 --- /dev/null +++ b/VexRiscv/src/test/cpp/regression/dcache.gtkw @@ -0,0 +1,85 @@ +[*] +[*] GTKWave Analyzer v3.3.58 (w)1999-2014 BSI +[*] Sun Apr 23 13:26:26 2017 +[*] +[dumpfile] "/home/spinalvm/Spinal/VexRiscv/src/test/cpp/testA/rv32ui-p-sw.vcd" +[dumpfile_mtime] "Sun Apr 23 13:04:48 2017" +[dumpfile_size] 389364 +[savefile] "/home/spinalvm/Spinal/VexRiscv/src/test/cpp/testA/dcache.gtkw" +[timestart] 569 +[size] 1776 953 +[pos] -1 -353 +*-3.252876 591 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] TOP. +[treeopen] TOP.VexRiscv. +[sst_width] 387 +[signals_width] 376 +[sst_expanded] 1 +[sst_vpaned_height] 253 +@800200 +-execute +@28 +TOP.VexRiscv.dataCache_1.io_cpu_execute_isValid +@22 +TOP.VexRiscv.dataCache_1.io_cpu_execute_args_address[31:0] +@28 +TOP.VexRiscv.dataCache_1.io_cpu_execute_args_all +TOP.VexRiscv.dataCache_1.io_cpu_execute_args_bypass +@22 +TOP.VexRiscv.dataCache_1.io_cpu_execute_args_data[31:0] +@28 +TOP.VexRiscv.dataCache_1.io_cpu_execute_args_kind[1:0] +@22 +TOP.VexRiscv.dataCache_1.io_cpu_execute_args_mask[3:0] +@28 +TOP.VexRiscv.dataCache_1.io_cpu_execute_args_wr +TOP.VexRiscv.dataCache_1.io_cpu_execute_isStuck +@1000200 +-execute +@28 +TOP.VexRiscv.dataCache_1.io_cpu_memory_isStuck +@800200 +-writeBack +@22 +TOP.VexRiscv.dataCache_1.io_cpu_writeBack_data[31:0] +@28 +TOP.VexRiscv.dataCache_1.io_cpu_writeBack_haltIt +TOP.VexRiscv.dataCache_1.io_cpu_writeBack_isStuck +TOP.VexRiscv.dataCache_1.io_cpu_writeBack_isValid +@1000200 +-writeBack +@22 +TOP.VexRiscv.dataCache_1.io_mem_cmd_payload_address[31:0] +TOP.VexRiscv.dataCache_1.io_mem_cmd_payload_data[31:0] +TOP.VexRiscv.dataCache_1.io_mem_cmd_payload_mask[3:0] +@28 +TOP.VexRiscv.dataCache_1.io_mem_cmd_payload_wr +TOP.VexRiscv.dataCache_1.io_mem_cmd_ready +TOP.VexRiscv.dataCache_1.io_mem_cmd_valid +@22 +TOP.VexRiscv.dataCache_1.io_mem_rsp_payload_data[31:0] +@28 +TOP.VexRiscv.dataCache_1.io_mem_rsp_valid +TOP.VexRiscv.dataCache_1.dataWriteCmd_payload_address[2:0] +@22 +TOP.VexRiscv.dataCache_1.dataWriteCmd_payload_data[31:0] +TOP.VexRiscv.dataCache_1.dataWriteCmd_payload_mask[3:0] +@28 +TOP.VexRiscv.dataCache_1.dataWriteCmd_valid +TOP.VexRiscv.dataCache_1.clk +TOP.VexRiscv.dataCache_1.way_dataReadRspTwoEnable +@22 +TOP.VexRiscv.dataCache_1.way_dataReadRspTwo[31:0] +@28 +TOP.VexRiscv.dataCache_1.way_tagReadRspTwoEnable +@22 +TOP.VexRiscv.dataCache_1.way_tagReadRspTwo_address[26:0] +@28 +TOP.VexRiscv.dataCache_1.way_tagReadRspTwo_dirty +TOP.VexRiscv.dataCache_1.way_tagReadRspTwo_used +@29 +TOP.VexRiscv.dataCache_1.way_dataReadRspOneAddress[2:0] +@22 +TOP.VexRiscv.dataCache_1.way_dataReadRspOne[31:0] +[pattern_trace] 1 +[pattern_trace] 0 diff --git a/VexRiscv/src/test/cpp/regression/debug.gtkw b/VexRiscv/src/test/cpp/regression/debug.gtkw new file mode 100644 index 0000000..d22d878 --- /dev/null +++ b/VexRiscv/src/test/cpp/regression/debug.gtkw @@ -0,0 +1,96 @@ +[*] +[*] GTKWave Analyzer v3.3.58 (w)1999-2014 BSI +[*] Thu Feb 1 00:52:25 2018 +[*] +[dumpfile] "/home/spinalvm/hdl/VexRiscv/src/test/cpp/regression/rv32ui-p-lui.vcd" +[dumpfile_mtime] "Thu Feb 1 00:52:17 2018" +[dumpfile_size] 183735 +[savefile] "/home/spinalvm/hdl/VexRiscv/src/test/cpp/regression/debug.gtkw" +[timestart] 0 +[size] 1784 950 +[pos] -1 -1 +*-3.000000 0 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] TOP. +[treeopen] TOP.VexRiscv. +[sst_width] 409 +[signals_width] 540 +[sst_expanded] 1 +[sst_vpaned_height] 271 +@22 +TOP.VexRiscv.prefetch_PcManagerSimplePlugin_jump_pcLoad_payload[31:0] +@28 +TOP.VexRiscv.prefetch_PcManagerSimplePlugin_jump_pcLoad_valid +@22 +TOP.VexRiscv.prefetch_PC[31:0] +@28 +TOP.VexRiscv.debug_bus_cmd_valid +TOP.VexRiscv.reset +TOP.VexRiscv.clk +TOP.VexRiscv.prefetch_PcManagerSimplePlugin_samplePcNext +@22 +TOP.VexRiscv.prefetch_PcManagerSimplePlugin_pcBeforeJumps[31:0] +@28 +TOP.VexRiscv.prefetch_PcManagerSimplePlugin_jump_pcLoad_valid +TOP.VexRiscv.fetch_BranchPlugin_hit +TOP.VexRiscv.execute_BRANCH_DO +@22 +TOP.VexRiscv.prefetch_PcManagerSimplePlugin_pcReg[31:0] +TOP.VexRiscv.prefetch_PcManagerSimplePlugin_pc[31:0] +@28 +TOP.VexRiscv.prefetch_arbitration_isValid +TOP.VexRiscv.fetch_arbitration_isValid +TOP.VexRiscv.decode_arbitration_isValid +TOP.VexRiscv.execute_arbitration_isValid +TOP.VexRiscv.memory_arbitration_isValid +TOP.VexRiscv.writeBack_arbitration_isValid +TOP.VexRiscv.CsrPlugin_interrupt +TOP.VexRiscv.CsrPlugin_exception +TOP.VexRiscv.decode_arbitration_isStuck +TOP.VexRiscv.decode_arbitration_isStuckByOthers +TOP.VexRiscv.execute_arbitration_isStuck +TOP.VexRiscv.execute_arbitration_isStuckByOthers +TOP.VexRiscv.fetch_arbitration_isStuck +TOP.VexRiscv.fetch_arbitration_isStuckByOthers +TOP.VexRiscv.memory_arbitration_isStuck +TOP.VexRiscv.memory_arbitration_isStuckByOthers +TOP.VexRiscv.prefetch_arbitration_isStuck +TOP.VexRiscv.prefetch_arbitration_isStuckByOthers +TOP.VexRiscv.writeBack_arbitration_isStuck +TOP.VexRiscv.writeBack_arbitration_isStuckByOthers +TOP.VexRiscv.iBus_cmd_valid +TOP.VexRiscv.iBus_cmd_ready +@22 +TOP.VexRiscv.iBus_cmd_payload_pc[31:0] +@28 +TOP.VexRiscv.iBus_rsp_error +@22 +TOP.VexRiscv.iBus_rsp_inst[31:0] +@28 +TOP.VexRiscv.iBus_rsp_ready +TOP.VexRiscv.debug_bus_cmd_valid +@22 +TOP.VexRiscv.BranchPlugin_counter[8:0] +@28 +TOP.VexRiscv.decode_IS_EBREAK +TOP.VexRiscv.execute_IS_EBREAK +TOP.VexRiscv.CsrPlugin_pipelineLiberator_done +TOP.VexRiscv.CsrPlugin_pipelineLiberator_enable +TOP.VexRiscv.DebugPlugin_haltIt +@22 +TOP.VexRiscv.writeBack_INSTRUCTION[31:0] +@29 +TOP.VexRiscv.DebugPlugin_stepIt +@22 +TOP.VexRiscv.debug_bus_cmd_payload_address[7:0] +TOP.VexRiscv.debug_bus_cmd_payload_data[31:0] +@28 +TOP.VexRiscv.debug_bus_cmd_payload_wr +TOP.VexRiscv.debug_bus_cmd_ready +TOP.VexRiscv.debug_bus_cmd_valid +@22 +TOP.VexRiscv.debug_bus_rsp_data[31:0] +@28 +TOP.VexRiscv.debug_resetOut +TOP.VexRiscv.debugReset +[pattern_trace] 1 +[pattern_trace] 0 diff --git a/VexRiscv/src/test/cpp/regression/default.gtkw b/VexRiscv/src/test/cpp/regression/default.gtkw new file mode 100644 index 0000000..cea3b75 --- /dev/null +++ b/VexRiscv/src/test/cpp/regression/default.gtkw @@ -0,0 +1,100 @@ +[*] +[*] GTKWave Analyzer v3.3.58 (w)1999-2014 BSI +[*] Sat Mar 25 13:21:38 2017 +[*] +[dumpfile] "/home/spinalvm/Spinal/VexRiscv/src/test/cpp/testA/machineCsr.vcd" +[dumpfile_mtime] "Sat Mar 25 13:21:31 2017" +[dumpfile_size] 2048473 +[savefile] "/home/spinalvm/Spinal/VexRiscv/src/test/cpp/testA/default.gtkw" +[timestart] 0 +[size] 1776 953 +[pos] -1 -1 +*-9.770813 718 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] TOP. +[treeopen] TOP.VexRiscv. +[sst_width] 294 +[signals_width] 597 +[sst_expanded] 1 +[sst_vpaned_height] 593 +@28 +TOP.VexRiscv.writeBack_arbitration_isValid +@22 +TOP.VexRiscv.writeBack_PC[31:0] +TOP.VexRiscv.writeBack_INSTRUCTION[31:0] +TOP.VexRiscv.RegFilePlugin_regFile(10)[31:0] +TOP.VexRiscv.RegFilePlugin_regFile(11)[31:0] +TOP.VexRiscv.prefetch_PcManagerSimplePlugin_pcBeforeJumps[31:0] +TOP.VexRiscv.prefetch_PcManagerSimplePlugin_pc[31:0] +TOP.VexRiscv.MachineCsr_mepc[31:0] +@28 +TOP.VexRiscv.timerInterrupt +TOP.VexRiscv.execute_arbitration_isValid +@22 +TOP.VexRiscv.execute_PC[31:0] +@28 +TOP.VexRiscv.MachineCsr_mie_MEIE +TOP.VexRiscv.MachineCsr_mie_MSIE +TOP.VexRiscv.MachineCsr_mie_MTIE +TOP.VexRiscv.MachineCsr_mstatus_MIE +TOP.VexRiscv.MachineCsr_mip_MEIP +TOP.VexRiscv.MachineCsr_mip_MSIP +TOP.VexRiscv.MachineCsr_mip_MTIP +TOP.VexRiscv.MachineCsr_interrupt +TOP.VexRiscv.MachineCsr_writeBackWfi +TOP.VexRiscv.writeBack_arbitration_isValid +TOP.VexRiscv.writeBack_ENV_CTRL[2:0] +TOP.VexRiscv.execute_EXCEPTION +TOP.VexRiscv.memory_EXCEPTION +TOP.VexRiscv.writeBack_EXCEPTION +TOP.VexRiscv.prefetch_arbitration_isValid +TOP.VexRiscv.fetch_arbitration_isValid +TOP.VexRiscv.decode_arbitration_isValid +TOP.VexRiscv.execute_arbitration_isValid +TOP.VexRiscv.memory_arbitration_isValid +TOP.VexRiscv.writeBack_arbitration_isValid +TOP.VexRiscv.prefetch_arbitration_removeIt +TOP.VexRiscv.fetch_arbitration_removeIt +@29 +TOP.VexRiscv.decode_arbitration_removeIt +@28 +TOP.VexRiscv.execute_arbitration_removeIt +TOP.VexRiscv.memory_arbitration_removeIt +TOP.VexRiscv.writeBack_arbitration_removeIt +TOP.VexRiscv.prefetch_arbitration_isStuck +TOP.VexRiscv.fetch_arbitration_isStuck +TOP.VexRiscv.decode_arbitration_isStuck +TOP.VexRiscv.execute_arbitration_isStuck +TOP.VexRiscv.memory_arbitration_isStuck +TOP.VexRiscv.writeBack_arbitration_isStuck +@22 +TOP.VexRiscv.prefetch_PcManagerSimplePlugin_jump_pcLoad_payload[31:0] +@28 +TOP.VexRiscv.prefetch_PcManagerSimplePlugin_jump_pcLoad_valid +@22 +TOP.VexRiscv.MachineCsr_mepc[31:0] +TOP.VexRiscv.prefetch_PcManagerSimplePlugin_pcReg[31:0] +TOP.VexRiscv.prefetch_PC_CALC_WITHOUT_JUMP[31:0] +TOP.VexRiscv.prefetch_PcManagerSimplePlugin_pc[31:0] +TOP.VexRiscv.prefetch_PC[31:0] +TOP.VexRiscv.fetch_PC[31:0] +TOP.VexRiscv.decode_PC[31:0] +TOP.VexRiscv.execute_PC[31:0] +TOP.VexRiscv.memory_PC[31:0] +TOP.VexRiscv.writeBack_PC[31:0] +@28 +TOP.VexRiscv.prefetch_arbitration_isStuckByOthers +TOP.VexRiscv.fetch_arbitration_isStuckByOthers +TOP.VexRiscv.decode_arbitration_isStuckByOthers +TOP.VexRiscv.execute_arbitration_isStuckByOthers +TOP.VexRiscv.memory_arbitration_isStuckByOthers +TOP.VexRiscv.writeBack_arbitration_isStuckByOthers +TOP.VexRiscv.prefetch_arbitration_haltIt +TOP.VexRiscv.fetch_arbitration_haltIt +TOP.VexRiscv.decode_arbitration_haltIt +TOP.VexRiscv.execute_arbitration_haltIt +TOP.VexRiscv.memory_arbitration_haltIt +TOP.VexRiscv.writeBack_arbitration_haltIt +TOP.VexRiscv.MachineCsr_mie_MTIE +TOP.VexRiscv.MachineCsr_mip_MTIP +[pattern_trace] 1 +[pattern_trace] 0 diff --git a/VexRiscv/src/test/cpp/regression/dhrystoneO3.logRef b/VexRiscv/src/test/cpp/regression/dhrystoneO3.logRef new file mode 100644 index 0000000..1bdf354 --- /dev/null +++ b/VexRiscv/src/test/cpp/regression/dhrystoneO3.logRef @@ -0,0 +1,57 @@ + +Dhrystone Benchmark, Version 2.1 (Language: C) + +Program compiled without 'register' attribute + +Please give the number of runs through the benchmark: +Execution starts, 200 runs through Dhrystone +Execution ends + +Final values of the variables used in the benchmark: + +Int_Glob: 5 + should be: 5 +Bool_Glob: 1 + should be: 1 +Ch_1_Glob: A + should be: A +Ch_2_Glob: B + should be: B +Arr_1_Glob[8]: 7 + should be: 7 +Arr_2_Glob[8][7]: 210 + should be: Number_Of_Runs + 10 +Ptr_Glob-> + Ptr_Comp: -2147458812 + should be: (implementation-dependent) + Discr: 0 + should be: 0 + Enum_Comp: 2 + should be: 2 + Int_Comp: 17 + should be: 17 + Str_Comp: DHRYSTONE PROGRAM, SOME STRING + should be: DHRYSTONE PROGRAM, SOME STRING +Next_Ptr_Glob-> + Ptr_Comp: -2147458812 + should be: (implementation-dependent), same as above + Discr: 0 + should be: 0 + Enum_Comp: 1 + should be: 1 + Int_Comp: 18 + should be: 18 + Str_Comp: DHRYSTONE PROGRAM, SOME STRING + should be: DHRYSTONE PROGRAM, SOME STRING +Int_1_Loc: 5 + should be: 5 +Int_2_Loc: 13 + should be: 13 +Int_3_Loc: 7 + should be: 7 +Enum_Loc: 1 + should be: 1 +Str_1_Loc: DHRYSTONE PROGRAM, 1'ST STRING + should be: DHRYSTONE PROGRAM, 1'ST STRING +Str_2_Loc: DHRYSTONE PROGRAM, 2'ND STRING + should be: DHRYSTONE PROGRAM, 2'ND STRING diff --git a/VexRiscv/src/test/cpp/regression/dhrystoneO3C.logRef b/VexRiscv/src/test/cpp/regression/dhrystoneO3C.logRef new file mode 100644 index 0000000..9985a89 --- /dev/null +++ b/VexRiscv/src/test/cpp/regression/dhrystoneO3C.logRef @@ -0,0 +1,57 @@ + +Dhrystone Benchmark, Version 2.1 (Language: C) + +Program compiled without 'register' attribute + +Please give the number of runs through the benchmark: +Execution starts, 200 runs through Dhrystone +Execution ends + +Final values of the variables used in the benchmark: + +Int_Glob: 5 + should be: 5 +Bool_Glob: 1 + should be: 1 +Ch_1_Glob: A + should be: A +Ch_2_Glob: B + should be: B +Arr_1_Glob[8]: 7 + should be: 7 +Arr_2_Glob[8][7]: 210 + should be: Number_Of_Runs + 10 +Ptr_Glob-> + Ptr_Comp: -2147459900 + should be: (implementation-dependent) + Discr: 0 + should be: 0 + Enum_Comp: 2 + should be: 2 + Int_Comp: 17 + should be: 17 + Str_Comp: DHRYSTONE PROGRAM, SOME STRING + should be: DHRYSTONE PROGRAM, SOME STRING +Next_Ptr_Glob-> + Ptr_Comp: -2147459900 + should be: (implementation-dependent), same as above + Discr: 0 + should be: 0 + Enum_Comp: 1 + should be: 1 + Int_Comp: 18 + should be: 18 + Str_Comp: DHRYSTONE PROGRAM, SOME STRING + should be: DHRYSTONE PROGRAM, SOME STRING +Int_1_Loc: 5 + should be: 5 +Int_2_Loc: 13 + should be: 13 +Int_3_Loc: 7 + should be: 7 +Enum_Loc: 1 + should be: 1 +Str_1_Loc: DHRYSTONE PROGRAM, 1'ST STRING + should be: DHRYSTONE PROGRAM, 1'ST STRING +Str_2_Loc: DHRYSTONE PROGRAM, 2'ND STRING + should be: DHRYSTONE PROGRAM, 2'ND STRING diff --git a/VexRiscv/src/test/cpp/regression/dhrystoneO3M.logRef b/VexRiscv/src/test/cpp/regression/dhrystoneO3M.logRef new file mode 100644 index 0000000..1c6f8d1 --- /dev/null +++ b/VexRiscv/src/test/cpp/regression/dhrystoneO3M.logRef @@ -0,0 +1,57 @@ + +Dhrystone Benchmark, Version 2.1 (Language: C) + +Program compiled without 'register' attribute + +Please give the number of runs through the benchmark: +Execution starts, 200 runs through Dhrystone +Execution ends + +Final values of the variables used in the benchmark: + +Int_Glob: 5 + should be: 5 +Bool_Glob: 1 + should be: 1 +Ch_1_Glob: A + should be: A +Ch_2_Glob: B + should be: B +Arr_1_Glob[8]: 7 + should be: 7 +Arr_2_Glob[8][7]: 210 + should be: Number_Of_Runs + 10 +Ptr_Glob-> + Ptr_Comp: -2147459860 + should be: (implementation-dependent) + Discr: 0 + should be: 0 + Enum_Comp: 2 + should be: 2 + Int_Comp: 17 + should be: 17 + Str_Comp: DHRYSTONE PROGRAM, SOME STRING + should be: DHRYSTONE PROGRAM, SOME STRING +Next_Ptr_Glob-> + Ptr_Comp: -2147459860 + should be: (implementation-dependent), same as above + Discr: 0 + should be: 0 + Enum_Comp: 1 + should be: 1 + Int_Comp: 18 + should be: 18 + Str_Comp: DHRYSTONE PROGRAM, SOME STRING + should be: DHRYSTONE PROGRAM, SOME STRING +Int_1_Loc: 5 + should be: 5 +Int_2_Loc: 13 + should be: 13 +Int_3_Loc: 7 + should be: 7 +Enum_Loc: 1 + should be: 1 +Str_1_Loc: DHRYSTONE PROGRAM, 1'ST STRING + should be: DHRYSTONE PROGRAM, 1'ST STRING +Str_2_Loc: DHRYSTONE PROGRAM, 2'ND STRING + should be: DHRYSTONE PROGRAM, 2'ND STRING diff --git a/VexRiscv/src/test/cpp/regression/dhrystoneO3MC.logRef b/VexRiscv/src/test/cpp/regression/dhrystoneO3MC.logRef new file mode 100644 index 0000000..a584b67 --- /dev/null +++ b/VexRiscv/src/test/cpp/regression/dhrystoneO3MC.logRef @@ -0,0 +1,57 @@ + +Dhrystone Benchmark, Version 2.1 (Language: C) + +Program compiled without 'register' attribute + +Please give the number of runs through the benchmark: +Execution starts, 200 runs through Dhrystone +Execution ends + +Final values of the variables used in the benchmark: + +Int_Glob: 5 + should be: 5 +Bool_Glob: 1 + should be: 1 +Ch_1_Glob: A + should be: A +Ch_2_Glob: B + should be: B +Arr_1_Glob[8]: 7 + should be: 7 +Arr_2_Glob[8][7]: 210 + should be: Number_Of_Runs + 10 +Ptr_Glob-> + Ptr_Comp: -2147460916 + should be: (implementation-dependent) + Discr: 0 + should be: 0 + Enum_Comp: 2 + should be: 2 + Int_Comp: 17 + should be: 17 + Str_Comp: DHRYSTONE PROGRAM, SOME STRING + should be: DHRYSTONE PROGRAM, SOME STRING +Next_Ptr_Glob-> + Ptr_Comp: -2147460916 + should be: (implementation-dependent), same as above + Discr: 0 + should be: 0 + Enum_Comp: 1 + should be: 1 + Int_Comp: 18 + should be: 18 + Str_Comp: DHRYSTONE PROGRAM, SOME STRING + should be: DHRYSTONE PROGRAM, SOME STRING +Int_1_Loc: 5 + should be: 5 +Int_2_Loc: 13 + should be: 13 +Int_3_Loc: 7 + should be: 7 +Enum_Loc: 1 + should be: 1 +Str_1_Loc: DHRYSTONE PROGRAM, 1'ST STRING + should be: DHRYSTONE PROGRAM, 1'ST STRING +Str_2_Loc: DHRYSTONE PROGRAM, 2'ND STRING + should be: DHRYSTONE PROGRAM, 2'ND STRING diff --git a/VexRiscv/src/test/cpp/regression/encoding.h b/VexRiscv/src/test/cpp/regression/encoding.h new file mode 100644 index 0000000..c109ce1 --- /dev/null +++ b/VexRiscv/src/test/cpp/regression/encoding.h @@ -0,0 +1,1471 @@ +// See LICENSE for license details. + +#ifndef RISCV_CSR_ENCODING_H +#define RISCV_CSR_ENCODING_H + +#define MSTATUS_UIE 0x00000001 +#define MSTATUS_SIE 0x00000002 +#define MSTATUS_HIE 0x00000004 +#define MSTATUS_MIE 0x00000008 +#define MSTATUS_UPIE 0x00000010 +#define MSTATUS_SPIE 0x00000020 +#define MSTATUS_HPIE 0x00000040 +#define MSTATUS_MPIE 0x00000080 +#define MSTATUS_SPP 0x00000100 +#define MSTATUS_HPP 0x00000600 +#define MSTATUS_MPP 0x00001800 +#define MSTATUS_FS 0x00006000 +#define MSTATUS_XS 0x00018000 +#define MSTATUS_MPRV 0x00020000 +#define MSTATUS_SUM 0x00040000 +#define MSTATUS_MXR 0x00080000 +#define MSTATUS_TVM 0x00100000 +#define MSTATUS_TW 0x00200000 +#define MSTATUS_TSR 0x00400000 +#define MSTATUS32_SD 0x80000000 +#define MSTATUS_UXL 0x0000000300000000 +#define MSTATUS_SXL 0x0000000C00000000 +#define MSTATUS64_SD 0x8000000000000000 + +#define SSTATUS_UIE 0x00000001 +#define SSTATUS_SIE 0x00000002 +#define SSTATUS_UPIE 0x00000010 +#define SSTATUS_SPIE 0x00000020 +#define SSTATUS_SPP 0x00000100 +#define SSTATUS_FS 0x00006000 +#define SSTATUS_XS 0x00018000 +#define SSTATUS_SUM 0x00040000 +#define SSTATUS_MXR 0x00080000 +#define SSTATUS32_SD 0x80000000 +#define SSTATUS_UXL 0x0000000300000000 +#define SSTATUS64_SD 0x8000000000000000 + +#define DCSR_XDEBUGVER (3U<<30) +#define DCSR_NDRESET (1<<29) +#define DCSR_FULLRESET (1<<28) +#define DCSR_EBREAKM (1<<15) +#define DCSR_EBREAKH (1<<14) +#define DCSR_EBREAKS (1<<13) +#define DCSR_EBREAKU (1<<12) +#define DCSR_STOPCYCLE (1<<10) +#define DCSR_STOPTIME (1<<9) +#define DCSR_CAUSE (7<<6) +#define DCSR_DEBUGINT (1<<5) +#define DCSR_HALT (1<<3) +#define DCSR_STEP (1<<2) +#define DCSR_PRV (3<<0) + +#define DCSR_CAUSE_NONE 0 +#define DCSR_CAUSE_SWBP 1 +#define DCSR_CAUSE_HWBP 2 +#define DCSR_CAUSE_DEBUGINT 3 +#define DCSR_CAUSE_STEP 4 +#define DCSR_CAUSE_HALT 5 + +#define MCONTROL_TYPE(xlen) (0xfULL<<((xlen)-4)) +#define MCONTROL_DMODE(xlen) (1ULL<<((xlen)-5)) +#define MCONTROL_MASKMAX(xlen) (0x3fULL<<((xlen)-11)) + +#define MCONTROL_SELECT (1<<19) +#define MCONTROL_TIMING (1<<18) +#define MCONTROL_ACTION (0x3f<<12) +#define MCONTROL_CHAIN (1<<11) +#define MCONTROL_MATCH (0xf<<7) +#define MCONTROL_M (1<<6) +#define MCONTROL_H (1<<5) +#define MCONTROL_S (1<<4) +#define MCONTROL_U (1<<3) +#define MCONTROL_EXECUTE (1<<2) +#define MCONTROL_STORE (1<<1) +#define MCONTROL_LOAD (1<<0) + +#define MCONTROL_TYPE_NONE 0 +#define MCONTROL_TYPE_MATCH 2 + +#define MCONTROL_ACTION_DEBUG_EXCEPTION 0 +#define MCONTROL_ACTION_DEBUG_MODE 1 +#define MCONTROL_ACTION_TRACE_START 2 +#define MCONTROL_ACTION_TRACE_STOP 3 +#define MCONTROL_ACTION_TRACE_EMIT 4 + +#define MCONTROL_MATCH_EQUAL 0 +#define MCONTROL_MATCH_NAPOT 1 +#define MCONTROL_MATCH_GE 2 +#define MCONTROL_MATCH_LT 3 +#define MCONTROL_MATCH_MASK_LOW 4 +#define MCONTROL_MATCH_MASK_HIGH 5 + +#define MIP_SSIP (1 << IRQ_S_SOFT) +#define MIP_HSIP (1 << IRQ_H_SOFT) +#define MIP_MSIP (1 << IRQ_M_SOFT) +#define MIP_STIP (1 << IRQ_S_TIMER) +#define MIP_HTIP (1 << IRQ_H_TIMER) +#define MIP_MTIP (1 << IRQ_M_TIMER) +#define MIP_SEIP (1 << IRQ_S_EXT) +#define MIP_HEIP (1 << IRQ_H_EXT) +#define MIP_MEIP (1 << IRQ_M_EXT) + +#define SIP_SSIP MIP_SSIP +#define SIP_STIP MIP_STIP + +#define PRV_U 0 +#define PRV_S 1 +#define PRV_H 2 +#define PRV_M 3 + +#define SATP32_MODE 0x80000000 +#define SATP32_ASID 0x7FC00000 +#define SATP32_PPN 0x003FFFFF +#define SATP64_MODE 0xF000000000000000 +#define SATP64_ASID 0x0FFFF00000000000 +#define SATP64_PPN 0x00000FFFFFFFFFFF + +#define SATP_MODE_OFF 0 +#define SATP_MODE_SV32 1 +#define SATP_MODE_SV39 8 +#define SATP_MODE_SV48 9 +#define SATP_MODE_SV57 10 +#define SATP_MODE_SV64 11 + +#define PMP_R 0x01 +#define PMP_W 0x02 +#define PMP_X 0x04 +#define PMP_A 0x18 +#define PMP_L 0x80 +#define PMP_SHIFT 2 + +#define PMP_TOR 0x08 +#define PMP_NA4 0x10 +#define PMP_NAPOT 0x18 + +#define IRQ_S_SOFT 1 +#define IRQ_H_SOFT 2 +#define IRQ_M_SOFT 3 +#define IRQ_S_TIMER 5 +#define IRQ_H_TIMER 6 +#define IRQ_M_TIMER 7 +#define IRQ_S_EXT 9 +#define IRQ_H_EXT 10 +#define IRQ_M_EXT 11 +#define IRQ_COP 12 +#define IRQ_HOST 13 + +#define DEFAULT_RSTVEC 0x00001000 +#define CLINT_BASE 0x02000000 +#define CLINT_SIZE 0x000c0000 +#define EXT_IO_BASE 0x40000000 +#define DRAM_BASE 0x80000000 + +// page table entry (PTE) fields +#define PTE_V 0x001 // Valid +#define PTE_R 0x002 // Read +#define PTE_W 0x004 // Write +#define PTE_X 0x008 // Execute +#define PTE_U 0x010 // User +#define PTE_G 0x020 // Global +#define PTE_A 0x040 // Accessed +#define PTE_D 0x080 // Dirty +#define PTE_SOFT 0x300 // Reserved for Software + +#define PTE_PPN_SHIFT 10 + +#define PTE_TABLE(PTE) (((PTE) & (PTE_V | PTE_R | PTE_W | PTE_X)) == PTE_V) + +#ifdef __riscv + +#if __riscv_xlen == 64 +# define MSTATUS_SD MSTATUS64_SD +# define SSTATUS_SD SSTATUS64_SD +# define RISCV_PGLEVEL_BITS 9 +# define SATP_MODE SATP64_MODE +#else +# define MSTATUS_SD MSTATUS32_SD +# define SSTATUS_SD SSTATUS32_SD +# define RISCV_PGLEVEL_BITS 10 +# define SATP_MODE SATP32_MODE +#endif +#define RISCV_PGSHIFT 12 +#define RISCV_PGSIZE (1 << RISCV_PGSHIFT) + +#ifndef __ASSEMBLER__ + +#ifdef __GNUC__ + +#define read_csr(reg) ({ unsigned long __tmp; \ + asm volatile ("csrr %0, " #reg : "=r"(__tmp)); \ + __tmp; }) + +#define write_csr(reg, val) ({ \ + asm volatile ("csrw " #reg ", %0" :: "rK"(val)); }) + +#define swap_csr(reg, val) ({ unsigned long __tmp; \ + asm volatile ("csrrw %0, " #reg ", %1" : "=r"(__tmp) : "rK"(val)); \ + __tmp; }) + +#define set_csr(reg, bit) ({ unsigned long __tmp; \ + asm volatile ("csrrs %0, " #reg ", %1" : "=r"(__tmp) : "rK"(bit)); \ + __tmp; }) + +#define clear_csr(reg, bit) ({ unsigned long __tmp; \ + asm volatile ("csrrc %0, " #reg ", %1" : "=r"(__tmp) : "rK"(bit)); \ + __tmp; }) + +#define rdtime() read_csr(time) +#define rdcycle() read_csr(cycle) +#define rdinstret() read_csr(instret) + +#endif + +#endif + +#endif + +#endif +/* Automatically generated by parse-opcodes. */ +#ifndef RISCV_ENCODING_H +#define RISCV_ENCODING_H +#define MATCH_BEQ 0x63 +#define MASK_BEQ 0x707f +#define MATCH_BNE 0x1063 +#define MASK_BNE 0x707f +#define MATCH_BLT 0x4063 +#define MASK_BLT 0x707f +#define MATCH_BGE 0x5063 +#define MASK_BGE 0x707f +#define MATCH_BLTU 0x6063 +#define MASK_BLTU 0x707f +#define MATCH_BGEU 0x7063 +#define MASK_BGEU 0x707f +#define MATCH_JALR 0x67 +#define MASK_JALR 0x707f +#define MATCH_JAL 0x6f +#define MASK_JAL 0x7f +#define MATCH_LUI 0x37 +#define MASK_LUI 0x7f +#define MATCH_AUIPC 0x17 +#define MASK_AUIPC 0x7f +#define MATCH_ADDI 0x13 +#define MASK_ADDI 0x707f +#define MATCH_SLLI 0x1013 +#define MASK_SLLI 0xfc00707f +#define MATCH_SLTI 0x2013 +#define MASK_SLTI 0x707f +#define MATCH_SLTIU 0x3013 +#define MASK_SLTIU 0x707f +#define MATCH_XORI 0x4013 +#define MASK_XORI 0x707f +#define MATCH_SRLI 0x5013 +#define MASK_SRLI 0xfc00707f +#define MATCH_SRAI 0x40005013 +#define MASK_SRAI 0xfc00707f +#define MATCH_ORI 0x6013 +#define MASK_ORI 0x707f +#define MATCH_ANDI 0x7013 +#define MASK_ANDI 0x707f +#define MATCH_ADD 0x33 +#define MASK_ADD 0xfe00707f +#define MATCH_SUB 0x40000033 +#define MASK_SUB 0xfe00707f +#define MATCH_SLL 0x1033 +#define MASK_SLL 0xfe00707f +#define MATCH_SLT 0x2033 +#define MASK_SLT 0xfe00707f +#define MATCH_SLTU 0x3033 +#define MASK_SLTU 0xfe00707f +#define MATCH_XOR 0x4033 +#define MASK_XOR 0xfe00707f +#define MATCH_SRL 0x5033 +#define MASK_SRL 0xfe00707f +#define MATCH_SRA 0x40005033 +#define MASK_SRA 0xfe00707f +#define MATCH_OR 0x6033 +#define MASK_OR 0xfe00707f +#define MATCH_AND 0x7033 +#define MASK_AND 0xfe00707f +#define MATCH_ADDIW 0x1b +#define MASK_ADDIW 0x707f +#define MATCH_SLLIW 0x101b +#define MASK_SLLIW 0xfe00707f +#define MATCH_SRLIW 0x501b +#define MASK_SRLIW 0xfe00707f +#define MATCH_SRAIW 0x4000501b +#define MASK_SRAIW 0xfe00707f +#define MATCH_ADDW 0x3b +#define MASK_ADDW 0xfe00707f +#define MATCH_SUBW 0x4000003b +#define MASK_SUBW 0xfe00707f +#define MATCH_SLLW 0x103b +#define MASK_SLLW 0xfe00707f +#define MATCH_SRLW 0x503b +#define MASK_SRLW 0xfe00707f +#define MATCH_SRAW 0x4000503b +#define MASK_SRAW 0xfe00707f +#define MATCH_LB 0x3 +#define MASK_LB 0x707f +#define MATCH_LH 0x1003 +#define MASK_LH 0x707f +#define MATCH_LW 0x2003 +#define MASK_LW 0x707f +#define MATCH_LD 0x3003 +#define MASK_LD 0x707f +#define MATCH_LBU 0x4003 +#define MASK_LBU 0x707f +#define MATCH_LHU 0x5003 +#define MASK_LHU 0x707f +#define MATCH_LWU 0x6003 +#define MASK_LWU 0x707f +#define MATCH_SB 0x23 +#define MASK_SB 0x707f +#define MATCH_SH 0x1023 +#define MASK_SH 0x707f +#define MATCH_SW 0x2023 +#define MASK_SW 0x707f +#define MATCH_SD 0x3023 +#define MASK_SD 0x707f +#define MATCH_FENCE 0xf +#define MASK_FENCE 0x707f +#define MATCH_FENCE_I 0x100f +#define MASK_FENCE_I 0x707f +#define MATCH_MUL 0x2000033 +#define MASK_MUL 0xfe00707f +#define MATCH_MULH 0x2001033 +#define MASK_MULH 0xfe00707f +#define MATCH_MULHSU 0x2002033 +#define MASK_MULHSU 0xfe00707f +#define MATCH_MULHU 0x2003033 +#define MASK_MULHU 0xfe00707f +#define MATCH_DIV 0x2004033 +#define MASK_DIV 0xfe00707f +#define MATCH_DIVU 0x2005033 +#define MASK_DIVU 0xfe00707f +#define MATCH_REM 0x2006033 +#define MASK_REM 0xfe00707f +#define MATCH_REMU 0x2007033 +#define MASK_REMU 0xfe00707f +#define MATCH_MULW 0x200003b +#define MASK_MULW 0xfe00707f +#define MATCH_DIVW 0x200403b +#define MASK_DIVW 0xfe00707f +#define MATCH_DIVUW 0x200503b +#define MASK_DIVUW 0xfe00707f +#define MATCH_REMW 0x200603b +#define MASK_REMW 0xfe00707f +#define MATCH_REMUW 0x200703b +#define MASK_REMUW 0xfe00707f +#define MATCH_AMOADD_W 0x202f +#define MASK_AMOADD_W 0xf800707f +#define MATCH_AMOXOR_W 0x2000202f +#define MASK_AMOXOR_W 0xf800707f +#define MATCH_AMOOR_W 0x4000202f +#define MASK_AMOOR_W 0xf800707f +#define MATCH_AMOAND_W 0x6000202f +#define MASK_AMOAND_W 0xf800707f +#define MATCH_AMOMIN_W 0x8000202f +#define MASK_AMOMIN_W 0xf800707f +#define MATCH_AMOMAX_W 0xa000202f +#define MASK_AMOMAX_W 0xf800707f +#define MATCH_AMOMINU_W 0xc000202f +#define MASK_AMOMINU_W 0xf800707f +#define MATCH_AMOMAXU_W 0xe000202f +#define MASK_AMOMAXU_W 0xf800707f +#define MATCH_AMOSWAP_W 0x800202f +#define MASK_AMOSWAP_W 0xf800707f +#define MATCH_LR_W 0x1000202f +#define MASK_LR_W 0xf9f0707f +#define MATCH_SC_W 0x1800202f +#define MASK_SC_W 0xf800707f +#define MATCH_AMOADD_D 0x302f +#define MASK_AMOADD_D 0xf800707f +#define MATCH_AMOXOR_D 0x2000302f +#define MASK_AMOXOR_D 0xf800707f +#define MATCH_AMOOR_D 0x4000302f +#define MASK_AMOOR_D 0xf800707f +#define MATCH_AMOAND_D 0x6000302f +#define MASK_AMOAND_D 0xf800707f +#define MATCH_AMOMIN_D 0x8000302f +#define MASK_AMOMIN_D 0xf800707f +#define MATCH_AMOMAX_D 0xa000302f +#define MASK_AMOMAX_D 0xf800707f +#define MATCH_AMOMINU_D 0xc000302f +#define MASK_AMOMINU_D 0xf800707f +#define MATCH_AMOMAXU_D 0xe000302f +#define MASK_AMOMAXU_D 0xf800707f +#define MATCH_AMOSWAP_D 0x800302f +#define MASK_AMOSWAP_D 0xf800707f +#define MATCH_LR_D 0x1000302f +#define MASK_LR_D 0xf9f0707f +#define MATCH_SC_D 0x1800302f +#define MASK_SC_D 0xf800707f +#define MATCH_ECALL 0x73 +#define MASK_ECALL 0xffffffff +#define MATCH_EBREAK 0x100073 +#define MASK_EBREAK 0xffffffff +#define MATCH_URET 0x200073 +#define MASK_URET 0xffffffff +#define MATCH_SRET 0x10200073 +#define MASK_SRET 0xffffffff +#define MATCH_MRET 0x30200073 +#define MASK_MRET 0xffffffff +#define MATCH_DRET 0x7b200073 +#define MASK_DRET 0xffffffff +#define MATCH_SFENCE_VMA 0x12000073 +#define MASK_SFENCE_VMA 0xfe007fff +#define MATCH_WFI 0x10500073 +#define MASK_WFI 0xffffffff +#define MATCH_CSRRW 0x1073 +#define MASK_CSRRW 0x707f +#define MATCH_CSRRS 0x2073 +#define MASK_CSRRS 0x707f +#define MATCH_CSRRC 0x3073 +#define MASK_CSRRC 0x707f +#define MATCH_CSRRWI 0x5073 +#define MASK_CSRRWI 0x707f +#define MATCH_CSRRSI 0x6073 +#define MASK_CSRRSI 0x707f +#define MATCH_CSRRCI 0x7073 +#define MASK_CSRRCI 0x707f +#define MATCH_FADD_S 0x53 +#define MASK_FADD_S 0xfe00007f +#define MATCH_FSUB_S 0x8000053 +#define MASK_FSUB_S 0xfe00007f +#define MATCH_FMUL_S 0x10000053 +#define MASK_FMUL_S 0xfe00007f +#define MATCH_FDIV_S 0x18000053 +#define MASK_FDIV_S 0xfe00007f +#define MATCH_FSGNJ_S 0x20000053 +#define MASK_FSGNJ_S 0xfe00707f +#define MATCH_FSGNJN_S 0x20001053 +#define MASK_FSGNJN_S 0xfe00707f +#define MATCH_FSGNJX_S 0x20002053 +#define MASK_FSGNJX_S 0xfe00707f +#define MATCH_FMIN_S 0x28000053 +#define MASK_FMIN_S 0xfe00707f +#define MATCH_FMAX_S 0x28001053 +#define MASK_FMAX_S 0xfe00707f +#define MATCH_FSQRT_S 0x58000053 +#define MASK_FSQRT_S 0xfff0007f +#define MATCH_FADD_D 0x2000053 +#define MASK_FADD_D 0xfe00007f +#define MATCH_FSUB_D 0xa000053 +#define MASK_FSUB_D 0xfe00007f +#define MATCH_FMUL_D 0x12000053 +#define MASK_FMUL_D 0xfe00007f +#define MATCH_FDIV_D 0x1a000053 +#define MASK_FDIV_D 0xfe00007f +#define MATCH_FSGNJ_D 0x22000053 +#define MASK_FSGNJ_D 0xfe00707f +#define MATCH_FSGNJN_D 0x22001053 +#define MASK_FSGNJN_D 0xfe00707f +#define MATCH_FSGNJX_D 0x22002053 +#define MASK_FSGNJX_D 0xfe00707f +#define MATCH_FMIN_D 0x2a000053 +#define MASK_FMIN_D 0xfe00707f +#define MATCH_FMAX_D 0x2a001053 +#define MASK_FMAX_D 0xfe00707f +#define MATCH_FCVT_S_D 0x40100053 +#define MASK_FCVT_S_D 0xfff0007f +#define MATCH_FCVT_D_S 0x42000053 +#define MASK_FCVT_D_S 0xfff0007f +#define MATCH_FSQRT_D 0x5a000053 +#define MASK_FSQRT_D 0xfff0007f +#define MATCH_FADD_Q 0x6000053 +#define MASK_FADD_Q 0xfe00007f +#define MATCH_FSUB_Q 0xe000053 +#define MASK_FSUB_Q 0xfe00007f +#define MATCH_FMUL_Q 0x16000053 +#define MASK_FMUL_Q 0xfe00007f +#define MATCH_FDIV_Q 0x1e000053 +#define MASK_FDIV_Q 0xfe00007f +#define MATCH_FSGNJ_Q 0x26000053 +#define MASK_FSGNJ_Q 0xfe00707f +#define MATCH_FSGNJN_Q 0x26001053 +#define MASK_FSGNJN_Q 0xfe00707f +#define MATCH_FSGNJX_Q 0x26002053 +#define MASK_FSGNJX_Q 0xfe00707f +#define MATCH_FMIN_Q 0x2e000053 +#define MASK_FMIN_Q 0xfe00707f +#define MATCH_FMAX_Q 0x2e001053 +#define MASK_FMAX_Q 0xfe00707f +#define MATCH_FCVT_S_Q 0x40300053 +#define MASK_FCVT_S_Q 0xfff0007f +#define MATCH_FCVT_Q_S 0x46000053 +#define MASK_FCVT_Q_S 0xfff0007f +#define MATCH_FCVT_D_Q 0x42300053 +#define MASK_FCVT_D_Q 0xfff0007f +#define MATCH_FCVT_Q_D 0x46100053 +#define MASK_FCVT_Q_D 0xfff0007f +#define MATCH_FSQRT_Q 0x5e000053 +#define MASK_FSQRT_Q 0xfff0007f +#define MATCH_FLE_S 0xa0000053 +#define MASK_FLE_S 0xfe00707f +#define MATCH_FLT_S 0xa0001053 +#define MASK_FLT_S 0xfe00707f +#define MATCH_FEQ_S 0xa0002053 +#define MASK_FEQ_S 0xfe00707f +#define MATCH_FLE_D 0xa2000053 +#define MASK_FLE_D 0xfe00707f +#define MATCH_FLT_D 0xa2001053 +#define MASK_FLT_D 0xfe00707f +#define MATCH_FEQ_D 0xa2002053 +#define MASK_FEQ_D 0xfe00707f +#define MATCH_FLE_Q 0xa6000053 +#define MASK_FLE_Q 0xfe00707f +#define MATCH_FLT_Q 0xa6001053 +#define MASK_FLT_Q 0xfe00707f +#define MATCH_FEQ_Q 0xa6002053 +#define MASK_FEQ_Q 0xfe00707f +#define MATCH_FCVT_W_S 0xc0000053 +#define MASK_FCVT_W_S 0xfff0007f +#define MATCH_FCVT_WU_S 0xc0100053 +#define MASK_FCVT_WU_S 0xfff0007f +#define MATCH_FCVT_L_S 0xc0200053 +#define MASK_FCVT_L_S 0xfff0007f +#define MATCH_FCVT_LU_S 0xc0300053 +#define MASK_FCVT_LU_S 0xfff0007f +#define MATCH_FMV_X_W 0xe0000053 +#define MASK_FMV_X_W 0xfff0707f +#define MATCH_FCLASS_S 0xe0001053 +#define MASK_FCLASS_S 0xfff0707f +#define MATCH_FCVT_W_D 0xc2000053 +#define MASK_FCVT_W_D 0xfff0007f +#define MATCH_FCVT_WU_D 0xc2100053 +#define MASK_FCVT_WU_D 0xfff0007f +#define MATCH_FCVT_L_D 0xc2200053 +#define MASK_FCVT_L_D 0xfff0007f +#define MATCH_FCVT_LU_D 0xc2300053 +#define MASK_FCVT_LU_D 0xfff0007f +#define MATCH_FMV_X_D 0xe2000053 +#define MASK_FMV_X_D 0xfff0707f +#define MATCH_FCLASS_D 0xe2001053 +#define MASK_FCLASS_D 0xfff0707f +#define MATCH_FCVT_W_Q 0xc6000053 +#define MASK_FCVT_W_Q 0xfff0007f +#define MATCH_FCVT_WU_Q 0xc6100053 +#define MASK_FCVT_WU_Q 0xfff0007f +#define MATCH_FCVT_L_Q 0xc6200053 +#define MASK_FCVT_L_Q 0xfff0007f +#define MATCH_FCVT_LU_Q 0xc6300053 +#define MASK_FCVT_LU_Q 0xfff0007f +#define MATCH_FMV_X_Q 0xe6000053 +#define MASK_FMV_X_Q 0xfff0707f +#define MATCH_FCLASS_Q 0xe6001053 +#define MASK_FCLASS_Q 0xfff0707f +#define MATCH_FCVT_S_W 0xd0000053 +#define MASK_FCVT_S_W 0xfff0007f +#define MATCH_FCVT_S_WU 0xd0100053 +#define MASK_FCVT_S_WU 0xfff0007f +#define MATCH_FCVT_S_L 0xd0200053 +#define MASK_FCVT_S_L 0xfff0007f +#define MATCH_FCVT_S_LU 0xd0300053 +#define MASK_FCVT_S_LU 0xfff0007f +#define MATCH_FMV_W_X 0xf0000053 +#define MASK_FMV_W_X 0xfff0707f +#define MATCH_FCVT_D_W 0xd2000053 +#define MASK_FCVT_D_W 0xfff0007f +#define MATCH_FCVT_D_WU 0xd2100053 +#define MASK_FCVT_D_WU 0xfff0007f +#define MATCH_FCVT_D_L 0xd2200053 +#define MASK_FCVT_D_L 0xfff0007f +#define MATCH_FCVT_D_LU 0xd2300053 +#define MASK_FCVT_D_LU 0xfff0007f +#define MATCH_FMV_D_X 0xf2000053 +#define MASK_FMV_D_X 0xfff0707f +#define MATCH_FCVT_Q_W 0xd6000053 +#define MASK_FCVT_Q_W 0xfff0007f +#define MATCH_FCVT_Q_WU 0xd6100053 +#define MASK_FCVT_Q_WU 0xfff0007f +#define MATCH_FCVT_Q_L 0xd6200053 +#define MASK_FCVT_Q_L 0xfff0007f +#define MATCH_FCVT_Q_LU 0xd6300053 +#define MASK_FCVT_Q_LU 0xfff0007f +#define MATCH_FMV_Q_X 0xf6000053 +#define MASK_FMV_Q_X 0xfff0707f +#define MATCH_FLW 0x2007 +#define MASK_FLW 0x707f +#define MATCH_FLD 0x3007 +#define MASK_FLD 0x707f +#define MATCH_FLQ 0x4007 +#define MASK_FLQ 0x707f +#define MATCH_FSW 0x2027 +#define MASK_FSW 0x707f +#define MATCH_FSD 0x3027 +#define MASK_FSD 0x707f +#define MATCH_FSQ 0x4027 +#define MASK_FSQ 0x707f +#define MATCH_FMADD_S 0x43 +#define MASK_FMADD_S 0x600007f +#define MATCH_FMSUB_S 0x47 +#define MASK_FMSUB_S 0x600007f +#define MATCH_FNMSUB_S 0x4b +#define MASK_FNMSUB_S 0x600007f +#define MATCH_FNMADD_S 0x4f +#define MASK_FNMADD_S 0x600007f +#define MATCH_FMADD_D 0x2000043 +#define MASK_FMADD_D 0x600007f +#define MATCH_FMSUB_D 0x2000047 +#define MASK_FMSUB_D 0x600007f +#define MATCH_FNMSUB_D 0x200004b +#define MASK_FNMSUB_D 0x600007f +#define MATCH_FNMADD_D 0x200004f +#define MASK_FNMADD_D 0x600007f +#define MATCH_FMADD_Q 0x6000043 +#define MASK_FMADD_Q 0x600007f +#define MATCH_FMSUB_Q 0x6000047 +#define MASK_FMSUB_Q 0x600007f +#define MATCH_FNMSUB_Q 0x600004b +#define MASK_FNMSUB_Q 0x600007f +#define MATCH_FNMADD_Q 0x600004f +#define MASK_FNMADD_Q 0x600007f +#define MATCH_C_NOP 0x1 +#define MASK_C_NOP 0xffff +#define MATCH_C_ADDI16SP 0x6101 +#define MASK_C_ADDI16SP 0xef83 +#define MATCH_C_JR 0x8002 +#define MASK_C_JR 0xf07f +#define MATCH_C_JALR 0x9002 +#define MASK_C_JALR 0xf07f +#define MATCH_C_EBREAK 0x9002 +#define MASK_C_EBREAK 0xffff +#define MATCH_C_LD 0x6000 +#define MASK_C_LD 0xe003 +#define MATCH_C_SD 0xe000 +#define MASK_C_SD 0xe003 +#define MATCH_C_ADDIW 0x2001 +#define MASK_C_ADDIW 0xe003 +#define MATCH_C_LDSP 0x6002 +#define MASK_C_LDSP 0xe003 +#define MATCH_C_SDSP 0xe002 +#define MASK_C_SDSP 0xe003 +#define MATCH_C_ADDI4SPN 0x0 +#define MASK_C_ADDI4SPN 0xe003 +#define MATCH_C_FLD 0x2000 +#define MASK_C_FLD 0xe003 +#define MATCH_C_LW 0x4000 +#define MASK_C_LW 0xe003 +#define MATCH_C_FLW 0x6000 +#define MASK_C_FLW 0xe003 +#define MATCH_C_FSD 0xa000 +#define MASK_C_FSD 0xe003 +#define MATCH_C_SW 0xc000 +#define MASK_C_SW 0xe003 +#define MATCH_C_FSW 0xe000 +#define MASK_C_FSW 0xe003 +#define MATCH_C_ADDI 0x1 +#define MASK_C_ADDI 0xe003 +#define MATCH_C_JAL 0x2001 +#define MASK_C_JAL 0xe003 +#define MATCH_C_LI 0x4001 +#define MASK_C_LI 0xe003 +#define MATCH_C_LUI 0x6001 +#define MASK_C_LUI 0xe003 +#define MATCH_C_SRLI 0x8001 +#define MASK_C_SRLI 0xec03 +#define MATCH_C_SRAI 0x8401 +#define MASK_C_SRAI 0xec03 +#define MATCH_C_ANDI 0x8801 +#define MASK_C_ANDI 0xec03 +#define MATCH_C_SUB 0x8c01 +#define MASK_C_SUB 0xfc63 +#define MATCH_C_XOR 0x8c21 +#define MASK_C_XOR 0xfc63 +#define MATCH_C_OR 0x8c41 +#define MASK_C_OR 0xfc63 +#define MATCH_C_AND 0x8c61 +#define MASK_C_AND 0xfc63 +#define MATCH_C_SUBW 0x9c01 +#define MASK_C_SUBW 0xfc63 +#define MATCH_C_ADDW 0x9c21 +#define MASK_C_ADDW 0xfc63 +#define MATCH_C_J 0xa001 +#define MASK_C_J 0xe003 +#define MATCH_C_BEQZ 0xc001 +#define MASK_C_BEQZ 0xe003 +#define MATCH_C_BNEZ 0xe001 +#define MASK_C_BNEZ 0xe003 +#define MATCH_C_SLLI 0x2 +#define MASK_C_SLLI 0xe003 +#define MATCH_C_FLDSP 0x2002 +#define MASK_C_FLDSP 0xe003 +#define MATCH_C_LWSP 0x4002 +#define MASK_C_LWSP 0xe003 +#define MATCH_C_FLWSP 0x6002 +#define MASK_C_FLWSP 0xe003 +#define MATCH_C_MV 0x8002 +#define MASK_C_MV 0xf003 +#define MATCH_C_ADD 0x9002 +#define MASK_C_ADD 0xf003 +#define MATCH_C_FSDSP 0xa002 +#define MASK_C_FSDSP 0xe003 +#define MATCH_C_SWSP 0xc002 +#define MASK_C_SWSP 0xe003 +#define MATCH_C_FSWSP 0xe002 +#define MASK_C_FSWSP 0xe003 +#define MATCH_CUSTOM0 0xb +#define MASK_CUSTOM0 0x707f +#define MATCH_CUSTOM0_RS1 0x200b +#define MASK_CUSTOM0_RS1 0x707f +#define MATCH_CUSTOM0_RS1_RS2 0x300b +#define MASK_CUSTOM0_RS1_RS2 0x707f +#define MATCH_CUSTOM0_RD 0x400b +#define MASK_CUSTOM0_RD 0x707f +#define MATCH_CUSTOM0_RD_RS1 0x600b +#define MASK_CUSTOM0_RD_RS1 0x707f +#define MATCH_CUSTOM0_RD_RS1_RS2 0x700b +#define MASK_CUSTOM0_RD_RS1_RS2 0x707f +#define MATCH_CUSTOM1 0x2b +#define MASK_CUSTOM1 0x707f +#define MATCH_CUSTOM1_RS1 0x202b +#define MASK_CUSTOM1_RS1 0x707f +#define MATCH_CUSTOM1_RS1_RS2 0x302b +#define MASK_CUSTOM1_RS1_RS2 0x707f +#define MATCH_CUSTOM1_RD 0x402b +#define MASK_CUSTOM1_RD 0x707f +#define MATCH_CUSTOM1_RD_RS1 0x602b +#define MASK_CUSTOM1_RD_RS1 0x707f +#define MATCH_CUSTOM1_RD_RS1_RS2 0x702b +#define MASK_CUSTOM1_RD_RS1_RS2 0x707f +#define MATCH_CUSTOM2 0x5b +#define MASK_CUSTOM2 0x707f +#define MATCH_CUSTOM2_RS1 0x205b +#define MASK_CUSTOM2_RS1 0x707f +#define MATCH_CUSTOM2_RS1_RS2 0x305b +#define MASK_CUSTOM2_RS1_RS2 0x707f +#define MATCH_CUSTOM2_RD 0x405b +#define MASK_CUSTOM2_RD 0x707f +#define MATCH_CUSTOM2_RD_RS1 0x605b +#define MASK_CUSTOM2_RD_RS1 0x707f +#define MATCH_CUSTOM2_RD_RS1_RS2 0x705b +#define MASK_CUSTOM2_RD_RS1_RS2 0x707f +#define MATCH_CUSTOM3 0x7b +#define MASK_CUSTOM3 0x707f +#define MATCH_CUSTOM3_RS1 0x207b +#define MASK_CUSTOM3_RS1 0x707f +#define MATCH_CUSTOM3_RS1_RS2 0x307b +#define MASK_CUSTOM3_RS1_RS2 0x707f +#define MATCH_CUSTOM3_RD 0x407b +#define MASK_CUSTOM3_RD 0x707f +#define MATCH_CUSTOM3_RD_RS1 0x607b +#define MASK_CUSTOM3_RD_RS1 0x707f +#define MATCH_CUSTOM3_RD_RS1_RS2 0x707b +#define MASK_CUSTOM3_RD_RS1_RS2 0x707f +#define CSR_FFLAGS 0x1 +#define CSR_FRM 0x2 +#define CSR_FCSR 0x3 +#define CSR_CYCLE 0xc00 +#define CSR_TIME 0xc01 +#define CSR_INSTRET 0xc02 +#define CSR_HPMCOUNTER3 0xc03 +#define CSR_HPMCOUNTER4 0xc04 +#define CSR_HPMCOUNTER5 0xc05 +#define CSR_HPMCOUNTER6 0xc06 +#define CSR_HPMCOUNTER7 0xc07 +#define CSR_HPMCOUNTER8 0xc08 +#define CSR_HPMCOUNTER9 0xc09 +#define CSR_HPMCOUNTER10 0xc0a +#define CSR_HPMCOUNTER11 0xc0b +#define CSR_HPMCOUNTER12 0xc0c +#define CSR_HPMCOUNTER13 0xc0d +#define CSR_HPMCOUNTER14 0xc0e +#define CSR_HPMCOUNTER15 0xc0f +#define CSR_HPMCOUNTER16 0xc10 +#define CSR_HPMCOUNTER17 0xc11 +#define CSR_HPMCOUNTER18 0xc12 +#define CSR_HPMCOUNTER19 0xc13 +#define CSR_HPMCOUNTER20 0xc14 +#define CSR_HPMCOUNTER21 0xc15 +#define CSR_HPMCOUNTER22 0xc16 +#define CSR_HPMCOUNTER23 0xc17 +#define CSR_HPMCOUNTER24 0xc18 +#define CSR_HPMCOUNTER25 0xc19 +#define CSR_HPMCOUNTER26 0xc1a +#define CSR_HPMCOUNTER27 0xc1b +#define CSR_HPMCOUNTER28 0xc1c +#define CSR_HPMCOUNTER29 0xc1d +#define CSR_HPMCOUNTER30 0xc1e +#define CSR_HPMCOUNTER31 0xc1f +#define CSR_SSTATUS 0x100 +#define CSR_SIE 0x104 +#define CSR_STVEC 0x105 +#define CSR_SCOUNTEREN 0x106 +#define CSR_SSCRATCH 0x140 +#define CSR_SEPC 0x141 +#define CSR_SCAUSE 0x142 +#define CSR_STVAL 0x143 +#define CSR_SIP 0x144 +#define CSR_SATP 0x180 +#define CSR_MSTATUS 0x300 +#define CSR_MISA 0x301 +#define CSR_MEDELEG 0x302 +#define CSR_MIDELEG 0x303 +#define CSR_MIE 0x304 +#define CSR_MTVEC 0x305 +#define CSR_MCOUNTEREN 0x306 +#define CSR_MSCRATCH 0x340 +#define CSR_MEPC 0x341 +#define CSR_MCAUSE 0x342 +#define CSR_MTVAL 0x343 +#define CSR_MIP 0x344 +#define CSR_PMPCFG0 0x3a0 +#define CSR_PMPCFG1 0x3a1 +#define CSR_PMPCFG2 0x3a2 +#define CSR_PMPCFG3 0x3a3 +#define CSR_PMPADDR0 0x3b0 +#define CSR_PMPADDR1 0x3b1 +#define CSR_PMPADDR2 0x3b2 +#define CSR_PMPADDR3 0x3b3 +#define CSR_PMPADDR4 0x3b4 +#define CSR_PMPADDR5 0x3b5 +#define CSR_PMPADDR6 0x3b6 +#define CSR_PMPADDR7 0x3b7 +#define CSR_PMPADDR8 0x3b8 +#define CSR_PMPADDR9 0x3b9 +#define CSR_PMPADDR10 0x3ba +#define CSR_PMPADDR11 0x3bb +#define CSR_PMPADDR12 0x3bc +#define CSR_PMPADDR13 0x3bd +#define CSR_PMPADDR14 0x3be +#define CSR_PMPADDR15 0x3bf +#define CSR_TSELECT 0x7a0 +#define CSR_TDATA1 0x7a1 +#define CSR_TDATA2 0x7a2 +#define CSR_TDATA3 0x7a3 +#define CSR_DCSR 0x7b0 +#define CSR_DPC 0x7b1 +#define CSR_DSCRATCH 0x7b2 +#define CSR_MCYCLE 0xb00 +#define CSR_MINSTRET 0xb02 +#define CSR_MHPMCOUNTER3 0xb03 +#define CSR_MHPMCOUNTER4 0xb04 +#define CSR_MHPMCOUNTER5 0xb05 +#define CSR_MHPMCOUNTER6 0xb06 +#define CSR_MHPMCOUNTER7 0xb07 +#define CSR_MHPMCOUNTER8 0xb08 +#define CSR_MHPMCOUNTER9 0xb09 +#define CSR_MHPMCOUNTER10 0xb0a +#define CSR_MHPMCOUNTER11 0xb0b +#define CSR_MHPMCOUNTER12 0xb0c +#define CSR_MHPMCOUNTER13 0xb0d +#define CSR_MHPMCOUNTER14 0xb0e +#define CSR_MHPMCOUNTER15 0xb0f +#define CSR_MHPMCOUNTER16 0xb10 +#define CSR_MHPMCOUNTER17 0xb11 +#define CSR_MHPMCOUNTER18 0xb12 +#define CSR_MHPMCOUNTER19 0xb13 +#define CSR_MHPMCOUNTER20 0xb14 +#define CSR_MHPMCOUNTER21 0xb15 +#define CSR_MHPMCOUNTER22 0xb16 +#define CSR_MHPMCOUNTER23 0xb17 +#define CSR_MHPMCOUNTER24 0xb18 +#define CSR_MHPMCOUNTER25 0xb19 +#define CSR_MHPMCOUNTER26 0xb1a +#define CSR_MHPMCOUNTER27 0xb1b +#define CSR_MHPMCOUNTER28 0xb1c +#define CSR_MHPMCOUNTER29 0xb1d +#define CSR_MHPMCOUNTER30 0xb1e +#define CSR_MHPMCOUNTER31 0xb1f +#define CSR_MHPMEVENT3 0x323 +#define CSR_MHPMEVENT4 0x324 +#define CSR_MHPMEVENT5 0x325 +#define CSR_MHPMEVENT6 0x326 +#define CSR_MHPMEVENT7 0x327 +#define CSR_MHPMEVENT8 0x328 +#define CSR_MHPMEVENT9 0x329 +#define CSR_MHPMEVENT10 0x32a +#define CSR_MHPMEVENT11 0x32b +#define CSR_MHPMEVENT12 0x32c +#define CSR_MHPMEVENT13 0x32d +#define CSR_MHPMEVENT14 0x32e +#define CSR_MHPMEVENT15 0x32f +#define CSR_MHPMEVENT16 0x330 +#define CSR_MHPMEVENT17 0x331 +#define CSR_MHPMEVENT18 0x332 +#define CSR_MHPMEVENT19 0x333 +#define CSR_MHPMEVENT20 0x334 +#define CSR_MHPMEVENT21 0x335 +#define CSR_MHPMEVENT22 0x336 +#define CSR_MHPMEVENT23 0x337 +#define CSR_MHPMEVENT24 0x338 +#define CSR_MHPMEVENT25 0x339 +#define CSR_MHPMEVENT26 0x33a +#define CSR_MHPMEVENT27 0x33b +#define CSR_MHPMEVENT28 0x33c +#define CSR_MHPMEVENT29 0x33d +#define CSR_MHPMEVENT30 0x33e +#define CSR_MHPMEVENT31 0x33f +#define CSR_MVENDORID 0xf11 +#define CSR_MARCHID 0xf12 +#define CSR_MIMPID 0xf13 +#define CSR_MHARTID 0xf14 +#define CSR_CYCLEH 0xc80 +#define CSR_TIMEH 0xc81 +#define CSR_INSTRETH 0xc82 +#define CSR_HPMCOUNTER3H 0xc83 +#define CSR_HPMCOUNTER4H 0xc84 +#define CSR_HPMCOUNTER5H 0xc85 +#define CSR_HPMCOUNTER6H 0xc86 +#define CSR_HPMCOUNTER7H 0xc87 +#define CSR_HPMCOUNTER8H 0xc88 +#define CSR_HPMCOUNTER9H 0xc89 +#define CSR_HPMCOUNTER10H 0xc8a +#define CSR_HPMCOUNTER11H 0xc8b +#define CSR_HPMCOUNTER12H 0xc8c +#define CSR_HPMCOUNTER13H 0xc8d +#define CSR_HPMCOUNTER14H 0xc8e +#define CSR_HPMCOUNTER15H 0xc8f +#define CSR_HPMCOUNTER16H 0xc90 +#define CSR_HPMCOUNTER17H 0xc91 +#define CSR_HPMCOUNTER18H 0xc92 +#define CSR_HPMCOUNTER19H 0xc93 +#define CSR_HPMCOUNTER20H 0xc94 +#define CSR_HPMCOUNTER21H 0xc95 +#define CSR_HPMCOUNTER22H 0xc96 +#define CSR_HPMCOUNTER23H 0xc97 +#define CSR_HPMCOUNTER24H 0xc98 +#define CSR_HPMCOUNTER25H 0xc99 +#define CSR_HPMCOUNTER26H 0xc9a +#define CSR_HPMCOUNTER27H 0xc9b +#define CSR_HPMCOUNTER28H 0xc9c +#define CSR_HPMCOUNTER29H 0xc9d +#define CSR_HPMCOUNTER30H 0xc9e +#define CSR_HPMCOUNTER31H 0xc9f +#define CSR_MCYCLEH 0xb80 +#define CSR_MINSTRETH 0xb82 +#define CSR_MHPMCOUNTER3H 0xb83 +#define CSR_MHPMCOUNTER4H 0xb84 +#define CSR_MHPMCOUNTER5H 0xb85 +#define CSR_MHPMCOUNTER6H 0xb86 +#define CSR_MHPMCOUNTER7H 0xb87 +#define CSR_MHPMCOUNTER8H 0xb88 +#define CSR_MHPMCOUNTER9H 0xb89 +#define CSR_MHPMCOUNTER10H 0xb8a +#define CSR_MHPMCOUNTER11H 0xb8b +#define CSR_MHPMCOUNTER12H 0xb8c +#define CSR_MHPMCOUNTER13H 0xb8d +#define CSR_MHPMCOUNTER14H 0xb8e +#define CSR_MHPMCOUNTER15H 0xb8f +#define CSR_MHPMCOUNTER16H 0xb90 +#define CSR_MHPMCOUNTER17H 0xb91 +#define CSR_MHPMCOUNTER18H 0xb92 +#define CSR_MHPMCOUNTER19H 0xb93 +#define CSR_MHPMCOUNTER20H 0xb94 +#define CSR_MHPMCOUNTER21H 0xb95 +#define CSR_MHPMCOUNTER22H 0xb96 +#define CSR_MHPMCOUNTER23H 0xb97 +#define CSR_MHPMCOUNTER24H 0xb98 +#define CSR_MHPMCOUNTER25H 0xb99 +#define CSR_MHPMCOUNTER26H 0xb9a +#define CSR_MHPMCOUNTER27H 0xb9b +#define CSR_MHPMCOUNTER28H 0xb9c +#define CSR_MHPMCOUNTER29H 0xb9d +#define CSR_MHPMCOUNTER30H 0xb9e +#define CSR_MHPMCOUNTER31H 0xb9f +#define CAUSE_MISALIGNED_FETCH 0x0 +#define CAUSE_FETCH_ACCESS 0x1 +#define CAUSE_ILLEGAL_INSTRUCTION 0x2 +#define CAUSE_BREAKPOINT 0x3 +#define CAUSE_MISALIGNED_LOAD 0x4 +#define CAUSE_LOAD_ACCESS 0x5 +#define CAUSE_MISALIGNED_STORE 0x6 +#define CAUSE_STORE_ACCESS 0x7 +#define CAUSE_USER_ECALL 0x8 +#define CAUSE_SUPERVISOR_ECALL 0x9 +#define CAUSE_HYPERVISOR_ECALL 0xa +#define CAUSE_MACHINE_ECALL 0xb +#define CAUSE_FETCH_PAGE_FAULT 0xc +#define CAUSE_LOAD_PAGE_FAULT 0xd +#define CAUSE_STORE_PAGE_FAULT 0xf +#endif +#ifdef DECLARE_INSN +DECLARE_INSN(beq, MATCH_BEQ, MASK_BEQ) +DECLARE_INSN(bne, MATCH_BNE, MASK_BNE) +DECLARE_INSN(blt, MATCH_BLT, MASK_BLT) +DECLARE_INSN(bge, MATCH_BGE, MASK_BGE) +DECLARE_INSN(bltu, MATCH_BLTU, MASK_BLTU) +DECLARE_INSN(bgeu, MATCH_BGEU, MASK_BGEU) +DECLARE_INSN(jalr, MATCH_JALR, MASK_JALR) +DECLARE_INSN(jal, MATCH_JAL, MASK_JAL) +DECLARE_INSN(lui, MATCH_LUI, MASK_LUI) +DECLARE_INSN(auipc, MATCH_AUIPC, MASK_AUIPC) +DECLARE_INSN(addi, MATCH_ADDI, MASK_ADDI) +DECLARE_INSN(slli, MATCH_SLLI, MASK_SLLI) +DECLARE_INSN(slti, MATCH_SLTI, MASK_SLTI) +DECLARE_INSN(sltiu, MATCH_SLTIU, MASK_SLTIU) +DECLARE_INSN(xori, MATCH_XORI, MASK_XORI) +DECLARE_INSN(srli, MATCH_SRLI, MASK_SRLI) +DECLARE_INSN(srai, MATCH_SRAI, MASK_SRAI) +DECLARE_INSN(ori, MATCH_ORI, MASK_ORI) +DECLARE_INSN(andi, MATCH_ANDI, MASK_ANDI) +DECLARE_INSN(add, MATCH_ADD, MASK_ADD) +DECLARE_INSN(sub, MATCH_SUB, MASK_SUB) +DECLARE_INSN(sll, MATCH_SLL, MASK_SLL) +DECLARE_INSN(slt, MATCH_SLT, MASK_SLT) +DECLARE_INSN(sltu, MATCH_SLTU, MASK_SLTU) +DECLARE_INSN(xor, MATCH_XOR, MASK_XOR) +DECLARE_INSN(srl, MATCH_SRL, MASK_SRL) +DECLARE_INSN(sra, MATCH_SRA, MASK_SRA) +DECLARE_INSN(or, MATCH_OR, MASK_OR) +DECLARE_INSN(and, MATCH_AND, MASK_AND) +DECLARE_INSN(addiw, MATCH_ADDIW, MASK_ADDIW) +DECLARE_INSN(slliw, MATCH_SLLIW, MASK_SLLIW) +DECLARE_INSN(srliw, MATCH_SRLIW, MASK_SRLIW) +DECLARE_INSN(sraiw, MATCH_SRAIW, MASK_SRAIW) +DECLARE_INSN(addw, MATCH_ADDW, MASK_ADDW) +DECLARE_INSN(subw, MATCH_SUBW, MASK_SUBW) +DECLARE_INSN(sllw, MATCH_SLLW, MASK_SLLW) +DECLARE_INSN(srlw, MATCH_SRLW, MASK_SRLW) +DECLARE_INSN(sraw, MATCH_SRAW, MASK_SRAW) +DECLARE_INSN(lb, MATCH_LB, MASK_LB) +DECLARE_INSN(lh, MATCH_LH, MASK_LH) +DECLARE_INSN(lw, MATCH_LW, MASK_LW) +DECLARE_INSN(ld, MATCH_LD, MASK_LD) +DECLARE_INSN(lbu, MATCH_LBU, MASK_LBU) +DECLARE_INSN(lhu, MATCH_LHU, MASK_LHU) +DECLARE_INSN(lwu, MATCH_LWU, MASK_LWU) +DECLARE_INSN(sb, MATCH_SB, MASK_SB) +DECLARE_INSN(sh, MATCH_SH, MASK_SH) +DECLARE_INSN(sw, MATCH_SW, MASK_SW) +DECLARE_INSN(sd, MATCH_SD, MASK_SD) +DECLARE_INSN(fence, MATCH_FENCE, MASK_FENCE) +DECLARE_INSN(fence_i, MATCH_FENCE_I, MASK_FENCE_I) +DECLARE_INSN(mul, MATCH_MUL, MASK_MUL) +DECLARE_INSN(mulh, MATCH_MULH, MASK_MULH) +DECLARE_INSN(mulhsu, MATCH_MULHSU, MASK_MULHSU) +DECLARE_INSN(mulhu, MATCH_MULHU, MASK_MULHU) +DECLARE_INSN(div, MATCH_DIV, MASK_DIV) +DECLARE_INSN(divu, MATCH_DIVU, MASK_DIVU) +DECLARE_INSN(rem, MATCH_REM, MASK_REM) +DECLARE_INSN(remu, MATCH_REMU, MASK_REMU) +DECLARE_INSN(mulw, MATCH_MULW, MASK_MULW) +DECLARE_INSN(divw, MATCH_DIVW, MASK_DIVW) +DECLARE_INSN(divuw, MATCH_DIVUW, MASK_DIVUW) +DECLARE_INSN(remw, MATCH_REMW, MASK_REMW) +DECLARE_INSN(remuw, MATCH_REMUW, MASK_REMUW) +DECLARE_INSN(amoadd_w, MATCH_AMOADD_W, MASK_AMOADD_W) +DECLARE_INSN(amoxor_w, MATCH_AMOXOR_W, MASK_AMOXOR_W) +DECLARE_INSN(amoor_w, MATCH_AMOOR_W, MASK_AMOOR_W) +DECLARE_INSN(amoand_w, MATCH_AMOAND_W, MASK_AMOAND_W) +DECLARE_INSN(amomin_w, MATCH_AMOMIN_W, MASK_AMOMIN_W) +DECLARE_INSN(amomax_w, MATCH_AMOMAX_W, MASK_AMOMAX_W) +DECLARE_INSN(amominu_w, MATCH_AMOMINU_W, MASK_AMOMINU_W) +DECLARE_INSN(amomaxu_w, MATCH_AMOMAXU_W, MASK_AMOMAXU_W) +DECLARE_INSN(amoswap_w, MATCH_AMOSWAP_W, MASK_AMOSWAP_W) +DECLARE_INSN(lr_w, MATCH_LR_W, MASK_LR_W) +DECLARE_INSN(sc_w, MATCH_SC_W, MASK_SC_W) +DECLARE_INSN(amoadd_d, MATCH_AMOADD_D, MASK_AMOADD_D) +DECLARE_INSN(amoxor_d, MATCH_AMOXOR_D, MASK_AMOXOR_D) +DECLARE_INSN(amoor_d, MATCH_AMOOR_D, MASK_AMOOR_D) +DECLARE_INSN(amoand_d, MATCH_AMOAND_D, MASK_AMOAND_D) +DECLARE_INSN(amomin_d, MATCH_AMOMIN_D, MASK_AMOMIN_D) +DECLARE_INSN(amomax_d, MATCH_AMOMAX_D, MASK_AMOMAX_D) +DECLARE_INSN(amominu_d, MATCH_AMOMINU_D, MASK_AMOMINU_D) +DECLARE_INSN(amomaxu_d, MATCH_AMOMAXU_D, MASK_AMOMAXU_D) +DECLARE_INSN(amoswap_d, MATCH_AMOSWAP_D, MASK_AMOSWAP_D) +DECLARE_INSN(lr_d, MATCH_LR_D, MASK_LR_D) +DECLARE_INSN(sc_d, MATCH_SC_D, MASK_SC_D) +DECLARE_INSN(ecall, MATCH_ECALL, MASK_ECALL) +DECLARE_INSN(ebreak, MATCH_EBREAK, MASK_EBREAK) +DECLARE_INSN(uret, MATCH_URET, MASK_URET) +DECLARE_INSN(sret, MATCH_SRET, MASK_SRET) +DECLARE_INSN(mret, MATCH_MRET, MASK_MRET) +DECLARE_INSN(dret, MATCH_DRET, MASK_DRET) +DECLARE_INSN(sfence_vma, MATCH_SFENCE_VMA, MASK_SFENCE_VMA) +DECLARE_INSN(wfi, MATCH_WFI, MASK_WFI) +DECLARE_INSN(csrrw, MATCH_CSRRW, MASK_CSRRW) +DECLARE_INSN(csrrs, MATCH_CSRRS, MASK_CSRRS) +DECLARE_INSN(csrrc, MATCH_CSRRC, MASK_CSRRC) +DECLARE_INSN(csrrwi, MATCH_CSRRWI, MASK_CSRRWI) +DECLARE_INSN(csrrsi, MATCH_CSRRSI, MASK_CSRRSI) +DECLARE_INSN(csrrci, MATCH_CSRRCI, MASK_CSRRCI) +DECLARE_INSN(fadd_s, MATCH_FADD_S, MASK_FADD_S) +DECLARE_INSN(fsub_s, MATCH_FSUB_S, MASK_FSUB_S) +DECLARE_INSN(fmul_s, MATCH_FMUL_S, MASK_FMUL_S) +DECLARE_INSN(fdiv_s, MATCH_FDIV_S, MASK_FDIV_S) +DECLARE_INSN(fsgnj_s, MATCH_FSGNJ_S, MASK_FSGNJ_S) +DECLARE_INSN(fsgnjn_s, MATCH_FSGNJN_S, MASK_FSGNJN_S) +DECLARE_INSN(fsgnjx_s, MATCH_FSGNJX_S, MASK_FSGNJX_S) +DECLARE_INSN(fmin_s, MATCH_FMIN_S, MASK_FMIN_S) +DECLARE_INSN(fmax_s, MATCH_FMAX_S, MASK_FMAX_S) +DECLARE_INSN(fsqrt_s, MATCH_FSQRT_S, MASK_FSQRT_S) +DECLARE_INSN(fadd_d, MATCH_FADD_D, MASK_FADD_D) +DECLARE_INSN(fsub_d, MATCH_FSUB_D, MASK_FSUB_D) +DECLARE_INSN(fmul_d, MATCH_FMUL_D, MASK_FMUL_D) +DECLARE_INSN(fdiv_d, MATCH_FDIV_D, MASK_FDIV_D) +DECLARE_INSN(fsgnj_d, MATCH_FSGNJ_D, MASK_FSGNJ_D) +DECLARE_INSN(fsgnjn_d, MATCH_FSGNJN_D, MASK_FSGNJN_D) +DECLARE_INSN(fsgnjx_d, MATCH_FSGNJX_D, MASK_FSGNJX_D) +DECLARE_INSN(fmin_d, MATCH_FMIN_D, MASK_FMIN_D) +DECLARE_INSN(fmax_d, MATCH_FMAX_D, MASK_FMAX_D) +DECLARE_INSN(fcvt_s_d, MATCH_FCVT_S_D, MASK_FCVT_S_D) +DECLARE_INSN(fcvt_d_s, MATCH_FCVT_D_S, MASK_FCVT_D_S) +DECLARE_INSN(fsqrt_d, MATCH_FSQRT_D, MASK_FSQRT_D) +DECLARE_INSN(fadd_q, MATCH_FADD_Q, MASK_FADD_Q) +DECLARE_INSN(fsub_q, MATCH_FSUB_Q, MASK_FSUB_Q) +DECLARE_INSN(fmul_q, MATCH_FMUL_Q, MASK_FMUL_Q) +DECLARE_INSN(fdiv_q, MATCH_FDIV_Q, MASK_FDIV_Q) +DECLARE_INSN(fsgnj_q, MATCH_FSGNJ_Q, MASK_FSGNJ_Q) +DECLARE_INSN(fsgnjn_q, MATCH_FSGNJN_Q, MASK_FSGNJN_Q) +DECLARE_INSN(fsgnjx_q, MATCH_FSGNJX_Q, MASK_FSGNJX_Q) +DECLARE_INSN(fmin_q, MATCH_FMIN_Q, MASK_FMIN_Q) +DECLARE_INSN(fmax_q, MATCH_FMAX_Q, MASK_FMAX_Q) +DECLARE_INSN(fcvt_s_q, MATCH_FCVT_S_Q, MASK_FCVT_S_Q) +DECLARE_INSN(fcvt_q_s, MATCH_FCVT_Q_S, MASK_FCVT_Q_S) +DECLARE_INSN(fcvt_d_q, MATCH_FCVT_D_Q, MASK_FCVT_D_Q) +DECLARE_INSN(fcvt_q_d, MATCH_FCVT_Q_D, MASK_FCVT_Q_D) +DECLARE_INSN(fsqrt_q, MATCH_FSQRT_Q, MASK_FSQRT_Q) +DECLARE_INSN(fle_s, MATCH_FLE_S, MASK_FLE_S) +DECLARE_INSN(flt_s, MATCH_FLT_S, MASK_FLT_S) +DECLARE_INSN(feq_s, MATCH_FEQ_S, MASK_FEQ_S) +DECLARE_INSN(fle_d, MATCH_FLE_D, MASK_FLE_D) +DECLARE_INSN(flt_d, MATCH_FLT_D, MASK_FLT_D) +DECLARE_INSN(feq_d, MATCH_FEQ_D, MASK_FEQ_D) +DECLARE_INSN(fle_q, MATCH_FLE_Q, MASK_FLE_Q) +DECLARE_INSN(flt_q, MATCH_FLT_Q, MASK_FLT_Q) +DECLARE_INSN(feq_q, MATCH_FEQ_Q, MASK_FEQ_Q) +DECLARE_INSN(fcvt_w_s, MATCH_FCVT_W_S, MASK_FCVT_W_S) +DECLARE_INSN(fcvt_wu_s, MATCH_FCVT_WU_S, MASK_FCVT_WU_S) +DECLARE_INSN(fcvt_l_s, MATCH_FCVT_L_S, MASK_FCVT_L_S) +DECLARE_INSN(fcvt_lu_s, MATCH_FCVT_LU_S, MASK_FCVT_LU_S) +DECLARE_INSN(fmv_x_w, MATCH_FMV_X_W, MASK_FMV_X_W) +DECLARE_INSN(fclass_s, MATCH_FCLASS_S, MASK_FCLASS_S) +DECLARE_INSN(fcvt_w_d, MATCH_FCVT_W_D, MASK_FCVT_W_D) +DECLARE_INSN(fcvt_wu_d, MATCH_FCVT_WU_D, MASK_FCVT_WU_D) +DECLARE_INSN(fcvt_l_d, MATCH_FCVT_L_D, MASK_FCVT_L_D) +DECLARE_INSN(fcvt_lu_d, MATCH_FCVT_LU_D, MASK_FCVT_LU_D) +DECLARE_INSN(fmv_x_d, MATCH_FMV_X_D, MASK_FMV_X_D) +DECLARE_INSN(fclass_d, MATCH_FCLASS_D, MASK_FCLASS_D) +DECLARE_INSN(fcvt_w_q, MATCH_FCVT_W_Q, MASK_FCVT_W_Q) +DECLARE_INSN(fcvt_wu_q, MATCH_FCVT_WU_Q, MASK_FCVT_WU_Q) +DECLARE_INSN(fcvt_l_q, MATCH_FCVT_L_Q, MASK_FCVT_L_Q) +DECLARE_INSN(fcvt_lu_q, MATCH_FCVT_LU_Q, MASK_FCVT_LU_Q) +DECLARE_INSN(fmv_x_q, MATCH_FMV_X_Q, MASK_FMV_X_Q) +DECLARE_INSN(fclass_q, MATCH_FCLASS_Q, MASK_FCLASS_Q) +DECLARE_INSN(fcvt_s_w, MATCH_FCVT_S_W, MASK_FCVT_S_W) +DECLARE_INSN(fcvt_s_wu, MATCH_FCVT_S_WU, MASK_FCVT_S_WU) +DECLARE_INSN(fcvt_s_l, MATCH_FCVT_S_L, MASK_FCVT_S_L) +DECLARE_INSN(fcvt_s_lu, MATCH_FCVT_S_LU, MASK_FCVT_S_LU) +DECLARE_INSN(fmv_w_x, MATCH_FMV_W_X, MASK_FMV_W_X) +DECLARE_INSN(fcvt_d_w, MATCH_FCVT_D_W, MASK_FCVT_D_W) +DECLARE_INSN(fcvt_d_wu, MATCH_FCVT_D_WU, MASK_FCVT_D_WU) +DECLARE_INSN(fcvt_d_l, MATCH_FCVT_D_L, MASK_FCVT_D_L) +DECLARE_INSN(fcvt_d_lu, MATCH_FCVT_D_LU, MASK_FCVT_D_LU) +DECLARE_INSN(fmv_d_x, MATCH_FMV_D_X, MASK_FMV_D_X) +DECLARE_INSN(fcvt_q_w, MATCH_FCVT_Q_W, MASK_FCVT_Q_W) +DECLARE_INSN(fcvt_q_wu, MATCH_FCVT_Q_WU, MASK_FCVT_Q_WU) +DECLARE_INSN(fcvt_q_l, MATCH_FCVT_Q_L, MASK_FCVT_Q_L) +DECLARE_INSN(fcvt_q_lu, MATCH_FCVT_Q_LU, MASK_FCVT_Q_LU) +DECLARE_INSN(fmv_q_x, MATCH_FMV_Q_X, MASK_FMV_Q_X) +DECLARE_INSN(flw, MATCH_FLW, MASK_FLW) +DECLARE_INSN(fld, MATCH_FLD, MASK_FLD) +DECLARE_INSN(flq, MATCH_FLQ, MASK_FLQ) +DECLARE_INSN(fsw, MATCH_FSW, MASK_FSW) +DECLARE_INSN(fsd, MATCH_FSD, MASK_FSD) +DECLARE_INSN(fsq, MATCH_FSQ, MASK_FSQ) +DECLARE_INSN(fmadd_s, MATCH_FMADD_S, MASK_FMADD_S) +DECLARE_INSN(fmsub_s, MATCH_FMSUB_S, MASK_FMSUB_S) +DECLARE_INSN(fnmsub_s, MATCH_FNMSUB_S, MASK_FNMSUB_S) +DECLARE_INSN(fnmadd_s, MATCH_FNMADD_S, MASK_FNMADD_S) +DECLARE_INSN(fmadd_d, MATCH_FMADD_D, MASK_FMADD_D) +DECLARE_INSN(fmsub_d, MATCH_FMSUB_D, MASK_FMSUB_D) +DECLARE_INSN(fnmsub_d, MATCH_FNMSUB_D, MASK_FNMSUB_D) +DECLARE_INSN(fnmadd_d, MATCH_FNMADD_D, MASK_FNMADD_D) +DECLARE_INSN(fmadd_q, MATCH_FMADD_Q, MASK_FMADD_Q) +DECLARE_INSN(fmsub_q, MATCH_FMSUB_Q, MASK_FMSUB_Q) +DECLARE_INSN(fnmsub_q, MATCH_FNMSUB_Q, MASK_FNMSUB_Q) +DECLARE_INSN(fnmadd_q, MATCH_FNMADD_Q, MASK_FNMADD_Q) +DECLARE_INSN(c_nop, MATCH_C_NOP, MASK_C_NOP) +DECLARE_INSN(c_addi16sp, MATCH_C_ADDI16SP, MASK_C_ADDI16SP) +DECLARE_INSN(c_jr, MATCH_C_JR, MASK_C_JR) +DECLARE_INSN(c_jalr, MATCH_C_JALR, MASK_C_JALR) +DECLARE_INSN(c_ebreak, MATCH_C_EBREAK, MASK_C_EBREAK) +DECLARE_INSN(c_ld, MATCH_C_LD, MASK_C_LD) +DECLARE_INSN(c_sd, MATCH_C_SD, MASK_C_SD) +DECLARE_INSN(c_addiw, MATCH_C_ADDIW, MASK_C_ADDIW) +DECLARE_INSN(c_ldsp, MATCH_C_LDSP, MASK_C_LDSP) +DECLARE_INSN(c_sdsp, MATCH_C_SDSP, MASK_C_SDSP) +DECLARE_INSN(c_addi4spn, MATCH_C_ADDI4SPN, MASK_C_ADDI4SPN) +DECLARE_INSN(c_fld, MATCH_C_FLD, MASK_C_FLD) +DECLARE_INSN(c_lw, MATCH_C_LW, MASK_C_LW) +DECLARE_INSN(c_flw, MATCH_C_FLW, MASK_C_FLW) +DECLARE_INSN(c_fsd, MATCH_C_FSD, MASK_C_FSD) +DECLARE_INSN(c_sw, MATCH_C_SW, MASK_C_SW) +DECLARE_INSN(c_fsw, MATCH_C_FSW, MASK_C_FSW) +DECLARE_INSN(c_addi, MATCH_C_ADDI, MASK_C_ADDI) +DECLARE_INSN(c_jal, MATCH_C_JAL, MASK_C_JAL) +DECLARE_INSN(c_li, MATCH_C_LI, MASK_C_LI) +DECLARE_INSN(c_lui, MATCH_C_LUI, MASK_C_LUI) +DECLARE_INSN(c_srli, MATCH_C_SRLI, MASK_C_SRLI) +DECLARE_INSN(c_srai, MATCH_C_SRAI, MASK_C_SRAI) +DECLARE_INSN(c_andi, MATCH_C_ANDI, MASK_C_ANDI) +DECLARE_INSN(c_sub, MATCH_C_SUB, MASK_C_SUB) +DECLARE_INSN(c_xor, MATCH_C_XOR, MASK_C_XOR) +DECLARE_INSN(c_or, MATCH_C_OR, MASK_C_OR) +DECLARE_INSN(c_and, MATCH_C_AND, MASK_C_AND) +DECLARE_INSN(c_subw, MATCH_C_SUBW, MASK_C_SUBW) +DECLARE_INSN(c_addw, MATCH_C_ADDW, MASK_C_ADDW) +DECLARE_INSN(c_j, MATCH_C_J, MASK_C_J) +DECLARE_INSN(c_beqz, MATCH_C_BEQZ, MASK_C_BEQZ) +DECLARE_INSN(c_bnez, MATCH_C_BNEZ, MASK_C_BNEZ) +DECLARE_INSN(c_slli, MATCH_C_SLLI, MASK_C_SLLI) +DECLARE_INSN(c_fldsp, MATCH_C_FLDSP, MASK_C_FLDSP) +DECLARE_INSN(c_lwsp, MATCH_C_LWSP, MASK_C_LWSP) +DECLARE_INSN(c_flwsp, MATCH_C_FLWSP, MASK_C_FLWSP) +DECLARE_INSN(c_mv, MATCH_C_MV, MASK_C_MV) +DECLARE_INSN(c_add, MATCH_C_ADD, MASK_C_ADD) +DECLARE_INSN(c_fsdsp, MATCH_C_FSDSP, MASK_C_FSDSP) +DECLARE_INSN(c_swsp, MATCH_C_SWSP, MASK_C_SWSP) +DECLARE_INSN(c_fswsp, MATCH_C_FSWSP, MASK_C_FSWSP) +DECLARE_INSN(custom0, MATCH_CUSTOM0, MASK_CUSTOM0) +DECLARE_INSN(custom0_rs1, MATCH_CUSTOM0_RS1, MASK_CUSTOM0_RS1) +DECLARE_INSN(custom0_rs1_rs2, MATCH_CUSTOM0_RS1_RS2, MASK_CUSTOM0_RS1_RS2) +DECLARE_INSN(custom0_rd, MATCH_CUSTOM0_RD, MASK_CUSTOM0_RD) +DECLARE_INSN(custom0_rd_rs1, MATCH_CUSTOM0_RD_RS1, MASK_CUSTOM0_RD_RS1) +DECLARE_INSN(custom0_rd_rs1_rs2, MATCH_CUSTOM0_RD_RS1_RS2, MASK_CUSTOM0_RD_RS1_RS2) +DECLARE_INSN(custom1, MATCH_CUSTOM1, MASK_CUSTOM1) +DECLARE_INSN(custom1_rs1, MATCH_CUSTOM1_RS1, MASK_CUSTOM1_RS1) +DECLARE_INSN(custom1_rs1_rs2, MATCH_CUSTOM1_RS1_RS2, MASK_CUSTOM1_RS1_RS2) +DECLARE_INSN(custom1_rd, MATCH_CUSTOM1_RD, MASK_CUSTOM1_RD) +DECLARE_INSN(custom1_rd_rs1, MATCH_CUSTOM1_RD_RS1, MASK_CUSTOM1_RD_RS1) +DECLARE_INSN(custom1_rd_rs1_rs2, MATCH_CUSTOM1_RD_RS1_RS2, MASK_CUSTOM1_RD_RS1_RS2) +DECLARE_INSN(custom2, MATCH_CUSTOM2, MASK_CUSTOM2) +DECLARE_INSN(custom2_rs1, MATCH_CUSTOM2_RS1, MASK_CUSTOM2_RS1) +DECLARE_INSN(custom2_rs1_rs2, MATCH_CUSTOM2_RS1_RS2, MASK_CUSTOM2_RS1_RS2) +DECLARE_INSN(custom2_rd, MATCH_CUSTOM2_RD, MASK_CUSTOM2_RD) +DECLARE_INSN(custom2_rd_rs1, MATCH_CUSTOM2_RD_RS1, MASK_CUSTOM2_RD_RS1) +DECLARE_INSN(custom2_rd_rs1_rs2, MATCH_CUSTOM2_RD_RS1_RS2, MASK_CUSTOM2_RD_RS1_RS2) +DECLARE_INSN(custom3, MATCH_CUSTOM3, MASK_CUSTOM3) +DECLARE_INSN(custom3_rs1, MATCH_CUSTOM3_RS1, MASK_CUSTOM3_RS1) +DECLARE_INSN(custom3_rs1_rs2, MATCH_CUSTOM3_RS1_RS2, MASK_CUSTOM3_RS1_RS2) +DECLARE_INSN(custom3_rd, MATCH_CUSTOM3_RD, MASK_CUSTOM3_RD) +DECLARE_INSN(custom3_rd_rs1, MATCH_CUSTOM3_RD_RS1, MASK_CUSTOM3_RD_RS1) +DECLARE_INSN(custom3_rd_rs1_rs2, MATCH_CUSTOM3_RD_RS1_RS2, MASK_CUSTOM3_RD_RS1_RS2) +#endif +#ifdef DECLARE_CSR +DECLARE_CSR(fflags, CSR_FFLAGS) +DECLARE_CSR(frm, CSR_FRM) +DECLARE_CSR(fcsr, CSR_FCSR) +DECLARE_CSR(cycle, CSR_CYCLE) +DECLARE_CSR(time, CSR_TIME) +DECLARE_CSR(instret, CSR_INSTRET) +DECLARE_CSR(hpmcounter3, CSR_HPMCOUNTER3) +DECLARE_CSR(hpmcounter4, CSR_HPMCOUNTER4) +DECLARE_CSR(hpmcounter5, CSR_HPMCOUNTER5) +DECLARE_CSR(hpmcounter6, CSR_HPMCOUNTER6) +DECLARE_CSR(hpmcounter7, CSR_HPMCOUNTER7) +DECLARE_CSR(hpmcounter8, CSR_HPMCOUNTER8) +DECLARE_CSR(hpmcounter9, CSR_HPMCOUNTER9) +DECLARE_CSR(hpmcounter10, CSR_HPMCOUNTER10) +DECLARE_CSR(hpmcounter11, CSR_HPMCOUNTER11) +DECLARE_CSR(hpmcounter12, CSR_HPMCOUNTER12) +DECLARE_CSR(hpmcounter13, CSR_HPMCOUNTER13) +DECLARE_CSR(hpmcounter14, CSR_HPMCOUNTER14) +DECLARE_CSR(hpmcounter15, CSR_HPMCOUNTER15) +DECLARE_CSR(hpmcounter16, CSR_HPMCOUNTER16) +DECLARE_CSR(hpmcounter17, CSR_HPMCOUNTER17) +DECLARE_CSR(hpmcounter18, CSR_HPMCOUNTER18) +DECLARE_CSR(hpmcounter19, CSR_HPMCOUNTER19) +DECLARE_CSR(hpmcounter20, CSR_HPMCOUNTER20) +DECLARE_CSR(hpmcounter21, CSR_HPMCOUNTER21) +DECLARE_CSR(hpmcounter22, CSR_HPMCOUNTER22) +DECLARE_CSR(hpmcounter23, CSR_HPMCOUNTER23) +DECLARE_CSR(hpmcounter24, CSR_HPMCOUNTER24) +DECLARE_CSR(hpmcounter25, CSR_HPMCOUNTER25) +DECLARE_CSR(hpmcounter26, CSR_HPMCOUNTER26) +DECLARE_CSR(hpmcounter27, CSR_HPMCOUNTER27) +DECLARE_CSR(hpmcounter28, CSR_HPMCOUNTER28) +DECLARE_CSR(hpmcounter29, CSR_HPMCOUNTER29) +DECLARE_CSR(hpmcounter30, CSR_HPMCOUNTER30) +DECLARE_CSR(hpmcounter31, CSR_HPMCOUNTER31) +DECLARE_CSR(sstatus, CSR_SSTATUS) +DECLARE_CSR(sie, CSR_SIE) +DECLARE_CSR(stvec, CSR_STVEC) +DECLARE_CSR(scounteren, CSR_SCOUNTEREN) +DECLARE_CSR(sscratch, CSR_SSCRATCH) +DECLARE_CSR(sepc, CSR_SEPC) +DECLARE_CSR(scause, CSR_SCAUSE) +DECLARE_CSR(stval, CSR_STVAL) +DECLARE_CSR(sip, CSR_SIP) +DECLARE_CSR(satp, CSR_SATP) +DECLARE_CSR(mstatus, CSR_MSTATUS) +DECLARE_CSR(misa, CSR_MISA) +DECLARE_CSR(medeleg, CSR_MEDELEG) +DECLARE_CSR(mideleg, CSR_MIDELEG) +DECLARE_CSR(mie, CSR_MIE) +DECLARE_CSR(mtvec, CSR_MTVEC) +DECLARE_CSR(mcounteren, CSR_MCOUNTEREN) +DECLARE_CSR(mscratch, CSR_MSCRATCH) +DECLARE_CSR(mepc, CSR_MEPC) +DECLARE_CSR(mcause, CSR_MCAUSE) +DECLARE_CSR(mtval, CSR_MTVAL) +DECLARE_CSR(mip, CSR_MIP) +DECLARE_CSR(pmpcfg0, CSR_PMPCFG0) +DECLARE_CSR(pmpcfg1, CSR_PMPCFG1) +DECLARE_CSR(pmpcfg2, CSR_PMPCFG2) +DECLARE_CSR(pmpcfg3, CSR_PMPCFG3) +DECLARE_CSR(pmpaddr0, CSR_PMPADDR0) +DECLARE_CSR(pmpaddr1, CSR_PMPADDR1) +DECLARE_CSR(pmpaddr2, CSR_PMPADDR2) +DECLARE_CSR(pmpaddr3, CSR_PMPADDR3) +DECLARE_CSR(pmpaddr4, CSR_PMPADDR4) +DECLARE_CSR(pmpaddr5, CSR_PMPADDR5) +DECLARE_CSR(pmpaddr6, CSR_PMPADDR6) +DECLARE_CSR(pmpaddr7, CSR_PMPADDR7) +DECLARE_CSR(pmpaddr8, CSR_PMPADDR8) +DECLARE_CSR(pmpaddr9, CSR_PMPADDR9) +DECLARE_CSR(pmpaddr10, CSR_PMPADDR10) +DECLARE_CSR(pmpaddr11, CSR_PMPADDR11) +DECLARE_CSR(pmpaddr12, CSR_PMPADDR12) +DECLARE_CSR(pmpaddr13, CSR_PMPADDR13) +DECLARE_CSR(pmpaddr14, CSR_PMPADDR14) +DECLARE_CSR(pmpaddr15, CSR_PMPADDR15) +DECLARE_CSR(tselect, CSR_TSELECT) +DECLARE_CSR(tdata1, CSR_TDATA1) +DECLARE_CSR(tdata2, CSR_TDATA2) +DECLARE_CSR(tdata3, CSR_TDATA3) +DECLARE_CSR(dcsr, CSR_DCSR) +DECLARE_CSR(dpc, CSR_DPC) +DECLARE_CSR(dscratch, CSR_DSCRATCH) +DECLARE_CSR(mcycle, CSR_MCYCLE) +DECLARE_CSR(minstret, CSR_MINSTRET) +DECLARE_CSR(mhpmcounter3, CSR_MHPMCOUNTER3) +DECLARE_CSR(mhpmcounter4, CSR_MHPMCOUNTER4) +DECLARE_CSR(mhpmcounter5, CSR_MHPMCOUNTER5) +DECLARE_CSR(mhpmcounter6, CSR_MHPMCOUNTER6) +DECLARE_CSR(mhpmcounter7, CSR_MHPMCOUNTER7) +DECLARE_CSR(mhpmcounter8, CSR_MHPMCOUNTER8) +DECLARE_CSR(mhpmcounter9, CSR_MHPMCOUNTER9) +DECLARE_CSR(mhpmcounter10, CSR_MHPMCOUNTER10) +DECLARE_CSR(mhpmcounter11, CSR_MHPMCOUNTER11) +DECLARE_CSR(mhpmcounter12, CSR_MHPMCOUNTER12) +DECLARE_CSR(mhpmcounter13, CSR_MHPMCOUNTER13) +DECLARE_CSR(mhpmcounter14, CSR_MHPMCOUNTER14) +DECLARE_CSR(mhpmcounter15, CSR_MHPMCOUNTER15) +DECLARE_CSR(mhpmcounter16, CSR_MHPMCOUNTER16) +DECLARE_CSR(mhpmcounter17, CSR_MHPMCOUNTER17) +DECLARE_CSR(mhpmcounter18, CSR_MHPMCOUNTER18) +DECLARE_CSR(mhpmcounter19, CSR_MHPMCOUNTER19) +DECLARE_CSR(mhpmcounter20, CSR_MHPMCOUNTER20) +DECLARE_CSR(mhpmcounter21, CSR_MHPMCOUNTER21) +DECLARE_CSR(mhpmcounter22, CSR_MHPMCOUNTER22) +DECLARE_CSR(mhpmcounter23, CSR_MHPMCOUNTER23) +DECLARE_CSR(mhpmcounter24, CSR_MHPMCOUNTER24) +DECLARE_CSR(mhpmcounter25, CSR_MHPMCOUNTER25) +DECLARE_CSR(mhpmcounter26, CSR_MHPMCOUNTER26) +DECLARE_CSR(mhpmcounter27, CSR_MHPMCOUNTER27) +DECLARE_CSR(mhpmcounter28, CSR_MHPMCOUNTER28) +DECLARE_CSR(mhpmcounter29, CSR_MHPMCOUNTER29) +DECLARE_CSR(mhpmcounter30, CSR_MHPMCOUNTER30) +DECLARE_CSR(mhpmcounter31, CSR_MHPMCOUNTER31) +DECLARE_CSR(mhpmevent3, CSR_MHPMEVENT3) +DECLARE_CSR(mhpmevent4, CSR_MHPMEVENT4) +DECLARE_CSR(mhpmevent5, CSR_MHPMEVENT5) +DECLARE_CSR(mhpmevent6, CSR_MHPMEVENT6) +DECLARE_CSR(mhpmevent7, CSR_MHPMEVENT7) +DECLARE_CSR(mhpmevent8, CSR_MHPMEVENT8) +DECLARE_CSR(mhpmevent9, CSR_MHPMEVENT9) +DECLARE_CSR(mhpmevent10, CSR_MHPMEVENT10) +DECLARE_CSR(mhpmevent11, CSR_MHPMEVENT11) +DECLARE_CSR(mhpmevent12, CSR_MHPMEVENT12) +DECLARE_CSR(mhpmevent13, CSR_MHPMEVENT13) +DECLARE_CSR(mhpmevent14, CSR_MHPMEVENT14) +DECLARE_CSR(mhpmevent15, CSR_MHPMEVENT15) +DECLARE_CSR(mhpmevent16, CSR_MHPMEVENT16) +DECLARE_CSR(mhpmevent17, CSR_MHPMEVENT17) +DECLARE_CSR(mhpmevent18, CSR_MHPMEVENT18) +DECLARE_CSR(mhpmevent19, CSR_MHPMEVENT19) +DECLARE_CSR(mhpmevent20, CSR_MHPMEVENT20) +DECLARE_CSR(mhpmevent21, CSR_MHPMEVENT21) +DECLARE_CSR(mhpmevent22, CSR_MHPMEVENT22) +DECLARE_CSR(mhpmevent23, CSR_MHPMEVENT23) +DECLARE_CSR(mhpmevent24, CSR_MHPMEVENT24) +DECLARE_CSR(mhpmevent25, CSR_MHPMEVENT25) +DECLARE_CSR(mhpmevent26, CSR_MHPMEVENT26) +DECLARE_CSR(mhpmevent27, CSR_MHPMEVENT27) +DECLARE_CSR(mhpmevent28, CSR_MHPMEVENT28) +DECLARE_CSR(mhpmevent29, CSR_MHPMEVENT29) +DECLARE_CSR(mhpmevent30, CSR_MHPMEVENT30) +DECLARE_CSR(mhpmevent31, CSR_MHPMEVENT31) +DECLARE_CSR(mvendorid, CSR_MVENDORID) +DECLARE_CSR(marchid, CSR_MARCHID) +DECLARE_CSR(mimpid, CSR_MIMPID) +DECLARE_CSR(mhartid, CSR_MHARTID) +DECLARE_CSR(cycleh, CSR_CYCLEH) +DECLARE_CSR(timeh, CSR_TIMEH) +DECLARE_CSR(instreth, CSR_INSTRETH) +DECLARE_CSR(hpmcounter3h, CSR_HPMCOUNTER3H) +DECLARE_CSR(hpmcounter4h, CSR_HPMCOUNTER4H) +DECLARE_CSR(hpmcounter5h, CSR_HPMCOUNTER5H) +DECLARE_CSR(hpmcounter6h, CSR_HPMCOUNTER6H) +DECLARE_CSR(hpmcounter7h, CSR_HPMCOUNTER7H) +DECLARE_CSR(hpmcounter8h, CSR_HPMCOUNTER8H) +DECLARE_CSR(hpmcounter9h, CSR_HPMCOUNTER9H) +DECLARE_CSR(hpmcounter10h, CSR_HPMCOUNTER10H) +DECLARE_CSR(hpmcounter11h, CSR_HPMCOUNTER11H) +DECLARE_CSR(hpmcounter12h, CSR_HPMCOUNTER12H) +DECLARE_CSR(hpmcounter13h, CSR_HPMCOUNTER13H) +DECLARE_CSR(hpmcounter14h, CSR_HPMCOUNTER14H) +DECLARE_CSR(hpmcounter15h, CSR_HPMCOUNTER15H) +DECLARE_CSR(hpmcounter16h, CSR_HPMCOUNTER16H) +DECLARE_CSR(hpmcounter17h, CSR_HPMCOUNTER17H) +DECLARE_CSR(hpmcounter18h, CSR_HPMCOUNTER18H) +DECLARE_CSR(hpmcounter19h, CSR_HPMCOUNTER19H) +DECLARE_CSR(hpmcounter20h, CSR_HPMCOUNTER20H) +DECLARE_CSR(hpmcounter21h, CSR_HPMCOUNTER21H) +DECLARE_CSR(hpmcounter22h, CSR_HPMCOUNTER22H) +DECLARE_CSR(hpmcounter23h, CSR_HPMCOUNTER23H) +DECLARE_CSR(hpmcounter24h, CSR_HPMCOUNTER24H) +DECLARE_CSR(hpmcounter25h, CSR_HPMCOUNTER25H) +DECLARE_CSR(hpmcounter26h, CSR_HPMCOUNTER26H) +DECLARE_CSR(hpmcounter27h, CSR_HPMCOUNTER27H) +DECLARE_CSR(hpmcounter28h, CSR_HPMCOUNTER28H) +DECLARE_CSR(hpmcounter29h, CSR_HPMCOUNTER29H) +DECLARE_CSR(hpmcounter30h, CSR_HPMCOUNTER30H) +DECLARE_CSR(hpmcounter31h, CSR_HPMCOUNTER31H) +DECLARE_CSR(mcycleh, CSR_MCYCLEH) +DECLARE_CSR(minstreth, CSR_MINSTRETH) +DECLARE_CSR(mhpmcounter3h, CSR_MHPMCOUNTER3H) +DECLARE_CSR(mhpmcounter4h, CSR_MHPMCOUNTER4H) +DECLARE_CSR(mhpmcounter5h, CSR_MHPMCOUNTER5H) +DECLARE_CSR(mhpmcounter6h, CSR_MHPMCOUNTER6H) +DECLARE_CSR(mhpmcounter7h, CSR_MHPMCOUNTER7H) +DECLARE_CSR(mhpmcounter8h, CSR_MHPMCOUNTER8H) +DECLARE_CSR(mhpmcounter9h, CSR_MHPMCOUNTER9H) +DECLARE_CSR(mhpmcounter10h, CSR_MHPMCOUNTER10H) +DECLARE_CSR(mhpmcounter11h, CSR_MHPMCOUNTER11H) +DECLARE_CSR(mhpmcounter12h, CSR_MHPMCOUNTER12H) +DECLARE_CSR(mhpmcounter13h, CSR_MHPMCOUNTER13H) +DECLARE_CSR(mhpmcounter14h, CSR_MHPMCOUNTER14H) +DECLARE_CSR(mhpmcounter15h, CSR_MHPMCOUNTER15H) +DECLARE_CSR(mhpmcounter16h, CSR_MHPMCOUNTER16H) +DECLARE_CSR(mhpmcounter17h, CSR_MHPMCOUNTER17H) +DECLARE_CSR(mhpmcounter18h, CSR_MHPMCOUNTER18H) +DECLARE_CSR(mhpmcounter19h, CSR_MHPMCOUNTER19H) +DECLARE_CSR(mhpmcounter20h, CSR_MHPMCOUNTER20H) +DECLARE_CSR(mhpmcounter21h, CSR_MHPMCOUNTER21H) +DECLARE_CSR(mhpmcounter22h, CSR_MHPMCOUNTER22H) +DECLARE_CSR(mhpmcounter23h, CSR_MHPMCOUNTER23H) +DECLARE_CSR(mhpmcounter24h, CSR_MHPMCOUNTER24H) +DECLARE_CSR(mhpmcounter25h, CSR_MHPMCOUNTER25H) +DECLARE_CSR(mhpmcounter26h, CSR_MHPMCOUNTER26H) +DECLARE_CSR(mhpmcounter27h, CSR_MHPMCOUNTER27H) +DECLARE_CSR(mhpmcounter28h, CSR_MHPMCOUNTER28H) +DECLARE_CSR(mhpmcounter29h, CSR_MHPMCOUNTER29H) +DECLARE_CSR(mhpmcounter30h, CSR_MHPMCOUNTER30H) +DECLARE_CSR(mhpmcounter31h, CSR_MHPMCOUNTER31H) +#endif +#ifdef DECLARE_CAUSE +DECLARE_CAUSE("misaligned fetch", CAUSE_MISALIGNED_FETCH) +DECLARE_CAUSE("fetch access", CAUSE_FETCH_ACCESS) +DECLARE_CAUSE("illegal instruction", CAUSE_ILLEGAL_INSTRUCTION) +DECLARE_CAUSE("breakpoint", CAUSE_BREAKPOINT) +DECLARE_CAUSE("misaligned load", CAUSE_MISALIGNED_LOAD) +DECLARE_CAUSE("load access", CAUSE_LOAD_ACCESS) +DECLARE_CAUSE("misaligned store", CAUSE_MISALIGNED_STORE) +DECLARE_CAUSE("store access", CAUSE_STORE_ACCESS) +DECLARE_CAUSE("user_ecall", CAUSE_USER_ECALL) +DECLARE_CAUSE("supervisor_ecall", CAUSE_SUPERVISOR_ECALL) +DECLARE_CAUSE("hypervisor_ecall", CAUSE_HYPERVISOR_ECALL) +DECLARE_CAUSE("machine_ecall", CAUSE_MACHINE_ECALL) +DECLARE_CAUSE("fetch page fault", CAUSE_FETCH_PAGE_FAULT) +DECLARE_CAUSE("load page fault", CAUSE_LOAD_PAGE_FAULT) +DECLARE_CAUSE("store page fault", CAUSE_STORE_PAGE_FAULT) +#endif diff --git a/VexRiscv/src/test/cpp/regression/fail.gtkw b/VexRiscv/src/test/cpp/regression/fail.gtkw new file mode 100644 index 0000000..d0fff46 --- /dev/null +++ b/VexRiscv/src/test/cpp/regression/fail.gtkw @@ -0,0 +1,29 @@ +[*] +[*] GTKWave Analyzer v3.3.100 (w)1999-2019 BSI +[*] Thu Apr 25 14:41:35 2019 +[*] +[dumpfile] "/home/miaou/pro/VexRiscv/src/test/cpp/regression/C.SLLI.vcd" +[dumpfile_mtime] "Thu Apr 25 14:39:03 2019" +[dumpfile_size] 295925 +[savefile] "/home/miaou/pro/VexRiscv/src/test/cpp/regression/fail.gtkw" +[timestart] 0 +[size] 1920 1030 +[pos] -458 -215 +*-2.000000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] TOP. +[sst_width] 196 +[signals_width] 366 +[sst_expanded] 1 +[sst_vpaned_height] 299 +@28 +TOP.VexRiscv.lastStageIsValid +TOP.VexRiscv.lastStageIsFiring +@22 +TOP.VexRiscv.lastStageInstruction[31:0] +TOP.VexRiscv.lastStagePc[31:0] +TOP.VexRiscv.lastStageRegFileWrite_payload_address[4:0] +TOP.VexRiscv.lastStageRegFileWrite_payload_data[31:0] +@28 +TOP.VexRiscv.lastStageRegFileWrite_valid +[pattern_trace] 1 +[pattern_trace] 0 diff --git a/VexRiscv/src/test/cpp/regression/icache.gtkw b/VexRiscv/src/test/cpp/regression/icache.gtkw new file mode 100644 index 0000000..5a7020f --- /dev/null +++ b/VexRiscv/src/test/cpp/regression/icache.gtkw @@ -0,0 +1,67 @@ +[*] +[*] GTKWave Analyzer v3.3.86 (w)1999-2017 BSI +[*] Wed Apr 11 18:53:23 2018 +[*] +[dumpfile] "/home/spinalvm/hdl/VexRiscv/src/test/cpp/regression/rv32ui-p-lui.vcd" +[dumpfile_mtime] "Wed Apr 11 18:52:18 2018" +[dumpfile_size] 325049 +[savefile] "/home/spinalvm/hdl/VexRiscv/src/test/cpp/regression/icache.gtkw" +[timestart] 1006 +[size] 1784 950 +[pos] -383 -155 +*-5.000000 1046 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] TOP. +[treeopen] TOP.VexRiscv. +[sst_width] 370 +[signals_width] 349 +[sst_expanded] 1 +[sst_vpaned_height] 271 +@28 +TOP.VexRiscv.decode_arbitration_isValid +TOP.VexRiscv.decode_arbitration_redoIt +TOP.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_haltIt +@22 +TOP.VexRiscv.iBus_cmd_payload_address[31:0] +@28 +TOP.VexRiscv.iBus_cmd_payload_size[2:0] +TOP.VexRiscv.iBus_cmd_ready +@29 +TOP.VexRiscv.iBus_cmd_valid +@22 +TOP.VexRiscv.iBus_rsp_payload_data[31:0] +@28 +TOP.VexRiscv.iBus_rsp_payload_error +TOP.VexRiscv.iBus_rsp_valid +[color] 2 +TOP.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_isValid +[color] 2 +TOP.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_haltIt +@22 +[color] 2 +TOP.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[31:0] +@28 +TOP.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_isValid +TOP.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_isStuck +@22 +TOP.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_data[31:0] +TOP.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_pc[31:0] +@28 +[color] 6 +TOP.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_isValid +[color] 6 +TOP.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_cacheMiss +[color] 6 +TOP.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_error +[color] 6 +TOP.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_illegalAccess +[color] 6 +TOP.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_isStuck +[color] 6 +TOP.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_isUser +[color] 6 +TOP.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_mmuMiss +@22 +[color] 6 +TOP.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_pc[31:0] +[pattern_trace] 1 +[pattern_trace] 0 diff --git a/VexRiscv/src/test/cpp/regression/main.cpp b/VexRiscv/src/test/cpp/regression/main.cpp new file mode 100644 index 0000000..3d61c9a --- /dev/null +++ b/VexRiscv/src/test/cpp/regression/main.cpp @@ -0,0 +1,4514 @@ +#include "VVexRiscv.h" +#include "VVexRiscv_VexRiscv.h" +#ifdef REF +#include "VVexRiscv_RiscvCore.h" +#endif +#include "verilated.h" +#include "verilated_fst_c.h" +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include "encoding.h" + +#define VL_RANDOM_I_WIDTH(w) (VL_RANDOM_I() & (1l << w)-1l) + +using namespace std; + +struct timespec timer_get(){ + struct timespec start_time; + clock_gettime(CLOCK_PROCESS_CPUTIME_ID, &start_time); + return start_time; +} + +class Memory{ +public: + uint8_t* mem[1 << 12]; + + Memory(){ + for(uint32_t i = 0;i < (1 << 12);i++) mem[i] = NULL; + } + ~Memory(){ + for(uint32_t i = 0;i < (1 << 12);i++) if(mem[i]) delete [] mem[i]; + } + + uint8_t* get(uint32_t address){ + if(mem[address >> 20] == NULL) { + uint8_t* ptr = new uint8_t[1024*1024]; + for(uint32_t i = 0;i < 1024*1024;i+=4) { + ptr[i + 0] = 0xFF; + ptr[i + 1] = 0xFF; + ptr[i + 2] = 0xFF; + ptr[i + 3] = 0xFF; + } + mem[address >> 20] = ptr; + } + return &mem[address >> 20][address & 0xFFFFF]; + } + + void read(uint32_t address,uint32_t length, uint8_t *data){ + for(int i = 0;i < length;i++){ + data[i] = (*this)[address + i]; + } + } + + void write(uint32_t address,uint32_t length, uint8_t *data){ + for(int i = 0;i < length;i++){ + (*this)[address + i] = data[i]; + } + } + + uint8_t& operator [](uint32_t address) { + return *get(address); + } + + /*T operator [](uint32_t address) const { + return get(address); + }*/ +}; + +//uint8_t memory[1024 * 1024]; + +uint32_t hti(char c) { + if (c >= 'A' && c <= 'F') + return c - 'A' + 10; + if (c >= 'a' && c <= 'f') + return c - 'a' + 10; + return c - '0'; +} + +uint32_t hToI(char *c, uint32_t size) { + uint32_t value = 0; + for (uint32_t i = 0; i < size; i++) { + value += hti(c[i]) << ((size - i - 1) * 4); + } + return value; +} + +void loadHexImpl(string path,Memory* mem) { + FILE *fp = fopen(&path[0], "r"); + if(fp == 0){ + cout << path << " not found" << endl; + } + //Preload 0x0 <-> 0x80000000 jumps + ((uint32_t*)mem->get(0))[0] = 0x800000b7; + ((uint32_t*)mem->get(0))[1] = 0x000080e7; + ((uint32_t*)mem->get(0x80000000))[0] = 0x00000097; + + fseek(fp, 0, SEEK_END); + uint32_t size = ftell(fp); + fseek(fp, 0, SEEK_SET); + char* content = new char[size]; + fread(content, 1, size, fp); + fclose(fp); + + int offset = 0; + char* line = content; + while (1) { + if (line[0] == ':') { + uint32_t byteCount = hToI(line + 1, 2); + uint32_t nextAddr = hToI(line + 3, 4) + offset; + uint32_t key = hToI(line + 7, 2); +// printf("%d %d %d\n", byteCount, nextAddr,key); + switch (key) { + case 0: + for (uint32_t i = 0; i < byteCount; i++) { + *(mem->get(nextAddr + i)) = hToI(line + 9 + i * 2, 2); + //printf("%x %x %c%c\n",nextAddr + i,hToI(line + 9 + i*2,2),line[9 + i * 2],line[9 + i * 2+1]); + } + break; + case 2: +// cout << offset << endl; + offset = hToI(line + 9, 4) << 4; + break; + case 4: +// cout << offset << endl; + offset = hToI(line + 9, 4) << 16; + break; + default: +// cout << "??? " << key << endl; + break; + } + } + + while (*line != '\n' && size != 0) { + line++; + size--; + } + if (size <= 1) + break; + line++; + size--; + } + + delete [] content; +} + +void loadBinImpl(string path,Memory* mem, uint32_t offset) { + FILE *fp = fopen(&path[0], "r"); + if(fp == 0){ + cout << path << " not found" << endl; + } + + fseek(fp, 0, SEEK_END); + uint32_t size = ftell(fp); + fseek(fp, 0, SEEK_SET); + char* content = new char[size]; + fread(content, 1, size, fp); + fclose(fp); + + for(int byteId = 0; byteId < size;byteId++){ + *(mem->get(offset + byteId)) = content[byteId]; + } + + delete [] content; +} + + + +#define TEXTIFY(A) #A + +void breakMe(){ + int a = 0; +} +#define assertEq(x,ref) if(x != ref) {\ + printf("\n*** %s is %d but should be %d ***\n\n",TEXTIFY(x),x,ref);\ + breakMe();\ + throw std::exception();\ +} + +class success : public std::exception { }; + + + + +#define MVENDORID 0xF11 // MRO Vendor ID. +#define MARCHID 0xF12 // MRO Architecture ID. +#define MIMPID 0xF13 // MRO Implementation ID. +#define MHARTID 0xF14 // MRO Hardware thread ID.Machine Trap Setup +#define MSTATUS 0x300 // MRW Machine status register. +#define MISA 0x301 // MRW ISA and extensions +#define MEDELEG 0x302 // MRW Machine exception delegation register. +#define MIDELEG 0x303 // MRW Machine interrupt delegation register. +#define MIE 0x304 // MRW Machine interrupt-enable register. +#define MTVEC 0x305 // MRW Machine trap-handler base address. Machine Trap Handling +#define MSCRATCH 0x340 // MRW Scratch register for machine trap handlers. +#define MEPC 0x341 // MRW Machine exception program counter. +#define MCAUSE 0x342 // MRW Machine trap cause. +#define MBADADDR 0x343 // MRW Machine bad address. +#define MIP 0x344 // MRW Machine interrupt pending. +#define MBASE 0x380 // MRW Base register. +#define MBOUND 0x381 // MRW Bound register. +#define MIBASE 0x382 // MRW Instruction base register. +#define MIBOUND 0x383 // MRW Instruction bound register. +#define MDBASE 0x384 // MRW Data base register. +#define MDBOUND 0x385 // MRW Data bound register. +#define MCYCLE 0xB00 // MRW Machine cycle counter. +#define MINSTRET 0xB02 // MRW Machine instructions-retired counter. +#define MCYCLEH 0xB80 // MRW Upper 32 bits of mcycle, RV32I only. +#define MINSTRETH 0xB82 // MRW Upper 32 bits of minstret, RV32I only. + + +#define SSTATUS 0x100 +#define SIE 0x104 +#define STVEC 0x105 +#define SCOUNTEREN 0x106 +#define SSCRATCH 0x140 +#define SEPC 0x141 +#define SCAUSE 0x142 +#define STVAL 0x143 +#define SIP 0x144 +#define SATP 0x180 + +#define UTIME 0xC01 // rdtime +#define UTIMEH 0xC81 + +#define SSTATUS_SIE 0x00000002 +#define SSTATUS_SPIE 0x00000020 +#define SSTATUS_SPP 0x00000100 + +#ifdef SUPERVISOR +#define MSTATUS_READ_MASK 0xFFFFFFFF +#else +#define MSTATUS_READ_MASK 0x7888 +#endif + +#ifdef RVF +#define STATUS_FS_MASK 0x6000 +#else +#define STATUS_FS_MASK 0x0000 +#endif + +#define FFLAGS 0x1 +#define FRM 0x2 +#define FCSR 0x3 + +#define u32 uint32_t +#define u64 uint64_t + +class FpuRsp{ +public: + u32 flags; + u64 value; +}; + +class FpuCommit{ +public: + u64 value; +}; + +class FpuCompletion{ +public: + u32 flags; +}; + + +bool fpuCommitLut[32] = {true,true,true,true,true,true,false,false,true,false,false,true,false,false,false,false,false,false,false,false,false,false,false,false,false,false,true,false,false,false,true,false}; +bool fpuRspLut[32] = {false,false,false,false,false,false,false,false,false,false,false,false,false,false,false,false,false,false,false,false,true,false,false,false,true,false,false,false,true,false,false,false}; +bool fpuRs1Lut[32] = {false,false,false,false,false,false,false,false,false,false,false,false,false,false,false,false,false,false,false,false,false,false,false,false,false,false,true,false,false,false,true,false}; +class RiscvGolden { +public: + int32_t pc, lastPc; + uint32_t lastInstruction; + int32_t regs[32]; + uint64_t stepCounter; + + uint32_t mscratch, sscratch; + uint32_t misa; + uint32_t privilege; + + uint32_t medeleg; + uint32_t mideleg; + + queue fpuRsp; + queue fpuCommit; + queue fpuCompletion; + + union status { + uint32_t raw; + struct { + uint32_t _1a : 1; + uint32_t sie : 1; + uint32_t _1b : 1; + uint32_t mie : 1; + uint32_t _2a : 1; + uint32_t spie : 1; + uint32_t _2b : 1; + uint32_t mpie : 1; + uint32_t spp : 1; + uint32_t _3 : 2; + uint32_t mpp : 2; + uint32_t fs : 2; + uint32_t _4 : 2; + uint32_t mprv : 1; + uint32_t sum : 1; + uint32_t mxr : 1; + }; + }__attribute__((packed)) status; + + + + uint32_t ipInput; + uint32_t ipSoft; + union IpOr { + uint32_t raw; + struct { + uint32_t _1a : 1; + uint32_t ssip : 1; + uint32_t _1b : 1; + uint32_t msip : 1; + uint32_t _2a : 1; + uint32_t stip : 1; + uint32_t _2b : 1; + uint32_t mtip : 1; + uint32_t _3a : 1; + uint32_t seip : 1; + uint32_t _3b : 1; + uint32_t meip : 1; + }; + }__attribute__((packed)); + + IpOr getIp(){ + IpOr ret; + ret.raw = ipSoft | ipInput; + return ret; + } + + union mie { + uint32_t raw; + struct { + uint32_t _1a : 1; + uint32_t ssie : 1; + uint32_t _1b : 1; + uint32_t msie : 1; + uint32_t _2a : 1; + uint32_t stie : 1; + uint32_t _2b : 1; + uint32_t mtie : 1; + uint32_t _3a : 1; + uint32_t seie : 1; + uint32_t _3b : 1; + uint32_t meie : 1; + }; + }__attribute__((packed)) ie; + + union Xtvec { + uint32_t raw; + struct __attribute__((packed)) { + uint32_t _1 : 2; + uint32_t base : 30; + }; + }; + + Xtvec mtvec, stvec; + + + + union mcause { + uint32_t raw; + struct __attribute__((packed)) { + uint32_t exceptionCode : 31; + uint32_t interrupt : 1; + }; + } mcause; + + + union scause { + uint32_t raw; + struct __attribute__((packed)){ + uint32_t exceptionCode : 31; + uint32_t interrupt : 1; + }; + } scause; + + union satp { + uint32_t raw; + struct __attribute__((packed)){ + uint32_t ppn : 22; + uint32_t _x : 9; + uint32_t mode : 1; + }; + }satp; + + union Tlb { + uint32_t raw; + struct __attribute__((packed)){ + uint32_t v : 1; + uint32_t r : 1; + uint32_t w : 1; + uint32_t x : 1; + uint32_t u : 1; + uint32_t _dummy : 5; + uint32_t ppn : 22; + }; + struct __attribute__((packed)){ + uint32_t _dummyX : 10; + uint32_t ppn0 : 10; + uint32_t ppn1 : 12; + }; + }; + + union fcsr { + uint32_t raw; + struct __attribute__((packed)){ + uint32_t flags : 5; + uint32_t frm : 3; + }; + }fcsr; + + + bool lrscReserved; + uint32_t lrscReservedAddress; + u32 fpuCompletionTockens; + u32 dutRfWriteValue; + + RiscvGolden() { + pc = 0x80000000; + regs[0] = 0; + for (int i = 0; i < 32; i++) + regs[i] = 0; + + ie.raw = 0; + mtvec.raw = 0x80000020; + mcause.raw = 0; + mbadaddr = 0; + mepc = 0; + misa = 0x40041101; //TODO + status.raw = 0; + status.mpp = 3; + status.spp = 1; + #ifdef RVF + status.fs = 1; + misa |= 1 << 5; + #endif + #ifdef RVD + misa |= 1 << 3; + #endif + fcsr.flags = 0; + fcsr.frm = 0; + privilege = 3; + medeleg = 0; + mideleg = 0; + satp.mode = 0; + ipSoft = 0; + ipInput = 0; + stepCounter = 0; + sbadaddr = 42; + lrscReserved = false; + fpuCompletionTockens = 0; + } + + virtual void rfWrite(int32_t address, int32_t data) { + if (address != 0) + regs[address] = data; + } + + virtual void pcWrite(int32_t target) { + if(isPcAligned(target)){ + lastPc = pc; + pc = target; + } else { + trap(0, 0, target); + } + } + uint32_t mbadaddr, sbadaddr; + uint32_t mepc, sepc; + + virtual bool iRead(int32_t address, uint32_t *data) = 0; + virtual bool dRead(int32_t address, int32_t size, uint8_t *data) = 0; + virtual void dWrite(int32_t address, int32_t size, uint8_t *data) = 0; + + enum AccessKind {READ,WRITE,EXECUTE,READ_WRITE}; + virtual bool isMmuRegion(uint32_t v) = 0; + bool v2p(uint32_t v, uint32_t *p, AccessKind kind){ + uint32_t effectivePrivilege = status.mprv && kind != EXECUTE ? status.mpp : privilege; + if(effectivePrivilege == 3 || satp.mode == 0 || !isMmuRegion(v)){ + *p = v; + } else { + Tlb tlb; + dRead((satp.ppn << 12) | ((v >> 22) << 2), 4, (uint8_t*)&tlb.raw); + if(!tlb.v) return true; + bool superPage = true; + if(!tlb.x && !tlb.r && !tlb.w){ + dRead((tlb.ppn << 12) | (((v >> 12) & 0x3FF) << 2), 4, (uint8_t*)&tlb.raw); + if(!tlb.v) return true; + superPage = false; + } + if(!tlb.u && effectivePrivilege == 0) return true; + if( tlb.u && effectivePrivilege == 1 && !status.sum) return true; + if(superPage && tlb.ppn0 != 0) return true; + if(kind == READ || kind == READ_WRITE) if(!tlb.r && !(status.mxr && tlb.x)) return true; + if(kind == WRITE || kind == READ_WRITE) if(!tlb.w) return true; + if(kind == EXECUTE) if(!tlb.x) return true; + + *p = (tlb.ppn1 << 22) | (superPage ? v & 0x3FF000 : tlb.ppn0 << 12) | (v & 0xFFF); + } + return false; + } + + void trap(bool interrupt,int32_t cause) { + trap(interrupt, cause, false, 0); + } + void trap(bool interrupt,int32_t cause, uint32_t value) { + trap(interrupt, cause, true, value); + } + void trap(bool interrupt,int32_t cause, bool valueWrite, uint32_t value) { +#ifdef FLOW_INFO +// cout << "TRAP " << (interrupt ? "interrupt" : "exception") << " cause=" << cause << " PC=0x" << hex << pc << " val=0x" << hex << value << dec << endl; +// if(cause == 9){ +// cout << hex << " a7=0x" << regs[17] << " a0=0x" << regs[10] << " a1=0x" << regs[11] << " a2=0x" << regs[12] << dec << endl; +// } +#endif + //Check leguality of the interrupt + if(interrupt) { + bool hit = false; + for(int i = 0;i < 5;i++){ + if(pendingInterrupts[i] == 1 << cause){ + hit = true; + break; + } + } + if(!hit){ + cout << "DUT had trigger an interrupts which wasn't by the REF" << endl; + fail(); + } + } + + uint32_t deleg = interrupt ? mideleg : medeleg; + uint32_t targetPrivilege = 3; + if(deleg & (1 << cause)) targetPrivilege = 1; + targetPrivilege = max(targetPrivilege, privilege); + Xtvec xtvec = targetPrivilege == 3 ? mtvec : stvec; + + + + switch(targetPrivilege){ + case 3: + if(valueWrite) mbadaddr = value; + mcause.interrupt = interrupt; + mcause.exceptionCode = cause; + status.mpie = status.mie; + status.mie = false; + status.mpp = privilege; + mepc = pc; + break; + case 1: + if(valueWrite) sbadaddr = value; + scause.interrupt = interrupt; + scause.exceptionCode = cause; + status.spie = status.sie; + status.sie = false; + status.spp = privilege; + sepc = pc; + break; + } + + privilege = targetPrivilege; + pcWrite(xtvec.base << 2); + if(interrupt) livenessInterrupt = 0; + +// if(!interrupt) step(); //As VexRiscv instruction which trap do not reach writeback stage fire + } + + uint32_t currentInstruction; + void ilegalInstruction(){ + trap(0, 2, currentInstruction); + } + + virtual void fail() { + } + + + + virtual bool csrRead(int32_t csr, uint32_t *value){ + if(((csr >> 8) & 0x3) > privilege) return true; + switch(csr){ + case MSTATUS: *value = (status.raw | (((status.raw & 0x6000) == 0x6000) ? 0x80000000 : 0)) & MSTATUS_READ_MASK; break; + case MIP: *value = getIp().raw; break; + case MIE: *value = ie.raw; break; + case MTVEC: *value = mtvec.raw; break; + case MCAUSE: *value = mcause.raw; break; + case MBADADDR: *value = mbadaddr; break; + case MEPC: *value = mepc; break; + case MSCRATCH: *value = mscratch; break; + case MISA: *value = misa; break; + case MEDELEG: *value = medeleg; break; + case MIDELEG: *value = mideleg; break; + case MHARTID: *value = 0; break; + + case SSTATUS: *value = (status.raw | (((status.raw & 0x6000) == 0x6000) ? 0x80000000 : 0)) & (0x800C0133 | STATUS_FS_MASK); break; + case SIP: *value = getIp().raw & 0x333; break; + case SIE: *value = ie.raw & 0x333; break; + case STVEC: *value = stvec.raw; break; + case SCAUSE: *value = scause.raw; break; + case STVAL: *value = sbadaddr; break; + case SEPC: *value = sepc; break; + case SSCRATCH: *value = sscratch; break; + case SATP: *value = satp.raw; break; + + #ifdef RVF + case FCSR: *value = fcsr.raw; break; + case FRM: *value = fcsr.frm; break; + case FFLAGS: *value = fcsr.flags; break; + #endif + + #ifdef UTIME_INPUT + case UTIME: *value = dutRfWriteValue; break; + case UTIMEH: *value = dutRfWriteValue; break; + #endif + + default: return true; break; + } + return false; + } + + virtual uint32_t csrReadToWriteOverride(int32_t csr, uint32_t value){ + if(((csr >> 8) & 0x3) > privilege) return true; + switch(csr){ + case MIP: return ipSoft; break; + case SIP: return ipSoft & 0x333; break; + }; + return value; + } + + #define maskedWrite(dst, src, mask) dst=((dst) & ~(mask))|((src) & (mask)); + + virtual bool csrWrite(int32_t csr, uint32_t value){ + if(((csr >> 8) & 0x3) > privilege) return true; + switch(csr){ + case MSTATUS: status.raw = value & 0x7FFFFFFF; break; + case MIP: ipSoft = value; break; + case MIE: ie.raw = value; break; + case MTVEC: mtvec.raw = value; break; + case MCAUSE: mcause.raw = value; break; + case MBADADDR: mbadaddr = value; break; + case MEPC: mepc = value; break; + case MSCRATCH: mscratch = value; break; + case MISA: misa = value; break; + case MEDELEG: medeleg = value & (~0x8); break; + case MIDELEG: mideleg = value; break; + + case SSTATUS: maskedWrite(status.raw, value, 0xC0133 | STATUS_FS_MASK); break; + case SIP: maskedWrite(ipSoft, value,0x333); break; + case SIE: maskedWrite(ie.raw, value,0x333); break; + case STVEC: stvec.raw = value; break; + case SCAUSE: scause.raw = value; break; + case STVAL: sbadaddr = value; break; + case SEPC: sepc = value; break; + case SSCRATCH: sscratch = value; break; + case SATP: satp.raw = value; break; + + #ifdef RVF + case FCSR: fcsr.raw = value & 0x7F; break; + case FRM: fcsr.frm = value; break; + case FFLAGS: fcsr.flags = value; break; + #endif + + default: ilegalInstruction(); return true; break; + } + return false; + } + + + int livenessStep = 0; + int livenessInterrupt = 0; + uint32_t pendingInterruptsPtr = 0; + uint32_t pendingInterrupts[5] = {0,0,0,0,0}; + virtual void liveness(bool inWfi){ + uint32_t pendingInterrupt = getPendingInterrupt(); + pendingInterrupts[pendingInterruptsPtr++] = getPendingInterrupt(); + if(pendingInterruptsPtr >= 5) pendingInterruptsPtr = 0; + if(pendingInterrupt) livenessInterrupt++; else livenessInterrupt = 0; + if(!inWfi) livenessStep++; else livenessStep = 0; + + if(livenessStep > 10000){ + cout << "Liveness step failure" << endl; + fail(); + } + + if(livenessInterrupt > 1000){ + cout << "Liveness interrupt failure" << endl; + fail(); + } + } + + + uint32_t getPendingInterrupt(){ + uint32_t mEnabled = status.mie && privilege == 3 || privilege < 3; + uint32_t sEnabled = status.sie && privilege == 1 || privilege < 1; + + uint32_t masked = getIp().raw & ~mideleg & -mEnabled & ie.raw; + if (masked == 0) + masked = getIp().raw & mideleg & -sEnabled & ie.raw & 0x333; + + if (masked) { + if (masked & MIP_MEIP) + masked &= MIP_MEIP; + else if (masked & MIP_MSIP) + masked &= MIP_MSIP; + else if (masked & MIP_MTIP) + masked &= MIP_MTIP; + else if (masked & MIP_SEIP) + masked &= MIP_SEIP; + else if (masked & MIP_SSIP) + masked &= MIP_SSIP; + else if (masked & MIP_STIP) + masked &= MIP_STIP; + else + fail(); + } + + return masked; + } + + + bool isPcAligned(uint32_t pc){ +#ifdef COMPRESSED + return (pc & 1) == 0; +#else + return (pc & 3) == 0; +#endif + } + + + + virtual void step() { + stepCounter++; + livenessStep = 0; + + while(fpuCompletionTockens != 0 && !fpuCompletion.empty()){ + FpuCompletion completion = fpuCompletion.front(); fpuCompletion.pop(); + fcsr.flags |= completion.flags; + fpuCompletionTockens -= 1; + } + + + #define rd32 ((i >> 7) & 0x1F) + #define iBits(lo, len) ((i >> lo) & ((1 << len)-1)) + #define iBitsSigned(lo, len) int32_t(i) << (32-lo-len) >> (32-len) + #define iSign() iBitsSigned(31, 1) + #define i32_rs1 regs[(i >> 15) & 0x1F] + #define i32_rs2 regs[(i >> 20) & 0x1F] + #define i32_i_imm (int32_t(i) >> 20) + #define i32_s_imm (iBits(7, 5) + (iBitsSigned(25, 7) << 5)) + #define i32_shamt ((i >> 20) & 0x1F) + #define i32_sb_imm ((iBits(8, 4) << 1) + (iBits(25,6) << 5) + (iBits(7,1) << 11) + (iSign() << 12)) + #define i32_csr iBits(20, 12) + #define i32_func3 iBits(12, 3) + #define i32_func7 iBits(25, 7) + #define i16_addi4spn_imm ((iBits(6, 1) << 2) + (iBits(5, 1) << 3) + (iBits(11, 2) << 4) + (iBits(7, 4) << 6)) + #define i16_lw_imm ((iBits(6, 1) << 2) + (iBits(10, 3) << 3) + (iBits(5, 1) << 6)) + #define i16_addr2 (iBits(2,3) + 8) + #define i16_addr1 (iBits(7,3) + 8) + #define i16_rf1 regs[i16_addr1] + #define i16_rf2 regs[i16_addr2] + #define rf_sp regs[2] + #define i16_imm (iBits(2, 5) + (iBitsSigned(12, 1) << 5)) + #define i16_j_imm ((iBits(3, 3) << 1) + (iBits(11, 1) << 4) + (iBits(2, 1) << 5) + (iBits(7, 1) << 6) + (iBits(6, 1) << 7) + (iBits(9, 2) << 8) + (iBits(8, 1) << 10) + (iBitsSigned(12, 1) << 11)) + #define i16_addi16sp_imm ((iBits(6, 1) << 4) + (iBits(2, 1) << 5) + (iBits(5, 1) << 6) + (iBits(3, 2) << 7) + (iBitsSigned(12, 1) << 9)) + #define i16_zimm (iBits(2, 5)) + #define i16_b_imm ((iBits(3, 2) << 1) + (iBits(10, 2) << 3) + (iBits(2, 1) << 5) + (iBits(5, 2) << 6) + (iBitsSigned(12, 1) << 8)) + #define i16_lwsp_imm ((iBits(4, 3) << 2) + (iBits(12, 1) << 5) + (iBits(2, 2) << 6)) + #define i16_swsp_imm ((iBits(9, 4) << 2) + (iBits(7, 2) << 6)) + uint32_t i; + uint32_t u32Buf; + uint32_t pAddr; + if (pc & 2) { + if(v2p(pc - 2, &pAddr, EXECUTE)){ trap(0, 12, pc - 2); return; } + if(iRead(pAddr, &i)){ + trap(0, 1, 0); + return; + } + i >>= 16; + if ((i & 3) == 3) { + uint32_t u32Buf; + if(v2p(pc + 2, &pAddr, EXECUTE)){ trap(0, 12, pc + 2); return; } + if(iRead(pAddr, &u32Buf)){ + trap(0, 1, 0); + return; + } + i |= u32Buf << 16; + } + } else { + if(v2p(pc, &pAddr, EXECUTE)){ trap(0, 12, pc); return; } + if(iRead(pAddr, &i)){ + trap(0, 1, 0); + return; + } + } + lastInstruction = i; + currentInstruction = i; + if ((i & 0x3) == 0x3) { + //32 bit + switch (i & 0x7F) { + #ifdef RVF + case 0x43:// RVFD + case 0x47: + case 0x4B: + case 0x4F: + case 0x53: { + u32 format = iBits(25,2); + u32 opcode = iBits(27,5); + bool withCommit = fpuCommitLut[opcode]; + bool withRsp = fpuRspLut[opcode]; + bool withRs1 = fpuRs1Lut[opcode]; + if((i & 0x7F) != 0x53) { // FMADD + withCommit = true; + withRsp = false; + } + #ifdef RVD + if(format > 1) ilegalInstruction(); + #else + if(format > 0) ilegalInstruction(); + #endif + + if(withCommit){ + FpuCommit commit = fpuCommit.front(); fpuCommit.pop(); + fpuCompletionTockens += 1; +// cout << "withRs1 " << withRs1 << " " << opcode << endl; + if(withRs1 && memcmp(&i32_rs1, &commit.value, 4)){ + cout << "FPU commit missmatch DUT=" << hex << commit.value << " REF=" << i32_rs1 << dec << endl; + fail(); + return; + } + } + if(withRsp){ + auto rsp = fpuRsp.front(); fpuRsp.pop(); + fcsr.flags |= rsp.flags; + rfWrite(rd32, (u32)rsp.value); + } + status.fs = 3; + pcWrite(pc + 4); + } break; + case 0x07: { //Fpu load + uint32_t size = 1 << ((i >> 12) & 0x3); + if(size < 4) ilegalInstruction(); + #ifdef RVD + if(size > 8) ilegalInstruction(); + #else + if(format > 4) ilegalInstruction(); + #endif + auto commit = fpuCommit.front(); fpuCommit.pop(); + fpuCompletionTockens += 1; + + + uint64_t data = 0; + uint32_t address = i32_rs1 + i32_i_imm; + if(address & (size-1)){ + trap(0, 4, address); + } else { + if(v2p(address, &pAddr, READ)){ trap(0, 13, address); return; } + if(dRead(pAddr, size, (uint8_t*)&data)){ + trap(0, 5, address); + } else { + if(memcmp(&data, &commit.value, size)){ + cout << "FPU load missmatch DUT=" << hex << commit.value << " REF=" << data << dec << endl; + fail(); + } else { + status.fs = 3; + pcWrite(pc + 4); + } + } + } + } break; + case 0x27: { //Fpu store + uint32_t size = 1 << ((i >> 12) & 0x3); + if(size < 4) ilegalInstruction(); + #ifdef RVD + if(size > 8) ilegalInstruction(); + #else + if(format > 4) ilegalInstruction(); + #endif + + auto rsp = fpuRsp.front(); fpuRsp.pop(); + fcsr.flags |= rsp.flags; + uint32_t address = i32_rs1 + i32_s_imm; + if(address & (size-1)){ + trap(0, 6, address); + } else { + if(v2p(address, &pAddr, WRITE)){ trap(0, 15, address); return; } + dWrite(pAddr, size, (uint8_t*) &rsp.value); + status.fs = 3; + pcWrite(pc + 4); + lrscReserved = false; + } + } break; + #endif + case 0x37:rfWrite(rd32, i & 0xFFFFF000);pcWrite(pc + 4);break; // LUI + case 0x17:rfWrite(rd32, (i & 0xFFFFF000) + pc);pcWrite(pc + 4);break; //AUIPC + case 0x6F:rfWrite(rd32, pc + 4);pcWrite(pc + (iBits(21, 10) << 1) + (iBits(20, 1) << 11) + (iBits(12, 8) << 12) + (iSign() << 20));break; //JAL + case 0x67:{ + uint32_t target = (i32_rs1 + i32_i_imm) & ~1; + if(isPcAligned(target)) rfWrite(rd32, pc + 4); + pcWrite(target); + } break; //JALR + case 0x63: + switch ((i >> 12) & 0x7) { + case 0x0:if (i32_rs1 == i32_rs2)pcWrite(pc + i32_sb_imm);else pcWrite(pc + 4);break; + case 0x1:if (i32_rs1 != i32_rs2)pcWrite(pc + i32_sb_imm);else pcWrite(pc + 4);break; + case 0x4:if (i32_rs1 < i32_rs2)pcWrite(pc + i32_sb_imm); else pcWrite(pc + 4);break; + case 0x5:if (i32_rs1 >= i32_rs2)pcWrite(pc + i32_sb_imm);else pcWrite(pc + 4);break; + case 0x6:if (uint32_t(i32_rs1) < uint32_t(i32_rs2)) pcWrite(pc + i32_sb_imm); else pcWrite(pc + 4);break; + case 0x7:if (uint32_t(i32_rs1) >= uint32_t(i32_rs2))pcWrite(pc + i32_sb_imm); else pcWrite(pc + 4);break; + } + break; + case 0x03:{ //LOADS + uint32_t data; + uint32_t address = i32_rs1 + i32_i_imm; + uint32_t size = 1 << ((i >> 12) & 0x3); + if(address & (size-1)){ + trap(0, 4, address); + } else { + if(v2p(address, &pAddr, READ)){ trap(0, 13, address); return; } + if(dRead(pAddr, size, (uint8_t*)&data)){ + trap(0, 5, address); + } else { + switch ((i >> 12) & 0x7) { + case 0x0:rfWrite(rd32, int8_t(data));pcWrite(pc + 4);break; + case 0x1:rfWrite(rd32, int16_t(data));pcWrite(pc + 4);break; + case 0x2:rfWrite(rd32, int32_t(data));pcWrite(pc + 4);break; + case 0x4:rfWrite(rd32, uint8_t(data));pcWrite(pc + 4);break; + case 0x5:rfWrite(rd32, uint16_t(data));pcWrite(pc + 4);break; + } + } + } + }break; + case 0x23: { //STORE + uint32_t address = i32_rs1 + i32_s_imm; + uint32_t size = 1 << ((i >> 12) & 0x3); + if(address & (size-1)){ + trap(0, 6, address); + } else { + if(v2p(address, &pAddr, WRITE)){ trap(0, 15, address); return; } + dWrite(pAddr, size, (uint8_t*)&i32_rs2); + pcWrite(pc + 4); + lrscReserved = false; + } + }break; + case 0x13: //ALUi + switch ((i >> 12) & 0x7) { + case 0x0:rfWrite(rd32, i32_rs1 + i32_i_imm);pcWrite(pc + 4);break; + case 0x1: + switch ((i >> 25) & 0x7F) { + case 0x00:rfWrite(rd32, i32_rs1 << i32_shamt);pcWrite(pc + 4);break; + } + break; + case 0x2:rfWrite(rd32, i32_rs1 < i32_i_imm);pcWrite(pc + 4);break; + case 0x3:rfWrite(rd32, uint32_t(i32_rs1) < uint32_t(i32_i_imm));pcWrite(pc + 4);break; + case 0x4:rfWrite(rd32, i32_rs1 ^ i32_i_imm);pcWrite(pc + 4);break; + case 0x5: + switch ((i >> 25) & 0x7F) { + case 0x00:rfWrite(rd32, uint32_t(i32_rs1) >> i32_shamt);pcWrite(pc + 4);break; + case 0x20:rfWrite(rd32, i32_rs1 >> i32_shamt);pcWrite(pc + 4);break; + } + break; + case 0x6:rfWrite(rd32, i32_rs1 | i32_i_imm);pcWrite(pc + 4);break; + case 0x7: rfWrite(rd32, i32_rs1 & i32_i_imm);pcWrite(pc + 4);break; + } + break; + case 0x33: //ALU + if (((i >> 25) & 0x7F) == 0x01) { + switch ((i >> 12) & 0x7) { + case 0x0:rfWrite(rd32, int32_t(i32_rs1) * int32_t(i32_rs2));pcWrite(pc + 4);break; + case 0x1:rfWrite(rd32,(int64_t(i32_rs1) * int64_t(i32_rs2)) >> 32);pcWrite(pc + 4);break; + case 0x2:rfWrite(rd32,(int64_t(i32_rs1) * uint64_t(uint32_t(i32_rs2)))>> 32);pcWrite(pc + 4);break; + case 0x3:rfWrite(rd32,(uint64_t(uint32_t(i32_rs1)) * uint64_t(uint32_t(i32_rs2))) >> 32);pcWrite(pc + 4);break; + case 0x4:rfWrite(rd32,i32_rs2 == 0 ? -1 : int64_t(i32_rs1) / int64_t(i32_rs2));pcWrite(pc + 4);break; + case 0x5:rfWrite(rd32,i32_rs2 == 0 ? -1 : uint32_t(i32_rs1) / uint32_t(i32_rs2));pcWrite(pc + 4);break; + case 0x6:rfWrite(rd32,i32_rs2 == 0 ? i32_rs1 : int64_t(i32_rs1)% int64_t(i32_rs2));pcWrite(pc + 4);break; + case 0x7:rfWrite(rd32,i32_rs2 == 0 ? i32_rs1 : uint32_t(i32_rs1) % uint32_t(i32_rs2));pcWrite(pc + 4);break; + } + } else { + switch ((i >> 12) & 0x7) { + case 0x0: + switch ((i >> 25) & 0x7F) { + case 0x00:rfWrite(rd32, i32_rs1 + i32_rs2);pcWrite(pc + 4);break; + case 0x20:rfWrite(rd32, i32_rs1 - i32_rs2);pcWrite(pc + 4);break; + } + break; + case 0x1:rfWrite(rd32, i32_rs1 << (i32_rs2 & 0x1F));pcWrite(pc + 4);break; + case 0x2:rfWrite(rd32, i32_rs1 < i32_rs2);pcWrite(pc + 4);break; + case 0x3:rfWrite(rd32, uint32_t(i32_rs1) < uint32_t(i32_rs2));pcWrite(pc + 4);break; + case 0x4:rfWrite(rd32, i32_rs1 ^ i32_rs2);pcWrite(pc + 4);break; + case 0x5: + switch ((i >> 25) & 0x7F) { + case 0x00:rfWrite(rd32, uint32_t(i32_rs1) >> (i32_rs2 & 0x1F));pcWrite(pc + 4);break; + case 0x20:rfWrite(rd32, i32_rs1 >> (i32_rs2 & 0x1F));pcWrite(pc + 4);break; + } + break; + case 0x6:rfWrite(rd32, i32_rs1 | i32_rs2);pcWrite(pc + 4);break; + case 0x7:rfWrite(rd32, i32_rs1 & i32_rs2); pcWrite(pc + 4);break; + } + } + break; + case 0x73:{ + if(i32_func3 == 0){ + switch(i){ + case 0x30200073:{ //MRET + if(privilege < 3){ ilegalInstruction(); return;} + privilege = status.mpp; + status.mie = status.mpie; + status.mpie = 1; + status.mpp = 0; + pcWrite(mepc); + }break; + case 0x10200073:{ //SRET + if(privilege < 1){ ilegalInstruction(); return;} + privilege = status.spp; + status.sie = status.spie; + status.spie = 1; + status.spp = 0; + pcWrite(sepc); + }break; + case 0x00000073:{ //ECALL + trap(0, 8+privilege, 0x00000073); //To follow the VexRiscv area saving implementation + }break; + case 0x10500073:{ //WFI + pcWrite(pc + 4); + }break; + default: + if((i & 0xFE007FFF) == 0x12000073){ //SFENCE.VMA + pcWrite(pc + 4); + }else { + ilegalInstruction(); + } + break; + } + } else { + //CSR + uint32_t input = (i & 0x4000) ? ((i >> 15) & 0x1F) : i32_rs1; + uint32_t clear, set; + bool write; + switch ((i >> 12) & 0x3) { + case 1: clear = ~0; set = input; write = true; break; + case 2: clear = 0; set = input; write = ((i >> 15) & 0x1F) != 0; break; + case 3: clear = input; set = 0; write = ((i >> 15) & 0x1F) != 0; break; + } + uint32_t csrAddress = i32_csr; + uint32_t old; + if(csrRead(i32_csr, &old)) { ilegalInstruction();return; } + if(write) if(csrWrite(i32_csr, (csrReadToWriteOverride(i32_csr, old) & ~clear) | set)) { ilegalInstruction();return; } + rfWrite(rd32, old); + pcWrite(pc + 4); + } + break; + } + case 0x2F: // Atomic stuff + switch(i32_func3){ + case 0x2: + switch(iBits(27,5)){ + case 0x2:{ //LR + uint32_t data; + uint32_t address = i32_rs1; + if(address & 3){ + trap(0, 4, address); + } else { + if(v2p(address, &pAddr, READ)){ trap(0, 13, address); return; } + if(dRead(pAddr, 4, (uint8_t*)&data)){ + trap(0, 5, address); + } else { + lrscReserved = true; + lrscReservedAddress = pAddr; + rfWrite(rd32, data); + pcWrite(pc + 4); + } + } + } break; + case 0x3:{ //SC + uint32_t address = i32_rs1; + if(address & 3){ + trap(0, 6, address); + } else { + if(v2p(address, &pAddr, WRITE)){ trap(0, 15, address); return; } + #ifdef DBUS_EXCLUSIVE + bool hit = lrscReserved && lrscReservedAddress == pAddr; + #else + bool hit = lrscReserved; + #endif + if(hit){ + dWrite(pAddr, 4, (uint8_t*)&i32_rs2); + } + lrscReserved = false; + rfWrite(rd32, !hit); + pcWrite(pc + 4); + } + } break; + default: { + #ifndef AMO + ilegalInstruction(); + #else + uint32_t sel = (i >> 27) & 0x1F; + uint32_t addr = i32_rs1; + int32_t src = i32_rs2; + int32_t readValue; + + lrscReserved = false; + + + uint32_t pAddr; + if(v2p(addr, &pAddr, READ_WRITE)){ trap(0, 15, addr); return; } + if(dRead(pAddr, 4, (uint8_t*)&readValue)){ + trap(0, 15, addr); return; + return; + } + int writeValue; + switch(sel){ + case 0x0: writeValue = src + readValue; break; + case 0x1: writeValue = src; break; + case 0x4: writeValue = src ^ readValue; break; + case 0xC: writeValue = src & readValue; break; + case 0x8: writeValue = src | readValue; break; + case 0x10: writeValue = min(src, readValue); break; + case 0x14: writeValue = max(src, readValue); break; + case 0x18: writeValue = min((unsigned int)src, (unsigned int)readValue); break; + case 0x1C: writeValue = max((unsigned int)src, (unsigned int)readValue); break; + default: ilegalInstruction(); return; break; + } + dWrite(pAddr, 4, (uint8_t*)&writeValue); + rfWrite(rd32, readValue); + pcWrite(pc + 4); + #endif + } break; + } + break; + default: ilegalInstruction(); break; + } + break; + case 0x0f: + if(i == 0x100F || (i & 0xF00FFFFF) == 0x000F){ // FENCE FENCE.I + pcWrite(pc + 4); + } else{ + ilegalInstruction(); + } + break; + default: ilegalInstruction(); break; + } + } else { + #ifndef COMPRESSED + cout << "ERROR : RiscvGolden got a RVC instruction while the CPU isn't RVC ready" << endl; + ilegalInstruction(); return; + #endif + switch((iBits(0, 2) << 3) + iBits(13, 3)){ + case 0: rfWrite(i16_addr2, rf_sp + i16_addi4spn_imm); pcWrite(pc + 2); break; + case 2: { + uint32_t data; + uint32_t address = i16_rf1 + i16_lw_imm; + if(address & 0x3){ + trap(0, 4, address); + } else { + if(v2p(address, &pAddr, READ)){ trap(0, 13, address); return; } + if(dRead(pAddr, 4, (uint8_t*)&data)) { + trap(0, 5, address); + } else { + rfWrite(i16_addr2, data); pcWrite(pc + 2); + } + } + } break; + case 6: { + uint32_t address = i16_rf1 + i16_lw_imm; + if(address & 0x3){ + trap(0, 6, address); + } else { + if(v2p(address, &pAddr, WRITE)){ trap(0, 15, address); return; } + dWrite(pAddr, 4, (uint8_t*)&i16_rf2); + pcWrite(pc + 2); + lrscReserved = false; + } + }break; + case 8: rfWrite(rd32, regs[rd32] + i16_imm); pcWrite(pc + 2); break; + case 9: rfWrite(1, pc + 2);pcWrite(pc + i16_j_imm); break; + case 10: rfWrite(rd32, i16_imm);pcWrite(pc + 2); break; + case 11: + if(rd32 == 2) { rfWrite(2, rf_sp + i16_addi16sp_imm);pcWrite(pc + 2); } + else { rfWrite(rd32, i16_imm << 12);pcWrite(pc + 2); } break; + case 12: + switch(iBits(10,2)){ + case 0: rfWrite(i16_addr1, uint32_t(i16_rf1) >> i16_zimm); pcWrite(pc + 2);break; + case 1: rfWrite(i16_addr1, i16_rf1 >> i16_zimm); pcWrite(pc + 2);break; + case 2: rfWrite(i16_addr1, i16_rf1 & i16_imm); pcWrite(pc + 2);break; + case 3: + switch(iBits(5,2)){ + case 0: rfWrite(i16_addr1, i16_rf1 - i16_rf2); pcWrite(pc + 2);break; + case 1: rfWrite(i16_addr1, i16_rf1 ^ i16_rf2); pcWrite(pc + 2);break; + case 2: rfWrite(i16_addr1, i16_rf1 | i16_rf2); pcWrite(pc + 2);break; + case 3: rfWrite(i16_addr1, i16_rf1 & i16_rf2); pcWrite(pc + 2);break; + } + break; + } + break; + case 13: pcWrite(pc + i16_j_imm); break; + case 14: pcWrite(i16_rf1 == 0 ? pc + i16_b_imm : pc + 2); break; + case 15: pcWrite(i16_rf1 != 0 ? pc + i16_b_imm : pc + 2); break; + case 16: rfWrite(rd32, regs[rd32] << i16_zimm); pcWrite(pc + 2); break; + case 18:{ + uint32_t data; + uint32_t address = rf_sp + i16_lwsp_imm; + if(address & 0x3){ + trap(0, 4, address); + } else { + if(v2p(address, &pAddr, READ)){ trap(0, 13, address); return; } + if(dRead(pAddr, 4,(uint8_t*) &data)){ + trap(0, 5, address); + } else { + rfWrite(rd32, data); pcWrite(pc + 2); + } + } + }break; + case 20: + if(i & 0x1000){ + if(iBits(2,10) == 0){ + + } else if(iBits(2,5) == 0){ + rfWrite(1, pc + 2); pcWrite(regs[rd32] & ~1); + } else { + rfWrite(rd32, regs[rd32] + regs[iBits(2,5)]); pcWrite(pc + 2); + } + } else { + if(iBits(2,5) == 0){ + pcWrite(regs[rd32] & ~1); + } else { + rfWrite(rd32, regs[iBits(2,5)]); pcWrite(pc + 2); + } + } + break; + case 22: { + uint32_t address = rf_sp + i16_swsp_imm; + if(address & 3){ + trap(0,6, address); + } else { + if(v2p(address, &pAddr, WRITE)){ trap(0, 15, address); return; } + dWrite(pAddr, 4, (uint8_t*)®s[iBits(2,5)]); pcWrite(pc + 2); + lrscReserved = false; + } + }break; + } + } + } +}; + + +class SimElement{ +public: + virtual ~SimElement(){} + virtual void onReset(){} + virtual void postReset(){} + virtual void preCycle(){} + virtual void postCycle(){} +}; + + + +class Workspace; + +class Workspace{ +public: + static mutex staticMutex; + static uint32_t testsCounter, successCounter; + static uint64_t cycles; + uint64_t instanceCycles = 0; + vector simElements; + Memory mem; + string name; + uint64_t currentTime = 22; + uint64_t mTimeCmp = 0; + uint64_t mTime = 0; + VVexRiscv* top; + bool resetDone = false; + bool riscvRefEnable = false; + uint64_t i; + double cyclesPerSecond = 10e6; + double allowedCycles = 0.0; + uint32_t bootPc = -1; + uint32_t iStall = STALL,dStall = STALL; + #ifdef TRACE + VerilatedFstC* tfp; + #endif + bool allowInvalidate = true; + + uint32_t seed; + + Workspace* setIStall(bool enable) { iStall = enable; return this; } + Workspace* setDStall(bool enable) { dStall = enable; return this; } + + ofstream regTraces; + ofstream memTraces; + ofstream logTraces; + ofstream debugLog; + + struct timespec start_time; + + class CpuRef : public RiscvGolden{ + public: + Memory mem; + + class MemWrite { + public: + int32_t address, size; + uint8_t data42[64]; + }; + + class MemRead { + public: + int32_t address, size; + uint8_t data42[64]; + bool error; + }; + + uint32_t periphWriteTimer = 0; + queue periphWritesGolden; + queue periphWrites; + queue periphRead; + Workspace *ws; + CpuRef(Workspace *ws){ + this->ws = ws; + } + + virtual void fail() { ws->fail(); } + + + virtual bool isMmuRegion(uint32_t v) {return ws->isMmuRegion(v);} + + bool rfWriteValid; + int32_t rfWriteAddress; + int32_t rfWriteData; + virtual void rfWrite(int32_t address, int32_t data){ + rfWriteValid = address != 0; + rfWriteAddress = address; + rfWriteData = data; + RiscvGolden::rfWrite(address,data); + } + + + virtual bool iRead(int32_t address, uint32_t *data){ + bool error; + ws->iBusAccess(address, data, &error); +// ws->iBusAccessPatch(address,data,&error); + return error; + } + + virtual bool dRead(int32_t address, int32_t size, uint8_t *data){ + if(size < 1 || size > 8){ + cout << "dRead size=" << size << endl; + fail(); + } + if((address & (size-1)) != 0) + cout << "Ref did a unaligned read" << endl; + if(ws->isPerifRegion(address)){ + MemRead t = periphRead.front(); + if(t.address != address || t.size != size){ + cout << "DRead missmatch" << hex << endl; + cout << " REF : address=" << address << " size=" << size << endl; + cout << " DUT : address=" << t.address << " size=" << t.size << endl; + fail(); + } + + for(int i = 0; i < size; i++){ + data[i] = t.data42[i]; + } + periphRead.pop(); + return t.error; + }else { + mem.read(address, size, data); + } + return false; + } + virtual void dWrite(int32_t address, int32_t size, uint8_t *data){ + if(address & (size-1) != 0) + cout << "Ref did a unaligned write" << endl; + + if(!ws->isPerifRegion(address)){ + mem.write(address, size, data); + } + if(ws->isDBusCheckedRegion(address)){ + MemWrite w; + w.address = address; + w.size = size; + for(int i = 0; i < size; i++){ + w.data42[i] = data[i]; + } + periphWritesGolden.push(w); + if(periphWritesGolden.size() > 10){ + cout << "??? periphWritesGolden" << endl; + fail(); + } + } + } + + + void step() { + rfWriteValid = false; + RiscvGolden::step(); + + switch(periphWrites.empty() + uint32_t(periphWritesGolden.empty())*2){ + case 3: periphWriteTimer = 0; break; + case 1: case 2: if(periphWriteTimer++ == 20){ + cout << "periphWrite timout" << endl; fail(); + } break; + case 0: + MemWrite t = periphWrites.front(); + MemWrite t2 = periphWritesGolden.front(); + bool dataMatch = true; + for(int i = 0;i < min(t.size, t2.size);i++) dataMatch &= t.data42[i] == t2.data42[i]; + if(t.address != t2.address || t.size != t2.size || !dataMatch){ + cout << hex << "periphWrite missmatch" << endl; + cout << " DUT address=" << t.address << " size=" << t.size << " data=" << *((uint32_t*)t.data42) << endl; + cout << " REF address=" << t2.address << " size=" << t2.size << " data=" << *((uint32_t*)t2.data42) << endl; + fail(); + } + periphWrites.pop(); + periphWritesGolden.pop(); + periphWriteTimer = 0; + break; + } + + + } + }; + + CpuRef riscvRef = CpuRef(this); + string vcdName; + Workspace* setVcdName(string name){ + vcdName = name; + return this; + } + Workspace(string name){ + vcdName = name; + //seed = VL_RANDOM_I_WIDTH(32)^VL_RANDOM_I_WIDTH(32)^0x1093472; + //srand48(seed); + // setIStall(false); + // setDStall(false); + staticMutex.lock(); + testsCounter++; + staticMutex.unlock(); + this->name = name; + top = new VVexRiscv; + #ifdef TRACE_ACCESS + regTraces.open (name + ".regTrace"); + memTraces.open (name + ".memTrace"); + #endif + logTraces.open (name + ".logTrace"); + debugLog.open (name + ".debugTrace"); + fillSimELements(); + clock_gettime(CLOCK_PROCESS_CPUTIME_ID, &start_time); + } + + virtual ~Workspace(){ + delete top; + #ifdef TRACE + delete tfp; + #endif + + for(SimElement* simElement : simElements) { + delete simElement; + } + } + + Workspace* loadHex(string path){ + loadHexImpl(path,&mem); + loadHexImpl(path,&riscvRef.mem); + return this; + } + + Workspace* loadBin(string path, uint32_t offset){ + loadBinImpl(path,&mem, offset); + loadBinImpl(path,&riscvRef.mem, offset); + return this; + } + + Workspace* setCyclesPerSecond(double value){ + cyclesPerSecond = value; + return this; + } + + Workspace* bootAt(uint32_t pc) { + bootPc = pc; + riscvRef.pc = pc; + return this; + } + + Workspace* withRiscvRef(){ + #ifdef WITH_RISCV_REF + riscvRefEnable = true; + #endif + return this; + } + + Workspace* withInvalidation(){ + allowInvalidate = true; + return this; + } + Workspace* withoutInvalidation(){ + allowInvalidate = false; + return this; + } + Workspace* writeWord(uint32_t address, uint32_t data){ + mem.write(address, 4, (uint8_t*)&data); + riscvRef.mem.write(address, 4, (uint8_t*)&data); + return this; + } + + virtual bool isPerifRegion(uint32_t addr) { return false; } + virtual bool isMmuRegion(uint32_t addr) { return true;} + virtual void iBusAccess(uint32_t addr, uint32_t *data, bool *error) { + if(addr % 4 != 0) { + cout << "Warning, unaligned IBusAccess : " << addr << endl; + fail(); + } + *data = ( (mem[addr + 0] << 0) + | (mem[addr + 1] << 8) + | (mem[addr + 2] << 16) + | (mem[addr + 3] << 24)); + *error = false; + } + + + virtual bool isDBusCheckedRegion(uint32_t address){ return isPerifRegion(address);} + virtual void dBusAccess(uint32_t addr,bool wr, uint32_t size, uint8_t *data, bool *error) { + assertEq(addr % size, 0); + if(!isPerifRegion(addr)) { + if(wr){ + for(uint32_t b = 0;b < size;b++){ + *mem.get(addr + b) = ((uint8_t*)data)[b]; + } + + }else{ + uint32_t innerOffset = addr & (DBUS_LOAD_DATA_WIDTH/8-1); + for(uint32_t b = 0;b < size;b++){ + ((uint8_t*)data)[b] = mem[addr + b]; + } + } + } + + + if(wr){ + if(isDBusCheckedRegion(addr)){ + CpuRef::MemWrite w; + w.address = addr; + w.size = size; + for(uint32_t b = 0;b < size;b++){ + w.data42[b] = data[b]; + } + riscvRef.periphWrites.push(w); + } + } else { + if(isPerifRegion(addr)){ + CpuRef::MemRead r; + r.address = addr; + r.size = size; + for(uint32_t b = 0;b < size;b++){ + r.data42[b] = data[b]; + } + r.error = *error; + riscvRef.periphRead.push(r); + } + } + } + +// void periphAccess(uint32_t addr,bool wr, uint32_t size,uint32_t mask, uint32_t *data, bool *error){ +// if(wr){ +// CpuRef::MemWrite w; +// w.address = addr; +// w.size = 1 << size; +// w.data = *data; +// riscvRef.periphWrites.push(w); +// } else { +// CpuRef::MemRead r; +// r.address = addr; +// r.size = 1 << size; +// r.data = *data; +// r.error = *error; +// riscvRef.periphRead.push(r); +// } +// } + + virtual void postReset() {} + virtual void checks(){} + virtual void pass(){ throw success();} + virtual void fail(){ throw std::exception();} + virtual void fillSimELements(); + void dump(uint64_t i){ + #ifdef TRACE + if(i == TRACE_START && i != 0) cout << "**" << endl << "**" << endl << "**" << endl << "**" << endl << "**" << endl << "START TRACE" << endl; + if(i >= TRACE_START) tfp->dump(i); + #ifdef TRACE_SPORADIC + else if(i % 1000000 < 100) tfp->dump(i); + #endif + #endif + } + + uint64_t privilegeCounters[4] = {0,0,0,0}; + Workspace* run(uint64_t timeout = 5000){ +// cout << "Start " << name << endl; + if(timeout == 0) timeout = 0x7FFFFFFFFFFFFFFF; + + currentTime = 4; + // init trace dump + #ifdef TRACE + Verilated::traceEverOn(true); + tfp = new VerilatedFstC; + top->trace(tfp, 99); + tfp->open((vcdName + ".fst").c_str()); + #endif + + // Reset + top->clk = 0; + top->reset = 0; + + + top->eval(); currentTime = 3; + for(SimElement* simElement : simElements) simElement->onReset(); + + top->reset = 1; + top->eval(); + top->clk = 1; + top->eval(); + top->clk = 0; + top->eval(); + #ifdef CSR + top->timerInterrupt = 0; + top->externalInterrupt = 1; + top->softwareInterrupt = 0; + #endif + #ifdef SUPERVISOR + top->externalInterruptS = 0; + #endif + #ifdef DEBUG_PLUGIN_EXTERNAL + top->timerInterrupt = 0; + top->externalInterrupt = 0; + #endif + dump(0); + top->reset = 0; + for(SimElement* simElement : simElements) simElement->postReset(); + + top->eval(); currentTime = 2; + + + postReset(); + + //Sync register file initial content + for(int i = 1;i < 32;i++){ + riscvRef.regs[i] = top->VexRiscv->RegFilePlugin_regFile[i]; + } + resetDone = true; + + #ifdef REF + if(bootPc != -1) top->VexRiscv->core->prefetch_pc = bootPc; + #else + if(bootPc != -1) { + #if defined(IBUS_SIMPLE) || defined(IBUS_SIMPLE_WISHBONE) || defined(IBUS_SIMPLE_AHBLITE3) + top->VexRiscv->IBusSimplePlugin_fetchPc_pcReg = bootPc; + #ifdef COMPRESSED + top->VexRiscv->IBusSimplePlugin_decodePc_pcReg = bootPc; + #endif + #else + top->VexRiscv->IBusCachedPlugin_fetchPc_pcReg = bootPc; + #ifdef COMPRESSED + top->VexRiscv->IBusCachedPlugin_decodePc_pcReg = bootPc; + #endif + #endif + } + #endif + + + bool failed = false; + try { + // run simulation for 100 clock periods + for (i = 16; i < timeout*2; i+=2) { + /*while(allowedCycles <= 0.0){ + struct timespec end_time; + clock_gettime(CLOCK_PROCESS_CPUTIME_ID, &end_time); + uint64_t diffInNanos = end_time.tv_sec*1e9 + end_time.tv_nsec - start_time.tv_sec*1e9 - start_time.tv_nsec; + start_time = end_time; + double dt = diffInNanos*1e-9; + allowedCycles += dt*cyclesPerSecond; + if(allowedCycles > cyclesPerSecond/100) allowedCycles = cyclesPerSecond/100; + } + allowedCycles-=1.0;*/ + + + #ifndef REF_TIME + #ifndef MTIME_INSTR_FACTOR + mTime = i/2; + #else + mTime += top->VexRiscv->lastStageIsFiring*MTIME_INSTR_FACTOR; + #endif + #endif + #ifdef TIMER_INTERRUPT + top->timerInterrupt = mTime >= mTimeCmp ? 1 : 0; + //if(mTime == mTimeCmp) printf("SIM timer tick\n"); + #endif + + + #ifdef UTIME_INPUT + top->utime = mTime; + #endif + + currentTime = i; + + #ifdef FLOW_INFO + if(i % 5000000 == 0) cout << endl << "**" << endl << "**" << endl << "PROGRESS TRACE_START=" << i << endl; + #endif + + + // dump variables into VCD file and toggle clock + + dump(i); + //top->eval(); + top->clk = 0; + top->eval(); + + #ifdef CSR + if(riscvRefEnable) { + riscvRef.ipInput = 0; + #ifdef TIMER_INTERRUPT + riscvRef.ipInput |= top->timerInterrupt << 7; + #endif + #ifdef EXTERNAL_INTERRUPT + riscvRef.ipInput |= top->externalInterrupt << 11; + #endif + #ifdef CSR + riscvRef.ipInput |= top->softwareInterrupt << 3; + #endif + #ifdef SUPERVISOR + // riscvRef.ipInput |= top->timerInterruptS << 5; + riscvRef.ipInput |= top->externalInterruptS << 9; + #endif + + riscvRef.liveness(top->VexRiscv->CsrPlugin_inWfi); + if(top->VexRiscv->CsrPlugin_interruptJump){ + if(riscvRefEnable) riscvRef.trap(true, top->VexRiscv->CsrPlugin_interrupt_code); + } + } + #endif + + #ifdef RVF + if(riscvRefEnable) { + if(top->VexRiscv->writeBack_FpuPlugin_commit_valid && top->VexRiscv->writeBack_FpuPlugin_commit_ready && top->VexRiscv->writeBack_FpuPlugin_commit_payload_write){ + FpuCommit c; + c.value = top->VexRiscv->writeBack_FpuPlugin_commit_payload_value; + riscvRef.fpuCommit.push(c); + } + + if(top->VexRiscv->FpuPlugin_port_rsp_valid && top->VexRiscv->FpuPlugin_port_rsp_ready && top->VexRiscv->lastStageIsFiring){ + FpuRsp c; + c.value = top->VexRiscv->FpuPlugin_port_rsp_payload_value; + c.flags = (top->VexRiscv->FpuPlugin_port_rsp_payload_NX << 0) | + (top->VexRiscv->FpuPlugin_port_rsp_payload_NV << 4); + riscvRef.fpuRsp.push(c); + } + + if(top->VexRiscv->FpuPlugin_port_completion_valid && top->VexRiscv->FpuPlugin_port_completion_payload_written){ + FpuCompletion c; + c.flags = (top->VexRiscv->FpuPlugin_port_completion_payload_flags_NX << 0) | + (top->VexRiscv->FpuPlugin_port_completion_payload_flags_UF << 1) | + (top->VexRiscv->FpuPlugin_port_completion_payload_flags_OF << 2) | + (top->VexRiscv->FpuPlugin_port_completion_payload_flags_DZ << 3) | + (top->VexRiscv->FpuPlugin_port_completion_payload_flags_NV << 4); + riscvRef.fpuCompletion.push(c); + } + } + #endif + + + + if(top->VexRiscv->lastStageIsFiring){ + if(riscvRefEnable) { +// privilegeCounters[riscvRef.privilege]++; +// if((riscvRef.stepCounter & 0xFFFFF) == 0){ +// cout << "privilege report" << endl; +// cout << "- U " << privilegeCounters[0] << endl; +// cout << "- S " << privilegeCounters[1] << endl; +// cout << "- M " << privilegeCounters[3] << endl; +// } + riscvRef.dutRfWriteValue = top->VexRiscv->lastStageRegFileWrite_payload_data; + riscvRef.step(); + bool mIntTimer = false; + bool mIntExt = false; + } + + if(riscvRefEnable && top->VexRiscv->lastStagePc != riscvRef.lastPc){ + cout << hex << " pc missmatch " << top->VexRiscv->lastStagePc << " should be " << riscvRef.lastPc << dec << endl; + fail(); + } + + + bool rfWriteValid = false; + int32_t rfWriteAddress; + int32_t rfWriteData; + + if(top->VexRiscv->lastStageRegFileWrite_valid == 1 && top->VexRiscv->lastStageRegFileWrite_payload_address != 0){ + rfWriteValid = true; + rfWriteAddress = top->VexRiscv->lastStageRegFileWrite_payload_address; + rfWriteData = top->VexRiscv->lastStageRegFileWrite_payload_data; + #ifdef TRACE_ACCESS + regTraces << + #ifdef TRACE_WITH_TIME + currentTime << + #endif + " PC " << hex << setw(8) << top->VexRiscv->lastStagePc << " : reg[" << dec << setw(2) << (uint32_t)top->VexRiscv->lastStageRegFileWrite_payload_address << "] = " << hex << setw(8) << top->VexRiscv->lastStageRegFileWrite_payload_data << dec << endl; + #endif + } else { + #ifdef TRACE_ACCESS + regTraces << + #ifdef TRACE_WITH_TIME + currentTime << + #endif + " PC " << hex << setw(8) << top->VexRiscv->lastStagePc << dec << endl; + #endif + } + if(riscvRefEnable) if(rfWriteValid != riscvRef.rfWriteValid || + (rfWriteValid && (rfWriteAddress!= riscvRef.rfWriteAddress || rfWriteData!= riscvRef.rfWriteData))){ + cout << "regFile write missmatch :" << endl; + if(rfWriteValid) cout << " REF: RF[" << riscvRef.rfWriteAddress << "] = 0x" << hex << riscvRef.rfWriteData << dec << endl; + if(rfWriteValid) cout << " DUT: RF[" << rfWriteAddress << "] = 0x" << hex << rfWriteData << dec << endl; + fail(); + } + } + + #ifdef CSR + if(top->VexRiscv->CsrPlugin_hadException){ + if(riscvRefEnable) { + riscvRef.step(); + } + } + #endif + + for(SimElement* simElement : simElements) simElement->preCycle(); + + dump(i + 1); + + checks(); + //top->eval(); + top->clk = 1; + top->eval(); + + instanceCycles += 1; + + for(SimElement* simElement : simElements) simElement->postCycle(); + #ifdef RVF + top->fpuCmdHalt = VL_RANDOM_I_WIDTH(1); + top->fpuCommitHalt = VL_RANDOM_I_WIDTH(1); + top->fpuRspHalt = VL_RANDOM_I_WIDTH(1); + #endif + + + + if (Verilated::gotFinish()) + exit(0); + } + cout << "timeout" << endl; + fail(); + } catch (const success e) { + staticMutex.lock(); + cout <<"SUCCESS " << name << endl; + successCounter++; + cycles += instanceCycles; + staticMutex.unlock(); + } catch (const std::exception& e) { + staticMutex.lock(); + + cout << "FAIL " << name << " at PC=" << hex << setw(8) << top->VexRiscv->lastStagePc << dec; //<< " seed : " << seed << + if(riscvRefEnable) cout << hex << " REF PC=" << riscvRef.lastPc << " REF I=" << riscvRef.lastInstruction << dec; + cout << " time=" << i; + cout << endl; + + cycles += instanceCycles; + staticMutex.unlock(); + failed = true; + } + + + + dump(i+2); + dump(i+10); + #ifdef TRACE + tfp->close(); + #endif + #ifdef STOP_ON_ERROR + if(failed){ + sleep(1); + exit(-1); + } + #endif + return this; + } +}; + + +class WorkspaceRegression : public Workspace { +public: + + WorkspaceRegression(string name) : Workspace(name){ + + } + + virtual bool isPerifRegion(uint32_t addr) { return (addr & 0xF0000000) == 0xF0000000;} + + + virtual void iBusAccess(uint32_t addr, uint32_t *data, bool *error){ + Workspace::iBusAccess(addr,data,error); + *error = addr == 0xF00FFF60u; + } + + virtual void dutPutChar(char c){} + + virtual void dBusAccess(uint32_t addr,bool wr, uint32_t size, uint8_t *dataBytes, bool *error) { + uint32_t *data = ((uint32_t*)dataBytes); + if(wr){ + switch(addr){ + case 0xF0010000u: { + cout << (char)*data; + logTraces << (char)*data; + dutPutChar((char)*data); + break; + } +#ifdef EXTERNAL_INTERRUPT + case 0xF0011000u: top->externalInterrupt = *data & 1; break; +#endif +#ifdef SUPERVISOR + case 0xF0012000u: top->externalInterruptS = *data & 1; break; +#endif +#ifdef CSR + case 0xF0013000u: top->softwareInterrupt = *data & 1; break; +#endif + case 0xF00FFF00u: { + cout << (char)*data; + logTraces << (char)*data; + dutPutChar((char)*data); + break; + } + #ifndef DEBUG_PLUGIN_EXTERNAL + case 0xF00FFF20u: + if(*data == 0) + pass(); + else + fail(); + break; + case 0xF00FFF24u: + cout << "TEST ERROR CODE " << *data << endl; + fail(); + break; + #endif + case 0xF00FFF48u: mTimeCmp = (mTimeCmp & 0xFFFFFFFF00000000) | *data;break; + case 0xF00FFF4Cu: mTimeCmp = (mTimeCmp & 0x00000000FFFFFFFF) | (((uint64_t)*data) << 32); break; + case 0xF00FFF50u: cout << "mTime " << *data << " : " << mTime << endl; + } + if((addr & 0xFFFFF000) == 0xF5670000){ + uint32_t t = 0x900FF000 | (addr & 0xFFF); + uint32_t old = (*mem.get(t + 3) << 24) | (*mem.get(t + 2) << 16) | (*mem.get(t + 1) << 8) | (*mem.get(t + 0) << 0); + old++; + *mem.get(t + 0) = old & 0xFF; old >>= 8; + *mem.get(t + 1) = old & 0xFF; old >>= 8; + *mem.get(t + 2) = old & 0xFF; old >>= 8; + *mem.get(t + 3) = old & 0xFF; old >>= 8; + } + }else{ + switch(addr){ + case 0xF00FFF10u: + *data = mTime; + #ifdef REF_TIME + mTime += 100000; + #endif + break; + case 0xF00FFF40u: *data = mTime; break; + case 0xF00FFF44u: *data = mTime >> 32; break; + case 0xF00FFF48u: *data = mTimeCmp; break; + case 0xF00FFF4Cu: *data = mTimeCmp >> 32; break; + case 0xF0010004u: *data = ~0; break; + } + } + + *error = addr == 0xF00FFF60u; + Workspace::dBusAccess(addr,wr,size,dataBytes,error); + } + + + +}; + + + +class ZephyrRegression : public WorkspaceRegression{ +public: + + + uint32_t regFileWriteRefIndex = 0; + const char *target = "PROJECT EXECUTION SUCCESSFUL"; + const char *hit = target; + + ZephyrRegression(string name) : WorkspaceRegression(name) { + cout << endl << endl; + + } + + virtual void dutPutChar(char c){ + if(*hit == c) hit++; else hit = target; + if(*hit == 0) { + cout << endl << "T=" << i <ws = ws; + this->top = ws->top; + } + + virtual void onReset(){ + top->iBus_cmd_ready = 1; + top->iBus_rsp_valid = 0; + } + + virtual void preCycle(){ + if (top->iBus_cmd_valid && top->iBus_cmd_ready) { + //assertEq(top->iBus_cmd_payload_pc & 3,0); + pendings[wPtr] = (top->iBus_cmd_payload_pc); + wPtr = (wPtr + 1) & 0xFF; + //ws->iBusAccess(top->iBus_cmd_payload_pc,&inst_next,&error_next); + } + } + //TODO doesn't catch when instruction removed ? + virtual void postCycle(){ + top->iBus_rsp_valid = 0; + if(rPtr != wPtr && (!ws->iStall || VL_RANDOM_I_WIDTH(7) < 100)){ + uint32_t inst_next; + bool error_next; + ws->iBusAccess(pendings[rPtr], &inst_next,&error_next); + rPtr = (rPtr + 1) & 0xFF; + top->iBus_rsp_payload_inst = inst_next; + top->iBus_rsp_valid = 1; + top->iBus_rsp_payload_error = error_next; + } else { + top->iBus_rsp_payload_inst = VL_RANDOM_I_WIDTH(32); + top->iBus_rsp_payload_error = VL_RANDOM_I_WIDTH(1); + } + if(ws->iStall) top->iBus_cmd_ready = VL_RANDOM_I_WIDTH(7) < 100; + } +}; +#endif + + +#ifdef IBUS_TC + +class IBusTc : public SimElement{ +public: + + uint32_t nextData; + + Workspace *ws; + VVexRiscv* top; + IBusTc(Workspace* ws){ + this->ws = ws; + this->top = ws->top; + } + + virtual void onReset(){ + } + + virtual void preCycle(){ + if (top->iBusTc_enable) { + if((top->iBusTc_address & 0x70000000) != 0){ + printf("IBusTc access out of range\n"); + ws->fail(); + } + bool error_next; + ws->iBusAccess(top->iBusTc_address, &nextData,&error_next); + } + } + + virtual void postCycle(){ + top->iBusTc_data = nextData; + } +}; + +#endif + + +#ifdef IBUS_SIMPLE_AVALON + +struct IBusSimpleAvalonRsp{ + uint32_t data; + bool error; +}; + + +class IBusSimpleAvalon : public SimElement{ +public: + queue rsps; + + Workspace *ws; + VVexRiscv* top; + IBusSimpleAvalon(Workspace* ws){ + this->ws = ws; + this->top = ws->top; + } + + virtual void onReset(){ + top->iBusAvalon_waitRequestn = 1; + top->iBusAvalon_readDataValid = 0; + } + + virtual void preCycle(){ + if (top->iBusAvalon_read && top->iBusAvalon_waitRequestn) { + IBusSimpleAvalonRsp rsp; + ws->iBusAccess(top->iBusAvalon_address,&rsp.data,&rsp.error); + rsps.push(rsp); + } + } + //TODO doesn't catch when instruction removed ? + virtual void postCycle(){ + if(!rsps.empty() && (!ws->iStall || VL_RANDOM_I_WIDTH(7) < 100)){ + IBusSimpleAvalonRsp rsp = rsps.front(); rsps.pop(); + top->iBusAvalon_readDataValid = 1; + top->iBusAvalon_readData = rsp.data; + top->iBusAvalon_response = rsp.error ? 3 : 0; + } else { + top->iBusAvalon_readDataValid = 0; + top->iBusAvalon_readData = VL_RANDOM_I_WIDTH(32); + top->iBusAvalon_response = VL_RANDOM_I_WIDTH(2); + } + if(ws->iStall) + top->iBusAvalon_waitRequestn = VL_RANDOM_I_WIDTH(7) < 100; + } +}; +#endif + + + +#ifdef IBUS_SIMPLE_AHBLITE3 +class IBusSimpleAhbLite3 : public SimElement{ +public: + Workspace *ws; + VVexRiscv* top; + + uint32_t iBusAhbLite3_HRDATA; + bool iBusAhbLite3_HRESP; + bool pending; + + IBusSimpleAhbLite3(Workspace* ws){ + this->ws = ws; + this->top = ws->top; + } + + virtual void onReset(){ + pending = false; + top->iBusAhbLite3_HREADY = 1; + top->iBusAhbLite3_HRESP = 0; + } + + virtual void preCycle(){ + if (top->iBusAhbLite3_HTRANS == 2 && top->iBusAhbLite3_HREADY && !top->iBusAhbLite3_HWRITE) { + ws->iBusAccess(top->iBusAhbLite3_HADDR,&iBusAhbLite3_HRDATA,&iBusAhbLite3_HRESP); + pending = true; + } + } + + virtual void postCycle(){ + if(ws->iStall) + top->iBusAhbLite3_HREADY = (!ws->iStall || VL_RANDOM_I_WIDTH(7) < 100); + + if(pending && top->iBusAhbLite3_HREADY){ + top->iBusAhbLite3_HRDATA = iBusAhbLite3_HRDATA; + top->iBusAhbLite3_HRESP = iBusAhbLite3_HRESP; + pending = false; + } else { + top->iBusAhbLite3_HRDATA = VL_RANDOM_I_WIDTH(32); + top->iBusAhbLite3_HRESP = VL_RANDOM_I_WIDTH(1); + } + } +}; +#endif + + +#ifdef IBUS_CACHED +class IBusCached : public SimElement{ +public: + bool error_next = false; + uint32_t pendingCount = 0; + uint32_t address; + + Workspace *ws; + VVexRiscv* top; + IBusCached(Workspace* ws){ + this->ws = ws; + this->top = ws->top; + } + + + virtual void onReset(){ + top->iBus_cmd_ready = 1; + top->iBus_rsp_valid = 0; + } + + virtual void preCycle(){ + if (top->iBus_cmd_valid && top->iBus_cmd_ready && pendingCount == 0) { + assertEq((top->iBus_cmd_payload_address & 3),0); + pendingCount = (1 << top->iBus_cmd_payload_size)/4; + address = top->iBus_cmd_payload_address; + } + } + + virtual void postCycle(){ + bool error; + top->iBus_rsp_valid = 0; + if(pendingCount != 0 && (!ws->iStall || VL_RANDOM_I_WIDTH(7) < 100)){ + #ifdef IBUS_TC + if((address & 0x70000000) == 0){ + printf("IBUS_CACHED access out of range\n"); + ws->fail(); + } + #endif + error = false; + for(int idx = 0;idx < IBUS_DATA_WIDTH/32;idx++){ + bool localError = false; + ws->iBusAccess(address+idx*4,((uint32_t*)&top->iBus_rsp_payload_data)+idx,&localError); + error |= localError; + } + top->iBus_rsp_payload_error = error; + pendingCount-=IBUS_DATA_WIDTH/32; + address = address + IBUS_DATA_WIDTH/8; + top->iBus_rsp_valid = 1; + } + if(ws->iStall) top->iBus_cmd_ready = VL_RANDOM_I_WIDTH(7) < 100 && pendingCount == 0; + } +}; +#endif + +#ifdef IBUS_CACHED_AVALON +#include + +struct IBusCachedAvalonTask{ + uint32_t address; + uint32_t pendingCount; +}; + +class IBusCachedAvalon : public SimElement{ +public: + uint32_t inst_next = VL_RANDOM_I_WIDTH(32); + bool error_next = false; + + queue tasks; + Workspace *ws; + VVexRiscv* top; + + IBusCachedAvalon(Workspace* ws){ + this->ws = ws; + this->top = ws->top; + } + + virtual void onReset(){ + top->iBusAvalon_waitRequestn = 1; + top->iBusAvalon_readDataValid = 0; + } + + virtual void preCycle(){ + if (top->iBusAvalon_read && top->iBusAvalon_waitRequestn) { + assertEq(top->iBusAvalon_address & 3,0); + IBusCachedAvalonTask task; + task.address = top->iBusAvalon_address; + task.pendingCount = top->iBusAvalon_burstCount; + tasks.push(task); + } + } + + virtual void postCycle(){ + bool error; + top->iBusAvalon_readDataValid = 0; + if(!tasks.empty() && (!ws->iStall || VL_RANDOM_I_WIDTH(7) < 100)){ + uint32_t &address = tasks.front().address; + uint32_t &pendingCount = tasks.front().pendingCount; + bool error; + ws->iBusAccess(address,&top->iBusAvalon_readData,&error); + top->iBusAvalon_response = error ? 3 : 0; + pendingCount--; + address = (address & ~0x1F) + ((address + 4) & 0x1F); + top->iBusAvalon_readDataValid = 1; + if(pendingCount == 0) + tasks.pop(); + } + if(ws->iStall) + top->iBusAvalon_waitRequestn = VL_RANDOM_I_WIDTH(7) < 100; + } +}; +#endif + + +#if defined(IBUS_CACHED_WISHBONE) || defined(IBUS_SIMPLE_WISHBONE) +#include + +class IBusCachedWishbone : public SimElement{ +public: + + Workspace *ws; + VVexRiscv* top; + + IBusCachedWishbone(Workspace* ws){ + this->ws = ws; + this->top = ws->top; + } + + virtual void onReset(){ + top->iBusWishbone_ACK = !ws->iStall; + top->iBusWishbone_ERR = 0; + } + + virtual void preCycle(){ + + } + + virtual void postCycle(){ + + if(ws->iStall) + top->iBusWishbone_ACK = VL_RANDOM_I_WIDTH(7) < 100; + + top->iBusWishbone_DAT_MISO = VL_RANDOM_I_WIDTH(32); + if (top->iBusWishbone_CYC && top->iBusWishbone_STB && top->iBusWishbone_ACK) { + if(top->iBusWishbone_WE){ + + } else { + bool error; + ws->iBusAccess(top->iBusWishbone_ADR << 2,&top->iBusWishbone_DAT_MISO,&error); + top->iBusWishbone_ERR = error; + } + } + } +}; +#endif + + +#ifdef DBUS_SIMPLE +class DBusSimple : public SimElement{ +public: + uint32_t data_next = VL_RANDOM_I_WIDTH(32); + bool error_next = false; + bool pending = false; + + Workspace *ws; + VVexRiscv* top; + DBusSimple(Workspace* ws){ + this->ws = ws; + this->top = ws->top; + } + + virtual void onReset(){ + top->dBus_cmd_ready = 1; + top->dBus_rsp_ready = 1; + } + + virtual void preCycle(){ + if (top->dBus_cmd_valid && top->dBus_cmd_ready) { + pending = true; + data_next = top->dBus_cmd_payload_data; + ws->dBusAccess(top->dBus_cmd_payload_address,top->dBus_cmd_payload_wr,1 << top->dBus_cmd_payload_size,((uint8_t*)&data_next) + (top->dBus_cmd_payload_address & 3),&error_next); + } + } + + virtual void postCycle(){ + top->dBus_rsp_ready = 0; + if(pending && (!ws->dStall || VL_RANDOM_I_WIDTH(7) < 100)){ + pending = false; + top->dBus_rsp_ready = 1; + top->dBus_rsp_data = data_next; + top->dBus_rsp_error = error_next; + } else{ + top->dBus_rsp_data = VL_RANDOM_I_WIDTH(32); + } + + if(ws->dStall) top->dBus_cmd_ready = VL_RANDOM_I_WIDTH(7) < 100 && !pending; + } +}; +#endif + +#ifdef DBUS_SIMPLE_AVALON +#include +struct DBusSimpleAvalonRsp{ + uint32_t data; + bool error; +}; + + +class DBusSimpleAvalon : public SimElement{ +public: + queue rsps; + + Workspace *ws; + VVexRiscv* top; + DBusSimpleAvalon(Workspace* ws){ + this->ws = ws; + this->top = ws->top; + } + + virtual void onReset(){ + top->dBusAvalon_waitRequestn = 1; + top->dBusAvalon_readDataValid = 0; + } + + virtual void preCycle(){ + if (top->dBusAvalon_write && top->dBusAvalon_waitRequestn) { + bool dummy; + ws->dBusAccess(top->dBusAvalon_address,1,2,top->dBusAvalon_byteEnable,&top->dBusAvalon_writeData,&dummy); + } + if (top->dBusAvalon_read && top->dBusAvalon_waitRequestn) { + DBusSimpleAvalonRsp rsp; + ws->dBusAccess(top->dBusAvalon_address,0,2,0xF,&rsp.data,&rsp.error); + rsps.push(rsp); + } + } + //TODO doesn't catch when instruction removed ? + virtual void postCycle(){ + if(!rsps.empty() && (!ws->iStall || VL_RANDOM_I_WIDTH(7) < 100)){ + DBusSimpleAvalonRsp rsp = rsps.front(); rsps.pop(); + top->dBusAvalon_readDataValid = 1; + top->dBusAvalon_readData = rsp.data; + top->dBusAvalon_response = rsp.error ? 3 : 0; + } else { + top->dBusAvalon_readDataValid = 0; + top->dBusAvalon_readData = VL_RANDOM_I_WIDTH(32); + top->dBusAvalon_response = VL_RANDOM_I_WIDTH(2); + } + if(ws->iStall) + top->dBusAvalon_waitRequestn = VL_RANDOM_I_WIDTH(7) < 100; + } +}; +#endif + + + +#ifdef DBUS_SIMPLE_AHBLITE3 +class DBusSimpleAhbLite3 : public SimElement{ +public: + Workspace *ws; + VVexRiscv* top; + + uint32_t dBusAhbLite3_HADDR, dBusAhbLite3_HSIZE, dBusAhbLite3_HTRANS, dBusAhbLite3_HWRITE; + + DBusSimpleAhbLite3(Workspace* ws){ + this->ws = ws; + this->top = ws->top; + } + + virtual void onReset(){ + top->dBusAhbLite3_HREADY = 1; + top->dBusAhbLite3_HRESP = 0; + dBusAhbLite3_HTRANS = 0; + } + + virtual void preCycle(){ + if(top->dBusAhbLite3_HREADY && dBusAhbLite3_HTRANS == 2 && dBusAhbLite3_HWRITE){ + uint32_t data = top->dBusAhbLite3_HWDATA; + bool error; + ws->dBusAccess(dBusAhbLite3_HADDR, 1, dBusAhbLite3_HSIZE, ((1 << (1 << dBusAhbLite3_HSIZE))-1) << (dBusAhbLite3_HADDR & 0x3),&data,&error); + } + + if(top->dBusAhbLite3_HREADY){ + dBusAhbLite3_HADDR = top->dBusAhbLite3_HADDR ; + dBusAhbLite3_HSIZE = top->dBusAhbLite3_HSIZE ; + dBusAhbLite3_HTRANS = top->dBusAhbLite3_HTRANS ; + dBusAhbLite3_HWRITE = top->dBusAhbLite3_HWRITE ; + } + } + + virtual void postCycle(){ + if(ws->iStall) + top->dBusAhbLite3_HREADY = (!ws->iStall || VL_RANDOM_I_WIDTH(7) < 100); + + top->dBusAhbLite3_HRDATA = VL_RANDOM_I_WIDTH(32); + top->dBusAhbLite3_HRESP = VL_RANDOM_I_WIDTH(1); + + if(top->dBusAhbLite3_HREADY && dBusAhbLite3_HTRANS == 2 && !dBusAhbLite3_HWRITE){ + + bool error; + ws->dBusAccess(dBusAhbLite3_HADDR, 0, dBusAhbLite3_HSIZE, ((1 << (1 << dBusAhbLite3_HSIZE))-1) << (dBusAhbLite3_HADDR & 0x3),&top->dBusAhbLite3_HRDATA,&error); + top->dBusAhbLite3_HRESP = error; + } + } +}; +#endif + + +#if defined(DBUS_CACHED_WISHBONE) || defined(DBUS_SIMPLE_WISHBONE) +#include + + +class DBusCachedWishbone : public SimElement{ +public: + + Workspace *ws; + VVexRiscv* top; + + DBusCachedWishbone(Workspace* ws){ + this->ws = ws; + this->top = ws->top; + } + + virtual void onReset(){ + top->dBusWishbone_ACK = !ws->iStall; + top->dBusWishbone_ERR = 0; + } + + virtual void preCycle(){ + + } + + virtual void postCycle(){ + if(ws->iStall) + top->dBusWishbone_ACK = VL_RANDOM_I_WIDTH(7) < 100; + top->dBusWishbone_DAT_MISO = VL_RANDOM_I_WIDTH(32); + if (top->dBusWishbone_CYC && top->dBusWishbone_STB && top->dBusWishbone_ACK) { + if(top->dBusWishbone_WE){ + bool dummy; + ws->dBusAccess(top->dBusWishbone_ADR << 2 ,1,2,top->dBusWishbone_SEL,&top->dBusWishbone_DAT_MOSI,&dummy); + } else { + bool error; + ws->dBusAccess(top->dBusWishbone_ADR << 2,0,2,0xF,&top->dBusWishbone_DAT_MISO,&error); + top->dBusWishbone_ERR = error; + } + } + } +}; +#endif + +#ifdef DBUS_CACHED + +//#include "VVexRiscv_DataCache.h" +#include + +struct DBusCachedTask{ + char data[DBUS_LOAD_DATA_WIDTH/8]; + bool error; + bool last; + bool exclusive; +}; + +class DBusCached : public SimElement{ +public: + queue rsps; + queue invalidationHint; + + bool reservationValid = false; + uint32_t reservationAddress; + uint32_t pendingSync = 0; + + Workspace *ws; + VVexRiscv* top; + DBusCachedTask rsp; + + DBusCached(Workspace* ws){ + this->ws = ws; + this->top = ws->top; + } + + virtual void onReset(){ + top->dBus_cmd_ready = 1; + top->dBus_rsp_valid = 0; + #ifdef DBUS_AGGREGATION + top->dBus_rsp_payload_aggregated = 0; + #endif + #ifdef DBUS_INVALIDATE + top->dBus_inv_valid = 0; + top->dBus_ack_ready = 0; + top->dBus_sync_valid = 0; + #ifdef DBUS_AGGREGATION + top->dBus_sync_payload_aggregated = 0; + #endif + #endif + } + + virtual void preCycle(){ + if (top->dBus_cmd_valid && top->dBus_cmd_ready) { + if(top->dBus_cmd_payload_wr){ + int size = 1 << top->dBus_cmd_payload_size; + #ifdef DBUS_INVALIDATE + pendingSync += 1; + #endif + #ifndef DBUS_EXCLUSIVE + bool error; + int shift = top->dBus_cmd_payload_address & (DBUS_STORE_DATA_WIDTH/8-1); + ws->dBusAccess(top->dBus_cmd_payload_address,1,size,((uint8_t*)&top->dBus_cmd_payload_data) + shift,&error); + #else + bool cancel = false, error = false; + if(top->dBus_cmd_payload_exclusive){ + bool hit = reservationValid && reservationAddress == top->dBus_cmd_payload_address; + rsp.exclusive = hit; + cancel = !hit; + } + if(!cancel) { + for(int idx = 0;idx < 1;idx++){ + bool localError = false; + int shift = top->dBus_cmd_payload_address & (DBUS_STORE_DATA_WIDTH/8-1); + ws->dBusAccess(top->dBus_cmd_payload_address,1,size,((uint8_t*)&top->dBus_cmd_payload_data) + shift,&localError); + error |= localError; + } + } + + reservationValid = false; + rsp.last = true; + rsp.error = error; + rsps.push(rsp); + #endif + } else { + bool error = false; + uint32_t beatCount = (((1 << top->dBus_cmd_payload_size)*8+DBUS_LOAD_DATA_WIDTH-1) / DBUS_LOAD_DATA_WIDTH)-1; + uint32_t startAt = top->dBus_cmd_payload_address; + uint32_t endAt = top->dBus_cmd_payload_address + (1 << top->dBus_cmd_payload_size); + uint32_t address = top->dBus_cmd_payload_address & ~(DBUS_LOAD_DATA_WIDTH/8-1); + uint8_t buffer[64]; + ws->dBusAccess(top->dBus_cmd_payload_address,0,1 << top->dBus_cmd_payload_size,buffer, &error); + for(int beat = 0;beat <= beatCount;beat++){ + for(int i = 0;i < DBUS_LOAD_DATA_WIDTH/8;i++){ + rsp.data[i] = (address >= startAt && address < endAt) ? buffer[address-top->dBus_cmd_payload_address] : VL_RANDOM_I_WIDTH(8); + address += 1; + } + rsp.last = beat == beatCount; + #ifdef DBUS_EXCLUSIVE + if(top->dBus_cmd_payload_exclusive){ + rsp.exclusive = true; + reservationValid = true; + reservationAddress = top->dBus_cmd_payload_address; + } + #endif + rsp.error = error; + rsps.push(rsp); + } + + #ifdef DBUS_INVALIDATE + if(ws->allowInvalidate){ + if(VL_RANDOM_I_WIDTH(7) < 10){ + invalidationHint.push(top->dBus_cmd_payload_address + VL_RANDOM_I_WIDTH(5)); + } + } + #endif + } + } + #ifdef DBUS_INVALIDATE + if(top->dBus_sync_valid && top->dBus_sync_ready){ + pendingSync -= 1; + } + #endif + } + + virtual void postCycle(){ + + if(!rsps.empty() && (!ws->dStall || VL_RANDOM_I_WIDTH(7) < 100)){ + DBusCachedTask rsp = rsps.front(); + rsps.pop(); + top->dBus_rsp_valid = 1; + top->dBus_rsp_payload_error = rsp.error; + for(int idx = 0;idx < DBUS_LOAD_DATA_WIDTH/32;idx++){ + ((uint32_t*)&top->dBus_rsp_payload_data)[idx] = ((uint32_t*)rsp.data)[idx]; + } + top->dBus_rsp_payload_last = rsp.last; + #ifdef DBUS_EXCLUSIVE + top->dBus_rsp_payload_exclusive = rsp.exclusive; + #endif + } else{ + top->dBus_rsp_valid = 0; + for(int idx = 0;idx < DBUS_LOAD_DATA_WIDTH/32;idx++){ + ((uint32_t*)&top->dBus_rsp_payload_data)[idx] = VL_RANDOM_I_WIDTH(32); + } + top->dBus_rsp_payload_error = VL_RANDOM_I_WIDTH(1); + top->dBus_rsp_payload_last = VL_RANDOM_I_WIDTH(1); + #ifdef DBUS_EXCLUSIVE + top->dBus_rsp_payload_exclusive = VL_RANDOM_I_WIDTH(1); + #endif + } + top->dBus_cmd_ready = (ws->dStall ? VL_RANDOM_I_WIDTH(7) < 100 : 1); + + #ifdef DBUS_INVALIDATE + if(ws->allowInvalidate){ + if(top->dBus_inv_ready) top->dBus_inv_valid = 0; + if(top->dBus_inv_valid == 0 && VL_RANDOM_I_WIDTH(7) < 5){ + top->dBus_inv_valid = 1; + top->dBus_inv_payload_fragment_enable = VL_RANDOM_I_WIDTH(7) < 100; + if(!invalidationHint.empty()){ + top->dBus_inv_payload_fragment_address = invalidationHint.front(); + invalidationHint.pop(); + } else { + top->dBus_inv_payload_fragment_address = VL_RANDOM_I_WIDTH(32); + } + } + } + top->dBus_ack_ready = (ws->dStall ? VL_RANDOM_I_WIDTH(7) < 100 : 1); + if(top->dBus_sync_ready) top->dBus_sync_valid = 0; + if(top->dBus_sync_valid == 0 && pendingSync != 0 && (ws->dStall ? VL_RANDOM_I_WIDTH(7) < 80 : 1) ){ + top->dBus_sync_valid = 1; + } + #endif + + } +}; +#endif + +#ifdef DBUS_CACHED_AVALON +#include + +struct DBusCachedAvalonTask{ + uint32_t data; + bool error; +}; + +class DBusCachedAvalon : public SimElement{ +public: + uint32_t beatCounter = 0; + queue rsps; + + Workspace *ws; + VVexRiscv* top; + DBusCachedAvalon(Workspace* ws){ + this->ws = ws; + this->top = ws->top; + } + + virtual void onReset(){ + top->dBusAvalon_waitRequestn = 1; + top->dBusAvalon_readDataValid = 0; + } + + + virtual void preCycle(){ + if ((top->dBusAvalon_read || top->dBusAvalon_write) && top->dBusAvalon_waitRequestn) { + if(top->dBusAvalon_write){ + uint32_t size = __builtin_popcount(top->dBusAvalon_byteEnable); + uint32_t offset = ffs(top->dBusAvalon_byteEnable)-1; + bool error_next = false; + ws->dBusAccess(top->dBusAvalon_address + beatCounter * 4 + offset,1,size,((uint8_t*)&top->dBusAvalon_writeData)+offset,&error_next); + beatCounter++; + if(beatCounter == top->dBusAvalon_burstCount){ + beatCounter = 0; + } + } else { + for(int beat = 0;beat < top->dBusAvalon_burstCount;beat++){ + DBusCachedAvalonTask rsp; + ws->dBusAccess(top->dBusAvalon_address + beat * 4 ,0,4,((uint8_t*)&rsp.data),&rsp.error); + rsps.push(rsp); + } + } + } + } + + virtual void postCycle(){ + if(!rsps.empty() && (!ws->dStall || VL_RANDOM_I_WIDTH(7) < 100)){ + DBusCachedAvalonTask rsp = rsps.front(); + rsps.pop(); + top->dBusAvalon_response = rsp.error ? 3 : 0; + top->dBusAvalon_readData = rsp.data; + top->dBusAvalon_readDataValid = 1; + } else{ + top->dBusAvalon_readDataValid = 0; + top->dBusAvalon_readData = VL_RANDOM_I_WIDTH(32); + top->dBusAvalon_response = VL_RANDOM_I_WIDTH(2); //TODO + } + + top->dBusAvalon_waitRequestn = (ws->dStall ? VL_RANDOM_I_WIDTH(7) < 100 : 1); + } +}; +#endif + + +#ifdef DEBUG_PLUGIN +#include +#include +#include +#include +#include +#include +#include +#include + +/** Returns true on success, or false if there was an error */ +bool SetSocketBlockingEnabled(int fd, bool blocking) +{ + if (fd < 0) return false; + +#ifdef WIN32 + unsigned long mode = blocking ? 0 : 1; + return (ioctlsocket(fd, FIONBIO, &mode) == 0) ? true : false; +#else + int flags = fcntl(fd, F_GETFL, 0); + if (flags < 0) return false; + flags = blocking ? (flags&~O_NONBLOCK) : (flags|O_NONBLOCK); + return (fcntl(fd, F_SETFL, flags) == 0) ? true : false; +#endif +} + +struct DebugPluginTask{ + bool wr; + uint32_t address; + uint32_t data; +}; + +class DebugPlugin : public SimElement{ +public: + Workspace *ws; + VVexRiscv* top; + + int serverSocket, clientHandle; + struct sockaddr_in serverAddr; + struct sockaddr_storage serverStorage; + socklen_t addr_size; + char buffer[1024]; + uint32_t timeSpacer = 0; + bool taskValid = false; + DebugPluginTask task; + + + DebugPlugin(Workspace* ws){ + this->ws = ws; + this->top = ws->top; + + #ifdef DEBUG_PLUGIN_EXTERNAL + ws->mTimeCmp = ~0; + #endif + top->debugReset = 0; + + + + //---- Create the socket. The three arguments are: ----// + // 1) Internet domain 2) Stream socket 3) Default protocol (TCP in this case) // + serverSocket = socket(PF_INET, SOCK_STREAM, 0); + assert(serverSocket != -1); + SetSocketBlockingEnabled(serverSocket,0); + int flag = 1; + int result = setsockopt(serverSocket, /* socket affected */ + IPPROTO_TCP, /* set option at TCP level */ + TCP_NODELAY, /* name of option */ + (char *) &flag, /* the cast is historical + cruft */ + sizeof(int)); /* length of option value */ + + //---- Configure settings of the server address struct ----// + // Address family = Internet // + serverAddr.sin_family = AF_INET; + // Set port number, using htons function to use proper byte order // + serverAddr.sin_port = htons(7893); + // Set IP address to localhost // + serverAddr.sin_addr.s_addr = inet_addr("127.0.0.1"); + // Set all bits of the padding field to 0 // + memset(serverAddr.sin_zero, '\0', sizeof serverAddr.sin_zero); + + //---- Bind the address struct to the socket ----// + bind(serverSocket, (struct sockaddr *) &serverAddr, sizeof(serverAddr)); + + //---- Listen on the socket, with 5 max connection requests queued ----// + listen(serverSocket,1); + + //---- Accept call creates a new socket for the incoming connection ----// + addr_size = sizeof serverStorage; + + clientHandle = -1; + } + + virtual ~DebugPlugin(){ + if(clientHandle != -1) { + shutdown(clientHandle,SHUT_RDWR); + usleep(100); + } + if(serverSocket != -1) { + close(serverSocket); + usleep(100); + } + } + + virtual void onReset(){ + top->debugReset = 1; + } + + + + virtual void postReset(){ + top->debugReset = 0; + } + + void connectionReset(){ + printf("CONNECTION RESET\n"); + shutdown(clientHandle,SHUT_RDWR); + clientHandle = -1; + } + + + virtual void preCycle(){ + + } + + virtual void postCycle(){ + top->reset = top->debug_resetOut; + if(timeSpacer == 0){ + if(clientHandle == -1){ + clientHandle = accept(serverSocket, (struct sockaddr *) &serverStorage, &addr_size); + if(clientHandle != -1) + printf("CONNECTED\n"); + timeSpacer = 1000; + } + + + if(clientHandle != -1 && taskValid == false){ + int requiredSize = 1 + 1 + 4 + 4; + int n; + timeSpacer = 20; + if(ioctl(clientHandle,FIONREAD,&n) != 0){ + connectionReset(); + } else if(n >= requiredSize){ + if(requiredSize != read(clientHandle,buffer,requiredSize)){ + connectionReset(); + } else { + bool wr = buffer[0]; + uint32_t size = buffer[1]; + uint32_t address = *((uint32_t*)(buffer + 2)); + uint32_t data = *((uint32_t*)(buffer + 6)); + + if((address & ~ 0x4) == 0xF00F0000){ + assert(size == 2); + timeSpacer = 100; + + taskValid = true; + task.wr = wr; + task.address = address; + task.data = data; + } + } + } else { + int error = 0; + socklen_t len = sizeof (error); + int retval = getsockopt (clientHandle, SOL_SOCKET, SO_ERROR, &error, &len); + if (retval != 0 || error != 0) { + connectionReset(); + } + } + } + } else { + timeSpacer--; + } + } + + void sendRsp(uint32_t data){ + if(clientHandle != -1){ + if(send(clientHandle,&data,4,0) == -1) connectionReset(); + } + } +}; +#endif + +#ifdef DEBUG_PLUGIN_STD +class DebugPluginStd : public DebugPlugin{ +public: + DebugPluginStd(Workspace* ws) : DebugPlugin(ws){ + + } + + virtual void onReset(){ + DebugPlugin::onReset(); + top->debug_bus_cmd_valid = 0; + } + + bool rspFire = false; + + virtual void preCycle(){ + DebugPlugin::preCycle(); + + if(rspFire){ + sendRsp(top->debug_bus_rsp_data); + rspFire = false; + } + + if(top->debug_bus_cmd_valid && top->debug_bus_cmd_ready){ + taskValid = false; + if(!top->debug_bus_cmd_payload_wr){ + rspFire = true; + } + } + } + + virtual void postCycle(){ + DebugPlugin::postCycle(); + + if(taskValid){ + top->debug_bus_cmd_valid = 1; + top->debug_bus_cmd_payload_wr = task.wr; + top->debug_bus_cmd_payload_address = task.address; + top->debug_bus_cmd_payload_data = task.data; + }else { + top->debug_bus_cmd_valid = 0; + top->debug_bus_cmd_payload_wr = VL_RANDOM_I_WIDTH(1); + top->debug_bus_cmd_payload_address = VL_RANDOM_I_WIDTH(8); + top->debug_bus_cmd_payload_data = VL_RANDOM_I_WIDTH(32); + } + } +}; + +#endif + +#ifdef DEBUG_PLUGIN_AVALON +class DebugPluginAvalon : public DebugPlugin{ +public: + DebugPluginAvalon(Workspace* ws) : DebugPlugin(ws){ + + } + + virtual void onReset(){ + DebugPlugin::onReset(); + top->debugBusAvalon_read = 0; + top->debugBusAvalon_write = 0; + } + + bool rspFire = false; + + virtual void preCycle(){ + DebugPlugin::preCycle(); + + if(rspFire){ + sendRsp(top->debugBusAvalon_readData); + rspFire = false; + } + + if((top->debugBusAvalon_read || top->debugBusAvalon_write) && top->debugBusAvalon_waitRequestn){ + taskValid = false; + if(top->debugBusAvalon_read){ + rspFire = true; + } + } + } + + virtual void postCycle(){ + DebugPlugin::postCycle(); + + if(taskValid){ + top->debugBusAvalon_write = task.wr; + top->debugBusAvalon_read = !task.wr; + top->debugBusAvalon_address = task.address; + top->debugBusAvalon_writeData = task.data; + }else { + top->debugBusAvalon_write = 0; + top->debugBusAvalon_read = 0; + top->debugBusAvalon_address = VL_RANDOM_I_WIDTH(8); + top->debugBusAvalon_writeData = VL_RANDOM_I_WIDTH(32); + } + } +}; + +#endif + +void Workspace::fillSimELements(){ + #ifdef IBUS_SIMPLE + simElements.push_back(new IBusSimple(this)); + #endif + #ifdef IBUS_SIMPLE_AVALON + simElements.push_back(new IBusSimpleAvalon(this)); + #endif + #ifdef IBUS_SIMPLE_AHBLITE3 + simElements.push_back(new IBusSimpleAhbLite3(this)); + #endif + + + #ifdef IBUS_CACHED + simElements.push_back(new IBusCached(this)); + #endif + #ifdef IBUS_CACHED_AVALON + simElements.push_back(new IBusCachedAvalon(this)); + #endif + #if defined(IBUS_CACHED_WISHBONE) || defined(IBUS_SIMPLE_WISHBONE) + simElements.push_back(new IBusCachedWishbone(this)); + #endif + + #ifdef IBUS_TC + simElements.push_back(new IBusTc(this)); + #endif + + #ifdef DBUS_SIMPLE + simElements.push_back(new DBusSimple(this)); + #endif + #ifdef DBUS_SIMPLE_AVALON + simElements.push_back(new DBusSimpleAvalon(this)); + #endif + #ifdef DBUS_SIMPLE_AHBLITE3 + simElements.push_back(new DBusSimpleAhbLite3(this)); + #endif + #ifdef DBUS_CACHED + simElements.push_back(new DBusCached(this)); + #endif + #ifdef DBUS_CACHED_AVALON + simElements.push_back(new DBusCachedAvalon(this)); + #endif + #if defined(DBUS_CACHED_WISHBONE) || defined(DBUS_SIMPLE_WISHBONE) + simElements.push_back(new DBusCachedWishbone(this)); + #endif + #ifdef DEBUG_PLUGIN_STD + simElements.push_back(new DebugPluginStd(this)); + #endif + #ifdef DEBUG_PLUGIN_AVALON + simElements.push_back(new DebugPluginAvalon(this)); + #endif +} + +mutex Workspace::staticMutex; +uint64_t Workspace::cycles = 0; +uint32_t Workspace::testsCounter = 0, Workspace::successCounter = 0; + +#ifndef REF +#define testA1ReagFileWriteRef {1,10},{2,20},{3,40},{4,60} +#define testA2ReagFileWriteRef {5,1},{7,3} +uint32_t regFileWriteRefArray[][2] = { + testA1ReagFileWriteRef, + testA1ReagFileWriteRef, + testA2ReagFileWriteRef, + testA2ReagFileWriteRef +}; + +class TestA : public WorkspaceRegression{ +public: + + + uint32_t regFileWriteRefIndex = 0; + + TestA() : WorkspaceRegression("testA") { + loadHex(string(REGRESSION_PATH) + "../../resources/hex/testA.hex"); + } + + virtual void checks(){ + if(top->VexRiscv->lastStageRegFileWrite_valid == 1 && top->VexRiscv->lastStageRegFileWrite_payload_address != 0){ + assertEq(top->VexRiscv->lastStageRegFileWrite_payload_address, regFileWriteRefArray[regFileWriteRefIndex][0]); + assertEq(top->VexRiscv->lastStageRegFileWrite_payload_data, regFileWriteRefArray[regFileWriteRefIndex][1]); + //printf("%d\n",i); + + regFileWriteRefIndex++; + if(regFileWriteRefIndex == sizeof(regFileWriteRefArray)/sizeof(regFileWriteRefArray[0])){ + pass(); + } + } + } +}; + +class TestX28 : public WorkspaceRegression{ +public: + uint32_t refIndex = 0; + uint32_t *ref; + uint32_t refSize; + + TestX28(string name, uint32_t *ref, uint32_t refSize) : WorkspaceRegression(name) { + this->ref = ref; + this->refSize = refSize; + loadHex(string(REGRESSION_PATH) + "../../resources/hex/" + name + ".hex"); + } + + virtual void checks(){ + if(top->VexRiscv->lastStageRegFileWrite_valid == 1 && top->VexRiscv->lastStageRegFileWrite_payload_address == 28){ + assertEq(top->VexRiscv->lastStageRegFileWrite_payload_data, ref[refIndex]); + //printf("%d\n",i); + + refIndex++; + if(refIndex == refSize){ + pass(); + } + } + } +}; + + +class RiscvTest : public WorkspaceRegression{ +public: + RiscvTest(string name) : WorkspaceRegression(name) { + loadHex(string(REGRESSION_PATH) + "../../resources/hex/" + name + ".hex"); + bootAt(0x800000bcu); + } + + virtual void postReset() { +// #ifdef CSR +// top->VexRiscv->prefetch_PcManagerSimplePlugin_pcReg = 0x80000000u; +// #else +// #endif + } + + virtual void checks(){ + if(top->VexRiscv->lastStageIsFiring && top->VexRiscv->lastStageInstruction == 0x00000013){ + uint32_t instruction; + bool error; + Workspace::mem.read(top->VexRiscv->lastStagePc, 4, (uint8_t*)&instruction); + //printf("%x => %x\n", top->VexRiscv->lastStagePc, instruction ); + if(instruction == 0x00000073){ + uint32_t code = top->VexRiscv->RegFilePlugin_regFile[28]; + uint32_t code2 = top->VexRiscv->RegFilePlugin_regFile[3]; + if((code & 1) == 0 && (code2 & 1) == 0){ + cout << "Wrong error code"<< endl; + fail(); + } + if(code == 1 || code2 == 1){ + pass(); + }else{ + cout << "Error code " << code2/2 << endl; + fail(); + } + } + } + } + + virtual void iBusAccess(uint32_t addr, uint32_t *data, bool *error){ + WorkspaceRegression::iBusAccess(addr,data,error); + if(*data == 0x0ff0000f) *data = 0x00000013; + if(*data == 0x00000073) *data = 0x00000013; + } +}; +#endif +class Dhrystone : public WorkspaceRegression{ +public: + string hexName; + Dhrystone(string name,string hexName,bool iStall, bool dStall) : WorkspaceRegression(name) { + setIStall(iStall); + setDStall(dStall); + withRiscvRef(); + loadHex(string(REGRESSION_PATH) + "../../resources/hex/" + hexName + ".hex"); + this->hexName = hexName; + } + + virtual void checks(){ + + } + + virtual void pass(){ + FILE *refFile = fopen((hexName + ".logRef").c_str(), "r"); + fseek(refFile, 0, SEEK_END); + uint32_t refSize = ftell(refFile); + fseek(refFile, 0, SEEK_SET); + char* ref = new char[refSize]; + fread(ref, 1, refSize, refFile); + fclose(refFile); + + + logTraces.flush(); + logTraces.close(); + + FILE *logFile = fopen((name + ".logTrace").c_str(), "r"); + fseek(logFile, 0, SEEK_END); + uint32_t logSize = ftell(logFile); + fseek(logFile, 0, SEEK_SET); + char* log = new char[logSize]; + fread(log, 1, logSize, logFile); + fclose(logFile); + + if(refSize > logSize || memcmp(log,ref,refSize)) + fail(); + else + Workspace::pass(); + } +}; + +class Compliance : public WorkspaceRegression{ +public: + string name; + ofstream out32; + int out32Counter = 0; + Compliance(string name) : WorkspaceRegression(name) { + withRiscvRef(); + loadHex(string(REGRESSION_PATH) + "../../resources/hex/" + name + ".elf.hex"); + out32.open (name + ".out32"); + this->name = name; + } + + + virtual void dBusAccess(uint32_t addr,bool wr, uint32_t size, uint8_t *dataBytes, bool *error) { + if(wr && addr == 0xF00FFF2C){ + uint32_t *data = (uint32_t*)dataBytes; + out32 << hex << setw(8) << std::setfill('0') << *data << dec; + if(++out32Counter % 4 == 0) out32 << "\n"; + } + WorkspaceRegression::dBusAccess(addr,wr,size,dataBytes,error); + } + + virtual void checks(){ + + } + + + + virtual void pass(){ + FILE *refFile = fopen((string(REGRESSION_PATH) + string("../../resources/ref/") + name + ".reference_output").c_str(), "r"); + fseek(refFile, 0, SEEK_END); + uint32_t refSize = ftell(refFile); + fseek(refFile, 0, SEEK_SET); + char* ref = new char[refSize]; + fread(ref, 1, refSize, refFile); + fclose(refFile); + + + out32.flush(); + out32.close(); + + FILE *logFile = fopen((name + ".out32").c_str(), "r"); + fseek(logFile, 0, SEEK_END); + uint32_t logSize = ftell(logFile); + fseek(logFile, 0, SEEK_SET); + char* log = new char[logSize]; + fread(log, 1, logSize, logFile); + fclose(logFile); + + if(refSize > logSize || memcmp(log,ref,refSize)) + fail(); + else + Workspace::pass(); + } +}; + + +#ifdef DEBUG_PLUGIN + +#include +#include +#include +#include + +#define RISCV_SPINAL_FLAGS_RESET 1<<0 +#define RISCV_SPINAL_FLAGS_HALT 1<<1 +#define RISCV_SPINAL_FLAGS_PIP_BUSY 1<<2 +#define RISCV_SPINAL_FLAGS_IS_IN_BREAKPOINT 1<<3 +#define RISCV_SPINAL_FLAGS_STEP 1<<4 +#define RISCV_SPINAL_FLAGS_PC_INC 1<<5 + +#define RISCV_SPINAL_FLAGS_RESET_SET 1<<16 +#define RISCV_SPINAL_FLAGS_HALT_SET 1<<17 + +#define RISCV_SPINAL_FLAGS_RESET_CLEAR 1<<24 +#define RISCV_SPINAL_FLAGS_HALT_CLEAR 1<<25 + +class DebugPluginTest : public WorkspaceRegression{ +public: + pthread_t clientThreadId; + char buffer[1024]; + bool clientSuccess = false, clientFail = false; + + static void* clientThreadWrapper(void *debugModule){ + ((DebugPluginTest*)debugModule)->clientThread(); + return NULL; + } + + int clientSocket; + void accessCmd(bool wr, uint32_t size, uint32_t address, uint32_t data){ + buffer[0] = wr; + buffer[1] = size; + *((uint32_t*) (buffer + 2)) = address; + *((uint32_t*) (buffer + 6)) = data; + send(clientSocket,buffer,10,0); + } + + void writeCmd(uint32_t size, uint32_t address, uint32_t data){ + accessCmd(true, 2, address, data); + } + + + uint32_t readCmd(uint32_t size, uint32_t address){ + accessCmd(false, 2, address, VL_RANDOM_I_WIDTH(32)); + int error; + if((error = recv(clientSocket, buffer, 4, 0)) != 4){ + printf("Should read 4 bytes, had %d", error); + while(1); + } + + return *((uint32_t*) buffer); + } + + + + void clientThread(){ + struct sockaddr_in serverAddr; + + //---- Create the socket. The three arguments are: ----// + // 1) Internet domain 2) Stream socket 3) Default protocol (TCP in this case) // + clientSocket = socket(PF_INET, SOCK_STREAM, 0); + int flag = 1; + int result = setsockopt(clientSocket, /* socket affected */ + IPPROTO_TCP, /* set option at TCP level */ + TCP_NODELAY, /* name of option */ + (char *) &flag, /* the cast is historical + cruft */ + sizeof(int)); /* length of option value */ + + //---- Configure settings of the server address struct ----// + // Address family = Internet // + serverAddr.sin_family = AF_INET; + // Set port number, using htons function to use proper byte order // + serverAddr.sin_port = htons(7893); + // Set IP address to localhost // + serverAddr.sin_addr.s_addr = inet_addr("127.0.0.1"); + // Set all bits of the padding field to 0 // + memset(serverAddr.sin_zero, '\0', sizeof serverAddr.sin_zero); + + //---- Connect the socket to the server using the address struct ----// + socklen_t addr_size = sizeof serverAddr; + int error = connect(clientSocket, (struct sockaddr *) &serverAddr, addr_size); +// printf("!! %x\n",readCmd(2,0x8)); + uint32_t debugAddress = 0xF00F0000; + uint32_t readValue; + + while(resetDone != true){usleep(100);} + + while((readCmd(2,debugAddress) & RISCV_SPINAL_FLAGS_HALT) == 0){usleep(100);} + if((readValue = readCmd(2,debugAddress + 4)) != 0x8000000C){ + printf("wrong breakA PC %x\n",readValue); + clientFail = true; return; + } + + writeCmd(2, debugAddress + 4, 0x13 + (1 << 15)); //Read regfile + if((readValue = readCmd(2,debugAddress + 4)) != 10){ + printf("wrong breakB PC %x\n",readValue); + clientFail = true; return; + } + + writeCmd(2, debugAddress + 4, 0x13 + (2 << 15)); //Read regfile + if((readValue = readCmd(2,debugAddress + 4)) != 20){ + printf("wrong breakC PC %x\n",readValue); + clientFail = true; return; + } + + writeCmd(2, debugAddress + 4, 0x13 + (3 << 15)); //Read regfile + if((readValue = readCmd(2,debugAddress + 4)) != 30){ + printf("wrong breakD PC %x\n",readValue); + clientFail = true; return; + } + + writeCmd(2, debugAddress + 4, 0x13 + (1 << 7) + (40 << 20)); //Write x1 with 40 + writeCmd(2, debugAddress + 4, 0x80000eb7); //Write x29 with 0x10 + writeCmd(2, debugAddress + 4, 0x010e8e93); //Write x29 with 0x10 + writeCmd(2, debugAddress + 4, 0x67 + (29 << 15)); //Branch x29 + writeCmd(2, debugAddress + 0, RISCV_SPINAL_FLAGS_HALT_CLEAR); //Run CPU + + while((readCmd(2,debugAddress) & RISCV_SPINAL_FLAGS_HALT) == 0){usleep(100);} + if((readValue = readCmd(2,debugAddress + 4)) != 0x80000014){ + printf("wrong breakE PC 3 %x\n",readValue); + clientFail = true; return; + } + + + writeCmd(2, debugAddress + 4, 0x13 + (3 << 15)); //Read regfile + if((readValue = readCmd(2,debugAddress + 4)) != 60){ + printf("wrong x1 %x\n",readValue); + clientFail = true; return; + } + + + writeCmd(2, debugAddress + 4, 0x80000eb7); //Write x29 with 0x10 + writeCmd(2, debugAddress + 4, 0x018e8e93); //Write x29 with 0x10 + writeCmd(2, debugAddress + 4, 0x67 + (29 << 15)); //Branch x29 + writeCmd(2, debugAddress + 0, RISCV_SPINAL_FLAGS_HALT_CLEAR); //Run CPU + + + + while((readCmd(2,debugAddress) & RISCV_SPINAL_FLAGS_HALT) == 0){usleep(100);} + if((readValue = readCmd(2,debugAddress + 4)) != 0x80000024){ + printf("wrong breakF PC 3 %x\n",readValue); + clientFail = true; return; + } + + + writeCmd(2, debugAddress + 4, 0x13 + (3 << 15)); //Read x3 + if((readValue = readCmd(2,debugAddress + 4)) != 171){ + printf("wrong x3 %x\n",readValue); + clientFail = true; return; + } + + + clientSuccess = true; + } + + + DebugPluginTest() : WorkspaceRegression("DebugPluginTest") { + loadHex(string(REGRESSION_PATH) + "../../resources/hex/debugPlugin.hex"); + pthread_create(&clientThreadId, NULL, &clientThreadWrapper, this); + } + + virtual ~DebugPluginTest(){ + if(clientSocket != -1) close(clientSocket); + } + + virtual void checks(){ + if(clientSuccess) pass(); + if(clientFail) fail(); + } + + virtual void postReset(){ + Workspace::postReset(); + top->VexRiscv->DebugPlugin_debugUsed = 1; + } +}; + +#endif + + +//#ifdef LITEX +//class LitexSoC : public Workspace{ +//public: +// +// LitexSoC(string name) : Workspace(name) { +// +// } +// virtual bool isDBusCheckedRegion(uint32_t address){ return true;} +// virtual bool isPerifRegion(uint32_t addr) { return (addr & 0xF0000000) == 0xB0000000 || (addr & 0xE0000000) == 0xE0000000;} +// virtual bool isMmuRegion(uint32_t addr) { return (addr & 0xFF000000) != 0x81000000;} +// +// virtual void dBusAccess(uint32_t addr,bool wr, uint32_t size,uint32_t mask, uint32_t *data, bool *error) { +// if(isPerifRegion(addr)) switch(addr){ +// //TODO Emulate peripherals here +// case 0xFFFFFFE0: if(wr) fail(); else *data = mTime; break; +// case 0xFFFFFFE4: if(wr) fail(); else *data = mTime >> 32; break; +// case 0xFFFFFFE8: if(wr) mTimeCmp = (mTimeCmp & 0xFFFFFFFF00000000) | *data; else *data = mTimeCmp; break; +// case 0xFFFFFFEC: if(wr) mTimeCmp = (mTimeCmp & 0x00000000FFFFFFFF) | (((uint64_t)*data) << 32); else *data = mTimeCmp >> 32; break; +// case 0xFFFFFFF8: +// if(wr){ +// cout << (char)*data; +// logTraces << (char)*data; +// logTraces.flush(); +// } else fail(); +// break; +// case 0xFFFFFFFC: fail(); break; //Simulation end +// default: cout << "Unmapped peripheral access : addr=0x" << hex << addr << " wr=" << wr << " mask=0x" << mask << " data=0x" << data << dec << endl; fail(); break; +// } +// +// Workspace::dBusAccess(addr,wr,size,mask,data,error); +// } +//}; +//#endif + + + +#include +#include +#include +termios stdinRestoreSettings; +void stdinNonBuffered(){ + static struct termios old, new1; + tcgetattr(STDIN_FILENO, &old); // grab old terminal i/o settings + new1 = old; // make new settings same as old settings + new1.c_lflag &= ~ICANON; // disable buffered i/o + new1.c_lflag &= ~ECHO; + tcsetattr(STDIN_FILENO, TCSANOW, &new1); // use these new terminal i/o settings now + setvbuf(stdin, NULL, _IONBF, 0); + stdinRestoreSettings = old; +} + + +bool stdinNonEmpty(){ + struct timeval tv; + fd_set fds; + tv.tv_sec = 0; + tv.tv_usec = 0; + FD_ZERO(&fds); + FD_SET(STDIN_FILENO, &fds); + select(STDIN_FILENO+1, &fds, NULL, NULL, &tv); + return (FD_ISSET(0, &fds)); +} + + +void stdoutNonBuffered(){ + setvbuf(stdout, NULL, _IONBF, 0); +} + +void stdinRestore(){ + tcsetattr(STDIN_FILENO, TCSANOW, &stdinRestoreSettings); +} + + + +void my_handler(int s){ + printf("Caught signal %d\n",s); + stdinRestore(); + exit(1); +} +#include + +void captureCtrlC(){ + struct sigaction sigIntHandler; + + sigIntHandler.sa_handler = my_handler; + sigemptyset(&sigIntHandler.sa_mask); + sigIntHandler.sa_flags = 0; + + sigaction(SIGINT, &sigIntHandler, NULL); +} + + + + +#if defined(LINUX_SOC) || defined(LINUX_REGRESSION) +#include +class LinuxSoc : public Workspace{ +public: + queue customCin; + void pushCin(string m){ + for(char& c : m) { + customCin.push(c); + } + } + + LinuxSoc(string name) : Workspace(name) { + #ifdef WITH_USER_IO + stdinNonBuffered(); + captureCtrlC(); + #endif + stdoutNonBuffered(); + } + + virtual ~LinuxSoc(){ + #ifdef WITH_USER_IO + stdinRestore(); + #endif + } + virtual bool isDBusCheckedRegion(uint32_t address){ return true;} + virtual bool isPerifRegion(uint32_t addr) { return (addr & 0xF0000000) == 0xF0000000 || (addr & 0xE0000000) == 0xE0000000;} + virtual bool isMmuRegion(uint32_t addr) { return true; } + + + + virtual void dBusAccess(uint32_t addr,bool wr, uint32_t size,uint8_t *dataBytes, bool *error) { + uint32_t *data = (uint32_t*)dataBytes; + + if(isPerifRegion(addr)) { + switch(addr){ + case 0xFFFFFFE0: if(wr) fail(); else *data = mTime; break; + case 0xFFFFFFE4: if(wr) fail(); else *data = mTime >> 32; break; + case 0xFFFFFFE8: if(wr) mTimeCmp = (mTimeCmp & 0xFFFFFFFF00000000) | *data; else *data = mTimeCmp; break; + case 0xFFFFFFEC: if(wr) mTimeCmp = (mTimeCmp & 0x00000000FFFFFFFF) | (((uint64_t)*data) << 32); else *data = mTimeCmp >> 32; break; + case 0xFFFFFFF8: + if(wr){ + char c = (char)*data; + cout << c; + logTraces << c; + logTraces.flush(); + onStdout(c); + } else { + #ifdef WITH_USER_IO + if(stdinNonEmpty()){ + char c; + read(0, &c, 1); + *data = c; + } else + #endif + if(!customCin.empty()){ + *data = customCin.front(); + customCin.pop(); + } else { + *data = -1; + } + } + break; + case 0xFFFFFFFC: fail(); break; //Simulation end + default: cout << "Unmapped peripheral access : addr=0x" << hex << addr << " wr=" << wr << " mask=0x" << " data=0x" << data << dec << endl; fail(); break; + } + } + Workspace::dBusAccess(addr,wr,size,dataBytes,error); + } + + virtual void onStdout(char c){ + + } +}; + +class LinuxRegression: public LinuxSoc{ +public: + string pendingLine = ""; + bool pendingLineContain(string m) { + return strstr(pendingLine.c_str(), m.c_str()) != NULL; + } + + enum State{LOGIN, ECHO_FILE, HEXDUMP, HEXDUMP_CHECK, PASS}; + State state = LOGIN; + LinuxRegression(string name) : LinuxSoc(name) { + + } + + ~LinuxRegression() { + } + + + virtual void onStdout(char c){ + pendingLine += c; + switch(state){ + case LOGIN: if (pendingLineContain("buildroot login:")) { pushCin("root\n"); state = ECHO_FILE; } break; + case ECHO_FILE: if (pendingLineContain("# ")) { pushCin("echo \"miaou\" > test.txt\n"); state = HEXDUMP; pendingLine = "";} break; + case HEXDUMP: if (pendingLineContain("# ")) { pushCin("hexdump -C test.txt\n"); state = HEXDUMP_CHECK; pendingLine = "";} break; + case HEXDUMP_CHECK: if (pendingLineContain("00000000 6d 69 61 6f 75 0a ")) { pushCin(""); state = PASS; pendingLine = "";} break; + case PASS: if (pendingLineContain("# ")) { pass(); } break; + } + if(c == '\n' || pendingLine.length() > 200) pendingLine = ""; + } +}; + +#endif + +#ifdef LINUX_SOC_SMP + +class LinuxSocSmp : public Workspace{ +public: + queue customCin; + void pushCin(string m){ + for(char& c : m) { + customCin.push(c); + } + } + + LinuxSocSmp(string name) : Workspace(name) { + #ifdef WITH_USER_IO + stdinNonBuffered(); + captureCtrlC(); + #endif + stdoutNonBuffered(); + } + + virtual ~LinuxSocSmp(){ + #ifdef WITH_USER_IO + stdinRestore(); + #endif + } + virtual bool isDBusCheckedRegion(uint32_t address){ return true;} + virtual bool isPerifRegion(uint32_t addr) { return (addr & 0xF0000000) == 0xF0000000;} + virtual bool isMmuRegion(uint32_t addr) { return true; } + + + + virtual void dBusAccess(uint32_t addr,bool wr, uint32_t size, uint8_t *dataBytes, bool *error) { + uint32_t *data = (uint32_t*)dataBytes; + if(isPerifRegion(addr)) switch(addr){ + case 0xF0010000: if(wr && *data != 0) fail(); else *data = 0; break; + case 0xF001BFF8: if(wr) fail(); else *data = mTime; break; + case 0xF001BFFC: if(wr) fail(); else *data = mTime >> 32; break; + case 0xF0014000: if(wr) mTimeCmp = (mTimeCmp & 0xFFFFFFFF00000000) | *data; else fail(); break; + case 0xF0014004: if(wr) mTimeCmp = (mTimeCmp & 0x00000000FFFFFFFF) | (((uint64_t)*data) << 32); else fail(); break; + case 0xF0000000: + if(wr){ + char c = (char)*data; + cout << c; + logTraces << c; + logTraces.flush(); + onStdout(c); + } + case 0xF0000004: + if(!wr){ + #ifdef WITH_USER_IO + if(stdinNonEmpty()){ + char c; + read(0, &c, 1); + *data = c; + } else + #endif + if(!customCin.empty()){ + *data = customCin.front(); + customCin.pop(); + } else { + *data = -1; + } + } + break; + default: cout << "Unmapped peripheral access : addr=0x" << hex << addr << " wr=" << wr << " data=0x" << data << dec << endl; fail(); break; + } + Workspace::dBusAccess(addr,wr,size,dataBytes,error); + } + + virtual void onStdout(char c){ + + } +}; + +#endif + +string riscvTestMain[] = { + //"rv32ui-p-simple", + "rv32ui-p-lui", + "rv32ui-p-auipc", + "rv32ui-p-jal", + "rv32ui-p-jalr", + "rv32ui-p-beq", + "rv32ui-p-bge", + "rv32ui-p-bgeu", + "rv32ui-p-blt", + "rv32ui-p-bltu", + "rv32ui-p-bne", + "rv32ui-p-add", + "rv32ui-p-addi", + "rv32ui-p-and", + "rv32ui-p-andi", + "rv32ui-p-or", + "rv32ui-p-ori", + "rv32ui-p-sll", + "rv32ui-p-slli", + "rv32ui-p-slt", + "rv32ui-p-slti", + "rv32ui-p-sra", + "rv32ui-p-srai", + "rv32ui-p-srl", + "rv32ui-p-srli", + "rv32ui-p-sub", + "rv32ui-p-xor", + "rv32ui-p-xori" +}; + +string riscvTestMemory[] = { + "rv32ui-p-lb", + "rv32ui-p-lbu", + "rv32ui-p-lh", + "rv32ui-p-lhu", + "rv32ui-p-lw", + "rv32ui-p-sb", + "rv32ui-p-sh", + "rv32ui-p-sw" +}; + + +string riscvTestFloat[] = { + "rv32uf-p-fmadd", + "rv32uf-p-fadd", + "rv32uf-p-fcmp", + "rv32uf-p-fcvt_w", + "rv32uf-p-ldst", + "rv32uf-p-recoding", + "rv32uf-p-fclass", + "rv32uf-p-fcvt", + "rv32uf-p-fdiv", + "rv32uf-p-fmin", + "rv32uf-p-move" +}; + + +string riscvTestDouble[] = { + "rv32ud-p-fmadd", + "rv32ud-p-fadd", + "rv32ud-p-fcvt", + "rv32ud-p-recoding", + "rv32ud-p-fclass", + "rv32ud-p-fcvt_w", + "rv32ud-p-fmin", + "rv32ud-p-fcmp", + "rv32ud-p-fdiv", + "rv32ud-p-ldst" +}; + + + + +string riscvTestMul[] = { + "rv32um-p-mul", + "rv32um-p-mulh", + "rv32um-p-mulhsu", + "rv32um-p-mulhu" +}; + +string riscvTestDiv[] = { + "rv32um-p-div", + "rv32um-p-divu", + "rv32um-p-rem", + "rv32um-p-remu" +}; + +string freeRtosTests[] = { +// "test1","test1","test1","test1","test1","test1","test1","test1", +// "test1","test1","test1","test1","test1","test1","test1","test1", +// "test1","test1","test1","test1","test1","test1","test1","test1", +// "test1","test1","test1","test1","test1","test1","test1","test1", +// "test1","test1","test1","test1","test1","test1","test1","test1", +// "test1","test1","test1","test1","test1","test1","test1","test1", +// "test1","test1","test1","test1","test1","test1","test1","test1", +// "test1","test1","test1","test1","test1","test1","test1","test1", +// "test1","test1","test1","test1","test1","test1","test1","test1", +// "test1","test1","test1","test1","test1","test1","test1","test1", +// "test1","test1","test1","test1","test1","test1","test1","test1", +// "test1","test1","test1","test1","test1","test1","test1","test1", +// "test1","test1","test1","test1","test1","test1","test1","test1" + + "AltQTest", "AltBlock", "AltPollQ", "blocktim", "countsem", "dead", "EventGroupsDemo", "flop", "integer", "QPeek", + "QueueSet", "recmutex", "semtest", "TaskNotify", "crhook", "dynamic", + "GenQTest", "PollQ", "QueueOverwrite", "QueueSetPolling", "sp_flop", "test1" + //"BlockQ","BlockQ","BlockQ","BlockQ","BlockQ","BlockQ","BlockQ","BlockQ" +// "flop" +// "flop", "sp_flop" // <- Simple test + // "AltBlckQ" ??? + +}; + + +string zephyrTests[] = { + "tests_kernel_stack_stack_api", + "tests_kernel_context", +// "tests_kernel_critical", //Too long + "tests_kernel_fifo_fifo_api", + "tests_kernel_mbox_mbox_usage", +// "tests_kernel_mem_pool_mem_pool_threadsafe", //Too long + "tests_kernel_sleep" +// "tests_kernel_timer_timer_api" //Lock like if the CPU is too slow, it will make it fail +}; + + + +string riscvComplianceMain[] = { + "I-IO", + "I-NOP-01", + "I-LUI-01", + "I-ADD-01", + "I-ADDI-01", + "I-AND-01", + "I-ANDI-01", + "I-SUB-01", + "I-OR-01", + "I-ORI-01", + "I-XOR-01", + "I-XORI-01", + "I-SRA-01", + "I-SRAI-01", + "I-SRL-01", + "I-SRLI-01", + "I-SLL-01", + "I-SLLI-01", + "I-SLT-01", + "I-SLTI-01", + "I-SLTIU-01", + "I-SLTU-01", + "I-AUIPC-01", + "I-BEQ-01", + "I-BGE-01", + "I-BGEU-01", + "I-BLT-01", + "I-BLTU-01", + "I-BNE-01", + "I-JAL-01", + "I-JALR-01", + "I-DELAY_SLOTS-01", + "I-ENDIANESS-01", + "I-RF_size-01", + "I-RF_width-01", + "I-RF_x0-01", +}; + + + +string complianceTestMemory[] = { + "I-LB-01", + "I-LBU-01", + "I-LH-01", + "I-LHU-01", + "I-LW-01", + "I-SB-01", + "I-SH-01", + "I-SW-01" +}; + + +string complianceTestCsr[] = { + "I-CSRRC-01", + "I-CSRRCI-01", + "I-CSRRS-01", + "I-CSRRSI-01", + "I-CSRRW-01", + "I-CSRRWI-01", + #ifndef COMPRESSED + "I-MISALIGN_JMP-01", //Only apply for non RVC cores + #endif + "I-MISALIGN_LDST-01", + "I-ECALL-01", +}; + + +string complianceTestMul[] = { + "MUL", + "MULH", + "MULHSU", + "MULHU", +}; + +string complianceTestDiv[] = { + "DIV", + "DIVU", + "REM", + "REMU", +}; + + +string complianceTestC[] = { + "C.ADD", + "C.ADDI16SP", + "C.ADDI4SPN", + "C.ADDI", + "C.AND", + "C.ANDI", + "C.BEQZ", + "C.BNEZ", + "C.JAL", + "C.JALR", + "C.J", + "C.JR", + "C.LI", + "C.LUI", + "C.LW", + "C.LWSP", + "C.MV", + "C.OR", + "C.SLLI", + "C.SRAI", + "C.SRLI", + "C.SUB", + "C.SW", + "C.SWSP", + "C.XOR", +}; + + + + + + +struct timespec timer_start(){ + struct timespec start_time; + clock_gettime(CLOCK_REALTIME, &start_time); //CLOCK_PROCESS_CPUTIME_ID + return start_time; +} + +long timer_end(struct timespec start_time){ + struct timespec end_time; + clock_gettime(CLOCK_REALTIME, &end_time); + uint64_t diffInNanos = end_time.tv_sec*1e9 + end_time.tv_nsec - start_time.tv_sec*1e9 - start_time.tv_nsec; + return diffInNanos; +} + +#define redo(count,that) for(uint32_t xxx = 0;xxx < count;xxx++) that +#include +#include +#include +#include + + +static void multiThreading(queue> *lambdas, std::mutex *mutex){ + uint32_t counter = 0; + while(true){ + mutex->lock(); + if(lambdas->empty()){ + mutex->unlock(); + break; + } + + #ifdef SEED + uint32_t seed = SEED + counter; + counter++; + srand48(seed); + printf("MT_SEED=%d \n", seed); + #endif + std::function lambda = lambdas->front(); + lambdas->pop(); + mutex->unlock(); + + lambda(); + } +} + + +static void multiThreadedExecute(queue> &lambdas){ + std::mutex mutex; + if(THREAD_COUNT == 1){ + multiThreading(&lambdas, &mutex); + } else { + std::thread * t[THREAD_COUNT]; + for(int id = 0;id < THREAD_COUNT;id++){ + t[id] = new thread(multiThreading,&lambdas,&mutex); + } + for(int id = 0;id < THREAD_COUNT;id++){ + t[id]->join(); + delete t[id]; + } + } +} + +int main(int argc, char **argv, char **env) { + #ifdef SEED + srand48(SEED); + #endif + Verilated::randReset(2); + Verilated::commandArgs(argc, argv); + + printf("BOOT\n"); + timespec startedAt = timer_start(); + + +#ifdef LINUX_SOC_SMP + { + + LinuxSocSmp soc("linuxSmp"); + #ifndef DEBUG_PLUGIN_EXTERNAL + soc.withRiscvRef(); + soc.loadBin(EMULATOR, 0x80000000); + soc.loadBin(VMLINUX, 0x80400000); + soc.loadBin(DTB, 0x80FF0000); + soc.loadBin(RAMDISK, 0x81000000); + #endif + //soc.setIStall(true); + //soc.setDStall(true); + soc.bootAt(0x80000000); + soc.run(0); +// soc.run((496300000l + 2000000) / 2); +// soc.run(438700000l/2); + return -1; + } +#endif + + + + #ifdef RVF + for(const string &name : riscvTestFloat){ + redo(REDO,RiscvTest(name).withRiscvRef()->bootAt(0x80000188u)->writeWord(0x80000184u, 0x00305073)->run();) + } + #endif + #ifdef RVD + for(const string &name : riscvTestDouble){ + redo(REDO,RiscvTest(name).withRiscvRef()->bootAt(0x80000188u)->writeWord(0x80000184u, 0x00305073)->run();) + } + #endif + //return 0; + +//#ifdef LITEX +// LitexSoC("linux") +// .withRiscvRef() +// ->loadBin(EMULATOR, 0x80000000) +// ->loadBin(DTB, 0x81000000) +// ->loadBin(VMLINUX, 0xc0000000) +// ->loadBin(RAMDISK, 0xc2000000) +// ->setIStall(false) //TODO It currently improve speed but should be removed later +// ->setDStall(false) +// ->bootAt(0x80000000) +// ->run(0); +//#endif + +// { +// static struct termios old, new1; +// tcgetattr(0, &old); /* grab old terminal i/o settings */ +// new1 = old; /* make new settings same as old settings */ +// new1.c_lflag &= ~ICANON; /* disable buffered i/o */ +// new1.c_lflag &= ~ECHO; +// tcsetattr(0, TCSANOW, &new1); /* use these new terminal i/o settings now */ +// } +// +// std::string initialCommand; +// +// while(true){ +// if(!inputAvailable()) { +// std::cout << "Waiting for input (Ctrl-C to cancel)..." << std::endl; +// sleep(1); +// } else { +// char c; +// read(0, &c, 1); printf("%d\n", c); +//// std::getline(std::cin, initialCommand); +// } +// } +// + +// char c; +// while (1) { read(0, &c, 1); printf("%d\n", c); } +// while(true){ +// char c = getchar(); +// if(c > 0) +// { +// putchar(c); +// } else { +// putchar('*'); +// sleep(500); +// } +// } + +#ifdef LINUX_SOC + { + + LinuxSoc soc("linux"); + #ifndef DEBUG_PLUGIN_EXTERNAL + soc.withRiscvRef(); + soc.loadBin(EMULATOR, 0x80000000); + soc.loadBin(VMLINUX, 0xC0000000); + soc.loadBin(DTB, 0xC3000000); + soc.loadBin(RAMDISK, 0xC2000000); + #endif + //soc.setIStall(true); + //soc.setDStall(true); + soc.bootAt(0x80000000); + soc.run(0); +// soc.run((496300000l + 2000000) / 2); +// soc.run(438700000l/2); + return -1; + } +#endif + + + + + +// #ifdef MMU +// redo(REDO,WorkspaceRegression("mmu").withRiscvRef()->loadHex("../raw/mmu/build/mmu.hex")->bootAt(0x80000000u)->run(50e3);); +// #endif +// redo(REDO,WorkspaceRegression("deleg").withRiscvRef()->loadHex("../raw/deleg/build/deleg.hex")->bootAt(0x80000000u)->run(50e3);); +// return 0; + + + for(int idx = 0;idx < 1;idx++){ + + #if defined(DEBUG_PLUGIN_EXTERNAL) || defined(RUN_HEX) + { + WorkspaceRegression w("run"); + #ifdef RUN_HEX + //w.loadHex("/home/spinalvm/hdl/zephyr/zephyrSpinalHdl/samples/synchronization/build/zephyr/zephyr.hex"); + w.loadHex(RUN_HEX); + w.withRiscvRef(); + #endif + w.setIStall(false); + w.setDStall(false); + + #if defined(TRACE) || defined(TRACE_ACCESS) + //w.setCyclesPerSecond(5e3); + //printf("Speed reduced 5Khz\n"); + #endif + w.run(0xFFFFFFFFFFFF); + exit(0); + } + #endif + + + #ifdef ISA_TEST + + // redo(REDO,TestA().run();) + for(const string &name : riscvComplianceMain){ + redo(REDO, Compliance(name).run();) + } + for(const string &name : complianceTestMemory){ + redo(REDO, Compliance(name).run();) + } + + #ifdef COMPRESSED + for(const string &name : complianceTestC){ + redo(REDO, Compliance(name).run();) + } + #endif + + #ifdef MUL + for(const string &name : complianceTestMul){ + redo(REDO, Compliance(name).run();) + } + #endif + #ifdef DIV + for(const string &name : complianceTestDiv){ + redo(REDO, Compliance(name).run();) + } + #endif + #if defined(CSR) && !defined(CSR_SKIP_TEST) + for(const string &name : complianceTestCsr){ + redo(REDO, Compliance(name).run();) + } + #endif + + #ifdef FENCEI + redo(REDO, Compliance("I-FENCE.I-01").run();) + #endif + #ifdef EBREAK + redo(REDO, Compliance("I-EBREAK-01").run();) + #endif + + for(const string &name : riscvTestMain){ + redo(REDO,RiscvTest(name).withRiscvRef()->run();) + } + for(const string &name : riscvTestMemory){ + redo(REDO,RiscvTest(name).withRiscvRef()->run();) + } + + + #ifdef MUL + for(const string &name : riscvTestMul){ + redo(REDO,RiscvTest(name).withRiscvRef()->run();) + } + #endif + #ifdef DIV + for(const string &name : riscvTestDiv){ + redo(REDO,RiscvTest(name).withRiscvRef()->run();) + } + #endif + + #ifdef COMPRESSED + redo(REDO,RiscvTest("rv32uc-p-rvc").withRiscvRef()->bootAt(0x800000FCu)->run()); + #endif + + #if defined(CSR) && !defined(CSR_SKIP_TEST) + #ifndef COMPRESSED + uint32_t machineCsrRef[] = {1,11, 2,0x80000003u, 3,0x80000007u, 4,0x8000000bu, 5,6,7,0x80000007u , + 8,6,9,6,10,4,11,4, 12,13,0, 14,2, 15,5,16,17,1 }; + redo(REDO,TestX28("../../cpp/raw/machineCsr/build/machineCsr",machineCsrRef, sizeof(machineCsrRef)/4).withRiscvRef()->setVcdName("machineCsr")->run(10e4);) + #else + uint32_t machineCsrRef[] = {1,11, 2,0x80000003u, 3,0x80000007u, 4,0x8000000bu, 5,6,7,0x80000007u , + 8,6,9,6,10,4,11,4, 12,13, 14,2, 15,5,16,17,1 }; + redo(REDO,TestX28("../../cpp/raw/machineCsr/build/machineCsrCompressed",machineCsrRef, sizeof(machineCsrRef)/4).withRiscvRef()->setVcdName("machineCsrCompressed")->run(10e4);) + #endif + #endif +// #ifdef MMU +// uint32_t mmuRef[] = {1,2,3, 0x11111111, 0x11111111, 0x11111111, 0x22222222, 0x22222222, 0x22222222, 4, 0x11111111, 0x33333333, 0x33333333, 5, +// 13, 0xC4000000,0x33333333, 6,7, +// 1,2,3, 0x11111111, 0x11111111, 0x11111111, 0x22222222, 0x22222222, 0x22222222, 4, 0x11111111, 0x33333333, 0x33333333, 5, +// 13, 0xC4000000,0x33333333, 6,7}; +// redo(REDO,TestX28("mmu",mmuRef, sizeof(mmuRef)/4).noInstructionReadCheck()->run(4e4);) +// #endif + + #ifdef IBUS_CACHED + redo(REDO,WorkspaceRegression("icache").withRiscvRef()->loadHex(string(REGRESSION_PATH) + "../raw/icache/build/icache.hex")->bootAt(0x80000000u)->run(50e3);); + #endif + #ifdef DBUS_CACHED + redo(REDO,WorkspaceRegression("dcache").loadHex(string(REGRESSION_PATH) + "../raw/dcache/build/dcache.hex")->bootAt(0x80000000u)->run(2500e3);); + #endif + + #ifdef MMU + redo(REDO,WorkspaceRegression("mmu").withRiscvRef()->loadHex(string(REGRESSION_PATH) + "../raw/mmu/build/mmu.hex")->bootAt(0x80000000u)->run(50e3);); + #endif + #ifdef SUPERVISOR + redo(REDO,WorkspaceRegression("deleg").withRiscvRef()->loadHex(string(REGRESSION_PATH) + "../raw/deleg/build/deleg.hex")->bootAt(0x80000000u)->run(50e3);); + #endif + + #ifdef DEBUG_PLUGIN + #ifndef CONCURRENT_OS_EXECUTIONS + redo(REDO,DebugPluginTest().run(1e6);); + #endif + #endif + #endif + + #ifdef CUSTOM_SIMD_ADD + redo(REDO,WorkspaceRegression("custom_simd_add").loadHex(string(REGRESSION_PATH) + "../custom/simd_add/build/custom_simd_add.hex")->bootAt(0x00000000u)->run(50e3);); + #endif + + #ifdef CUSTOM_CSR + redo(REDO,WorkspaceRegression("custom_csr").loadHex(string(REGRESSION_PATH) + "../custom/custom_csr/build/custom_csr.hex")->bootAt(0x00000000u)->run(50e3);); + #endif + + + #ifdef LRSC + redo(REDO,WorkspaceRegression("lrsc").withRiscvRef()->loadHex(string(REGRESSION_PATH) + "../raw/lrsc/build/lrsc.hex")->bootAt(0x00000000u)->run(10e3);); + #endif + + #ifdef PMP + redo(REDO,WorkspaceRegression("pmp").loadHex(string(REGRESSION_PATH) + "../raw/pmp/build/pmp.hex")->bootAt(0x80000000u)->run(10e3);); + #endif + + #ifdef AMO + redo(REDO,WorkspaceRegression("amo").withRiscvRef()->loadHex(string(REGRESSION_PATH) + "../raw/amo/build/amo.hex")->bootAt(0x00000000u)->run(10e3);); + #endif + + #ifdef DHRYSTONE + Dhrystone("dhrystoneO3_Stall","dhrystoneO3",true,true).run(1.5e6); + #if defined(COMPRESSED) + Dhrystone("dhrystoneO3C_Stall","dhrystoneO3C",true,true).run(1.5e6); + #endif + #if defined(MUL) && defined(DIV) + Dhrystone("dhrystoneO3M_Stall","dhrystoneO3M",true,true).run(1.9e6); + #if defined(COMPRESSED) + Dhrystone("dhrystoneO3MC_Stall","dhrystoneO3MC",true,true).run(1.9e6); + #endif + #endif + #if defined(COMPRESSED) + Dhrystone("dhrystoneO3C","dhrystoneO3C",false,false).run(1.9e6); + #endif + Dhrystone("dhrystoneO3","dhrystoneO3",false,false).run(1.9e6); + #if defined(MUL) && defined(DIV) + #if defined(COMPRESSED) + Dhrystone("dhrystoneO3MC","dhrystoneO3MC",false,false).run(1.9e6); + #endif + Dhrystone("dhrystoneO3M","dhrystoneO3M",false,false).run(1.9e6); + #endif + #endif + + #ifdef COREMARK + for(int withStall = 1; true ;withStall--){ + string rv = "rv32i"; + #if defined(MUL) && defined(DIV) + rv += "m"; + #endif + #if defined(COMPRESSED) + if(withStall == -2) break; + if(withStall != -1) rv += "c"; + #else + if(withStall == -1) break; + #endif + WorkspaceRegression("coremark_" + rv + (withStall > 0 ? "_stall" : "_nostall")).withRiscvRef() + ->loadBin(string(REGRESSION_PATH) + "../../resources/bin/coremark_" + rv + ".bin", 0x80000000) + ->bootAt(0x80000000) + ->setIStall(withStall > 0) + ->setDStall(withStall > 0) + ->run(50e6); + } + #endif + + + + #ifdef FREERTOS + { + #ifdef SEED + srand48(SEED); + #endif + //redo(1,WorkspaceRegression("freeRTOS_demo").loadHex("../../resources/hex/freeRTOS_demo.hex")->bootAt(0x80000000u)->run(100e6);) + vector > tasks; + + /*for(int redo = 0;redo < 4;redo++)*/{ + for(const string &name : freeRtosTests){ + tasks.push_back([=]() { WorkspaceRegression(name + "_rv32i_O0").withRiscvRef()->loadHex(string(REGRESSION_PATH) + "../../resources/freertos/" + name + "_rv32i_O0.hex")->bootAt(0x80000000u)->run(4e6*15);}); + tasks.push_back([=]() { WorkspaceRegression(name + "_rv32i_O3").withRiscvRef()->loadHex(string(REGRESSION_PATH) + "../../resources/freertos/" + name + "_rv32i_O3.hex")->bootAt(0x80000000u)->run(4e6*15);}); + #ifdef COMPRESSED +// tasks.push_back([=]() { WorkspaceRegression(name + "_rv32ic_O0").withRiscvRef()->loadHex(string(REGRESSION_PATH) + "../../resources/freertos/" + name + "_rv32ic_O0.hex")->bootAt(0x80000000u)->run(5e6*15);}); + tasks.push_back([=]() { WorkspaceRegression(name + "_rv32ic_O3").withRiscvRef()->loadHex(string(REGRESSION_PATH) + "../../resources/freertos/" + name + "_rv32ic_O3.hex")->bootAt(0x80000000u)->run(4e6*15);}); + #endif + #if defined(MUL) && defined(DIV) +// #ifdef COMPRESSED +// tasks.push_back([=]() { WorkspaceRegression(name + "_rv32imac_O3").withRiscvRef()->loadHex(string(REGRESSION_PATH) + "../../resources/freertos/" + name + "_rv32imac_O3.hex")->bootAt(0x80000000u)->run(4e6*15);}); +// #else + tasks.push_back([=]() { WorkspaceRegression(name + "_rv32im_O3").withRiscvRef()->loadHex(string(REGRESSION_PATH) + "../../resources/freertos/" + name + "_rv32im_O3.hex")->bootAt(0x80000000u)->run(4e6*15);}); +// #endif + #endif + } + } + + while(tasks.size() > FREERTOS_COUNT){ + tasks.erase(tasks.begin() + (VL_RANDOM_I_WIDTH(32)%tasks.size())); + } + + + queue > tasksSelected(std::deque>(tasks.begin(), tasks.end())); + multiThreadedExecute(tasksSelected); + } + #endif + + #ifdef ZEPHYR + { + #ifdef SEED + srand48(SEED); + #endif + //redo(1,WorkspaceRegression("freeRTOS_demo").loadHex("../../resources/hex/freeRTOS_demo.hex")->bootAt(0x80000000u)->run(100e6);) + vector > tasks; + + /*for(int redo = 0;redo < 4;redo++)*/{ + for(const string &name : zephyrTests){ + #ifdef COMPRESSED + tasks.push_back([=]() { ZephyrRegression(name + "_rv32ic").withRiscvRef()->loadHex(string(REGRESSION_PATH) + "../../resources/VexRiscvRegressionData/sim/zephyr/" + name + "_rv32ic.hex")->bootAt(0x80000000u)->run(180e6);}); + #else + tasks.push_back([=]() { ZephyrRegression(name + "_rv32i").withRiscvRef()->loadHex(string(REGRESSION_PATH) + "../../resources/VexRiscvRegressionData/sim/zephyr/" + name + "_rv32i.hex")->bootAt(0x80000000u)->run(180e6);}); + #endif + #if defined(MUL) && defined(DIV) + tasks.push_back([=]() { ZephyrRegression(name + "_rv32im").withRiscvRef()->loadHex(string(REGRESSION_PATH) + "../../resources/VexRiscvRegressionData/sim/zephyr/" + name + "_rv32im.hex")->bootAt(0x80000000u)->run(180e6);}); + #endif + } + } + + while(tasks.size() > ZEPHYR_COUNT){ + tasks.erase(tasks.begin() + (VL_RANDOM_I_WIDTH(32)%tasks.size())); + } + + + queue > tasksSelected(std::deque>(tasks.begin(), tasks.end())); + multiThreadedExecute(tasksSelected); + } + #endif + + #if defined(LINUX_REGRESSION) + { + + LinuxRegression soc("linux"); + #ifndef DEBUG_PLUGIN_EXTERNAL + soc.withRiscvRef(); + soc.loadBin(string(REGRESSION_PATH) + EMULATOR, 0x80000000); + soc.loadBin(string(REGRESSION_PATH) + VMLINUX, 0xC0000000); + soc.loadBin(string(REGRESSION_PATH) + DTB, 0xC3000000); + soc.loadBin(string(REGRESSION_PATH) + RAMDISK, 0xC2000000); + #endif + //soc.setIStall(true); + //soc.setDStall(true); + soc.bootAt(0x80000000); + soc.run(153995602l*9); +// soc.run((470000000l + 2000000) / 2); +// soc.run(438700000l/2); + } + #endif + + } + + uint64_t duration = timer_end(startedAt); + cout << endl << "****************************************************************" << endl; + cout << "Had simulate " << Workspace::cycles << " clock cycles in " << duration*1e-9 << " s (" << Workspace::cycles / (duration*1e-6) << " Khz)" << endl; + if(Workspace::successCounter == Workspace::testsCounter) + cout << "REGRESSION SUCCESS " << Workspace::successCounter << "/" << Workspace::testsCounter << endl; + else + cout<< "REGRESSION FAILURE " << Workspace::testsCounter - Workspace::successCounter << "/" << Workspace::testsCounter << endl; + cout << "****************************************************************" << endl << endl; + + + exit(0); +} diff --git a/VexRiscv/src/test/cpp/regression/makefile b/VexRiscv/src/test/cpp/regression/makefile new file mode 100644 index 0000000..b8759c9 --- /dev/null +++ b/VexRiscv/src/test/cpp/regression/makefile @@ -0,0 +1,345 @@ +DEBUG?=no +REGRESSION_PATH?=./ +VEXRISCV_FILE?=../../../../VexRiscv.v +IBUS?=CACHED +IBUS_TC?=no +IBUS_DATA_WIDTH?=32 +DBUS?=CACHED +DBUS_LOAD_DATA_WIDTH?=32 +DBUS_STORE_DATA_WIDTH?=32 +TRACE?=no +TRACE_ACCESS?=no +TRACE_START=0 +TRACE_SPORADIC?=no +ISA_TEST?=yes +MUL?=yes +DIV?=yes +RVF?=no +RVD?=no +CSR?=yes +CSR_SKIP_TEST?=no +EBREAK?=no +FENCEI?=no +MMU?=yes +DBUS_EXCLUSIVE?=no +DBUS_INVALIDATE?=no +PMP?=no +SEED?=no +LRSC?=no +AMO?=no +NO_STALL?=no +DEBUG_PLUGIN?=STD +DEBUG_PLUGIN_EXTERNAL?=no +RUN_HEX=no +WITH_RISCV_REF=yes +CUSTOM_SIMD_ADD?=no +CUSTOM_CSR?=no +DHRYSTONE=yes +FREERTOS?=no +ZEPHYR?=no +REDO?=10 +REF=no +TRACE_WITH_TIME=no +REF_TIME=no +THREAD_COUNT?=$(shell nproc) +MTIME_INSTR_FACTOR?=no +COMPRESSED?=no +SUPERVISOR?=no +STOP_ON_ERROR?=no +COREMARK=no +WITH_USER_IO?=no + + +ADDCFLAGS += -CFLAGS -DREGRESSION_PATH='\"$(REGRESSION_PATH)/\"' +ADDCFLAGS += -CFLAGS -DIBUS_${IBUS} +ADDCFLAGS += -CFLAGS -DIBUS_DATA_WIDTH=${IBUS_DATA_WIDTH} +ADDCFLAGS += -CFLAGS -DDBUS_LOAD_DATA_WIDTH=${DBUS_LOAD_DATA_WIDTH} +ADDCFLAGS += -CFLAGS -DDBUS_STORE_DATA_WIDTH=${DBUS_STORE_DATA_WIDTH} + +ADDCFLAGS += -CFLAGS -DDBUS_${DBUS} +ADDCFLAGS += -CFLAGS -DREDO=${REDO} +ADDCFLAGS += -CFLAGS -pthread +ADDCFLAGS += -CFLAGS -Wno-unused-result + + + +ADDCFLAGS += -CFLAGS -DTHREAD_COUNT=${THREAD_COUNT} + +ifeq ($(DEBUG),yes) + ADDCFLAGS += -CFLAGS -O0 -CFLAGS -g +else + ADDCFLAGS += -CFLAGS -O3 -O3 +endif + +ifeq ($(CONCURRENT_OS_EXECUTIONS),yes) + ADDCFLAGS += -CFLAGS -DCONCURRENT_OS_EXECUTIONS +endif + +ifeq ($(LITEX),yes) + ADDCFLAGS += -CFLAGS -DLITEX + ADDCFLAGS += -CFLAGS -DVMLINUX='\"$(VMLINUX)\"' + ADDCFLAGS += -CFLAGS -DDTB='\"$(DTB)\"' + ADDCFLAGS += -CFLAGS -DRAMDISK='\"$(RAMDISK)\"' + ADDCFLAGS += -CFLAGS -DEMULATOR='\"$(EMULATOR)\"' +endif + +ifeq ($(LINUX_SOC),yes) + ADDCFLAGS += -CFLAGS -DLINUX_SOC + ADDCFLAGS += -CFLAGS -DVMLINUX='\"$(VMLINUX)\"' + ADDCFLAGS += -CFLAGS -DDTB='\"$(DTB)\"' + ADDCFLAGS += -CFLAGS -DRAMDISK='\"$(RAMDISK)\"' + ADDCFLAGS += -CFLAGS -DEMULATOR='\"$(EMULATOR)\"' +endif + +ifeq ($(LINUX_SOC_SMP),yes) + ADDCFLAGS += -CFLAGS -DLINUX_SOC_SMP + ADDCFLAGS += -CFLAGS -DVMLINUX='\"$(VMLINUX)\"' + ADDCFLAGS += -CFLAGS -DDTB='\"$(DTB)\"' + ADDCFLAGS += -CFLAGS -DRAMDISK='\"$(RAMDISK)\"' + ADDCFLAGS += -CFLAGS -DEMULATOR='\"$(EMULATOR)\"' +endif + + +ARCH_LINUX=rv32i +ifeq ($(MUL),yes) +ifeq ($(DIV),yes) +ARCH_LINUX:=$(ARCH_LINUX)m +endif +endif +ARCH_LINUX:=$(ARCH_LINUX)a +ifeq ($(COMPRESSED),yes) +ARCH_LINUX:=$(ARCH_LINUX)c +endif + +ifeq ($(LINUX_REGRESSION),yes) +ifneq ($(ARCH_LINUX),rv32iac) +ifneq ($(ARCH_LINUX),rv32ia) + ADDCFLAGS += -CFLAGS -DLINUX_REGRESSION + ADDCFLAGS += -CFLAGS -DARCH_LINUX='\"$(ARCH_LINUX)\"' + ADDCFLAGS += -CFLAGS -DVMLINUX='\"../../resources/VexRiscvRegressionData/sim/linux/$(ARCH_LINUX)/Image\"' + ADDCFLAGS += -CFLAGS -DDTB='\"../../resources/VexRiscvRegressionData/sim/linux/$(ARCH_LINUX)/rv32.dtb\"' + ADDCFLAGS += -CFLAGS -DRAMDISK='\"../../resources/VexRiscvRegressionData/sim/linux/$(ARCH_LINUX)/rootfs.cpio\"' + ADDCFLAGS += -CFLAGS -DEMULATOR='\"../../resources/VexRiscvRegressionData/sim/linux/emulator/emulator.bin\"' +endif +endif +endif + + +ifeq ($(FLOW_INFO),yes) + ADDCFLAGS += -CFLAGS -DFLOW_INFO +endif + + +ifeq ($(COREMARK),yes) + ADDCFLAGS += -CFLAGS -DCOREMARK +endif + +ifeq ($(WITH_RISCV_REF),yes) + ADDCFLAGS += -CFLAGS -DWITH_RISCV_REF +endif + + + +ifneq ($(shell grep timerInterrupt ${VEXRISCV_FILE} -w),) + ADDCFLAGS += -CFLAGS -DTIMER_INTERRUPT +endif + +ifneq ($(shell grep externalInterrupt ${VEXRISCV_FILE} -w),) +ifneq ($(EXTERNAL_INTERRUPT),no) + ADDCFLAGS += -CFLAGS -DEXTERNAL_INTERRUPT +endif +endif + +ifneq ($(shell grep utime ${VEXRISCV_FILE} -w),) + ADDCFLAGS += -CFLAGS -DUTIME_INPUT +endif + +ifneq ($(shell grep dBus_rsp_payload_aggregated ${VEXRISCV_FILE} -w),) + ADDCFLAGS += -CFLAGS -DDBUS_AGGREGATION +endif + + +ifneq ($(RUN_HEX),no) + ADDCFLAGS += -CFLAGS -DRUN_HEX='\"$(RUN_HEX)\"' +endif + + +ifeq ($(IBUS_TC),yes) + ADDCFLAGS += -CFLAGS -DIBUS_TC=yes +endif +ifeq ($(WITH_USER_IO),yes) + ADDCFLAGS += -CFLAGS -DWITH_USER_IO=yes +endif + + +ifeq ($(COMPRESSED),yes) + ADDCFLAGS += -CFLAGS -DCOMPRESSED +endif +ifeq ($(SUPERVISOR),yes) + ADDCFLAGS += -CFLAGS -DSUPERVISOR +endif +ifeq ($(FENCEI),yes) + ADDCFLAGS += -CFLAGS -DFENCEI +endif + +ifeq ($(EBREAK),yes) + ADDCFLAGS += -CFLAGS -DEBREAK +endif + + +ifeq ($(DHRYSTONE),yes) + ADDCFLAGS += -CFLAGS -DDHRYSTONE +endif + +ifeq ($(STOP_ON_ERROR),yes) + ADDCFLAGS += -CFLAGS -DSTOP_ON_ERROR +endif + + +ifeq ($(NO_STALL),yes) + ADDCFLAGS += -CFLAGS -DSTALL=0 +else + ADDCFLAGS += -CFLAGS -DSTALL=1 +endif + +ifneq ($(MTIME_INSTR_FACTOR),no) + ADDCFLAGS += -CFLAGS -DMTIME_INSTR_FACTOR=${MTIME_INSTR_FACTOR} +endif + +ifneq ($(SEED),no) + ADDCFLAGS += -CFLAGS -DSEED=${SEED} +endif + +ifeq ($(TRACE),yes) + VERILATOR_ARGS += --trace-fst + ADDCFLAGS += -CFLAGS -DTRACE +endif + +ifeq ($(TRACE_SPORADIC),yes) + ADDCFLAGS += -CFLAGS -DTRACE_SPORADIC +endif + + + +ifeq ($(CSR),yes) + ADDCFLAGS += -CFLAGS -DCSR +endif + +ifeq ($(CSR_SKIP_TEST),yes) + ADDCFLAGS += -CFLAGS -DCSR_SKIP_TEST +endif + + +ifeq ($(LRSC),yes) + ADDCFLAGS += -CFLAGS -DLRSC +endif + +ifeq ($(AMO),yes) + ADDCFLAGS += -CFLAGS -DAMO +endif + +ifeq ($(CUSTOM_SIMD_ADD),yes) + ADDCFLAGS += -CFLAGS -DCUSTOM_SIMD_ADD +endif + +ifeq ($(CUSTOM_CSR),yes) + ADDCFLAGS += -CFLAGS -DCUSTOM_CSR +endif + +ifeq ($(TRACE_WITH_TIME),yes) + ADDCFLAGS += -CFLAGS -DTRACE_WITH_TIME +endif + +ifeq ($(REF_TIME),yes) + ADDCFLAGS += -CFLAGS -DREF_TIME +endif + +ifeq ($(ISA_TEST),yes) + ADDCFLAGS += -CFLAGS -DISA_TEST +endif + +ifeq ($(MMU),yes) + ADDCFLAGS += -CFLAGS -DMMU +endif + +ifeq ($(DBUS_EXCLUSIVE),yes) + ADDCFLAGS += -CFLAGS -DDBUS_EXCLUSIVE +endif +ifeq ($(DBUS_INVALIDATE),yes) + ADDCFLAGS += -CFLAGS -DDBUS_INVALIDATE +endif + +ifeq ($(PMP),yes) + ADDCFLAGS += -CFLAGS -DPMP +endif + +ifeq ($(MUL),yes) + ADDCFLAGS += -CFLAGS -DMUL +endif + +ifeq ($(RVF),yes) + ADDCFLAGS += -CFLAGS -DRVF +endif + +ifeq ($(RVD),yes) + ADDCFLAGS += -CFLAGS -DRVD +endif + +ifeq ($(DIV),yes) + ADDCFLAGS += -CFLAGS -DDIV +endif + +ifeq ($(TRACE_ACCESS),yes) + ADDCFLAGS += -CFLAGS -DTRACE_ACCESS +endif + +ifneq ($(DEBUG_PLUGIN),no) + ADDCFLAGS += -CFLAGS -DDEBUG_PLUGIN + ADDCFLAGS += -CFLAGS -DDEBUG_PLUGIN_${DEBUG_PLUGIN} +endif + +ifeq ($(DEBUG_PLUGIN_EXTERNAL),yes) + ADDCFLAGS += -CFLAGS -DDEBUG_PLUGIN_EXTERNAL +endif + +ifeq ($(REF),yes) + ADDCFLAGS += -CFLAGS -DREF +endif + +ADDCFLAGS += -CFLAGS -DTRACE_START=${TRACE_START} +ifeq ($(FREERTOS),yes) + ADDCFLAGS += -CFLAGS -DFREERTOS + ADDCFLAGS += -CFLAGS -DFREERTOS_COUNT=99999 +else +ifneq ($(FREERTOS),no) + ADDCFLAGS += -CFLAGS -DFREERTOS + ADDCFLAGS += -CFLAGS -DFREERTOS_COUNT=$(FREERTOS) +endif +endif + + +ifeq ($(ZEPHYR),yes) + ADDCFLAGS += -CFLAGS -DZEPHYR + ADDCFLAGS += -CFLAGS -DZEPHYR_COUNT=99999 +else +ifneq ($(ZEPHYR),no) + ADDCFLAGS += -CFLAGS -DZEPHYR + ADDCFLAGS += -CFLAGS -DZEPHYR_COUNT=$(ZEPHYR) +endif +endif + +all: clean run + +run: compile + ./obj_dir/VVexRiscv + +verilate: ${VEXRISCV_FILE} + cp ${VEXRISCV_FILE}*.bin . | true + verilator -cc ${VEXRISCV_FILE} -O3 -CFLAGS -std=c++11 -LDFLAGS -pthread ${ADDCFLAGS} --gdbbt ${VERILATOR_ARGS} -Wno-UNOPTFLAT -Wno-WIDTH --x-assign unique --exe main.cpp + +compile: verilate + make -j${THREAD_COUNT} -C obj_dir/ -f VVexRiscv.mk VVexRiscv + +clean: + rm -rf obj_dir + diff --git a/VexRiscv/src/test/cpp/regression/prediction.gtkw b/VexRiscv/src/test/cpp/regression/prediction.gtkw new file mode 100644 index 0000000..8abfdd9 --- /dev/null +++ b/VexRiscv/src/test/cpp/regression/prediction.gtkw @@ -0,0 +1,43 @@ +[*] +[*] GTKWave Analyzer v3.3.58 (w)1999-2014 BSI +[*] Mon Jan 29 13:38:19 2018 +[*] +[dumpfile] "/home/spinalvm/hdl/VexRiscv/src/test/cpp/regression/dhrystoneO3M.vcd" +[dumpfile_mtime] "Mon Jan 29 13:37:19 2018" +[dumpfile_size] 1215443558 +[savefile] "/home/spinalvm/hdl/VexRiscv/src/test/cpp/regression/prediction.gtkw" +[timestart] 127017 +[size] 1784 950 +[pos] -383 -155 +*-3.000000 127032 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] TOP. +[sst_width] 400 +[signals_width] 583 +[sst_expanded] 1 +[sst_vpaned_height] 492 +@28 +TOP.VexRiscv.iBus_cmd_valid +TOP.VexRiscv.decode_arbitration_flushAll +TOP.VexRiscv.execute_arbitration_flushAll +TOP.VexRiscv.fetch_arbitration_flushAll +TOP.VexRiscv.memory_arbitration_flushAll +TOP.VexRiscv.prefetch_arbitration_flushAll +TOP.VexRiscv.writeBack_arbitration_flushAll +TOP.VexRiscv.execute_BranchPlugin_predictionMissmatch +TOP.VexRiscv.execute_PREDICTION2_confidence[1:0] +@22 +TOP.VexRiscv.execute_PREDICTION2_source[18:0] +TOP.VexRiscv.execute_PREDICTION2_target[31:0] +@28 +TOP.VexRiscv.execute_PREDICTION_HIT2 +TOP.VexRiscv.execute_PREDICTION_WRITE_HAZARD2 +@23 +TOP.VexRiscv.execute_PC[31:0] +@28 +TOP.VexRiscv.prefetch_PcManagerSimplePlugin_jump_pcLoad_valid +@22 +TOP.VexRiscv.prefetch_PcManagerSimplePlugin_jump_pcLoad_payload[31:0] +@29 +TOP.VexRiscv.execute_arbitration_isFiring +[pattern_trace] 1 +[pattern_trace] 0 diff --git a/VexRiscv/src/test/cpp/regression/refDiff.gtkw b/VexRiscv/src/test/cpp/regression/refDiff.gtkw new file mode 100644 index 0000000..5be0db4 --- /dev/null +++ b/VexRiscv/src/test/cpp/regression/refDiff.gtkw @@ -0,0 +1,40 @@ +[*] +[*] GTKWave Analyzer v3.3.58 (w)1999-2014 BSI +[*] Fri Mar 17 18:05:14 2017 +[*] +[dumpfile] "/home/spinalvm/Spinal/VexRiscv/src/test/cpp/testA/DhrystoneRef.vcd" +[dumpfile_mtime] "Fri Mar 17 18:03:52 2017" +[dumpfile_size] 1483111421 +[savefile] "/home/spinalvm/Spinal/VexRiscv/src/test/cpp/testA/refDiff.gtkw" +[timestart] 36700 +[size] 1774 451 +[pos] -775 -353 +*-2.000000 36713 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] TOP. +[treeopen] TOP.VexRiscv. +[sst_width] 201 +[signals_width] 583 +[sst_expanded] 1 +[sst_vpaned_height] 68 +@22 +TOP.VexRiscv.writeBack_RegFilePlugin_regFileWrite_payload_address[4:0] +@24 +TOP.VexRiscv.writeBack_RegFilePlugin_regFileWrite_payload_data[31:0] +@28 +TOP.VexRiscv.writeBack_RegFilePlugin_regFileWrite_valid +TOP.VexRiscv.writeBack_arbitration_isValid +TOP.VexRiscv.clk +@22 +TOP.VexRiscv.core.writeBack_inInst_payload_instruction[31:0] +TOP.VexRiscv.core.writeBack_inInst_payload_pcPlus4[31:0] +TOP.dCmd_payload_address[31:0] +TOP.dCmd_payload_data[31:0] +@28 +TOP.dCmd_payload_size[1:0] +TOP.dCmd_payload_wr +TOP.dCmd_ready +TOP.dCmd_valid +@25 +TOP.dRsp_data[31:0] +[pattern_trace] 1 +[pattern_trace] 0 diff --git a/VexRiscv/src/test/cpp/regression/wrongDiff.gtkw b/VexRiscv/src/test/cpp/regression/wrongDiff.gtkw new file mode 100644 index 0000000..29d111c --- /dev/null +++ b/VexRiscv/src/test/cpp/regression/wrongDiff.gtkw @@ -0,0 +1,62 @@ +[*] +[*] GTKWave Analyzer v3.3.58 (w)1999-2014 BSI +[*] Sat Mar 18 09:49:22 2017 +[*] +[dumpfile] "/home/spinalvm/Spinal/VexRiscv/src/test/cpp/testA/DhrystoneWrong.vcd" +[dumpfile_mtime] "Sat Mar 18 08:08:53 2017" +[dumpfile_size] 1450277049 +[savefile] "/home/spinalvm/Spinal/VexRiscv/src/test/cpp/testA/wrongDiff.gtkw" +[timestart] 37402 +[size] 1774 476 +[pos] -1 475 +*-2.000000 37407 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] TOP. +[treeopen] TOP.VexRiscv. +[sst_width] 201 +[signals_width] 583 +[sst_expanded] 1 +[sst_vpaned_height] 112 +@28 +TOP.VexRiscv.fetch_arbitration_isStuck +TOP.VexRiscv.decode_arbitration_isStuck +TOP.VexRiscv.execute_arbitration_isStuck +TOP.VexRiscv.memory_arbitration_isStuck +TOP.VexRiscv.writeBack_arbitration_isStuck +TOP.VexRiscv.prefetch_arbitration_isValid +TOP.VexRiscv.fetch_arbitration_isValid +@29 +TOP.VexRiscv.decode_arbitration_isValid +@28 +TOP.VexRiscv.execute_arbitration_isValid +TOP.VexRiscv.memory_arbitration_isValid +TOP.VexRiscv.writeBack_arbitration_isValid +@22 +TOP.VexRiscv.writeBack_input_PC[31:0] +TOP.VexRiscv.writeBack_RegFilePlugin_regFileWrite_payload_address[4:0] +@24 +TOP.VexRiscv.writeBack_RegFilePlugin_regFileWrite_payload_data[31:0] +@28 +TOP.VexRiscv.writeBack_RegFilePlugin_regFileWrite_valid +TOP.VexRiscv.writeBack_arbitration_isValid +TOP.VexRiscv.clk +TOP.dCmd_valid +TOP.dCmd_ready +TOP.dCmd_payload_wr +TOP.dCmd_payload_size[1:0] +@22 +TOP.dCmd_payload_address[31:0] +TOP.dCmd_payload_data[31:0] +@24 +TOP.dRsp_data[31:0] +@22 +TOP.VexRiscv.execute_input_PC[31:0] +TOP.VexRiscv.execute_input_INSTRUCTION[31:0] +@28 +TOP.VexRiscv.fetch_arbitration_removeIt +TOP.VexRiscv.decode_arbitration_removeIt +TOP.VexRiscv.execute_arbitration_removeIt +TOP.VexRiscv.memory_arbitration_removeIt +TOP.VexRiscv.writeBack_arbitration_removeIt +TOP.VexRiscv.execute_arbitration_isValid +[pattern_trace] 1 +[pattern_trace] 0 diff --git a/VexRiscv/src/test/cpp/regression/yolo.gtkw b/VexRiscv/src/test/cpp/regression/yolo.gtkw new file mode 100644 index 0000000..66b5bbc --- /dev/null +++ b/VexRiscv/src/test/cpp/regression/yolo.gtkw @@ -0,0 +1,84 @@ +[*] +[*] GTKWave Analyzer v3.3.58 (w)1999-2014 BSI +[*] Tue Mar 14 21:27:40 2017 +[*] +[dumpfile] "/home/spinalvm/Spinal/VexRiscv/src/test/cpp/testA/rv32ui-p-lw.vcd" +[dumpfile_mtime] "Tue Mar 14 21:24:45 2017" +[dumpfile_size] 1017741 +[savefile] "/home/spinalvm/Spinal/VexRiscv/src/test/cpp/testA/yolo.gtkw" +[timestart] 41 +[size] 1776 953 +[pos] -1 -1 +*-1.801840 49 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] TOP. +[sst_width] 418 +[signals_width] 559 +[sst_expanded] 1 +[sst_vpaned_height] 279 +@28 +TOP.clk +TOP.dCmd_valid +TOP.dCmd_ready +TOP.dCmd_payload_wr +@22 +TOP.dCmd_payload_address[31:0] +TOP.dCmd_payload_data[31:0] +@28 +TOP.dCmd_payload_size[1:0] +@23 +TOP.dRsp_data[31:0] +@22 +TOP.iCmd_payload_pc[31:0] +@28 +TOP.iCmd_ready +TOP.iCmd_valid +@22 +TOP.iRsp_inst[31:0] +@28 +TOP.reset +TOP.clk +TOP.iCmd_valid +@22 +TOP.iCmd_payload_pc[31:0] +@28 +TOP.iCmd_ready +@22 +TOP.iRsp_inst[31:0] +@28 +TOP.reset +TOP.VexRiscv.writeBack_arbitration_isValid +@22 +TOP.VexRiscv.writeBack_input_INSTRUCTION[31:0] +TOP.VexRiscv.writeBack_input_PC[31:0] +@28 +TOP.VexRiscv.writeBack_RegFilePlugin_regFileWrite_valid +@22 +TOP.VexRiscv.writeBack_RegFilePlugin_regFileWrite_payload_address[4:0] +TOP.VexRiscv.writeBack_RegFilePlugin_regFileWrite_payload_data[31:0] +@28 +TOP.VexRiscv.prefetch_arbitration_isValid +TOP.VexRiscv.fetch_arbitration_isValid +TOP.VexRiscv.decode_arbitration_isValid +TOP.VexRiscv.execute_arbitration_isValid +TOP.VexRiscv.memory_arbitration_isValid +TOP.VexRiscv.writeBack_arbitration_isValid +TOP.VexRiscv.prefetch_arbitration_isStuck +TOP.VexRiscv.fetch_arbitration_isStuck +TOP.VexRiscv.decode_arbitration_isStuck +TOP.VexRiscv.execute_arbitration_isStuck +TOP.VexRiscv.memory_arbitration_isStuck +TOP.VexRiscv.writeBack_arbitration_isStuck +@22 +TOP.VexRiscv.prefetch_input_PC[31:0] +TOP.VexRiscv.fetch_input_PC[31:0] +TOP.VexRiscv.decode_input_PC[31:0] +TOP.VexRiscv.execute_input_PC[31:0] +TOP.VexRiscv.memory_input_PC[31:0] +TOP.VexRiscv.writeBack_input_PC[31:0] +TOP.VexRiscv.fetch_input_INSTRUCTION[31:0] +TOP.VexRiscv.decode_input_INSTRUCTION[31:0] +TOP.VexRiscv.execute_input_INSTRUCTION[31:0] +TOP.VexRiscv.memory_input_INSTRUCTION[31:0] +TOP.VexRiscv.writeBack_input_INSTRUCTION[31:0] +[pattern_trace] 1 +[pattern_trace] 0 diff --git a/VexRiscv/src/test/java/vexriscv/ip/fpu/FpuMath.java b/VexRiscv/src/test/java/vexriscv/ip/fpu/FpuMath.java new file mode 100644 index 0000000..51f9502 --- /dev/null +++ b/VexRiscv/src/test/java/vexriscv/ip/fpu/FpuMath.java @@ -0,0 +1,15 @@ +package vexriscv.ip.fpu; + +import java.io.File; + +public class FpuMath { + public native float addF32(float a, float b, int rounding); + public native float mulF32(float a, float b, int rounding); + public native int mulFlagF32(float a, float b, int rounding); + public native float d2f(double a, int rounding); + public native int d2fFlag(double a, int rounding); + + static{ + System.load(new File("src/test/cpp/fpu/math/fpu_math.so").getAbsolutePath()); + } +} \ No newline at end of file diff --git a/VexRiscv/src/test/python/gcloud/.gitignore b/VexRiscv/src/test/python/gcloud/.gitignore new file mode 100644 index 0000000..9622174 --- /dev/null +++ b/VexRiscv/src/test/python/gcloud/.gitignore @@ -0,0 +1,2 @@ +/gcloud.pyc +*.tar.gz diff --git a/VexRiscv/src/test/python/gcloud/gcloud.py b/VexRiscv/src/test/python/gcloud/gcloud.py new file mode 100644 index 0000000..4641124 --- /dev/null +++ b/VexRiscv/src/test/python/gcloud/gcloud.py @@ -0,0 +1,54 @@ +#!/usr/bin/env python + +from os import system +from sys import argv +import time + +class GCInstance: + def __init__(self, name): + self.instance = name + self.project = "ivory-infusion-209508" + self.zone = "europe-west1-b" + + def local(self, cmd): + print(cmd) + system(cmd) + + def createCustom(self, cores=1, ram=1024): + self.create("custom-{}-{}".format(cores,ram)) + + #n1-highcpu-8 + def create(self, machine="f1-micro", args = "--preemptible"): + self.delete() + self.local('gcloud beta compute --project=ivory-infusion-209508 instances create {} --zone=europe-west1-b --machine-type={} --subnet=default --network-tier=PREMIUM --no-restart-on-failure --maintenance-policy=TERMINATE {} --service-account=470010940365-compute@developer.gserviceaccount.com --scopes=https://www.googleapis.com/auth/devstorage.read_only,https://www.googleapis.com/auth/logging.write,https://www.googleapis.com/auth/monitoring.write,https://www.googleapis.com/auth/servicecontrol,https://www.googleapis.com/auth/service.management.readonly,https://www.googleapis.com/auth/trace.append --disk=name=miaou,device-name=miaou,mode=rw,boot=yes'.format(self.instance, machine, args)) + + + def stopScript(self, script): + self.local('gcloud compute --project {} instances add-metadata {} --metadata-from-file shutdown-script={} --zone "{}"'.format(self.project, self.instance, script, self.zone)) + + def start(self): + self.local('gcloud compute --project "{}" instances start --zone "{}" "{}"'.format(self.project, self.zone, self.instance)) # --machine-type=f1-micro + time.sleep(60) + + + def stopHours(self, hours): + self.remote('sudo shutdown -P +{}'.format(int(hours*60))) + + def stop(self): + self.remote('sudo shutdown -P now') + + def delete(self): + self.local('gcloud compute --project "{}" instances delete "{}" --zone "{}" --keep-disks all --quiet'.format(self.project, self.instance, self.zone)) + + def remote(self, cmd): + self.local('gcloud compute --project "{}" ssh --zone "{}" "{}" -- "{}"'.format(self.project, self.zone, self.instance, cmd)) + + def localToRemote(self, source, target): + self.remote("rm -rf {}".format(target)) + self.local('gcloud compute --project "{}" scp --zone "{}" {} {}:{}'.format(self.project, self.zone, source, self.instance, target)) + + def remoteToLocal(self, source, target): + self.remote("rm -rf {}".format(target)) + self.local('gcloud compute --project "{}" scp --zone "{}" {}:{} {}'.format(self.project, self.zone, self.instance, source, target)) + +#setsid nohup (sbt test;sudo poweroff) &> sbtTest.txt diff --git a/VexRiscv/src/test/python/gcloud/makefile b/VexRiscv/src/test/python/gcloud/makefile new file mode 100644 index 0000000..e69de29 diff --git a/VexRiscv/src/test/python/gcloud/remotePull.py b/VexRiscv/src/test/python/gcloud/remotePull.py new file mode 100755 index 0000000..7160579 --- /dev/null +++ b/VexRiscv/src/test/python/gcloud/remotePull.py @@ -0,0 +1,10 @@ +#!/usr/bin/env python + +from gcloud import GCInstance + +gci = GCInstance("vexriscv") +gci.create() +gci.start() +gci.remoteToLocal("run.txt","run.txt") +gci.stop() +gci.delete() diff --git a/VexRiscv/src/test/python/gcloud/remoteTest.py b/VexRiscv/src/test/python/gcloud/remoteTest.py new file mode 100755 index 0000000..37bd029 --- /dev/null +++ b/VexRiscv/src/test/python/gcloud/remoteTest.py @@ -0,0 +1,22 @@ +#!/usr/bin/env python + +from os import system +from sys import argv + +from gcloud import GCInstance + +gci = GCInstance("vexriscv") +#gci.create("n1-standard-1") +gci.create("n1-highcpu-8") +gci.start() +gci.stopHours(20) +gci.stopScript("src/test/python/gcloud/stopScript.sh") + +gci.local("rm -rf archive.tar.gz; git ls-files -z | xargs -0 tar -czf archive.tar.gz") +gci.localToRemote("archive.tar.gz", "") +gci.local("cd ../SpinalHDL; rm -rf spinal.tar.gz; git ls-files -z | xargs -0 tar -czf spinal.tar.gz") +gci.localToRemote("../SpinalHDL/spinal.tar.gz", "") +gci.localToRemote("src/test/python/gcloud/run.sh", "") +gci.remote("rm -rf run.txt; setsid nohup sh run.sh &> run.txt") + +#setsid nohup (sbt test;sudo poweroff) &> sbtTest.txt diff --git a/VexRiscv/src/test/python/gcloud/run.sh b/VexRiscv/src/test/python/gcloud/run.sh new file mode 100644 index 0000000..02c17f9 --- /dev/null +++ b/VexRiscv/src/test/python/gcloud/run.sh @@ -0,0 +1,24 @@ +rm -rf sbtTest.txt +rm -rf VexRiscv +rm -rf SpinalHDL +#git clone https://github.com/SpinalHDL/SpinalHDL.git -b dev +mkdir SpinalHDL +tar -xzf spinal.tar.gz -C SpinalHDL +mkdir VexRiscv +tar -xzf archive.tar.gz -C VexRiscv +cd VexRiscv +sudo git init +sudo git add * +sudo git commit -m miaou +export VEXRISCV_REGRESSION_CONFIG_COUNT=128 +export VEXRISCV_REGRESSION_FREERTOS_COUNT=30 +sbt test +cd .. + +#sudo apt-get install mailutils + https://cloud.google.com/compute/docs/tutorials/sending-mail/using-mailgun +echo "Miaou" | mail -s "VexRiscv cloud" charles.papon.90@gmail.com -A run.txt +sleep 15 + +sudo shutdown -P now + + diff --git a/VexRiscv/src/test/python/gcloud/stopScript.sh b/VexRiscv/src/test/python/gcloud/stopScript.sh new file mode 100755 index 0000000..e3c6ea9 --- /dev/null +++ b/VexRiscv/src/test/python/gcloud/stopScript.sh @@ -0,0 +1,4 @@ +#! /bin/bash + +echo "preempted :(" | mail -s "VexRiscv cloud" charles.papon.90@gmail.com -A /home/spinalvm/run.txt +sleep 10 diff --git a/VexRiscv/src/test/python/gcloud/try.py b/VexRiscv/src/test/python/gcloud/try.py new file mode 100755 index 0000000..650beb4 --- /dev/null +++ b/VexRiscv/src/test/python/gcloud/try.py @@ -0,0 +1,27 @@ +#!/usr/bin/env python + +from os import system +from sys import argv + +project = "ivory-infusion-209508" +zone = "europe-west1-b" +instance = "miaou" + +def local(cmd): + print(cmd) + system(cmd) + +def remote(cmd): + cmd = 'gcloud compute --project "{}" ssh --zone "{}" "{}" -- "{}"'.format(project, zone, instance, cmd) + print(cmd) + system(cmd) + +def localToRemote(source, target): + remote("rm -rf target") + cmd = 'gcloud compute --project "{}" scp --zone "{}" {} {}:{}'.format(project, zone, source, instance, target) + print(cmd) + system(cmd) + +#local("sbt test &") +local("python -c 'from os import system; system(\"(sbt test >> sbtTest.txt) &\")'") +#python -c 'from os import system; system("sbt test")' & diff --git a/VexRiscv/src/test/python/tool/.gitignore b/VexRiscv/src/test/python/tool/.gitignore new file mode 100644 index 0000000..f5d70ab --- /dev/null +++ b/VexRiscv/src/test/python/tool/.gitignore @@ -0,0 +1 @@ +/disasm.s diff --git a/VexRiscv/src/test/python/tool/hexToAsm.py b/VexRiscv/src/test/python/tool/hexToAsm.py new file mode 100755 index 0000000..676c202 --- /dev/null +++ b/VexRiscv/src/test/python/tool/hexToAsm.py @@ -0,0 +1,11 @@ +#!/usr/bin/env python3 + +from os import system +from sys import argv + +with open("disasm.s", "w") as f: + instr = int(argv[1], 16) + print(".word 0x%04x" % (instr), file=f) + +system("riscv64-unknown-elf-gcc -c disasm.s") +system("riscv64-unknown-elf-objdump -d -M numeric,no-aliases disasm.o") diff --git a/VexRiscv/src/test/resources/.gitignore b/VexRiscv/src/test/resources/.gitignore new file mode 100644 index 0000000..38afe6e --- /dev/null +++ b/VexRiscv/src/test/resources/.gitignore @@ -0,0 +1 @@ +!bin diff --git a/VexRiscv/src/test/resources/asm/C.ADD.elf.objdump b/VexRiscv/src/test/resources/asm/C.ADD.elf.objdump new file mode 100644 index 0000000..32ee1ad --- /dev/null +++ b/VexRiscv/src/test/resources/asm/C.ADD.elf.objdump @@ -0,0 +1,334 @@ + +/home/spinalvm/hdl/riscv-compliance/work//C.ADD.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 0001 nop +80000002: 0001 nop +80000004: 0001 nop +80000006: 0001 nop +80000008: 0001 nop +8000000a: 0001 nop +8000000c: 0001 nop +8000000e: 0001 nop +80000010: 0001 nop +80000012: 0001 nop +80000014: 0001 nop +80000016: 0001 nop +80000018: 0001 nop +8000001a: 0001 nop +8000001c: 0001 nop +8000001e: 0001 nop +80000020: 0001 nop +80000022: 0001 nop +80000024: 0001 nop +80000026: 0001 nop +80000028: 0001 nop +8000002a: 0001 nop +8000002c: 0001 nop +8000002e: 0001 nop +80000030: 0001 nop +80000032: 0001 nop +80000034: 0001 nop +80000036: 0001 nop +80000038: 0001 nop +8000003a: 0001 nop +8000003c: 0001 nop +8000003e: 0001 nop +80000040: 0001 nop +80000042: 0001 nop +80000044: 0001 nop +80000046: 0001 nop +80000048: 0001 nop +8000004a: 0001 nop +8000004c: 0001 nop +8000004e: 0001 nop +80000050: 0001 nop +80000052: 0001 nop +80000054: 0001 nop +80000056: 0001 nop +80000058: 0001 nop +8000005a: 0001 nop +8000005c: 0001 nop +8000005e: 0001 nop +80000060: 0001 nop +80000062: 0001 nop +80000064: 0001 nop +80000066: 0001 nop +80000068: 0001 nop +8000006a: 0001 nop +8000006c: 0001 nop +8000006e: 0001 nop +80000070: 0001 nop +80000072: 0001 nop +80000074: 0001 nop +80000076: 0001 nop +80000078: 0001 nop +8000007a: 0001 nop +8000007c: 0001 nop +8000007e: 0001 nop +80000080: 0001 nop +80000082: 0001 nop +80000084: 0001 nop +80000086: 0001 nop +80000088: 0001 nop +8000008a: 0001 nop +8000008c: 0001 nop +8000008e: 0001 nop +80000090: 0001 nop +80000092: 0001 nop +80000094: 0001 nop +80000096: 0001 nop +80000098: 0001 nop +8000009a: 0001 nop +8000009c: 0001 nop +8000009e: 0001 nop +800000a0: 0001 nop +800000a2: 0001 nop +800000a4: 0001 nop +800000a6: 0001 nop +800000a8: 0001 nop +800000aa: 0001 nop +800000ac: 0001 nop +800000ae: 0001 nop +800000b0: 0001 nop +800000b2: 0001 nop +800000b4: 0001 nop +800000b6: 0001 nop +800000b8: 0001 nop +800000ba: 0001 nop +800000bc: 0001 nop +800000be: 0001 nop +800000c0: 0001 nop +800000c2: 0001 nop +800000c4: 0001 nop +800000c6: 0001 nop +800000c8: 0001 nop +800000ca: 0001 nop +800000cc: 0001 nop +800000ce: 0001 nop +800000d0: 0001 nop +800000d2: 0001 nop +800000d4: 0001 nop +800000d6: 0001 nop +800000d8: 0001 nop +800000da: 0001 nop +800000dc: 0001 nop +800000de: 0001 nop +800000e0: 0001 nop +800000e2: 0001 nop +800000e4: 0001 nop +800000e6: 0001 nop +800000e8: 0001 nop +800000ea: 0001 nop +800000ec: 0001 nop +800000ee: 00001117 auipc sp,0x1 +800000f2: f1210113 addi sp,sp,-238 # 80001000 +800000f6: 4201 li tp,0 +800000f8: 4181 li gp,0 +800000fa: 9192 add gp,gp,tp +800000fc: c00e sw gp,0(sp) +800000fe: 4481 li s1,0 +80000100: 4405 li s0,1 +80000102: 9426 add s0,s0,s1 +80000104: c222 sw s0,4(sp) +80000106: 4601 li a2,0 +80000108: fff00593 li a1,-1 +8000010c: 95b2 add a1,a1,a2 +8000010e: c42e sw a1,8(sp) +80000110: 4701 li a4,0 +80000112: 000086b7 lui a3,0x8 +80000116: fff68693 addi a3,a3,-1 # 7fff <_start-0x7fff8001> +8000011a: 96ba add a3,a3,a4 +8000011c: c636 sw a3,12(sp) +8000011e: 4801 li a6,0 +80000120: 67a1 lui a5,0x8 +80000122: 97c2 add a5,a5,a6 +80000124: c83e sw a5,16(sp) +80000126: 00001117 auipc sp,0x1 +8000012a: eee10113 addi sp,sp,-274 # 80001014 +8000012e: 4905 li s2,1 +80000130: 4881 li a7,0 +80000132: 98ca add a7,a7,s2 +80000134: c046 sw a7,0(sp) +80000136: 4a05 li s4,1 +80000138: 4985 li s3,1 +8000013a: 99d2 add s3,s3,s4 +8000013c: c24e sw s3,4(sp) +8000013e: 4b05 li s6,1 +80000140: fff00a93 li s5,-1 +80000144: 9ada add s5,s5,s6 +80000146: c456 sw s5,8(sp) +80000148: 4c05 li s8,1 +8000014a: 00008bb7 lui s7,0x8 +8000014e: fffb8b93 addi s7,s7,-1 # 7fff <_start-0x7fff8001> +80000152: 9be2 add s7,s7,s8 +80000154: c65e sw s7,12(sp) +80000156: 4d05 li s10,1 +80000158: 6ca1 lui s9,0x8 +8000015a: 9cea add s9,s9,s10 +8000015c: c866 sw s9,16(sp) +8000015e: 00001117 auipc sp,0x1 +80000162: eca10113 addi sp,sp,-310 # 80001028 +80000166: fff00e13 li t3,-1 +8000016a: 4d81 li s11,0 +8000016c: 9df2 add s11,s11,t3 +8000016e: c06e sw s11,0(sp) +80000170: fff00f13 li t5,-1 +80000174: 4e85 li t4,1 +80000176: 9efa add t4,t4,t5 +80000178: c276 sw t4,4(sp) +8000017a: fff00193 li gp,-1 +8000017e: fff00f93 li t6,-1 +80000182: 9f8e add t6,t6,gp +80000184: c47e sw t6,8(sp) +80000186: fff00413 li s0,-1 +8000018a: 00008237 lui tp,0x8 +8000018e: fff20213 addi tp,tp,-1 # 7fff <_start-0x7fff8001> +80000192: 9222 add tp,tp,s0 +80000194: c612 sw tp,12(sp) +80000196: fff00593 li a1,-1 +8000019a: 64a1 lui s1,0x8 +8000019c: 94ae add s1,s1,a1 +8000019e: c826 sw s1,16(sp) +800001a0: 00001117 auipc sp,0x1 +800001a4: e9c10113 addi sp,sp,-356 # 8000103c +800001a8: 000086b7 lui a3,0x8 +800001ac: fff68693 addi a3,a3,-1 # 7fff <_start-0x7fff8001> +800001b0: 4601 li a2,0 +800001b2: 9636 add a2,a2,a3 +800001b4: c032 sw a2,0(sp) +800001b6: 000087b7 lui a5,0x8 +800001ba: fff78793 addi a5,a5,-1 # 7fff <_start-0x7fff8001> +800001be: 4705 li a4,1 +800001c0: 973e add a4,a4,a5 +800001c2: c23a sw a4,4(sp) +800001c4: 000088b7 lui a7,0x8 +800001c8: fff88893 addi a7,a7,-1 # 7fff <_start-0x7fff8001> +800001cc: fff00813 li a6,-1 +800001d0: 9846 add a6,a6,a7 +800001d2: c442 sw a6,8(sp) +800001d4: 000089b7 lui s3,0x8 +800001d8: fff98993 addi s3,s3,-1 # 7fff <_start-0x7fff8001> +800001dc: 00008937 lui s2,0x8 +800001e0: fff90913 addi s2,s2,-1 # 7fff <_start-0x7fff8001> +800001e4: 994e add s2,s2,s3 +800001e6: c64a sw s2,12(sp) +800001e8: 00008ab7 lui s5,0x8 +800001ec: fffa8a93 addi s5,s5,-1 # 7fff <_start-0x7fff8001> +800001f0: 6a21 lui s4,0x8 +800001f2: 9a56 add s4,s4,s5 +800001f4: c852 sw s4,16(sp) +800001f6: 00001117 auipc sp,0x1 +800001fa: e5a10113 addi sp,sp,-422 # 80001050 +800001fe: 6ba1 lui s7,0x8 +80000200: 4b01 li s6,0 +80000202: 9b5e add s6,s6,s7 +80000204: c05a sw s6,0(sp) +80000206: 6ca1 lui s9,0x8 +80000208: 4c05 li s8,1 +8000020a: 9c66 add s8,s8,s9 +8000020c: c262 sw s8,4(sp) +8000020e: 6da1 lui s11,0x8 +80000210: fff00d13 li s10,-1 +80000214: 9d6e add s10,s10,s11 +80000216: c46a sw s10,8(sp) +80000218: 6ea1 lui t4,0x8 +8000021a: 00008e37 lui t3,0x8 +8000021e: fffe0e13 addi t3,t3,-1 # 7fff <_start-0x7fff8001> +80000222: 9e76 add t3,t3,t4 +80000224: c672 sw t3,12(sp) +80000226: 6fa1 lui t6,0x8 +80000228: 6f21 lui t5,0x8 +8000022a: 9f7e add t5,t5,t6 +8000022c: c87a sw t5,16(sp) +8000022e: 00001517 auipc a0,0x1 +80000232: dd250513 addi a0,a0,-558 # 80001000 +80000236: 00001597 auipc a1,0x1 +8000023a: e3a58593 addi a1,a1,-454 # 80001070 <_end> +8000023e: f0100637 lui a2,0xf0100 +80000242: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feebc> + +80000246 : +80000246: 00b50c63 beq a0,a1,8000025e +8000024a: 4554 lw a3,12(a0) +8000024c: c214 sw a3,0(a2) +8000024e: 4514 lw a3,8(a0) +80000250: c214 sw a3,0(a2) +80000252: 4154 lw a3,4(a0) +80000254: c214 sw a3,0(a2) +80000256: 4114 lw a3,0(a0) +80000258: c214 sw a3,0(a2) +8000025a: 0541 addi a0,a0,16 +8000025c: b7ed j 80000246 + +8000025e : +8000025e: f0100537 lui a0,0xf0100 +80000262: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feeb0> +80000266: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/C.ADDI.elf.objdump b/VexRiscv/src/test/resources/asm/C.ADDI.elf.objdump new file mode 100644 index 0000000..e0e0a94 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/C.ADDI.elf.objdump @@ -0,0 +1,304 @@ + +/home/spinalvm/hdl/riscv-compliance/work//C.ADDI.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 0001 nop +80000002: 0001 nop +80000004: 0001 nop +80000006: 0001 nop +80000008: 0001 nop +8000000a: 0001 nop +8000000c: 0001 nop +8000000e: 0001 nop +80000010: 0001 nop +80000012: 0001 nop +80000014: 0001 nop +80000016: 0001 nop +80000018: 0001 nop +8000001a: 0001 nop +8000001c: 0001 nop +8000001e: 0001 nop +80000020: 0001 nop +80000022: 0001 nop +80000024: 0001 nop +80000026: 0001 nop +80000028: 0001 nop +8000002a: 0001 nop +8000002c: 0001 nop +8000002e: 0001 nop +80000030: 0001 nop +80000032: 0001 nop +80000034: 0001 nop +80000036: 0001 nop +80000038: 0001 nop +8000003a: 0001 nop +8000003c: 0001 nop +8000003e: 0001 nop +80000040: 0001 nop +80000042: 0001 nop +80000044: 0001 nop +80000046: 0001 nop +80000048: 0001 nop +8000004a: 0001 nop +8000004c: 0001 nop +8000004e: 0001 nop +80000050: 0001 nop +80000052: 0001 nop +80000054: 0001 nop +80000056: 0001 nop +80000058: 0001 nop +8000005a: 0001 nop +8000005c: 0001 nop +8000005e: 0001 nop +80000060: 0001 nop +80000062: 0001 nop +80000064: 0001 nop +80000066: 0001 nop +80000068: 0001 nop +8000006a: 0001 nop +8000006c: 0001 nop +8000006e: 0001 nop +80000070: 0001 nop +80000072: 0001 nop +80000074: 0001 nop +80000076: 0001 nop +80000078: 0001 nop +8000007a: 0001 nop +8000007c: 0001 nop +8000007e: 0001 nop +80000080: 0001 nop +80000082: 0001 nop +80000084: 0001 nop +80000086: 0001 nop +80000088: 0001 nop +8000008a: 0001 nop +8000008c: 0001 nop +8000008e: 0001 nop +80000090: 0001 nop +80000092: 0001 nop +80000094: 0001 nop +80000096: 0001 nop +80000098: 0001 nop +8000009a: 0001 nop +8000009c: 0001 nop +8000009e: 0001 nop +800000a0: 0001 nop +800000a2: 0001 nop +800000a4: 0001 nop +800000a6: 0001 nop +800000a8: 0001 nop +800000aa: 0001 nop +800000ac: 0001 nop +800000ae: 0001 nop +800000b0: 0001 nop +800000b2: 0001 nop +800000b4: 0001 nop +800000b6: 0001 nop +800000b8: 0001 nop +800000ba: 0001 nop +800000bc: 0001 nop +800000be: 0001 nop +800000c0: 0001 nop +800000c2: 0001 nop +800000c4: 0001 nop +800000c6: 0001 nop +800000c8: 0001 nop +800000ca: 0001 nop +800000cc: 0001 nop +800000ce: 0001 nop +800000d0: 0001 nop +800000d2: 0001 nop +800000d4: 0001 nop +800000d6: 0001 nop +800000d8: 0001 nop +800000da: 0001 nop +800000dc: 0001 nop +800000de: 0001 nop +800000e0: 0001 nop +800000e2: 0001 nop +800000e4: 0001 nop +800000e6: 0001 nop +800000e8: 0001 nop +800000ea: 0001 nop +800000ec: 0001 nop +800000ee: 00001117 auipc sp,0x1 +800000f2: f1210113 addi sp,sp,-238 # 80001000 +800000f6: 4181 li gp,0 +800000f8: 0185 addi gp,gp,1 +800000fa: c00e sw gp,0(sp) +800000fc: 4201 li tp,0 +800000fe: 0209 addi tp,tp,2 +80000100: c212 sw tp,4(sp) +80000102: 4401 li s0,0 +80000104: 043d addi s0,s0,15 +80000106: c422 sw s0,8(sp) +80000108: 4481 li s1,0 +8000010a: 04c1 addi s1,s1,16 +8000010c: c626 sw s1,12(sp) +8000010e: 4581 li a1,0 +80000110: 05fd addi a1,a1,31 +80000112: c82e sw a1,16(sp) +80000114: 00001117 auipc sp,0x1 +80000118: f0010113 addi sp,sp,-256 # 80001014 +8000011c: 4605 li a2,1 +8000011e: 0605 addi a2,a2,1 +80000120: c032 sw a2,0(sp) +80000122: 4685 li a3,1 +80000124: 0689 addi a3,a3,2 +80000126: c236 sw a3,4(sp) +80000128: 4705 li a4,1 +8000012a: 073d addi a4,a4,15 +8000012c: c43a sw a4,8(sp) +8000012e: 4785 li a5,1 +80000130: 07c1 addi a5,a5,16 +80000132: c63e sw a5,12(sp) +80000134: 4805 li a6,1 +80000136: 087d addi a6,a6,31 +80000138: c842 sw a6,16(sp) +8000013a: 00001117 auipc sp,0x1 +8000013e: eee10113 addi sp,sp,-274 # 80001028 +80000142: fff00893 li a7,-1 +80000146: 0885 addi a7,a7,1 +80000148: c046 sw a7,0(sp) +8000014a: fff00913 li s2,-1 +8000014e: 0909 addi s2,s2,2 +80000150: c24a sw s2,4(sp) +80000152: fff00993 li s3,-1 +80000156: 09bd addi s3,s3,15 +80000158: c44e sw s3,8(sp) +8000015a: fff00a13 li s4,-1 +8000015e: 0a41 addi s4,s4,16 +80000160: c652 sw s4,12(sp) +80000162: fff00a93 li s5,-1 +80000166: 0afd addi s5,s5,31 +80000168: c856 sw s5,16(sp) +8000016a: 00001117 auipc sp,0x1 +8000016e: ed210113 addi sp,sp,-302 # 8000103c +80000172: 00080b37 lui s6,0x80 +80000176: fffb0b13 addi s6,s6,-1 # 7ffff <_start-0x7ff80001> +8000017a: 0b05 addi s6,s6,1 +8000017c: c05a sw s6,0(sp) +8000017e: 00080bb7 lui s7,0x80 +80000182: fffb8b93 addi s7,s7,-1 # 7ffff <_start-0x7ff80001> +80000186: 0b89 addi s7,s7,2 +80000188: c25e sw s7,4(sp) +8000018a: 00080c37 lui s8,0x80 +8000018e: fffc0c13 addi s8,s8,-1 # 7ffff <_start-0x7ff80001> +80000192: 0c3d addi s8,s8,15 +80000194: c462 sw s8,8(sp) +80000196: 00080cb7 lui s9,0x80 +8000019a: fffc8c93 addi s9,s9,-1 # 7ffff <_start-0x7ff80001> +8000019e: 0cc1 addi s9,s9,16 +800001a0: c666 sw s9,12(sp) +800001a2: 00080d37 lui s10,0x80 +800001a6: fffd0d13 addi s10,s10,-1 # 7ffff <_start-0x7ff80001> +800001aa: 0d7d addi s10,s10,31 +800001ac: c86a sw s10,16(sp) +800001ae: 00001117 auipc sp,0x1 +800001b2: ea210113 addi sp,sp,-350 # 80001050 +800001b6: 00080db7 lui s11,0x80 +800001ba: 0d85 addi s11,s11,1 +800001bc: c06e sw s11,0(sp) +800001be: 00080e37 lui t3,0x80 +800001c2: 0e09 addi t3,t3,2 +800001c4: c272 sw t3,4(sp) +800001c6: 00080eb7 lui t4,0x80 +800001ca: 0ebd addi t4,t4,15 +800001cc: c476 sw t4,8(sp) +800001ce: 00080f37 lui t5,0x80 +800001d2: 0f41 addi t5,t5,16 +800001d4: c67a sw t5,12(sp) +800001d6: 00080fb7 lui t6,0x80 +800001da: 0ffd addi t6,t6,31 +800001dc: c87e sw t6,16(sp) +800001de: 00001517 auipc a0,0x1 +800001e2: e2250513 addi a0,a0,-478 # 80001000 +800001e6: 00001597 auipc a1,0x1 +800001ea: e8a58593 addi a1,a1,-374 # 80001070 <_end> +800001ee: f0100637 lui a2,0xf0100 +800001f2: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feebc> + +800001f6 : +800001f6: 00b50c63 beq a0,a1,8000020e +800001fa: 4554 lw a3,12(a0) +800001fc: c214 sw a3,0(a2) +800001fe: 4514 lw a3,8(a0) +80000200: c214 sw a3,0(a2) +80000202: 4154 lw a3,4(a0) +80000204: c214 sw a3,0(a2) +80000206: 4114 lw a3,0(a0) +80000208: c214 sw a3,0(a2) +8000020a: 0541 addi a0,a0,16 +8000020c: b7ed j 800001f6 + +8000020e : +8000020e: f0100537 lui a0,0xf0100 +80000212: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feeb0> +80000216: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/C.ADDI16SP.elf.objdump b/VexRiscv/src/test/resources/asm/C.ADDI16SP.elf.objdump new file mode 100644 index 0000000..bd3351c --- /dev/null +++ b/VexRiscv/src/test/resources/asm/C.ADDI16SP.elf.objdump @@ -0,0 +1,194 @@ + +/home/spinalvm/hdl/riscv-compliance/work//C.ADDI16SP.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 0001 nop +80000002: 0001 nop +80000004: 0001 nop +80000006: 0001 nop +80000008: 0001 nop +8000000a: 0001 nop +8000000c: 0001 nop +8000000e: 0001 nop +80000010: 0001 nop +80000012: 0001 nop +80000014: 0001 nop +80000016: 0001 nop +80000018: 0001 nop +8000001a: 0001 nop +8000001c: 0001 nop +8000001e: 0001 nop +80000020: 0001 nop +80000022: 0001 nop +80000024: 0001 nop +80000026: 0001 nop +80000028: 0001 nop +8000002a: 0001 nop +8000002c: 0001 nop +8000002e: 0001 nop +80000030: 0001 nop +80000032: 0001 nop +80000034: 0001 nop +80000036: 0001 nop +80000038: 0001 nop +8000003a: 0001 nop +8000003c: 0001 nop +8000003e: 0001 nop +80000040: 0001 nop +80000042: 0001 nop +80000044: 0001 nop +80000046: 0001 nop +80000048: 0001 nop +8000004a: 0001 nop +8000004c: 0001 nop +8000004e: 0001 nop +80000050: 0001 nop +80000052: 0001 nop +80000054: 0001 nop +80000056: 0001 nop +80000058: 0001 nop +8000005a: 0001 nop +8000005c: 0001 nop +8000005e: 0001 nop +80000060: 0001 nop +80000062: 0001 nop +80000064: 0001 nop +80000066: 0001 nop +80000068: 0001 nop +8000006a: 0001 nop +8000006c: 0001 nop +8000006e: 0001 nop +80000070: 0001 nop +80000072: 0001 nop +80000074: 0001 nop +80000076: 0001 nop +80000078: 0001 nop +8000007a: 0001 nop +8000007c: 0001 nop +8000007e: 0001 nop +80000080: 0001 nop +80000082: 0001 nop +80000084: 0001 nop +80000086: 0001 nop +80000088: 0001 nop +8000008a: 0001 nop +8000008c: 0001 nop +8000008e: 0001 nop +80000090: 0001 nop +80000092: 0001 nop +80000094: 0001 nop +80000096: 0001 nop +80000098: 0001 nop +8000009a: 0001 nop +8000009c: 0001 nop +8000009e: 0001 nop +800000a0: 0001 nop +800000a2: 0001 nop +800000a4: 0001 nop +800000a6: 0001 nop +800000a8: 0001 nop +800000aa: 0001 nop +800000ac: 0001 nop +800000ae: 0001 nop +800000b0: 0001 nop +800000b2: 0001 nop +800000b4: 0001 nop +800000b6: 0001 nop +800000b8: 0001 nop +800000ba: 0001 nop +800000bc: 0001 nop +800000be: 0001 nop +800000c0: 0001 nop +800000c2: 0001 nop +800000c4: 0001 nop +800000c6: 0001 nop +800000c8: 0001 nop +800000ca: 0001 nop +800000cc: 0001 nop +800000ce: 0001 nop +800000d0: 0001 nop +800000d2: 0001 nop +800000d4: 0001 nop +800000d6: 0001 nop +800000d8: 0001 nop +800000da: 0001 nop +800000dc: 0001 nop +800000de: 0001 nop +800000e0: 0001 nop +800000e2: 0001 nop +800000e4: 0001 nop +800000e6: 0001 nop +800000e8: 0001 nop +800000ea: 0001 nop +800000ec: 0001 nop +800000ee: 00001097 auipc ra,0x1 +800000f2: f1208093 addi ra,ra,-238 # 80001000 +800000f6: 0141 addi sp,sp,16 +800000f8: 0020a023 sw sp,0(ra) +800000fc: 00001097 auipc ra,0x1 +80000100: f0808093 addi ra,ra,-248 # 80001004 +80000104: 6105 addi sp,sp,32 +80000106: 0020a023 sw sp,0(ra) +8000010a: 00001097 auipc ra,0x1 +8000010e: efe08093 addi ra,ra,-258 # 80001008 +80000112: 6121 addi sp,sp,64 +80000114: 0020a023 sw sp,0(ra) +80000118: 00001097 auipc ra,0x1 +8000011c: ef408093 addi ra,ra,-268 # 8000100c +80000120: 617d addi sp,sp,496 +80000122: 0020a023 sw sp,0(ra) +80000126: 00001097 auipc ra,0x1 +8000012a: eea08093 addi ra,ra,-278 # 80001010 +8000012e: 7101 addi sp,sp,-512 +80000130: 0020a023 sw sp,0(ra) +80000134: 00001517 auipc a0,0x1 +80000138: ecc50513 addi a0,a0,-308 # 80001000 +8000013c: 00001597 auipc a1,0x1 +80000140: ee458593 addi a1,a1,-284 # 80001020 <_end> +80000144: f0100637 lui a2,0xf0100 +80000148: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fef0c> + +8000014c : +8000014c: 00b50c63 beq a0,a1,80000164 +80000150: 4554 lw a3,12(a0) +80000152: c214 sw a3,0(a2) +80000154: 4514 lw a3,8(a0) +80000156: c214 sw a3,0(a2) +80000158: 4154 lw a3,4(a0) +8000015a: c214 sw a3,0(a2) +8000015c: 4114 lw a3,0(a0) +8000015e: c214 sw a3,0(a2) +80000160: 0541 addi a0,a0,16 +80000162: b7ed j 8000014c + +80000164 : +80000164: f0100537 lui a0,0xf0100 +80000168: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fef00> +8000016c: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff + +80001004 : +80001004: ffff 0xffff +80001006: ffff 0xffff + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: ffff 0xffff + +80001010 : +80001010: ffff 0xffff +80001012: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/C.ADDI4SPN.elf.objdump b/VexRiscv/src/test/resources/asm/C.ADDI4SPN.elf.objdump new file mode 100644 index 0000000..5a09876 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/C.ADDI4SPN.elf.objdump @@ -0,0 +1,194 @@ + +/home/spinalvm/hdl/riscv-compliance/work//C.ADDI4SPN.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 0001 nop +80000002: 0001 nop +80000004: 0001 nop +80000006: 0001 nop +80000008: 0001 nop +8000000a: 0001 nop +8000000c: 0001 nop +8000000e: 0001 nop +80000010: 0001 nop +80000012: 0001 nop +80000014: 0001 nop +80000016: 0001 nop +80000018: 0001 nop +8000001a: 0001 nop +8000001c: 0001 nop +8000001e: 0001 nop +80000020: 0001 nop +80000022: 0001 nop +80000024: 0001 nop +80000026: 0001 nop +80000028: 0001 nop +8000002a: 0001 nop +8000002c: 0001 nop +8000002e: 0001 nop +80000030: 0001 nop +80000032: 0001 nop +80000034: 0001 nop +80000036: 0001 nop +80000038: 0001 nop +8000003a: 0001 nop +8000003c: 0001 nop +8000003e: 0001 nop +80000040: 0001 nop +80000042: 0001 nop +80000044: 0001 nop +80000046: 0001 nop +80000048: 0001 nop +8000004a: 0001 nop +8000004c: 0001 nop +8000004e: 0001 nop +80000050: 0001 nop +80000052: 0001 nop +80000054: 0001 nop +80000056: 0001 nop +80000058: 0001 nop +8000005a: 0001 nop +8000005c: 0001 nop +8000005e: 0001 nop +80000060: 0001 nop +80000062: 0001 nop +80000064: 0001 nop +80000066: 0001 nop +80000068: 0001 nop +8000006a: 0001 nop +8000006c: 0001 nop +8000006e: 0001 nop +80000070: 0001 nop +80000072: 0001 nop +80000074: 0001 nop +80000076: 0001 nop +80000078: 0001 nop +8000007a: 0001 nop +8000007c: 0001 nop +8000007e: 0001 nop +80000080: 0001 nop +80000082: 0001 nop +80000084: 0001 nop +80000086: 0001 nop +80000088: 0001 nop +8000008a: 0001 nop +8000008c: 0001 nop +8000008e: 0001 nop +80000090: 0001 nop +80000092: 0001 nop +80000094: 0001 nop +80000096: 0001 nop +80000098: 0001 nop +8000009a: 0001 nop +8000009c: 0001 nop +8000009e: 0001 nop +800000a0: 0001 nop +800000a2: 0001 nop +800000a4: 0001 nop +800000a6: 0001 nop +800000a8: 0001 nop +800000aa: 0001 nop +800000ac: 0001 nop +800000ae: 0001 nop +800000b0: 0001 nop +800000b2: 0001 nop +800000b4: 0001 nop +800000b6: 0001 nop +800000b8: 0001 nop +800000ba: 0001 nop +800000bc: 0001 nop +800000be: 0001 nop +800000c0: 0001 nop +800000c2: 0001 nop +800000c4: 0001 nop +800000c6: 0001 nop +800000c8: 0001 nop +800000ca: 0001 nop +800000cc: 0001 nop +800000ce: 0001 nop +800000d0: 0001 nop +800000d2: 0001 nop +800000d4: 0001 nop +800000d6: 0001 nop +800000d8: 0001 nop +800000da: 0001 nop +800000dc: 0001 nop +800000de: 0001 nop +800000e0: 0001 nop +800000e2: 0001 nop +800000e4: 0001 nop +800000e6: 0001 nop +800000e8: 0001 nop +800000ea: 0001 nop +800000ec: 0001 nop +800000ee: 00001097 auipc ra,0x1 +800000f2: f1208093 addi ra,ra,-238 # 80001000 +800000f6: 0050 addi a2,sp,4 +800000f8: 00c0a023 sw a2,0(ra) +800000fc: 00001097 auipc ra,0x1 +80000100: f0808093 addi ra,ra,-248 # 80001004 +80000104: 0034 addi a3,sp,8 +80000106: 00d0a023 sw a3,0(ra) +8000010a: 00001097 auipc ra,0x1 +8000010e: efe08093 addi ra,ra,-258 # 80001008 +80000112: 0078 addi a4,sp,12 +80000114: 00e0a023 sw a4,0(ra) +80000118: 00001097 auipc ra,0x1 +8000011c: ef408093 addi ra,ra,-268 # 8000100c +80000120: 081c addi a5,sp,16 +80000122: 00f0a023 sw a5,0(ra) +80000126: 00001097 auipc ra,0x1 +8000012a: eea08093 addi ra,ra,-278 # 80001010 +8000012e: 1fe0 addi s0,sp,1020 +80000130: 0080a023 sw s0,0(ra) +80000134: 00001517 auipc a0,0x1 +80000138: ecc50513 addi a0,a0,-308 # 80001000 +8000013c: 00001597 auipc a1,0x1 +80000140: ee458593 addi a1,a1,-284 # 80001020 <_end> +80000144: f0100637 lui a2,0xf0100 +80000148: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fef0c> + +8000014c : +8000014c: 00b50c63 beq a0,a1,80000164 +80000150: 4554 lw a3,12(a0) +80000152: c214 sw a3,0(a2) +80000154: 4514 lw a3,8(a0) +80000156: c214 sw a3,0(a2) +80000158: 4154 lw a3,4(a0) +8000015a: c214 sw a3,0(a2) +8000015c: 4114 lw a3,0(a0) +8000015e: c214 sw a3,0(a2) +80000160: 0541 addi a0,a0,16 +80000162: b7ed j 8000014c + +80000164 : +80000164: f0100537 lui a0,0xf0100 +80000168: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fef00> +8000016c: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff + +80001004 : +80001004: ffff 0xffff +80001006: ffff 0xffff + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: ffff 0xffff + +80001010 : +80001010: ffff 0xffff +80001012: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/C.AND.elf.objdump b/VexRiscv/src/test/resources/asm/C.AND.elf.objdump new file mode 100644 index 0000000..d78ccb9 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/C.AND.elf.objdump @@ -0,0 +1,334 @@ + +/home/spinalvm/hdl/riscv-compliance/work//C.AND.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 0001 nop +80000002: 0001 nop +80000004: 0001 nop +80000006: 0001 nop +80000008: 0001 nop +8000000a: 0001 nop +8000000c: 0001 nop +8000000e: 0001 nop +80000010: 0001 nop +80000012: 0001 nop +80000014: 0001 nop +80000016: 0001 nop +80000018: 0001 nop +8000001a: 0001 nop +8000001c: 0001 nop +8000001e: 0001 nop +80000020: 0001 nop +80000022: 0001 nop +80000024: 0001 nop +80000026: 0001 nop +80000028: 0001 nop +8000002a: 0001 nop +8000002c: 0001 nop +8000002e: 0001 nop +80000030: 0001 nop +80000032: 0001 nop +80000034: 0001 nop +80000036: 0001 nop +80000038: 0001 nop +8000003a: 0001 nop +8000003c: 0001 nop +8000003e: 0001 nop +80000040: 0001 nop +80000042: 0001 nop +80000044: 0001 nop +80000046: 0001 nop +80000048: 0001 nop +8000004a: 0001 nop +8000004c: 0001 nop +8000004e: 0001 nop +80000050: 0001 nop +80000052: 0001 nop +80000054: 0001 nop +80000056: 0001 nop +80000058: 0001 nop +8000005a: 0001 nop +8000005c: 0001 nop +8000005e: 0001 nop +80000060: 0001 nop +80000062: 0001 nop +80000064: 0001 nop +80000066: 0001 nop +80000068: 0001 nop +8000006a: 0001 nop +8000006c: 0001 nop +8000006e: 0001 nop +80000070: 0001 nop +80000072: 0001 nop +80000074: 0001 nop +80000076: 0001 nop +80000078: 0001 nop +8000007a: 0001 nop +8000007c: 0001 nop +8000007e: 0001 nop +80000080: 0001 nop +80000082: 0001 nop +80000084: 0001 nop +80000086: 0001 nop +80000088: 0001 nop +8000008a: 0001 nop +8000008c: 0001 nop +8000008e: 0001 nop +80000090: 0001 nop +80000092: 0001 nop +80000094: 0001 nop +80000096: 0001 nop +80000098: 0001 nop +8000009a: 0001 nop +8000009c: 0001 nop +8000009e: 0001 nop +800000a0: 0001 nop +800000a2: 0001 nop +800000a4: 0001 nop +800000a6: 0001 nop +800000a8: 0001 nop +800000aa: 0001 nop +800000ac: 0001 nop +800000ae: 0001 nop +800000b0: 0001 nop +800000b2: 0001 nop +800000b4: 0001 nop +800000b6: 0001 nop +800000b8: 0001 nop +800000ba: 0001 nop +800000bc: 0001 nop +800000be: 0001 nop +800000c0: 0001 nop +800000c2: 0001 nop +800000c4: 0001 nop +800000c6: 0001 nop +800000c8: 0001 nop +800000ca: 0001 nop +800000cc: 0001 nop +800000ce: 0001 nop +800000d0: 0001 nop +800000d2: 0001 nop +800000d4: 0001 nop +800000d6: 0001 nop +800000d8: 0001 nop +800000da: 0001 nop +800000dc: 0001 nop +800000de: 0001 nop +800000e0: 0001 nop +800000e2: 0001 nop +800000e4: 0001 nop +800000e6: 0001 nop +800000e8: 0001 nop +800000ea: 0001 nop +800000ec: 0001 nop +800000ee: 00001117 auipc sp,0x1 +800000f2: f1210113 addi sp,sp,-238 # 80001000 +800000f6: 4581 li a1,0 +800000f8: 4481 li s1,0 +800000fa: 8ced and s1,s1,a1 +800000fc: c026 sw s1,0(sp) +800000fe: 4681 li a3,0 +80000100: 4605 li a2,1 +80000102: 8e75 and a2,a2,a3 +80000104: c232 sw a2,4(sp) +80000106: 4781 li a5,0 +80000108: fff00713 li a4,-1 +8000010c: 8f7d and a4,a4,a5 +8000010e: c43a sw a4,8(sp) +80000110: 4481 li s1,0 +80000112: 00008437 lui s0,0x8 +80000116: fff40413 addi s0,s0,-1 # 7fff <_start-0x7fff8001> +8000011a: 8c65 and s0,s0,s1 +8000011c: c622 sw s0,12(sp) +8000011e: 4601 li a2,0 +80000120: 65a1 lui a1,0x8 +80000122: 8df1 and a1,a1,a2 +80000124: c82e sw a1,16(sp) +80000126: 00001117 auipc sp,0x1 +8000012a: eee10113 addi sp,sp,-274 # 80001014 +8000012e: 4705 li a4,1 +80000130: 4681 li a3,0 +80000132: 8ef9 and a3,a3,a4 +80000134: c036 sw a3,0(sp) +80000136: 4405 li s0,1 +80000138: 4785 li a5,1 +8000013a: 8fe1 and a5,a5,s0 +8000013c: c23e sw a5,4(sp) +8000013e: 4585 li a1,1 +80000140: fff00493 li s1,-1 +80000144: 8ced and s1,s1,a1 +80000146: c426 sw s1,8(sp) +80000148: 4685 li a3,1 +8000014a: 00008637 lui a2,0x8 +8000014e: fff60613 addi a2,a2,-1 # 7fff <_start-0x7fff8001> +80000152: 8e75 and a2,a2,a3 +80000154: c632 sw a2,12(sp) +80000156: 4785 li a5,1 +80000158: 6721 lui a4,0x8 +8000015a: 8f7d and a4,a4,a5 +8000015c: c83a sw a4,16(sp) +8000015e: 00001117 auipc sp,0x1 +80000162: eca10113 addi sp,sp,-310 # 80001028 +80000166: fff00493 li s1,-1 +8000016a: 4401 li s0,0 +8000016c: 8c65 and s0,s0,s1 +8000016e: c022 sw s0,0(sp) +80000170: fff00613 li a2,-1 +80000174: 4585 li a1,1 +80000176: 8df1 and a1,a1,a2 +80000178: c22e sw a1,4(sp) +8000017a: fff00713 li a4,-1 +8000017e: fff00693 li a3,-1 +80000182: 8ef9 and a3,a3,a4 +80000184: c436 sw a3,8(sp) +80000186: fff00413 li s0,-1 +8000018a: 000087b7 lui a5,0x8 +8000018e: fff78793 addi a5,a5,-1 # 7fff <_start-0x7fff8001> +80000192: 8fe1 and a5,a5,s0 +80000194: c63e sw a5,12(sp) +80000196: fff00593 li a1,-1 +8000019a: 64a1 lui s1,0x8 +8000019c: 8ced and s1,s1,a1 +8000019e: c826 sw s1,16(sp) +800001a0: 00001117 auipc sp,0x1 +800001a4: e9c10113 addi sp,sp,-356 # 8000103c +800001a8: 000086b7 lui a3,0x8 +800001ac: fff68693 addi a3,a3,-1 # 7fff <_start-0x7fff8001> +800001b0: 4601 li a2,0 +800001b2: 8e75 and a2,a2,a3 +800001b4: c032 sw a2,0(sp) +800001b6: 000087b7 lui a5,0x8 +800001ba: fff78793 addi a5,a5,-1 # 7fff <_start-0x7fff8001> +800001be: 4705 li a4,1 +800001c0: 8f7d and a4,a4,a5 +800001c2: c23a sw a4,4(sp) +800001c4: 000084b7 lui s1,0x8 +800001c8: fff48493 addi s1,s1,-1 # 7fff <_start-0x7fff8001> +800001cc: fff00413 li s0,-1 +800001d0: 8c65 and s0,s0,s1 +800001d2: c422 sw s0,8(sp) +800001d4: 00008637 lui a2,0x8 +800001d8: fff60613 addi a2,a2,-1 # 7fff <_start-0x7fff8001> +800001dc: 000085b7 lui a1,0x8 +800001e0: fff58593 addi a1,a1,-1 # 7fff <_start-0x7fff8001> +800001e4: 8df1 and a1,a1,a2 +800001e6: c62e sw a1,12(sp) +800001e8: 00008737 lui a4,0x8 +800001ec: fff70713 addi a4,a4,-1 # 7fff <_start-0x7fff8001> +800001f0: 66a1 lui a3,0x8 +800001f2: 8ef9 and a3,a3,a4 +800001f4: c836 sw a3,16(sp) +800001f6: 00001117 auipc sp,0x1 +800001fa: e5a10113 addi sp,sp,-422 # 80001050 +800001fe: 6421 lui s0,0x8 +80000200: 4781 li a5,0 +80000202: 8fe1 and a5,a5,s0 +80000204: c03e sw a5,0(sp) +80000206: 65a1 lui a1,0x8 +80000208: 4485 li s1,1 +8000020a: 8ced and s1,s1,a1 +8000020c: c226 sw s1,4(sp) +8000020e: 66a1 lui a3,0x8 +80000210: fff00613 li a2,-1 +80000214: 8e75 and a2,a2,a3 +80000216: c432 sw a2,8(sp) +80000218: 67a1 lui a5,0x8 +8000021a: 00008737 lui a4,0x8 +8000021e: fff70713 addi a4,a4,-1 # 7fff <_start-0x7fff8001> +80000222: 8f7d and a4,a4,a5 +80000224: c63a sw a4,12(sp) +80000226: 64a1 lui s1,0x8 +80000228: 6421 lui s0,0x8 +8000022a: 8c65 and s0,s0,s1 +8000022c: c822 sw s0,16(sp) +8000022e: 00001517 auipc a0,0x1 +80000232: dd250513 addi a0,a0,-558 # 80001000 +80000236: 00001597 auipc a1,0x1 +8000023a: e3a58593 addi a1,a1,-454 # 80001070 <_end> +8000023e: f0100637 lui a2,0xf0100 +80000242: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feebc> + +80000246 : +80000246: 00b50c63 beq a0,a1,8000025e +8000024a: 4554 lw a3,12(a0) +8000024c: c214 sw a3,0(a2) +8000024e: 4514 lw a3,8(a0) +80000250: c214 sw a3,0(a2) +80000252: 4154 lw a3,4(a0) +80000254: c214 sw a3,0(a2) +80000256: 4114 lw a3,0(a0) +80000258: c214 sw a3,0(a2) +8000025a: 0541 addi a0,a0,16 +8000025c: b7ed j 80000246 + +8000025e : +8000025e: f0100537 lui a0,0xf0100 +80000262: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feeb0> +80000266: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/C.ANDI.elf.objdump b/VexRiscv/src/test/resources/asm/C.ANDI.elf.objdump new file mode 100644 index 0000000..525df9d --- /dev/null +++ b/VexRiscv/src/test/resources/asm/C.ANDI.elf.objdump @@ -0,0 +1,304 @@ + +/home/spinalvm/hdl/riscv-compliance/work//C.ANDI.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 0001 nop +80000002: 0001 nop +80000004: 0001 nop +80000006: 0001 nop +80000008: 0001 nop +8000000a: 0001 nop +8000000c: 0001 nop +8000000e: 0001 nop +80000010: 0001 nop +80000012: 0001 nop +80000014: 0001 nop +80000016: 0001 nop +80000018: 0001 nop +8000001a: 0001 nop +8000001c: 0001 nop +8000001e: 0001 nop +80000020: 0001 nop +80000022: 0001 nop +80000024: 0001 nop +80000026: 0001 nop +80000028: 0001 nop +8000002a: 0001 nop +8000002c: 0001 nop +8000002e: 0001 nop +80000030: 0001 nop +80000032: 0001 nop +80000034: 0001 nop +80000036: 0001 nop +80000038: 0001 nop +8000003a: 0001 nop +8000003c: 0001 nop +8000003e: 0001 nop +80000040: 0001 nop +80000042: 0001 nop +80000044: 0001 nop +80000046: 0001 nop +80000048: 0001 nop +8000004a: 0001 nop +8000004c: 0001 nop +8000004e: 0001 nop +80000050: 0001 nop +80000052: 0001 nop +80000054: 0001 nop +80000056: 0001 nop +80000058: 0001 nop +8000005a: 0001 nop +8000005c: 0001 nop +8000005e: 0001 nop +80000060: 0001 nop +80000062: 0001 nop +80000064: 0001 nop +80000066: 0001 nop +80000068: 0001 nop +8000006a: 0001 nop +8000006c: 0001 nop +8000006e: 0001 nop +80000070: 0001 nop +80000072: 0001 nop +80000074: 0001 nop +80000076: 0001 nop +80000078: 0001 nop +8000007a: 0001 nop +8000007c: 0001 nop +8000007e: 0001 nop +80000080: 0001 nop +80000082: 0001 nop +80000084: 0001 nop +80000086: 0001 nop +80000088: 0001 nop +8000008a: 0001 nop +8000008c: 0001 nop +8000008e: 0001 nop +80000090: 0001 nop +80000092: 0001 nop +80000094: 0001 nop +80000096: 0001 nop +80000098: 0001 nop +8000009a: 0001 nop +8000009c: 0001 nop +8000009e: 0001 nop +800000a0: 0001 nop +800000a2: 0001 nop +800000a4: 0001 nop +800000a6: 0001 nop +800000a8: 0001 nop +800000aa: 0001 nop +800000ac: 0001 nop +800000ae: 0001 nop +800000b0: 0001 nop +800000b2: 0001 nop +800000b4: 0001 nop +800000b6: 0001 nop +800000b8: 0001 nop +800000ba: 0001 nop +800000bc: 0001 nop +800000be: 0001 nop +800000c0: 0001 nop +800000c2: 0001 nop +800000c4: 0001 nop +800000c6: 0001 nop +800000c8: 0001 nop +800000ca: 0001 nop +800000cc: 0001 nop +800000ce: 0001 nop +800000d0: 0001 nop +800000d2: 0001 nop +800000d4: 0001 nop +800000d6: 0001 nop +800000d8: 0001 nop +800000da: 0001 nop +800000dc: 0001 nop +800000de: 0001 nop +800000e0: 0001 nop +800000e2: 0001 nop +800000e4: 0001 nop +800000e6: 0001 nop +800000e8: 0001 nop +800000ea: 0001 nop +800000ec: 0001 nop +800000ee: 00001117 auipc sp,0x1 +800000f2: f1210113 addi sp,sp,-238 # 80001000 +800000f6: 4581 li a1,0 +800000f8: 8981 andi a1,a1,0 +800000fa: c02e sw a1,0(sp) +800000fc: 4601 li a2,0 +800000fe: 8a05 andi a2,a2,1 +80000100: c232 sw a2,4(sp) +80000102: 4681 li a3,0 +80000104: 8ac1 andi a3,a3,16 +80000106: c436 sw a3,8(sp) +80000108: 4701 li a4,0 +8000010a: 8b7d andi a4,a4,31 +8000010c: c63a sw a4,12(sp) +8000010e: 4781 li a5,0 +80000110: 9b85 andi a5,a5,-31 +80000112: c83e sw a5,16(sp) +80000114: 00001117 auipc sp,0x1 +80000118: f0010113 addi sp,sp,-256 # 80001014 +8000011c: 4405 li s0,1 +8000011e: 8801 andi s0,s0,0 +80000120: c022 sw s0,0(sp) +80000122: 4485 li s1,1 +80000124: 8885 andi s1,s1,1 +80000126: c226 sw s1,4(sp) +80000128: 4585 li a1,1 +8000012a: 89c1 andi a1,a1,16 +8000012c: c42e sw a1,8(sp) +8000012e: 4605 li a2,1 +80000130: 8a7d andi a2,a2,31 +80000132: c632 sw a2,12(sp) +80000134: 4685 li a3,1 +80000136: 9a85 andi a3,a3,-31 +80000138: c836 sw a3,16(sp) +8000013a: 00001117 auipc sp,0x1 +8000013e: eee10113 addi sp,sp,-274 # 80001028 +80000142: fff00713 li a4,-1 +80000146: 8b01 andi a4,a4,0 +80000148: c03a sw a4,0(sp) +8000014a: fff00793 li a5,-1 +8000014e: 8b85 andi a5,a5,1 +80000150: c23e sw a5,4(sp) +80000152: fff00413 li s0,-1 +80000156: 8841 andi s0,s0,16 +80000158: c422 sw s0,8(sp) +8000015a: fff00493 li s1,-1 +8000015e: 88fd andi s1,s1,31 +80000160: c626 sw s1,12(sp) +80000162: fff00593 li a1,-1 +80000166: 9985 andi a1,a1,-31 +80000168: c82e sw a1,16(sp) +8000016a: 00001117 auipc sp,0x1 +8000016e: ed210113 addi sp,sp,-302 # 8000103c +80000172: 00080637 lui a2,0x80 +80000176: fff60613 addi a2,a2,-1 # 7ffff <_start-0x7ff80001> +8000017a: 8a01 andi a2,a2,0 +8000017c: c032 sw a2,0(sp) +8000017e: 000806b7 lui a3,0x80 +80000182: fff68693 addi a3,a3,-1 # 7ffff <_start-0x7ff80001> +80000186: 8a85 andi a3,a3,1 +80000188: c236 sw a3,4(sp) +8000018a: 00080737 lui a4,0x80 +8000018e: fff70713 addi a4,a4,-1 # 7ffff <_start-0x7ff80001> +80000192: 8b41 andi a4,a4,16 +80000194: c43a sw a4,8(sp) +80000196: 000807b7 lui a5,0x80 +8000019a: fff78793 addi a5,a5,-1 # 7ffff <_start-0x7ff80001> +8000019e: 8bfd andi a5,a5,31 +800001a0: c63e sw a5,12(sp) +800001a2: 00080437 lui s0,0x80 +800001a6: fff40413 addi s0,s0,-1 # 7ffff <_start-0x7ff80001> +800001aa: 9805 andi s0,s0,-31 +800001ac: c822 sw s0,16(sp) +800001ae: 00001117 auipc sp,0x1 +800001b2: ea210113 addi sp,sp,-350 # 80001050 +800001b6: 000804b7 lui s1,0x80 +800001ba: 8881 andi s1,s1,0 +800001bc: c026 sw s1,0(sp) +800001be: 000805b7 lui a1,0x80 +800001c2: 8985 andi a1,a1,1 +800001c4: c22e sw a1,4(sp) +800001c6: 00080637 lui a2,0x80 +800001ca: 8a41 andi a2,a2,16 +800001cc: c432 sw a2,8(sp) +800001ce: 000806b7 lui a3,0x80 +800001d2: 8afd andi a3,a3,31 +800001d4: c636 sw a3,12(sp) +800001d6: 00080737 lui a4,0x80 +800001da: 9b05 andi a4,a4,-31 +800001dc: c83a sw a4,16(sp) +800001de: 00001517 auipc a0,0x1 +800001e2: e2250513 addi a0,a0,-478 # 80001000 +800001e6: 00001597 auipc a1,0x1 +800001ea: e8a58593 addi a1,a1,-374 # 80001070 <_end> +800001ee: f0100637 lui a2,0xf0100 +800001f2: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feebc> + +800001f6 : +800001f6: 00b50c63 beq a0,a1,8000020e +800001fa: 4554 lw a3,12(a0) +800001fc: c214 sw a3,0(a2) +800001fe: 4514 lw a3,8(a0) +80000200: c214 sw a3,0(a2) +80000202: 4154 lw a3,4(a0) +80000204: c214 sw a3,0(a2) +80000206: 4114 lw a3,0(a0) +80000208: c214 sw a3,0(a2) +8000020a: 0541 addi a0,a0,16 +8000020c: b7ed j 800001f6 + +8000020e : +8000020e: f0100537 lui a0,0xf0100 +80000212: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feeb0> +80000216: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/C.BEQZ.elf.objdump b/VexRiscv/src/test/resources/asm/C.BEQZ.elf.objdump new file mode 100644 index 0000000..f94b96f --- /dev/null +++ b/VexRiscv/src/test/resources/asm/C.BEQZ.elf.objdump @@ -0,0 +1,215 @@ + +/home/spinalvm/hdl/riscv-compliance/work//C.BEQZ.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 0001 nop +80000002: 0001 nop +80000004: 0001 nop +80000006: 0001 nop +80000008: 0001 nop +8000000a: 0001 nop +8000000c: 0001 nop +8000000e: 0001 nop +80000010: 0001 nop +80000012: 0001 nop +80000014: 0001 nop +80000016: 0001 nop +80000018: 0001 nop +8000001a: 0001 nop +8000001c: 0001 nop +8000001e: 0001 nop +80000020: 0001 nop +80000022: 0001 nop +80000024: 0001 nop +80000026: 0001 nop +80000028: 0001 nop +8000002a: 0001 nop +8000002c: 0001 nop +8000002e: 0001 nop +80000030: 0001 nop +80000032: 0001 nop +80000034: 0001 nop +80000036: 0001 nop +80000038: 0001 nop +8000003a: 0001 nop +8000003c: 0001 nop +8000003e: 0001 nop +80000040: 0001 nop +80000042: 0001 nop +80000044: 0001 nop +80000046: 0001 nop +80000048: 0001 nop +8000004a: 0001 nop +8000004c: 0001 nop +8000004e: 0001 nop +80000050: 0001 nop +80000052: 0001 nop +80000054: 0001 nop +80000056: 0001 nop +80000058: 0001 nop +8000005a: 0001 nop +8000005c: 0001 nop +8000005e: 0001 nop +80000060: 0001 nop +80000062: 0001 nop +80000064: 0001 nop +80000066: 0001 nop +80000068: 0001 nop +8000006a: 0001 nop +8000006c: 0001 nop +8000006e: 0001 nop +80000070: 0001 nop +80000072: 0001 nop +80000074: 0001 nop +80000076: 0001 nop +80000078: 0001 nop +8000007a: 0001 nop +8000007c: 0001 nop +8000007e: 0001 nop +80000080: 0001 nop +80000082: 0001 nop +80000084: 0001 nop +80000086: 0001 nop +80000088: 0001 nop +8000008a: 0001 nop +8000008c: 0001 nop +8000008e: 0001 nop +80000090: 0001 nop +80000092: 0001 nop +80000094: 0001 nop +80000096: 0001 nop +80000098: 0001 nop +8000009a: 0001 nop +8000009c: 0001 nop +8000009e: 0001 nop +800000a0: 0001 nop +800000a2: 0001 nop +800000a4: 0001 nop +800000a6: 0001 nop +800000a8: 0001 nop +800000aa: 0001 nop +800000ac: 0001 nop +800000ae: 0001 nop +800000b0: 0001 nop +800000b2: 0001 nop +800000b4: 0001 nop +800000b6: 0001 nop +800000b8: 0001 nop +800000ba: 0001 nop +800000bc: 0001 nop +800000be: 0001 nop +800000c0: 0001 nop +800000c2: 0001 nop +800000c4: 0001 nop +800000c6: 0001 nop +800000c8: 0001 nop +800000ca: 0001 nop +800000cc: 0001 nop +800000ce: 0001 nop +800000d0: 0001 nop +800000d2: 0001 nop +800000d4: 0001 nop +800000d6: 0001 nop +800000d8: 0001 nop +800000da: 0001 nop +800000dc: 0001 nop +800000de: 0001 nop +800000e0: 0001 nop +800000e2: 0001 nop +800000e4: 0001 nop +800000e6: 0001 nop +800000e8: 0001 nop +800000ea: 0001 nop +800000ec: 0001 nop +800000ee: 00001117 auipc sp,0x1 +800000f2: f1210113 addi sp,sp,-238 # 80001000 +800000f6: 4781 li a5,0 +800000f8: 8f9d sub a5,a5,a5 +800000fa: c789 beqz a5,80000104 <_start+0x104> +800000fc: 000127b7 lui a5,0x12 +80000100: 3ab78793 addi a5,a5,939 # 123ab <_start-0x7ffedc55> +80000104: c03e sw a5,0(sp) +80000106: 00001117 auipc sp,0x1 +8000010a: efe10113 addi sp,sp,-258 # 80001004 +8000010e: 4405 li s0,1 +80000110: 8c01 sub s0,s0,s0 +80000112: c409 beqz s0,8000011c <_start+0x11c> +80000114: 00012437 lui s0,0x12 +80000118: 3ab40413 addi s0,s0,939 # 123ab <_start-0x7ffedc55> +8000011c: c022 sw s0,0(sp) +8000011e: 00001117 auipc sp,0x1 +80000122: eea10113 addi sp,sp,-278 # 80001008 +80000126: 54fd li s1,-1 +80000128: 8c85 sub s1,s1,s1 +8000012a: c489 beqz s1,80000134 <_start+0x134> +8000012c: 000124b7 lui s1,0x12 +80000130: 3ab48493 addi s1,s1,939 # 123ab <_start-0x7ffedc55> +80000134: c026 sw s1,0(sp) +80000136: 00001117 auipc sp,0x1 +8000013a: ed610113 addi sp,sp,-298 # 8000100c +8000013e: 000085b7 lui a1,0x8 +80000142: fff58593 addi a1,a1,-1 # 7fff <_start-0x7fff8001> +80000146: 8d8d sub a1,a1,a1 +80000148: c589 beqz a1,80000152 <_start+0x152> +8000014a: 000125b7 lui a1,0x12 +8000014e: 3ab58593 addi a1,a1,939 # 123ab <_start-0x7ffedc55> +80000152: c02e sw a1,0(sp) +80000154: 00001117 auipc sp,0x1 +80000158: ebc10113 addi sp,sp,-324 # 80001010 +8000015c: 6621 lui a2,0x8 +8000015e: 8e11 sub a2,a2,a2 +80000160: c609 beqz a2,8000016a <_start+0x16a> +80000162: 00012637 lui a2,0x12 +80000166: 3ab60613 addi a2,a2,939 # 123ab <_start-0x7ffedc55> +8000016a: c032 sw a2,0(sp) +8000016c: 00001517 auipc a0,0x1 +80000170: e9450513 addi a0,a0,-364 # 80001000 +80000174: 00001597 auipc a1,0x1 +80000178: eac58593 addi a1,a1,-340 # 80001020 <_end> +8000017c: f0100637 lui a2,0xf0100 +80000180: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fef0c> + +80000184 : +80000184: 00b50c63 beq a0,a1,8000019c +80000188: 4554 lw a3,12(a0) +8000018a: c214 sw a3,0(a2) +8000018c: 4514 lw a3,8(a0) +8000018e: c214 sw a3,0(a2) +80000190: 4154 lw a3,4(a0) +80000192: c214 sw a3,0(a2) +80000194: 4114 lw a3,0(a0) +80000196: c214 sw a3,0(a2) +80000198: 0541 addi a0,a0,16 +8000019a: b7ed j 80000184 + +8000019c : +8000019c: f0100537 lui a0,0xf0100 +800001a0: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fef00> +800001a4: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff + +80001004 : +80001004: ffff 0xffff +80001006: ffff 0xffff + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: ffff 0xffff + +80001010 : +80001010: ffff 0xffff +80001012: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/C.BNEZ.elf.objdump b/VexRiscv/src/test/resources/asm/C.BNEZ.elf.objdump new file mode 100644 index 0000000..1519203 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/C.BNEZ.elf.objdump @@ -0,0 +1,205 @@ + +/home/spinalvm/hdl/riscv-compliance/work//C.BNEZ.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 0001 nop +80000002: 0001 nop +80000004: 0001 nop +80000006: 0001 nop +80000008: 0001 nop +8000000a: 0001 nop +8000000c: 0001 nop +8000000e: 0001 nop +80000010: 0001 nop +80000012: 0001 nop +80000014: 0001 nop +80000016: 0001 nop +80000018: 0001 nop +8000001a: 0001 nop +8000001c: 0001 nop +8000001e: 0001 nop +80000020: 0001 nop +80000022: 0001 nop +80000024: 0001 nop +80000026: 0001 nop +80000028: 0001 nop +8000002a: 0001 nop +8000002c: 0001 nop +8000002e: 0001 nop +80000030: 0001 nop +80000032: 0001 nop +80000034: 0001 nop +80000036: 0001 nop +80000038: 0001 nop +8000003a: 0001 nop +8000003c: 0001 nop +8000003e: 0001 nop +80000040: 0001 nop +80000042: 0001 nop +80000044: 0001 nop +80000046: 0001 nop +80000048: 0001 nop +8000004a: 0001 nop +8000004c: 0001 nop +8000004e: 0001 nop +80000050: 0001 nop +80000052: 0001 nop +80000054: 0001 nop +80000056: 0001 nop +80000058: 0001 nop +8000005a: 0001 nop +8000005c: 0001 nop +8000005e: 0001 nop +80000060: 0001 nop +80000062: 0001 nop +80000064: 0001 nop +80000066: 0001 nop +80000068: 0001 nop +8000006a: 0001 nop +8000006c: 0001 nop +8000006e: 0001 nop +80000070: 0001 nop +80000072: 0001 nop +80000074: 0001 nop +80000076: 0001 nop +80000078: 0001 nop +8000007a: 0001 nop +8000007c: 0001 nop +8000007e: 0001 nop +80000080: 0001 nop +80000082: 0001 nop +80000084: 0001 nop +80000086: 0001 nop +80000088: 0001 nop +8000008a: 0001 nop +8000008c: 0001 nop +8000008e: 0001 nop +80000090: 0001 nop +80000092: 0001 nop +80000094: 0001 nop +80000096: 0001 nop +80000098: 0001 nop +8000009a: 0001 nop +8000009c: 0001 nop +8000009e: 0001 nop +800000a0: 0001 nop +800000a2: 0001 nop +800000a4: 0001 nop +800000a6: 0001 nop +800000a8: 0001 nop +800000aa: 0001 nop +800000ac: 0001 nop +800000ae: 0001 nop +800000b0: 0001 nop +800000b2: 0001 nop +800000b4: 0001 nop +800000b6: 0001 nop +800000b8: 0001 nop +800000ba: 0001 nop +800000bc: 0001 nop +800000be: 0001 nop +800000c0: 0001 nop +800000c2: 0001 nop +800000c4: 0001 nop +800000c6: 0001 nop +800000c8: 0001 nop +800000ca: 0001 nop +800000cc: 0001 nop +800000ce: 0001 nop +800000d0: 0001 nop +800000d2: 0001 nop +800000d4: 0001 nop +800000d6: 0001 nop +800000d8: 0001 nop +800000da: 0001 nop +800000dc: 0001 nop +800000de: 0001 nop +800000e0: 0001 nop +800000e2: 0001 nop +800000e4: 0001 nop +800000e6: 0001 nop +800000e8: 0001 nop +800000ea: 0001 nop +800000ec: 0001 nop +800000ee: 00001117 auipc sp,0x1 +800000f2: f1210113 addi sp,sp,-238 # 80001000 +800000f6: 4681 li a3,0 +800000f8: e291 bnez a3,800000fc <_start+0xfc> +800000fa: 4681 li a3,0 +800000fc: c036 sw a3,0(sp) +800000fe: 00001117 auipc sp,0x1 +80000102: f0610113 addi sp,sp,-250 # 80001004 +80000106: 4705 li a4,1 +80000108: e311 bnez a4,8000010c <_start+0x10c> +8000010a: 4701 li a4,0 +8000010c: c03a sw a4,0(sp) +8000010e: 00001117 auipc sp,0x1 +80000112: efa10113 addi sp,sp,-262 # 80001008 +80000116: 57fd li a5,-1 +80000118: e391 bnez a5,8000011c <_start+0x11c> +8000011a: 4781 li a5,0 +8000011c: c03e sw a5,0(sp) +8000011e: 00001117 auipc sp,0x1 +80000122: eee10113 addi sp,sp,-274 # 8000100c +80000126: 00008437 lui s0,0x8 +8000012a: fff40413 addi s0,s0,-1 # 7fff <_start-0x7fff8001> +8000012e: e011 bnez s0,80000132 <_start+0x132> +80000130: 4401 li s0,0 +80000132: c022 sw s0,0(sp) +80000134: 00001117 auipc sp,0x1 +80000138: edc10113 addi sp,sp,-292 # 80001010 +8000013c: 64a1 lui s1,0x8 +8000013e: e091 bnez s1,80000142 <_start+0x142> +80000140: 4481 li s1,0 +80000142: c026 sw s1,0(sp) +80000144: 00001517 auipc a0,0x1 +80000148: ebc50513 addi a0,a0,-324 # 80001000 +8000014c: 00001597 auipc a1,0x1 +80000150: ed458593 addi a1,a1,-300 # 80001020 <_end> +80000154: f0100637 lui a2,0xf0100 +80000158: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fef0c> + +8000015c : +8000015c: 00b50c63 beq a0,a1,80000174 +80000160: 4554 lw a3,12(a0) +80000162: c214 sw a3,0(a2) +80000164: 4514 lw a3,8(a0) +80000166: c214 sw a3,0(a2) +80000168: 4154 lw a3,4(a0) +8000016a: c214 sw a3,0(a2) +8000016c: 4114 lw a3,0(a0) +8000016e: c214 sw a3,0(a2) +80000170: 0541 addi a0,a0,16 +80000172: b7ed j 8000015c + +80000174 : +80000174: f0100537 lui a0,0xf0100 +80000178: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fef00> +8000017c: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff + +80001004 : +80001004: ffff 0xffff +80001006: ffff 0xffff + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: ffff 0xffff + +80001010 : +80001010: ffff 0xffff +80001012: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/C.J.elf.objdump b/VexRiscv/src/test/resources/asm/C.J.elf.objdump new file mode 100644 index 0000000..717bca1 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/C.J.elf.objdump @@ -0,0 +1,211 @@ + +/home/spinalvm/hdl/riscv-compliance/work//C.J.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 0001 nop +80000002: 0001 nop +80000004: 0001 nop +80000006: 0001 nop +80000008: 0001 nop +8000000a: 0001 nop +8000000c: 0001 nop +8000000e: 0001 nop +80000010: 0001 nop +80000012: 0001 nop +80000014: 0001 nop +80000016: 0001 nop +80000018: 0001 nop +8000001a: 0001 nop +8000001c: 0001 nop +8000001e: 0001 nop +80000020: 0001 nop +80000022: 0001 nop +80000024: 0001 nop +80000026: 0001 nop +80000028: 0001 nop +8000002a: 0001 nop +8000002c: 0001 nop +8000002e: 0001 nop +80000030: 0001 nop +80000032: 0001 nop +80000034: 0001 nop +80000036: 0001 nop +80000038: 0001 nop +8000003a: 0001 nop +8000003c: 0001 nop +8000003e: 0001 nop +80000040: 0001 nop +80000042: 0001 nop +80000044: 0001 nop +80000046: 0001 nop +80000048: 0001 nop +8000004a: 0001 nop +8000004c: 0001 nop +8000004e: 0001 nop +80000050: 0001 nop +80000052: 0001 nop +80000054: 0001 nop +80000056: 0001 nop +80000058: 0001 nop +8000005a: 0001 nop +8000005c: 0001 nop +8000005e: 0001 nop +80000060: 0001 nop +80000062: 0001 nop +80000064: 0001 nop +80000066: 0001 nop +80000068: 0001 nop +8000006a: 0001 nop +8000006c: 0001 nop +8000006e: 0001 nop +80000070: 0001 nop +80000072: 0001 nop +80000074: 0001 nop +80000076: 0001 nop +80000078: 0001 nop +8000007a: 0001 nop +8000007c: 0001 nop +8000007e: 0001 nop +80000080: 0001 nop +80000082: 0001 nop +80000084: 0001 nop +80000086: 0001 nop +80000088: 0001 nop +8000008a: 0001 nop +8000008c: 0001 nop +8000008e: 0001 nop +80000090: 0001 nop +80000092: 0001 nop +80000094: 0001 nop +80000096: 0001 nop +80000098: 0001 nop +8000009a: 0001 nop +8000009c: 0001 nop +8000009e: 0001 nop +800000a0: 0001 nop +800000a2: 0001 nop +800000a4: 0001 nop +800000a6: 0001 nop +800000a8: 0001 nop +800000aa: 0001 nop +800000ac: 0001 nop +800000ae: 0001 nop +800000b0: 0001 nop +800000b2: 0001 nop +800000b4: 0001 nop +800000b6: 0001 nop +800000b8: 0001 nop +800000ba: 0001 nop +800000bc: 0001 nop +800000be: 0001 nop +800000c0: 0001 nop +800000c2: 0001 nop +800000c4: 0001 nop +800000c6: 0001 nop +800000c8: 0001 nop +800000ca: 0001 nop +800000cc: 0001 nop +800000ce: 0001 nop +800000d0: 0001 nop +800000d2: 0001 nop +800000d4: 0001 nop +800000d6: 0001 nop +800000d8: 0001 nop +800000da: 0001 nop +800000dc: 0001 nop +800000de: 0001 nop +800000e0: 0001 nop +800000e2: 0001 nop +800000e4: 0001 nop +800000e6: 0001 nop +800000e8: 0001 nop +800000ea: 0001 nop +800000ec: 0001 nop +800000ee: 00001117 auipc sp,0x1 +800000f2: f1210113 addi sp,sp,-238 # 80001000 +800000f6: 4581 li a1,0 +800000f8: a029 j 80000102 <_start+0x102> +800000fa: 000125b7 lui a1,0x12 +800000fe: 3ab58593 addi a1,a1,939 # 123ab <_start-0x7ffedc55> +80000102: c02e sw a1,0(sp) +80000104: 00001117 auipc sp,0x1 +80000108: f0010113 addi sp,sp,-256 # 80001004 +8000010c: 4605 li a2,1 +8000010e: a029 j 80000118 <_start+0x118> +80000110: 00012637 lui a2,0x12 +80000114: 3ab60613 addi a2,a2,939 # 123ab <_start-0x7ffedc55> +80000118: c032 sw a2,0(sp) +8000011a: 00001117 auipc sp,0x1 +8000011e: eee10113 addi sp,sp,-274 # 80001008 +80000122: 56fd li a3,-1 +80000124: a029 j 8000012e <_start+0x12e> +80000126: 000126b7 lui a3,0x12 +8000012a: 3ab68693 addi a3,a3,939 # 123ab <_start-0x7ffedc55> +8000012e: c036 sw a3,0(sp) +80000130: 00001117 auipc sp,0x1 +80000134: edc10113 addi sp,sp,-292 # 8000100c +80000138: 00008737 lui a4,0x8 +8000013c: fff70713 addi a4,a4,-1 # 7fff <_start-0x7fff8001> +80000140: a029 j 8000014a <_start+0x14a> +80000142: 00012737 lui a4,0x12 +80000146: 3ab70713 addi a4,a4,939 # 123ab <_start-0x7ffedc55> +8000014a: c03a sw a4,0(sp) +8000014c: 00001117 auipc sp,0x1 +80000150: ec410113 addi sp,sp,-316 # 80001010 +80000154: 67a1 lui a5,0x8 +80000156: a029 j 80000160 <_start+0x160> +80000158: 000127b7 lui a5,0x12 +8000015c: 3ab78793 addi a5,a5,939 # 123ab <_start-0x7ffedc55> +80000160: c03e sw a5,0(sp) +80000162: 00001517 auipc a0,0x1 +80000166: e9e50513 addi a0,a0,-354 # 80001000 +8000016a: 00001597 auipc a1,0x1 +8000016e: eb658593 addi a1,a1,-330 # 80001020 <_end> +80000172: f0100637 lui a2,0xf0100 +80000176: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fef0c> + +8000017a : +8000017a: 00b50c63 beq a0,a1,80000192 +8000017e: 4554 lw a3,12(a0) +80000180: c214 sw a3,0(a2) +80000182: 4514 lw a3,8(a0) +80000184: c214 sw a3,0(a2) +80000186: 4154 lw a3,4(a0) +80000188: c214 sw a3,0(a2) +8000018a: 4114 lw a3,0(a0) +8000018c: c214 sw a3,0(a2) +8000018e: 0541 addi a0,a0,16 +80000190: b7ed j 8000017a + +80000192 : +80000192: f0100537 lui a0,0xf0100 +80000196: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fef00> +8000019a: 00052023 sw zero,0(a0) +8000019e: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff + +80001004 : +80001004: ffff 0xffff +80001006: ffff 0xffff + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: ffff 0xffff + +80001010 : +80001010: ffff 0xffff +80001012: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/C.JAL.elf.objdump b/VexRiscv/src/test/resources/asm/C.JAL.elf.objdump new file mode 100644 index 0000000..b8a4de7 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/C.JAL.elf.objdump @@ -0,0 +1,211 @@ + +/home/spinalvm/hdl/riscv-compliance/work//C.JAL.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 0001 nop +80000002: 0001 nop +80000004: 0001 nop +80000006: 0001 nop +80000008: 0001 nop +8000000a: 0001 nop +8000000c: 0001 nop +8000000e: 0001 nop +80000010: 0001 nop +80000012: 0001 nop +80000014: 0001 nop +80000016: 0001 nop +80000018: 0001 nop +8000001a: 0001 nop +8000001c: 0001 nop +8000001e: 0001 nop +80000020: 0001 nop +80000022: 0001 nop +80000024: 0001 nop +80000026: 0001 nop +80000028: 0001 nop +8000002a: 0001 nop +8000002c: 0001 nop +8000002e: 0001 nop +80000030: 0001 nop +80000032: 0001 nop +80000034: 0001 nop +80000036: 0001 nop +80000038: 0001 nop +8000003a: 0001 nop +8000003c: 0001 nop +8000003e: 0001 nop +80000040: 0001 nop +80000042: 0001 nop +80000044: 0001 nop +80000046: 0001 nop +80000048: 0001 nop +8000004a: 0001 nop +8000004c: 0001 nop +8000004e: 0001 nop +80000050: 0001 nop +80000052: 0001 nop +80000054: 0001 nop +80000056: 0001 nop +80000058: 0001 nop +8000005a: 0001 nop +8000005c: 0001 nop +8000005e: 0001 nop +80000060: 0001 nop +80000062: 0001 nop +80000064: 0001 nop +80000066: 0001 nop +80000068: 0001 nop +8000006a: 0001 nop +8000006c: 0001 nop +8000006e: 0001 nop +80000070: 0001 nop +80000072: 0001 nop +80000074: 0001 nop +80000076: 0001 nop +80000078: 0001 nop +8000007a: 0001 nop +8000007c: 0001 nop +8000007e: 0001 nop +80000080: 0001 nop +80000082: 0001 nop +80000084: 0001 nop +80000086: 0001 nop +80000088: 0001 nop +8000008a: 0001 nop +8000008c: 0001 nop +8000008e: 0001 nop +80000090: 0001 nop +80000092: 0001 nop +80000094: 0001 nop +80000096: 0001 nop +80000098: 0001 nop +8000009a: 0001 nop +8000009c: 0001 nop +8000009e: 0001 nop +800000a0: 0001 nop +800000a2: 0001 nop +800000a4: 0001 nop +800000a6: 0001 nop +800000a8: 0001 nop +800000aa: 0001 nop +800000ac: 0001 nop +800000ae: 0001 nop +800000b0: 0001 nop +800000b2: 0001 nop +800000b4: 0001 nop +800000b6: 0001 nop +800000b8: 0001 nop +800000ba: 0001 nop +800000bc: 0001 nop +800000be: 0001 nop +800000c0: 0001 nop +800000c2: 0001 nop +800000c4: 0001 nop +800000c6: 0001 nop +800000c8: 0001 nop +800000ca: 0001 nop +800000cc: 0001 nop +800000ce: 0001 nop +800000d0: 0001 nop +800000d2: 0001 nop +800000d4: 0001 nop +800000d6: 0001 nop +800000d8: 0001 nop +800000da: 0001 nop +800000dc: 0001 nop +800000de: 0001 nop +800000e0: 0001 nop +800000e2: 0001 nop +800000e4: 0001 nop +800000e6: 0001 nop +800000e8: 0001 nop +800000ea: 0001 nop +800000ec: 0001 nop +800000ee: 00001117 auipc sp,0x1 +800000f2: f1210113 addi sp,sp,-238 # 80001000 +800000f6: 4401 li s0,0 +800000f8: 2029 jal 80000102 <_start+0x102> +800000fa: 00012437 lui s0,0x12 +800000fe: 3ab40413 addi s0,s0,939 # 123ab <_start-0x7ffedc55> +80000102: c022 sw s0,0(sp) +80000104: 00001117 auipc sp,0x1 +80000108: f0010113 addi sp,sp,-256 # 80001004 +8000010c: 4485 li s1,1 +8000010e: 2029 jal 80000118 <_start+0x118> +80000110: 000124b7 lui s1,0x12 +80000114: 3ab48493 addi s1,s1,939 # 123ab <_start-0x7ffedc55> +80000118: c026 sw s1,0(sp) +8000011a: 00001117 auipc sp,0x1 +8000011e: eee10113 addi sp,sp,-274 # 80001008 +80000122: 55fd li a1,-1 +80000124: 2029 jal 8000012e <_start+0x12e> +80000126: 000125b7 lui a1,0x12 +8000012a: 3ab58593 addi a1,a1,939 # 123ab <_start-0x7ffedc55> +8000012e: c02e sw a1,0(sp) +80000130: 00001117 auipc sp,0x1 +80000134: edc10113 addi sp,sp,-292 # 8000100c +80000138: 00008637 lui a2,0x8 +8000013c: fff60613 addi a2,a2,-1 # 7fff <_start-0x7fff8001> +80000140: 2029 jal 8000014a <_start+0x14a> +80000142: 00012637 lui a2,0x12 +80000146: 3ab60613 addi a2,a2,939 # 123ab <_start-0x7ffedc55> +8000014a: c032 sw a2,0(sp) +8000014c: 00001117 auipc sp,0x1 +80000150: ec410113 addi sp,sp,-316 # 80001010 +80000154: 66a1 lui a3,0x8 +80000156: 2029 jal 80000160 <_start+0x160> +80000158: 000126b7 lui a3,0x12 +8000015c: 3ab68693 addi a3,a3,939 # 123ab <_start-0x7ffedc55> +80000160: c036 sw a3,0(sp) +80000162: 00001517 auipc a0,0x1 +80000166: e9e50513 addi a0,a0,-354 # 80001000 +8000016a: 00001597 auipc a1,0x1 +8000016e: eb658593 addi a1,a1,-330 # 80001020 <_end> +80000172: f0100637 lui a2,0xf0100 +80000176: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fef0c> + +8000017a : +8000017a: 00b50c63 beq a0,a1,80000192 +8000017e: 4554 lw a3,12(a0) +80000180: c214 sw a3,0(a2) +80000182: 4514 lw a3,8(a0) +80000184: c214 sw a3,0(a2) +80000186: 4154 lw a3,4(a0) +80000188: c214 sw a3,0(a2) +8000018a: 4114 lw a3,0(a0) +8000018c: c214 sw a3,0(a2) +8000018e: 0541 addi a0,a0,16 +80000190: b7ed j 8000017a + +80000192 : +80000192: f0100537 lui a0,0xf0100 +80000196: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fef00> +8000019a: 00052023 sw zero,0(a0) +8000019e: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff + +80001004 : +80001004: ffff 0xffff +80001006: ffff 0xffff + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: ffff 0xffff + +80001010 : +80001010: ffff 0xffff +80001012: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/C.JALR.elf.objdump b/VexRiscv/src/test/resources/asm/C.JALR.elf.objdump new file mode 100644 index 0000000..2959f30 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/C.JALR.elf.objdump @@ -0,0 +1,220 @@ + +/home/spinalvm/hdl/riscv-compliance/work//C.JALR.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 0001 nop +80000002: 0001 nop +80000004: 0001 nop +80000006: 0001 nop +80000008: 0001 nop +8000000a: 0001 nop +8000000c: 0001 nop +8000000e: 0001 nop +80000010: 0001 nop +80000012: 0001 nop +80000014: 0001 nop +80000016: 0001 nop +80000018: 0001 nop +8000001a: 0001 nop +8000001c: 0001 nop +8000001e: 0001 nop +80000020: 0001 nop +80000022: 0001 nop +80000024: 0001 nop +80000026: 0001 nop +80000028: 0001 nop +8000002a: 0001 nop +8000002c: 0001 nop +8000002e: 0001 nop +80000030: 0001 nop +80000032: 0001 nop +80000034: 0001 nop +80000036: 0001 nop +80000038: 0001 nop +8000003a: 0001 nop +8000003c: 0001 nop +8000003e: 0001 nop +80000040: 0001 nop +80000042: 0001 nop +80000044: 0001 nop +80000046: 0001 nop +80000048: 0001 nop +8000004a: 0001 nop +8000004c: 0001 nop +8000004e: 0001 nop +80000050: 0001 nop +80000052: 0001 nop +80000054: 0001 nop +80000056: 0001 nop +80000058: 0001 nop +8000005a: 0001 nop +8000005c: 0001 nop +8000005e: 0001 nop +80000060: 0001 nop +80000062: 0001 nop +80000064: 0001 nop +80000066: 0001 nop +80000068: 0001 nop +8000006a: 0001 nop +8000006c: 0001 nop +8000006e: 0001 nop +80000070: 0001 nop +80000072: 0001 nop +80000074: 0001 nop +80000076: 0001 nop +80000078: 0001 nop +8000007a: 0001 nop +8000007c: 0001 nop +8000007e: 0001 nop +80000080: 0001 nop +80000082: 0001 nop +80000084: 0001 nop +80000086: 0001 nop +80000088: 0001 nop +8000008a: 0001 nop +8000008c: 0001 nop +8000008e: 0001 nop +80000090: 0001 nop +80000092: 0001 nop +80000094: 0001 nop +80000096: 0001 nop +80000098: 0001 nop +8000009a: 0001 nop +8000009c: 0001 nop +8000009e: 0001 nop +800000a0: 0001 nop +800000a2: 0001 nop +800000a4: 0001 nop +800000a6: 0001 nop +800000a8: 0001 nop +800000aa: 0001 nop +800000ac: 0001 nop +800000ae: 0001 nop +800000b0: 0001 nop +800000b2: 0001 nop +800000b4: 0001 nop +800000b6: 0001 nop +800000b8: 0001 nop +800000ba: 0001 nop +800000bc: 0001 nop +800000be: 0001 nop +800000c0: 0001 nop +800000c2: 0001 nop +800000c4: 0001 nop +800000c6: 0001 nop +800000c8: 0001 nop +800000ca: 0001 nop +800000cc: 0001 nop +800000ce: 0001 nop +800000d0: 0001 nop +800000d2: 0001 nop +800000d4: 0001 nop +800000d6: 0001 nop +800000d8: 0001 nop +800000da: 0001 nop +800000dc: 0001 nop +800000de: 0001 nop +800000e0: 0001 nop +800000e2: 0001 nop +800000e4: 0001 nop +800000e6: 0001 nop +800000e8: 0001 nop +800000ea: 0001 nop +800000ec: 0001 nop +800000ee: 00001117 auipc sp,0x1 +800000f2: f1210113 addi sp,sp,-238 # 80001000 +800000f6: 4501 li a0,0 +800000f8: 00000617 auipc a2,0x0 +800000fc: 01260613 addi a2,a2,18 # 8000010a <_start+0x10a> +80000100: 9602 jalr a2 +80000102: 00012537 lui a0,0x12 +80000106: 3ab50513 addi a0,a0,939 # 123ab <_start-0x7ffedc55> +8000010a: c032 sw a2,0(sp) +8000010c: 00001117 auipc sp,0x1 +80000110: ef810113 addi sp,sp,-264 # 80001004 +80000114: 4505 li a0,1 +80000116: 00000697 auipc a3,0x0 +8000011a: 01268693 addi a3,a3,18 # 80000128 <_start+0x128> +8000011e: 9682 jalr a3 +80000120: 00012537 lui a0,0x12 +80000124: 3ab50513 addi a0,a0,939 # 123ab <_start-0x7ffedc55> +80000128: c036 sw a3,0(sp) +8000012a: 00001117 auipc sp,0x1 +8000012e: ede10113 addi sp,sp,-290 # 80001008 +80000132: 557d li a0,-1 +80000134: 00000717 auipc a4,0x0 +80000138: 01270713 addi a4,a4,18 # 80000146 <_start+0x146> +8000013c: 9702 jalr a4 +8000013e: 00012537 lui a0,0x12 +80000142: 3ab50513 addi a0,a0,939 # 123ab <_start-0x7ffedc55> +80000146: c03a sw a4,0(sp) +80000148: 00001117 auipc sp,0x1 +8000014c: ec410113 addi sp,sp,-316 # 8000100c +80000150: 00008537 lui a0,0x8 +80000154: fff50513 addi a0,a0,-1 # 7fff <_start-0x7fff8001> +80000158: 00000797 auipc a5,0x0 +8000015c: 01278793 addi a5,a5,18 # 8000016a <_start+0x16a> +80000160: 9782 jalr a5 +80000162: 00012537 lui a0,0x12 +80000166: 3ab50513 addi a0,a0,939 # 123ab <_start-0x7ffedc55> +8000016a: c03e sw a5,0(sp) +8000016c: 00001117 auipc sp,0x1 +80000170: ea410113 addi sp,sp,-348 # 80001010 +80000174: 6521 lui a0,0x8 +80000176: 00000817 auipc a6,0x0 +8000017a: 01280813 addi a6,a6,18 # 80000188 <_start+0x188> +8000017e: 9802 jalr a6 +80000180: 00012537 lui a0,0x12 +80000184: 3ab50513 addi a0,a0,939 # 123ab <_start-0x7ffedc55> +80000188: c042 sw a6,0(sp) +8000018a: 00001517 auipc a0,0x1 +8000018e: e7650513 addi a0,a0,-394 # 80001000 +80000192: 00001597 auipc a1,0x1 +80000196: e8e58593 addi a1,a1,-370 # 80001020 <_end> +8000019a: f0100637 lui a2,0xf0100 +8000019e: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fef0c> + +800001a2 : +800001a2: 00b50c63 beq a0,a1,800001ba +800001a6: 4554 lw a3,12(a0) +800001a8: c214 sw a3,0(a2) +800001aa: 4514 lw a3,8(a0) +800001ac: c214 sw a3,0(a2) +800001ae: 4154 lw a3,4(a0) +800001b0: c214 sw a3,0(a2) +800001b2: 4114 lw a3,0(a0) +800001b4: c214 sw a3,0(a2) +800001b6: 0541 addi a0,a0,16 +800001b8: b7ed j 800001a2 + +800001ba : +800001ba: f0100537 lui a0,0xf0100 +800001be: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fef00> +800001c2: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff + +80001004 : +80001004: ffff 0xffff +80001006: ffff 0xffff + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: ffff 0xffff + +80001010 : +80001010: ffff 0xffff +80001012: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/C.JR.elf.objdump b/VexRiscv/src/test/resources/asm/C.JR.elf.objdump new file mode 100644 index 0000000..0b05122 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/C.JR.elf.objdump @@ -0,0 +1,220 @@ + +/home/spinalvm/hdl/riscv-compliance/work//C.JR.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 0001 nop +80000002: 0001 nop +80000004: 0001 nop +80000006: 0001 nop +80000008: 0001 nop +8000000a: 0001 nop +8000000c: 0001 nop +8000000e: 0001 nop +80000010: 0001 nop +80000012: 0001 nop +80000014: 0001 nop +80000016: 0001 nop +80000018: 0001 nop +8000001a: 0001 nop +8000001c: 0001 nop +8000001e: 0001 nop +80000020: 0001 nop +80000022: 0001 nop +80000024: 0001 nop +80000026: 0001 nop +80000028: 0001 nop +8000002a: 0001 nop +8000002c: 0001 nop +8000002e: 0001 nop +80000030: 0001 nop +80000032: 0001 nop +80000034: 0001 nop +80000036: 0001 nop +80000038: 0001 nop +8000003a: 0001 nop +8000003c: 0001 nop +8000003e: 0001 nop +80000040: 0001 nop +80000042: 0001 nop +80000044: 0001 nop +80000046: 0001 nop +80000048: 0001 nop +8000004a: 0001 nop +8000004c: 0001 nop +8000004e: 0001 nop +80000050: 0001 nop +80000052: 0001 nop +80000054: 0001 nop +80000056: 0001 nop +80000058: 0001 nop +8000005a: 0001 nop +8000005c: 0001 nop +8000005e: 0001 nop +80000060: 0001 nop +80000062: 0001 nop +80000064: 0001 nop +80000066: 0001 nop +80000068: 0001 nop +8000006a: 0001 nop +8000006c: 0001 nop +8000006e: 0001 nop +80000070: 0001 nop +80000072: 0001 nop +80000074: 0001 nop +80000076: 0001 nop +80000078: 0001 nop +8000007a: 0001 nop +8000007c: 0001 nop +8000007e: 0001 nop +80000080: 0001 nop +80000082: 0001 nop +80000084: 0001 nop +80000086: 0001 nop +80000088: 0001 nop +8000008a: 0001 nop +8000008c: 0001 nop +8000008e: 0001 nop +80000090: 0001 nop +80000092: 0001 nop +80000094: 0001 nop +80000096: 0001 nop +80000098: 0001 nop +8000009a: 0001 nop +8000009c: 0001 nop +8000009e: 0001 nop +800000a0: 0001 nop +800000a2: 0001 nop +800000a4: 0001 nop +800000a6: 0001 nop +800000a8: 0001 nop +800000aa: 0001 nop +800000ac: 0001 nop +800000ae: 0001 nop +800000b0: 0001 nop +800000b2: 0001 nop +800000b4: 0001 nop +800000b6: 0001 nop +800000b8: 0001 nop +800000ba: 0001 nop +800000bc: 0001 nop +800000be: 0001 nop +800000c0: 0001 nop +800000c2: 0001 nop +800000c4: 0001 nop +800000c6: 0001 nop +800000c8: 0001 nop +800000ca: 0001 nop +800000cc: 0001 nop +800000ce: 0001 nop +800000d0: 0001 nop +800000d2: 0001 nop +800000d4: 0001 nop +800000d6: 0001 nop +800000d8: 0001 nop +800000da: 0001 nop +800000dc: 0001 nop +800000de: 0001 nop +800000e0: 0001 nop +800000e2: 0001 nop +800000e4: 0001 nop +800000e6: 0001 nop +800000e8: 0001 nop +800000ea: 0001 nop +800000ec: 0001 nop +800000ee: 00001117 auipc sp,0x1 +800000f2: f1210113 addi sp,sp,-238 # 80001000 +800000f6: 4501 li a0,0 +800000f8: 00000197 auipc gp,0x0 +800000fc: 01218193 addi gp,gp,18 # 8000010a <_start+0x10a> +80000100: 8182 jr gp +80000102: 00012537 lui a0,0x12 +80000106: 3ab50513 addi a0,a0,939 # 123ab <_start-0x7ffedc55> +8000010a: c00e sw gp,0(sp) +8000010c: 00001117 auipc sp,0x1 +80000110: ef810113 addi sp,sp,-264 # 80001004 +80000114: 4505 li a0,1 +80000116: 00000217 auipc tp,0x0 +8000011a: 01220213 addi tp,tp,18 # 80000128 <_start+0x128> +8000011e: 8202 jr tp +80000120: 00012537 lui a0,0x12 +80000124: 3ab50513 addi a0,a0,939 # 123ab <_start-0x7ffedc55> +80000128: c012 sw tp,0(sp) +8000012a: 00001117 auipc sp,0x1 +8000012e: ede10113 addi sp,sp,-290 # 80001008 +80000132: 557d li a0,-1 +80000134: 00000417 auipc s0,0x0 +80000138: 01240413 addi s0,s0,18 # 80000146 <_start+0x146> +8000013c: 8402 jr s0 +8000013e: 00012537 lui a0,0x12 +80000142: 3ab50513 addi a0,a0,939 # 123ab <_start-0x7ffedc55> +80000146: c022 sw s0,0(sp) +80000148: 00001117 auipc sp,0x1 +8000014c: ec410113 addi sp,sp,-316 # 8000100c +80000150: 00008537 lui a0,0x8 +80000154: fff50513 addi a0,a0,-1 # 7fff <_start-0x7fff8001> +80000158: 00000497 auipc s1,0x0 +8000015c: 01248493 addi s1,s1,18 # 8000016a <_start+0x16a> +80000160: 8482 jr s1 +80000162: 00012537 lui a0,0x12 +80000166: 3ab50513 addi a0,a0,939 # 123ab <_start-0x7ffedc55> +8000016a: c026 sw s1,0(sp) +8000016c: 00001117 auipc sp,0x1 +80000170: ea410113 addi sp,sp,-348 # 80001010 +80000174: 6521 lui a0,0x8 +80000176: 00000597 auipc a1,0x0 +8000017a: 01258593 addi a1,a1,18 # 80000188 <_start+0x188> +8000017e: 8582 jr a1 +80000180: 00012537 lui a0,0x12 +80000184: 3ab50513 addi a0,a0,939 # 123ab <_start-0x7ffedc55> +80000188: c02e sw a1,0(sp) +8000018a: 00001517 auipc a0,0x1 +8000018e: e7650513 addi a0,a0,-394 # 80001000 +80000192: 00001597 auipc a1,0x1 +80000196: e8e58593 addi a1,a1,-370 # 80001020 <_end> +8000019a: f0100637 lui a2,0xf0100 +8000019e: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fef0c> + +800001a2 : +800001a2: 00b50c63 beq a0,a1,800001ba +800001a6: 4554 lw a3,12(a0) +800001a8: c214 sw a3,0(a2) +800001aa: 4514 lw a3,8(a0) +800001ac: c214 sw a3,0(a2) +800001ae: 4154 lw a3,4(a0) +800001b0: c214 sw a3,0(a2) +800001b2: 4114 lw a3,0(a0) +800001b4: c214 sw a3,0(a2) +800001b6: 0541 addi a0,a0,16 +800001b8: b7ed j 800001a2 + +800001ba : +800001ba: f0100537 lui a0,0xf0100 +800001be: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fef00> +800001c2: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff + +80001004 : +80001004: ffff 0xffff +80001006: ffff 0xffff + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: ffff 0xffff + +80001010 : +80001010: ffff 0xffff +80001012: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/C.LI.elf.objdump b/VexRiscv/src/test/resources/asm/C.LI.elf.objdump new file mode 100644 index 0000000..f1b51bd --- /dev/null +++ b/VexRiscv/src/test/resources/asm/C.LI.elf.objdump @@ -0,0 +1,304 @@ + +/home/spinalvm/hdl/riscv-compliance/work//C.LI.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 0001 nop +80000002: 0001 nop +80000004: 0001 nop +80000006: 0001 nop +80000008: 0001 nop +8000000a: 0001 nop +8000000c: 0001 nop +8000000e: 0001 nop +80000010: 0001 nop +80000012: 0001 nop +80000014: 0001 nop +80000016: 0001 nop +80000018: 0001 nop +8000001a: 0001 nop +8000001c: 0001 nop +8000001e: 0001 nop +80000020: 0001 nop +80000022: 0001 nop +80000024: 0001 nop +80000026: 0001 nop +80000028: 0001 nop +8000002a: 0001 nop +8000002c: 0001 nop +8000002e: 0001 nop +80000030: 0001 nop +80000032: 0001 nop +80000034: 0001 nop +80000036: 0001 nop +80000038: 0001 nop +8000003a: 0001 nop +8000003c: 0001 nop +8000003e: 0001 nop +80000040: 0001 nop +80000042: 0001 nop +80000044: 0001 nop +80000046: 0001 nop +80000048: 0001 nop +8000004a: 0001 nop +8000004c: 0001 nop +8000004e: 0001 nop +80000050: 0001 nop +80000052: 0001 nop +80000054: 0001 nop +80000056: 0001 nop +80000058: 0001 nop +8000005a: 0001 nop +8000005c: 0001 nop +8000005e: 0001 nop +80000060: 0001 nop +80000062: 0001 nop +80000064: 0001 nop +80000066: 0001 nop +80000068: 0001 nop +8000006a: 0001 nop +8000006c: 0001 nop +8000006e: 0001 nop +80000070: 0001 nop +80000072: 0001 nop +80000074: 0001 nop +80000076: 0001 nop +80000078: 0001 nop +8000007a: 0001 nop +8000007c: 0001 nop +8000007e: 0001 nop +80000080: 0001 nop +80000082: 0001 nop +80000084: 0001 nop +80000086: 0001 nop +80000088: 0001 nop +8000008a: 0001 nop +8000008c: 0001 nop +8000008e: 0001 nop +80000090: 0001 nop +80000092: 0001 nop +80000094: 0001 nop +80000096: 0001 nop +80000098: 0001 nop +8000009a: 0001 nop +8000009c: 0001 nop +8000009e: 0001 nop +800000a0: 0001 nop +800000a2: 0001 nop +800000a4: 0001 nop +800000a6: 0001 nop +800000a8: 0001 nop +800000aa: 0001 nop +800000ac: 0001 nop +800000ae: 0001 nop +800000b0: 0001 nop +800000b2: 0001 nop +800000b4: 0001 nop +800000b6: 0001 nop +800000b8: 0001 nop +800000ba: 0001 nop +800000bc: 0001 nop +800000be: 0001 nop +800000c0: 0001 nop +800000c2: 0001 nop +800000c4: 0001 nop +800000c6: 0001 nop +800000c8: 0001 nop +800000ca: 0001 nop +800000cc: 0001 nop +800000ce: 0001 nop +800000d0: 0001 nop +800000d2: 0001 nop +800000d4: 0001 nop +800000d6: 0001 nop +800000d8: 0001 nop +800000da: 0001 nop +800000dc: 0001 nop +800000de: 0001 nop +800000e0: 0001 nop +800000e2: 0001 nop +800000e4: 0001 nop +800000e6: 0001 nop +800000e8: 0001 nop +800000ea: 0001 nop +800000ec: 0001 nop +800000ee: 00001117 auipc sp,0x1 +800000f2: f1210113 addi sp,sp,-238 # 80001000 +800000f6: 4181 li gp,0 +800000f8: 4181 li gp,0 +800000fa: c00e sw gp,0(sp) +800000fc: 4201 li tp,0 +800000fe: 4205 li tp,1 +80000100: c212 sw tp,4(sp) +80000102: 4401 li s0,0 +80000104: 4441 li s0,16 +80000106: c422 sw s0,8(sp) +80000108: 4481 li s1,0 +8000010a: 44fd li s1,31 +8000010c: c626 sw s1,12(sp) +8000010e: 4581 li a1,0 +80000110: 5585 li a1,-31 +80000112: c82e sw a1,16(sp) +80000114: 00001117 auipc sp,0x1 +80000118: f0010113 addi sp,sp,-256 # 80001014 +8000011c: 4605 li a2,1 +8000011e: 4601 li a2,0 +80000120: c032 sw a2,0(sp) +80000122: 4685 li a3,1 +80000124: 4685 li a3,1 +80000126: c236 sw a3,4(sp) +80000128: 4705 li a4,1 +8000012a: 4741 li a4,16 +8000012c: c43a sw a4,8(sp) +8000012e: 4785 li a5,1 +80000130: 47fd li a5,31 +80000132: c63e sw a5,12(sp) +80000134: 4805 li a6,1 +80000136: 5805 li a6,-31 +80000138: c842 sw a6,16(sp) +8000013a: 00001117 auipc sp,0x1 +8000013e: eee10113 addi sp,sp,-274 # 80001028 +80000142: fff00893 li a7,-1 +80000146: 4881 li a7,0 +80000148: c046 sw a7,0(sp) +8000014a: fff00913 li s2,-1 +8000014e: 4905 li s2,1 +80000150: c24a sw s2,4(sp) +80000152: fff00993 li s3,-1 +80000156: 49c1 li s3,16 +80000158: c44e sw s3,8(sp) +8000015a: fff00a13 li s4,-1 +8000015e: 4a7d li s4,31 +80000160: c652 sw s4,12(sp) +80000162: fff00a93 li s5,-1 +80000166: 5a85 li s5,-31 +80000168: c856 sw s5,16(sp) +8000016a: 00001117 auipc sp,0x1 +8000016e: ed210113 addi sp,sp,-302 # 8000103c +80000172: 00080b37 lui s6,0x80 +80000176: fffb0b13 addi s6,s6,-1 # 7ffff <_start-0x7ff80001> +8000017a: 4b01 li s6,0 +8000017c: c05a sw s6,0(sp) +8000017e: 00080bb7 lui s7,0x80 +80000182: fffb8b93 addi s7,s7,-1 # 7ffff <_start-0x7ff80001> +80000186: 4b85 li s7,1 +80000188: c25e sw s7,4(sp) +8000018a: 00080c37 lui s8,0x80 +8000018e: fffc0c13 addi s8,s8,-1 # 7ffff <_start-0x7ff80001> +80000192: 4c41 li s8,16 +80000194: c462 sw s8,8(sp) +80000196: 00080cb7 lui s9,0x80 +8000019a: fffc8c93 addi s9,s9,-1 # 7ffff <_start-0x7ff80001> +8000019e: 4cfd li s9,31 +800001a0: c666 sw s9,12(sp) +800001a2: 00080d37 lui s10,0x80 +800001a6: fffd0d13 addi s10,s10,-1 # 7ffff <_start-0x7ff80001> +800001aa: 5d05 li s10,-31 +800001ac: c86a sw s10,16(sp) +800001ae: 00001117 auipc sp,0x1 +800001b2: ea210113 addi sp,sp,-350 # 80001050 +800001b6: 00080db7 lui s11,0x80 +800001ba: 4d81 li s11,0 +800001bc: c06e sw s11,0(sp) +800001be: 00080e37 lui t3,0x80 +800001c2: 4e05 li t3,1 +800001c4: c272 sw t3,4(sp) +800001c6: 00080eb7 lui t4,0x80 +800001ca: 4ec1 li t4,16 +800001cc: c476 sw t4,8(sp) +800001ce: 00080f37 lui t5,0x80 +800001d2: 4f7d li t5,31 +800001d4: c67a sw t5,12(sp) +800001d6: 00080fb7 lui t6,0x80 +800001da: 5f85 li t6,-31 +800001dc: c87e sw t6,16(sp) +800001de: 00001517 auipc a0,0x1 +800001e2: e2250513 addi a0,a0,-478 # 80001000 +800001e6: 00001597 auipc a1,0x1 +800001ea: e8a58593 addi a1,a1,-374 # 80001070 <_end> +800001ee: f0100637 lui a2,0xf0100 +800001f2: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feebc> + +800001f6 : +800001f6: 00b50c63 beq a0,a1,8000020e +800001fa: 4554 lw a3,12(a0) +800001fc: c214 sw a3,0(a2) +800001fe: 4514 lw a3,8(a0) +80000200: c214 sw a3,0(a2) +80000202: 4154 lw a3,4(a0) +80000204: c214 sw a3,0(a2) +80000206: 4114 lw a3,0(a0) +80000208: c214 sw a3,0(a2) +8000020a: 0541 addi a0,a0,16 +8000020c: b7ed j 800001f6 + +8000020e : +8000020e: f0100537 lui a0,0xf0100 +80000212: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feeb0> +80000216: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/C.LUI.elf.objdump b/VexRiscv/src/test/resources/asm/C.LUI.elf.objdump new file mode 100644 index 0000000..980309e --- /dev/null +++ b/VexRiscv/src/test/resources/asm/C.LUI.elf.objdump @@ -0,0 +1,304 @@ + +/home/spinalvm/hdl/riscv-compliance/work//C.LUI.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 0001 nop +80000002: 0001 nop +80000004: 0001 nop +80000006: 0001 nop +80000008: 0001 nop +8000000a: 0001 nop +8000000c: 0001 nop +8000000e: 0001 nop +80000010: 0001 nop +80000012: 0001 nop +80000014: 0001 nop +80000016: 0001 nop +80000018: 0001 nop +8000001a: 0001 nop +8000001c: 0001 nop +8000001e: 0001 nop +80000020: 0001 nop +80000022: 0001 nop +80000024: 0001 nop +80000026: 0001 nop +80000028: 0001 nop +8000002a: 0001 nop +8000002c: 0001 nop +8000002e: 0001 nop +80000030: 0001 nop +80000032: 0001 nop +80000034: 0001 nop +80000036: 0001 nop +80000038: 0001 nop +8000003a: 0001 nop +8000003c: 0001 nop +8000003e: 0001 nop +80000040: 0001 nop +80000042: 0001 nop +80000044: 0001 nop +80000046: 0001 nop +80000048: 0001 nop +8000004a: 0001 nop +8000004c: 0001 nop +8000004e: 0001 nop +80000050: 0001 nop +80000052: 0001 nop +80000054: 0001 nop +80000056: 0001 nop +80000058: 0001 nop +8000005a: 0001 nop +8000005c: 0001 nop +8000005e: 0001 nop +80000060: 0001 nop +80000062: 0001 nop +80000064: 0001 nop +80000066: 0001 nop +80000068: 0001 nop +8000006a: 0001 nop +8000006c: 0001 nop +8000006e: 0001 nop +80000070: 0001 nop +80000072: 0001 nop +80000074: 0001 nop +80000076: 0001 nop +80000078: 0001 nop +8000007a: 0001 nop +8000007c: 0001 nop +8000007e: 0001 nop +80000080: 0001 nop +80000082: 0001 nop +80000084: 0001 nop +80000086: 0001 nop +80000088: 0001 nop +8000008a: 0001 nop +8000008c: 0001 nop +8000008e: 0001 nop +80000090: 0001 nop +80000092: 0001 nop +80000094: 0001 nop +80000096: 0001 nop +80000098: 0001 nop +8000009a: 0001 nop +8000009c: 0001 nop +8000009e: 0001 nop +800000a0: 0001 nop +800000a2: 0001 nop +800000a4: 0001 nop +800000a6: 0001 nop +800000a8: 0001 nop +800000aa: 0001 nop +800000ac: 0001 nop +800000ae: 0001 nop +800000b0: 0001 nop +800000b2: 0001 nop +800000b4: 0001 nop +800000b6: 0001 nop +800000b8: 0001 nop +800000ba: 0001 nop +800000bc: 0001 nop +800000be: 0001 nop +800000c0: 0001 nop +800000c2: 0001 nop +800000c4: 0001 nop +800000c6: 0001 nop +800000c8: 0001 nop +800000ca: 0001 nop +800000cc: 0001 nop +800000ce: 0001 nop +800000d0: 0001 nop +800000d2: 0001 nop +800000d4: 0001 nop +800000d6: 0001 nop +800000d8: 0001 nop +800000da: 0001 nop +800000dc: 0001 nop +800000de: 0001 nop +800000e0: 0001 nop +800000e2: 0001 nop +800000e4: 0001 nop +800000e6: 0001 nop +800000e8: 0001 nop +800000ea: 0001 nop +800000ec: 0001 nop +800000ee: 00001117 auipc sp,0x1 +800000f2: f1210113 addi sp,sp,-238 # 80001000 +800000f6: 4181 li gp,0 +800000f8: 6185 lui gp,0x1 +800000fa: c00e sw gp,0(sp) +800000fc: 4201 li tp,0 +800000fe: 6209 lui tp,0x2 +80000100: c212 sw tp,4(sp) +80000102: 4401 li s0,0 +80000104: 643d lui s0,0xf +80000106: c422 sw s0,8(sp) +80000108: 4481 li s1,0 +8000010a: 64fd lui s1,0x1f +8000010c: c626 sw s1,12(sp) +8000010e: 4581 li a1,0 +80000110: 75fd lui a1,0xfffff +80000112: c82e sw a1,16(sp) +80000114: 00001117 auipc sp,0x1 +80000118: f0010113 addi sp,sp,-256 # 80001014 +8000011c: 4605 li a2,1 +8000011e: 6605 lui a2,0x1 +80000120: c032 sw a2,0(sp) +80000122: 4685 li a3,1 +80000124: 6689 lui a3,0x2 +80000126: c236 sw a3,4(sp) +80000128: 4705 li a4,1 +8000012a: 673d lui a4,0xf +8000012c: c43a sw a4,8(sp) +8000012e: 4785 li a5,1 +80000130: 67fd lui a5,0x1f +80000132: c63e sw a5,12(sp) +80000134: 4805 li a6,1 +80000136: 787d lui a6,0xfffff +80000138: c842 sw a6,16(sp) +8000013a: 00001117 auipc sp,0x1 +8000013e: eee10113 addi sp,sp,-274 # 80001028 +80000142: fff00893 li a7,-1 +80000146: 6885 lui a7,0x1 +80000148: c046 sw a7,0(sp) +8000014a: fff00913 li s2,-1 +8000014e: 6909 lui s2,0x2 +80000150: c24a sw s2,4(sp) +80000152: fff00993 li s3,-1 +80000156: 69bd lui s3,0xf +80000158: c44e sw s3,8(sp) +8000015a: fff00a13 li s4,-1 +8000015e: 6a7d lui s4,0x1f +80000160: c652 sw s4,12(sp) +80000162: fff00a93 li s5,-1 +80000166: 7afd lui s5,0xfffff +80000168: c856 sw s5,16(sp) +8000016a: 00001117 auipc sp,0x1 +8000016e: ed210113 addi sp,sp,-302 # 8000103c +80000172: 00080b37 lui s6,0x80 +80000176: fffb0b13 addi s6,s6,-1 # 7ffff <_start-0x7ff80001> +8000017a: 6b05 lui s6,0x1 +8000017c: c05a sw s6,0(sp) +8000017e: 00080bb7 lui s7,0x80 +80000182: fffb8b93 addi s7,s7,-1 # 7ffff <_start-0x7ff80001> +80000186: 6b89 lui s7,0x2 +80000188: c25e sw s7,4(sp) +8000018a: 00080c37 lui s8,0x80 +8000018e: fffc0c13 addi s8,s8,-1 # 7ffff <_start-0x7ff80001> +80000192: 6c3d lui s8,0xf +80000194: c462 sw s8,8(sp) +80000196: 00080cb7 lui s9,0x80 +8000019a: fffc8c93 addi s9,s9,-1 # 7ffff <_start-0x7ff80001> +8000019e: 6cfd lui s9,0x1f +800001a0: c666 sw s9,12(sp) +800001a2: 00080d37 lui s10,0x80 +800001a6: fffd0d13 addi s10,s10,-1 # 7ffff <_start-0x7ff80001> +800001aa: 7d7d lui s10,0xfffff +800001ac: c86a sw s10,16(sp) +800001ae: 00001117 auipc sp,0x1 +800001b2: ea210113 addi sp,sp,-350 # 80001050 +800001b6: 00080db7 lui s11,0x80 +800001ba: 6d85 lui s11,0x1 +800001bc: c06e sw s11,0(sp) +800001be: 00080e37 lui t3,0x80 +800001c2: 6e09 lui t3,0x2 +800001c4: c272 sw t3,4(sp) +800001c6: 00080eb7 lui t4,0x80 +800001ca: 6ebd lui t4,0xf +800001cc: c476 sw t4,8(sp) +800001ce: 00080f37 lui t5,0x80 +800001d2: 6f7d lui t5,0x1f +800001d4: c67a sw t5,12(sp) +800001d6: 00080fb7 lui t6,0x80 +800001da: 7ffd lui t6,0xfffff +800001dc: c87e sw t6,16(sp) +800001de: 00001517 auipc a0,0x1 +800001e2: e2250513 addi a0,a0,-478 # 80001000 +800001e6: 00001597 auipc a1,0x1 +800001ea: e8a58593 addi a1,a1,-374 # 80001070 <_end> +800001ee: f0100637 lui a2,0xf0100 +800001f2: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feebc> + +800001f6 : +800001f6: 00b50c63 beq a0,a1,8000020e +800001fa: 4554 lw a3,12(a0) +800001fc: c214 sw a3,0(a2) +800001fe: 4514 lw a3,8(a0) +80000200: c214 sw a3,0(a2) +80000202: 4154 lw a3,4(a0) +80000204: c214 sw a3,0(a2) +80000206: 4114 lw a3,0(a0) +80000208: c214 sw a3,0(a2) +8000020a: 0541 addi a0,a0,16 +8000020c: b7ed j 800001f6 + +8000020e : +8000020e: f0100537 lui a0,0xf0100 +80000212: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feeb0> +80000216: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/C.LW.elf.objdump b/VexRiscv/src/test/resources/asm/C.LW.elf.objdump new file mode 100644 index 0000000..93bca0e --- /dev/null +++ b/VexRiscv/src/test/resources/asm/C.LW.elf.objdump @@ -0,0 +1,263 @@ + +/home/spinalvm/hdl/riscv-compliance/work//C.LW.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 0001 nop +80000002: 0001 nop +80000004: 0001 nop +80000006: 0001 nop +80000008: 0001 nop +8000000a: 0001 nop +8000000c: 0001 nop +8000000e: 0001 nop +80000010: 0001 nop +80000012: 0001 nop +80000014: 0001 nop +80000016: 0001 nop +80000018: 0001 nop +8000001a: 0001 nop +8000001c: 0001 nop +8000001e: 0001 nop +80000020: 0001 nop +80000022: 0001 nop +80000024: 0001 nop +80000026: 0001 nop +80000028: 0001 nop +8000002a: 0001 nop +8000002c: 0001 nop +8000002e: 0001 nop +80000030: 0001 nop +80000032: 0001 nop +80000034: 0001 nop +80000036: 0001 nop +80000038: 0001 nop +8000003a: 0001 nop +8000003c: 0001 nop +8000003e: 0001 nop +80000040: 0001 nop +80000042: 0001 nop +80000044: 0001 nop +80000046: 0001 nop +80000048: 0001 nop +8000004a: 0001 nop +8000004c: 0001 nop +8000004e: 0001 nop +80000050: 0001 nop +80000052: 0001 nop +80000054: 0001 nop +80000056: 0001 nop +80000058: 0001 nop +8000005a: 0001 nop +8000005c: 0001 nop +8000005e: 0001 nop +80000060: 0001 nop +80000062: 0001 nop +80000064: 0001 nop +80000066: 0001 nop +80000068: 0001 nop +8000006a: 0001 nop +8000006c: 0001 nop +8000006e: 0001 nop +80000070: 0001 nop +80000072: 0001 nop +80000074: 0001 nop +80000076: 0001 nop +80000078: 0001 nop +8000007a: 0001 nop +8000007c: 0001 nop +8000007e: 0001 nop +80000080: 0001 nop +80000082: 0001 nop +80000084: 0001 nop +80000086: 0001 nop +80000088: 0001 nop +8000008a: 0001 nop +8000008c: 0001 nop +8000008e: 0001 nop +80000090: 0001 nop +80000092: 0001 nop +80000094: 0001 nop +80000096: 0001 nop +80000098: 0001 nop +8000009a: 0001 nop +8000009c: 0001 nop +8000009e: 0001 nop +800000a0: 0001 nop +800000a2: 0001 nop +800000a4: 0001 nop +800000a6: 0001 nop +800000a8: 0001 nop +800000aa: 0001 nop +800000ac: 0001 nop +800000ae: 0001 nop +800000b0: 0001 nop +800000b2: 0001 nop +800000b4: 0001 nop +800000b6: 0001 nop +800000b8: 0001 nop +800000ba: 0001 nop +800000bc: 0001 nop +800000be: 0001 nop +800000c0: 0001 nop +800000c2: 0001 nop +800000c4: 0001 nop +800000c6: 0001 nop +800000c8: 0001 nop +800000ca: 0001 nop +800000cc: 0001 nop +800000ce: 0001 nop +800000d0: 0001 nop +800000d2: 0001 nop +800000d4: 0001 nop +800000d6: 0001 nop +800000d8: 0001 nop +800000da: 0001 nop +800000dc: 0001 nop +800000de: 0001 nop +800000e0: 0001 nop +800000e2: 0001 nop +800000e4: 0001 nop +800000e6: 0001 nop +800000e8: 0001 nop +800000ea: 0001 nop +800000ec: 0001 nop +800000ee: 00001117 auipc sp,0x1 +800000f2: f1210113 addi sp,sp,-238 # 80001000 +800000f6: 00001717 auipc a4,0x1 +800000fa: f1e70713 addi a4,a4,-226 # 80001014 +800000fe: 4318 lw a4,0(a4) +80000100: c03a sw a4,0(sp) +80000102: 00001117 auipc sp,0x1 +80000106: f0210113 addi sp,sp,-254 # 80001004 +8000010a: 00001797 auipc a5,0x1 +8000010e: f0a78793 addi a5,a5,-246 # 80001014 +80000112: 43dc lw a5,4(a5) +80000114: c03e sw a5,0(sp) +80000116: 00001117 auipc sp,0x1 +8000011a: ef210113 addi sp,sp,-270 # 80001008 +8000011e: 00001417 auipc s0,0x1 +80000122: ef640413 addi s0,s0,-266 # 80001014 +80000126: 4400 lw s0,8(s0) +80000128: c022 sw s0,0(sp) +8000012a: 00001117 auipc sp,0x1 +8000012e: ee210113 addi sp,sp,-286 # 8000100c +80000132: 00001497 auipc s1,0x1 +80000136: ee248493 addi s1,s1,-286 # 80001014 +8000013a: 50e4 lw s1,100(s1) +8000013c: c026 sw s1,0(sp) +8000013e: 00001117 auipc sp,0x1 +80000142: ed210113 addi sp,sp,-302 # 80001010 +80000146: 00001697 auipc a3,0x1 +8000014a: ece68693 addi a3,a3,-306 # 80001014 +8000014e: 5ef4 lw a3,124(a3) +80000150: c036 sw a3,0(sp) +80000152: 00001517 auipc a0,0x1 +80000156: eae50513 addi a0,a0,-338 # 80001000 +8000015a: 00001597 auipc a1,0x1 +8000015e: f4658593 addi a1,a1,-186 # 800010a0 <_end> +80000162: f0100637 lui a2,0xf0100 +80000166: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee8c> + +8000016a : +8000016a: 00b50c63 beq a0,a1,80000182 +8000016e: 4554 lw a3,12(a0) +80000170: c214 sw a3,0(a2) +80000172: 4514 lw a3,8(a0) +80000174: c214 sw a3,0(a2) +80000176: 4154 lw a3,4(a0) +80000178: c214 sw a3,0(a2) +8000017a: 4114 lw a3,0(a0) +8000017c: c214 sw a3,0(a2) +8000017e: 0541 addi a0,a0,16 +80000180: b7ed j 8000016a + +80000182 : +80000182: f0100537 lui a0,0xf0100 +80000186: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee80> +8000018a: 00052023 sw zero,0(a0) +8000018e: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff + +80001004 : +80001004: ffff 0xffff +80001006: ffff 0xffff + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: ffff 0xffff + +80001010 : +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: 0000 unimp +80001016: 0000 unimp +80001018: 0001 nop +8000101a: 0000 unimp +8000101c: 0002 c.slli zero,0x0 +8000101e: 0000 unimp +80001020: 00000003 lb zero,0(zero) # 0 <_start-0x80000000> +80001024: 0004 0x4 +80001026: 0000 unimp +80001028: 0005 c.nop 1 +8000102a: 0000 unimp +8000102c: 0006 c.slli zero,0x1 +8000102e: 0000 unimp +80001030: 00000007 0x7 +80001034: 0008 0x8 +80001036: 0000 unimp +80001038: 0009 c.nop 2 +8000103a: 0000 unimp +8000103c: 000a c.slli zero,0x2 +8000103e: 0000 unimp +80001040: 0000000b 0xb +80001044: 000c 0xc +80001046: 0000 unimp +80001048: 000d c.nop 3 +8000104a: 0000 unimp +8000104c: 000e c.slli zero,0x3 +8000104e: 0000 unimp +80001050: 0000000f fence unknown,unknown +80001054: 0010 0x10 +80001056: 0000 unimp +80001058: 0011 c.nop 4 +8000105a: 0000 unimp +8000105c: 0012 c.slli zero,0x4 +8000105e: 0000 unimp +80001060: 00000013 nop +80001064: 0014 0x14 +80001066: 0000 unimp +80001068: 0015 c.nop 5 +8000106a: 0000 unimp +8000106c: 0016 c.slli zero,0x5 +8000106e: 0000 unimp +80001070: 00000017 auipc zero,0x0 +80001074: 0018 0x18 +80001076: 0000 unimp +80001078: 0019 c.nop 6 +8000107a: 0000 unimp +8000107c: 001a c.slli zero,0x6 +8000107e: 0000 unimp +80001080: 0000001b 0x1b +80001084: 001c 0x1c +80001086: 0000 unimp +80001088: 001d c.nop 7 +8000108a: 0000 unimp +8000108c: 001e c.slli zero,0x7 +8000108e: 0000 unimp +80001090: 001f 0000 0000 0x1f + ... diff --git a/VexRiscv/src/test/resources/asm/C.LWSP.elf.objdump b/VexRiscv/src/test/resources/asm/C.LWSP.elf.objdump new file mode 100644 index 0000000..a8f61ca --- /dev/null +++ b/VexRiscv/src/test/resources/asm/C.LWSP.elf.objdump @@ -0,0 +1,262 @@ + +/home/spinalvm/hdl/riscv-compliance/work//C.LWSP.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 0001 nop +80000002: 0001 nop +80000004: 0001 nop +80000006: 0001 nop +80000008: 0001 nop +8000000a: 0001 nop +8000000c: 0001 nop +8000000e: 0001 nop +80000010: 0001 nop +80000012: 0001 nop +80000014: 0001 nop +80000016: 0001 nop +80000018: 0001 nop +8000001a: 0001 nop +8000001c: 0001 nop +8000001e: 0001 nop +80000020: 0001 nop +80000022: 0001 nop +80000024: 0001 nop +80000026: 0001 nop +80000028: 0001 nop +8000002a: 0001 nop +8000002c: 0001 nop +8000002e: 0001 nop +80000030: 0001 nop +80000032: 0001 nop +80000034: 0001 nop +80000036: 0001 nop +80000038: 0001 nop +8000003a: 0001 nop +8000003c: 0001 nop +8000003e: 0001 nop +80000040: 0001 nop +80000042: 0001 nop +80000044: 0001 nop +80000046: 0001 nop +80000048: 0001 nop +8000004a: 0001 nop +8000004c: 0001 nop +8000004e: 0001 nop +80000050: 0001 nop +80000052: 0001 nop +80000054: 0001 nop +80000056: 0001 nop +80000058: 0001 nop +8000005a: 0001 nop +8000005c: 0001 nop +8000005e: 0001 nop +80000060: 0001 nop +80000062: 0001 nop +80000064: 0001 nop +80000066: 0001 nop +80000068: 0001 nop +8000006a: 0001 nop +8000006c: 0001 nop +8000006e: 0001 nop +80000070: 0001 nop +80000072: 0001 nop +80000074: 0001 nop +80000076: 0001 nop +80000078: 0001 nop +8000007a: 0001 nop +8000007c: 0001 nop +8000007e: 0001 nop +80000080: 0001 nop +80000082: 0001 nop +80000084: 0001 nop +80000086: 0001 nop +80000088: 0001 nop +8000008a: 0001 nop +8000008c: 0001 nop +8000008e: 0001 nop +80000090: 0001 nop +80000092: 0001 nop +80000094: 0001 nop +80000096: 0001 nop +80000098: 0001 nop +8000009a: 0001 nop +8000009c: 0001 nop +8000009e: 0001 nop +800000a0: 0001 nop +800000a2: 0001 nop +800000a4: 0001 nop +800000a6: 0001 nop +800000a8: 0001 nop +800000aa: 0001 nop +800000ac: 0001 nop +800000ae: 0001 nop +800000b0: 0001 nop +800000b2: 0001 nop +800000b4: 0001 nop +800000b6: 0001 nop +800000b8: 0001 nop +800000ba: 0001 nop +800000bc: 0001 nop +800000be: 0001 nop +800000c0: 0001 nop +800000c2: 0001 nop +800000c4: 0001 nop +800000c6: 0001 nop +800000c8: 0001 nop +800000ca: 0001 nop +800000cc: 0001 nop +800000ce: 0001 nop +800000d0: 0001 nop +800000d2: 0001 nop +800000d4: 0001 nop +800000d6: 0001 nop +800000d8: 0001 nop +800000da: 0001 nop +800000dc: 0001 nop +800000de: 0001 nop +800000e0: 0001 nop +800000e2: 0001 nop +800000e4: 0001 nop +800000e6: 0001 nop +800000e8: 0001 nop +800000ea: 0001 nop +800000ec: 0001 nop +800000ee: 00001097 auipc ra,0x1 +800000f2: f1208093 addi ra,ra,-238 # 80001000 +800000f6: 00001117 auipc sp,0x1 +800000fa: f1e10113 addi sp,sp,-226 # 80001014 +800000fe: 4202 lw tp,0(sp) +80000100: 0040a023 sw tp,0(ra) +80000104: 00001097 auipc ra,0x1 +80000108: f0008093 addi ra,ra,-256 # 80001004 +8000010c: 00001117 auipc sp,0x1 +80000110: f0810113 addi sp,sp,-248 # 80001014 +80000114: 4412 lw s0,4(sp) +80000116: 0080a023 sw s0,0(ra) +8000011a: 00001097 auipc ra,0x1 +8000011e: eee08093 addi ra,ra,-274 # 80001008 +80000122: 00001117 auipc sp,0x1 +80000126: ef210113 addi sp,sp,-270 # 80001014 +8000012a: 4822 lw a6,8(sp) +8000012c: 0100a023 sw a6,0(ra) +80000130: 00001097 auipc ra,0x1 +80000134: edc08093 addi ra,ra,-292 # 8000100c +80000138: 00001117 auipc sp,0x1 +8000013c: edc10113 addi sp,sp,-292 # 80001014 +80000140: 5ff6 lw t6,124(sp) +80000142: 01f0a023 sw t6,0(ra) +80000146: 00001097 auipc ra,0x1 +8000014a: eca08093 addi ra,ra,-310 # 80001010 +8000014e: 00001117 auipc sp,0x1 +80000152: ec610113 addi sp,sp,-314 # 80001014 +80000156: 5afe lw s5,252(sp) +80000158: 0150a023 sw s5,0(ra) +8000015c: 00001517 auipc a0,0x1 +80000160: ea450513 addi a0,a0,-348 # 80001000 +80000164: 00001597 auipc a1,0x1 +80000168: f3c58593 addi a1,a1,-196 # 800010a0 <_end> +8000016c: f0100637 lui a2,0xf0100 +80000170: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee8c> + +80000174 : +80000174: 00b50c63 beq a0,a1,8000018c +80000178: 4554 lw a3,12(a0) +8000017a: c214 sw a3,0(a2) +8000017c: 4514 lw a3,8(a0) +8000017e: c214 sw a3,0(a2) +80000180: 4154 lw a3,4(a0) +80000182: c214 sw a3,0(a2) +80000184: 4114 lw a3,0(a0) +80000186: c214 sw a3,0(a2) +80000188: 0541 addi a0,a0,16 +8000018a: b7ed j 80000174 + +8000018c : +8000018c: f0100537 lui a0,0xf0100 +80000190: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee80> +80000194: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff + +80001004 : +80001004: ffff 0xffff +80001006: ffff 0xffff + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: ffff 0xffff + +80001010 : +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: 0000 unimp +80001016: 0000 unimp +80001018: 0001 nop +8000101a: 0000 unimp +8000101c: 0002 c.slli zero,0x0 +8000101e: 0000 unimp +80001020: 00000003 lb zero,0(zero) # 0 <_start-0x80000000> +80001024: 0004 0x4 +80001026: 0000 unimp +80001028: 0005 c.nop 1 +8000102a: 0000 unimp +8000102c: 0006 c.slli zero,0x1 +8000102e: 0000 unimp +80001030: 00000007 0x7 +80001034: 0008 0x8 +80001036: 0000 unimp +80001038: 0009 c.nop 2 +8000103a: 0000 unimp +8000103c: 000a c.slli zero,0x2 +8000103e: 0000 unimp +80001040: 0000000b 0xb +80001044: 000c 0xc +80001046: 0000 unimp +80001048: 000d c.nop 3 +8000104a: 0000 unimp +8000104c: 000e c.slli zero,0x3 +8000104e: 0000 unimp +80001050: 0000000f fence unknown,unknown +80001054: 0010 0x10 +80001056: 0000 unimp +80001058: 0011 c.nop 4 +8000105a: 0000 unimp +8000105c: 0012 c.slli zero,0x4 +8000105e: 0000 unimp +80001060: 00000013 nop +80001064: 0014 0x14 +80001066: 0000 unimp +80001068: 0015 c.nop 5 +8000106a: 0000 unimp +8000106c: 0016 c.slli zero,0x5 +8000106e: 0000 unimp +80001070: 00000017 auipc zero,0x0 +80001074: 0018 0x18 +80001076: 0000 unimp +80001078: 0019 c.nop 6 +8000107a: 0000 unimp +8000107c: 001a c.slli zero,0x6 +8000107e: 0000 unimp +80001080: 0000001b 0x1b +80001084: 001c 0x1c +80001086: 0000 unimp +80001088: 001d c.nop 7 +8000108a: 0000 unimp +8000108c: 001e c.slli zero,0x7 +8000108e: 0000 unimp +80001090: 001f 0000 0000 0x1f + ... diff --git a/VexRiscv/src/test/resources/asm/C.MV.elf.objdump b/VexRiscv/src/test/resources/asm/C.MV.elf.objdump new file mode 100644 index 0000000..491d682 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/C.MV.elf.objdump @@ -0,0 +1,334 @@ + +/home/spinalvm/hdl/riscv-compliance/work//C.MV.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 0001 nop +80000002: 0001 nop +80000004: 0001 nop +80000006: 0001 nop +80000008: 0001 nop +8000000a: 0001 nop +8000000c: 0001 nop +8000000e: 0001 nop +80000010: 0001 nop +80000012: 0001 nop +80000014: 0001 nop +80000016: 0001 nop +80000018: 0001 nop +8000001a: 0001 nop +8000001c: 0001 nop +8000001e: 0001 nop +80000020: 0001 nop +80000022: 0001 nop +80000024: 0001 nop +80000026: 0001 nop +80000028: 0001 nop +8000002a: 0001 nop +8000002c: 0001 nop +8000002e: 0001 nop +80000030: 0001 nop +80000032: 0001 nop +80000034: 0001 nop +80000036: 0001 nop +80000038: 0001 nop +8000003a: 0001 nop +8000003c: 0001 nop +8000003e: 0001 nop +80000040: 0001 nop +80000042: 0001 nop +80000044: 0001 nop +80000046: 0001 nop +80000048: 0001 nop +8000004a: 0001 nop +8000004c: 0001 nop +8000004e: 0001 nop +80000050: 0001 nop +80000052: 0001 nop +80000054: 0001 nop +80000056: 0001 nop +80000058: 0001 nop +8000005a: 0001 nop +8000005c: 0001 nop +8000005e: 0001 nop +80000060: 0001 nop +80000062: 0001 nop +80000064: 0001 nop +80000066: 0001 nop +80000068: 0001 nop +8000006a: 0001 nop +8000006c: 0001 nop +8000006e: 0001 nop +80000070: 0001 nop +80000072: 0001 nop +80000074: 0001 nop +80000076: 0001 nop +80000078: 0001 nop +8000007a: 0001 nop +8000007c: 0001 nop +8000007e: 0001 nop +80000080: 0001 nop +80000082: 0001 nop +80000084: 0001 nop +80000086: 0001 nop +80000088: 0001 nop +8000008a: 0001 nop +8000008c: 0001 nop +8000008e: 0001 nop +80000090: 0001 nop +80000092: 0001 nop +80000094: 0001 nop +80000096: 0001 nop +80000098: 0001 nop +8000009a: 0001 nop +8000009c: 0001 nop +8000009e: 0001 nop +800000a0: 0001 nop +800000a2: 0001 nop +800000a4: 0001 nop +800000a6: 0001 nop +800000a8: 0001 nop +800000aa: 0001 nop +800000ac: 0001 nop +800000ae: 0001 nop +800000b0: 0001 nop +800000b2: 0001 nop +800000b4: 0001 nop +800000b6: 0001 nop +800000b8: 0001 nop +800000ba: 0001 nop +800000bc: 0001 nop +800000be: 0001 nop +800000c0: 0001 nop +800000c2: 0001 nop +800000c4: 0001 nop +800000c6: 0001 nop +800000c8: 0001 nop +800000ca: 0001 nop +800000cc: 0001 nop +800000ce: 0001 nop +800000d0: 0001 nop +800000d2: 0001 nop +800000d4: 0001 nop +800000d6: 0001 nop +800000d8: 0001 nop +800000da: 0001 nop +800000dc: 0001 nop +800000de: 0001 nop +800000e0: 0001 nop +800000e2: 0001 nop +800000e4: 0001 nop +800000e6: 0001 nop +800000e8: 0001 nop +800000ea: 0001 nop +800000ec: 0001 nop +800000ee: 00001117 auipc sp,0x1 +800000f2: f1210113 addi sp,sp,-238 # 80001000 +800000f6: 4601 li a2,0 +800000f8: 4581 li a1,0 +800000fa: 85b2 mv a1,a2 +800000fc: c02e sw a1,0(sp) +800000fe: 4701 li a4,0 +80000100: 4685 li a3,1 +80000102: 86ba mv a3,a4 +80000104: c236 sw a3,4(sp) +80000106: 4401 li s0,0 +80000108: fff00793 li a5,-1 +8000010c: 87a2 mv a5,s0 +8000010e: c43e sw a5,8(sp) +80000110: 4581 li a1,0 +80000112: 000084b7 lui s1,0x8 +80000116: fff48493 addi s1,s1,-1 # 7fff <_start-0x7fff8001> +8000011a: 84ae mv s1,a1 +8000011c: c626 sw s1,12(sp) +8000011e: 4681 li a3,0 +80000120: 6621 lui a2,0x8 +80000122: 8636 mv a2,a3 +80000124: c832 sw a2,16(sp) +80000126: 00001117 auipc sp,0x1 +8000012a: eee10113 addi sp,sp,-274 # 80001014 +8000012e: 4785 li a5,1 +80000130: 4701 li a4,0 +80000132: 873e mv a4,a5 +80000134: c03a sw a4,0(sp) +80000136: 4485 li s1,1 +80000138: 4405 li s0,1 +8000013a: 8426 mv s0,s1 +8000013c: c222 sw s0,4(sp) +8000013e: 4605 li a2,1 +80000140: fff00593 li a1,-1 +80000144: 85b2 mv a1,a2 +80000146: c42e sw a1,8(sp) +80000148: 4705 li a4,1 +8000014a: 000086b7 lui a3,0x8 +8000014e: fff68693 addi a3,a3,-1 # 7fff <_start-0x7fff8001> +80000152: 86ba mv a3,a4 +80000154: c636 sw a3,12(sp) +80000156: 4405 li s0,1 +80000158: 67a1 lui a5,0x8 +8000015a: 87a2 mv a5,s0 +8000015c: c83e sw a5,16(sp) +8000015e: 00001117 auipc sp,0x1 +80000162: eca10113 addi sp,sp,-310 # 80001028 +80000166: fff00593 li a1,-1 +8000016a: 4481 li s1,0 +8000016c: 84ae mv s1,a1 +8000016e: c026 sw s1,0(sp) +80000170: fff00693 li a3,-1 +80000174: 4605 li a2,1 +80000176: 8636 mv a2,a3 +80000178: c232 sw a2,4(sp) +8000017a: fff00793 li a5,-1 +8000017e: fff00713 li a4,-1 +80000182: 873e mv a4,a5 +80000184: c43a sw a4,8(sp) +80000186: fff00493 li s1,-1 +8000018a: 00008437 lui s0,0x8 +8000018e: fff40413 addi s0,s0,-1 # 7fff <_start-0x7fff8001> +80000192: 8426 mv s0,s1 +80000194: c622 sw s0,12(sp) +80000196: fff00613 li a2,-1 +8000019a: 65a1 lui a1,0x8 +8000019c: 85b2 mv a1,a2 +8000019e: c82e sw a1,16(sp) +800001a0: 00001117 auipc sp,0x1 +800001a4: e9c10113 addi sp,sp,-356 # 8000103c +800001a8: 00008737 lui a4,0x8 +800001ac: fff70713 addi a4,a4,-1 # 7fff <_start-0x7fff8001> +800001b0: 4681 li a3,0 +800001b2: 86ba mv a3,a4 +800001b4: c036 sw a3,0(sp) +800001b6: 00008437 lui s0,0x8 +800001ba: fff40413 addi s0,s0,-1 # 7fff <_start-0x7fff8001> +800001be: 4785 li a5,1 +800001c0: 87a2 mv a5,s0 +800001c2: c23e sw a5,4(sp) +800001c4: 000085b7 lui a1,0x8 +800001c8: fff58593 addi a1,a1,-1 # 7fff <_start-0x7fff8001> +800001cc: fff00493 li s1,-1 +800001d0: 84ae mv s1,a1 +800001d2: c426 sw s1,8(sp) +800001d4: 000086b7 lui a3,0x8 +800001d8: fff68693 addi a3,a3,-1 # 7fff <_start-0x7fff8001> +800001dc: 00008637 lui a2,0x8 +800001e0: fff60613 addi a2,a2,-1 # 7fff <_start-0x7fff8001> +800001e4: 8636 mv a2,a3 +800001e6: c632 sw a2,12(sp) +800001e8: 000087b7 lui a5,0x8 +800001ec: fff78793 addi a5,a5,-1 # 7fff <_start-0x7fff8001> +800001f0: 6721 lui a4,0x8 +800001f2: 873e mv a4,a5 +800001f4: c83a sw a4,16(sp) +800001f6: 00001117 auipc sp,0x1 +800001fa: e5a10113 addi sp,sp,-422 # 80001050 +800001fe: 64a1 lui s1,0x8 +80000200: 4401 li s0,0 +80000202: 8426 mv s0,s1 +80000204: c022 sw s0,0(sp) +80000206: 6621 lui a2,0x8 +80000208: 4585 li a1,1 +8000020a: 85b2 mv a1,a2 +8000020c: c22e sw a1,4(sp) +8000020e: 6721 lui a4,0x8 +80000210: fff00693 li a3,-1 +80000214: 86ba mv a3,a4 +80000216: c436 sw a3,8(sp) +80000218: 6421 lui s0,0x8 +8000021a: 000087b7 lui a5,0x8 +8000021e: fff78793 addi a5,a5,-1 # 7fff <_start-0x7fff8001> +80000222: 87a2 mv a5,s0 +80000224: c63e sw a5,12(sp) +80000226: 65a1 lui a1,0x8 +80000228: 64a1 lui s1,0x8 +8000022a: 84ae mv s1,a1 +8000022c: c826 sw s1,16(sp) +8000022e: 00001517 auipc a0,0x1 +80000232: dd250513 addi a0,a0,-558 # 80001000 +80000236: 00001597 auipc a1,0x1 +8000023a: e3a58593 addi a1,a1,-454 # 80001070 <_end> +8000023e: f0100637 lui a2,0xf0100 +80000242: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feebc> + +80000246 : +80000246: 00b50c63 beq a0,a1,8000025e +8000024a: 4554 lw a3,12(a0) +8000024c: c214 sw a3,0(a2) +8000024e: 4514 lw a3,8(a0) +80000250: c214 sw a3,0(a2) +80000252: 4154 lw a3,4(a0) +80000254: c214 sw a3,0(a2) +80000256: 4114 lw a3,0(a0) +80000258: c214 sw a3,0(a2) +8000025a: 0541 addi a0,a0,16 +8000025c: b7ed j 80000246 + +8000025e : +8000025e: f0100537 lui a0,0xf0100 +80000262: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feeb0> +80000266: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/C.OR.elf.objdump b/VexRiscv/src/test/resources/asm/C.OR.elf.objdump new file mode 100644 index 0000000..0bd35cb --- /dev/null +++ b/VexRiscv/src/test/resources/asm/C.OR.elf.objdump @@ -0,0 +1,334 @@ + +/home/spinalvm/hdl/riscv-compliance/work//C.OR.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 0001 nop +80000002: 0001 nop +80000004: 0001 nop +80000006: 0001 nop +80000008: 0001 nop +8000000a: 0001 nop +8000000c: 0001 nop +8000000e: 0001 nop +80000010: 0001 nop +80000012: 0001 nop +80000014: 0001 nop +80000016: 0001 nop +80000018: 0001 nop +8000001a: 0001 nop +8000001c: 0001 nop +8000001e: 0001 nop +80000020: 0001 nop +80000022: 0001 nop +80000024: 0001 nop +80000026: 0001 nop +80000028: 0001 nop +8000002a: 0001 nop +8000002c: 0001 nop +8000002e: 0001 nop +80000030: 0001 nop +80000032: 0001 nop +80000034: 0001 nop +80000036: 0001 nop +80000038: 0001 nop +8000003a: 0001 nop +8000003c: 0001 nop +8000003e: 0001 nop +80000040: 0001 nop +80000042: 0001 nop +80000044: 0001 nop +80000046: 0001 nop +80000048: 0001 nop +8000004a: 0001 nop +8000004c: 0001 nop +8000004e: 0001 nop +80000050: 0001 nop +80000052: 0001 nop +80000054: 0001 nop +80000056: 0001 nop +80000058: 0001 nop +8000005a: 0001 nop +8000005c: 0001 nop +8000005e: 0001 nop +80000060: 0001 nop +80000062: 0001 nop +80000064: 0001 nop +80000066: 0001 nop +80000068: 0001 nop +8000006a: 0001 nop +8000006c: 0001 nop +8000006e: 0001 nop +80000070: 0001 nop +80000072: 0001 nop +80000074: 0001 nop +80000076: 0001 nop +80000078: 0001 nop +8000007a: 0001 nop +8000007c: 0001 nop +8000007e: 0001 nop +80000080: 0001 nop +80000082: 0001 nop +80000084: 0001 nop +80000086: 0001 nop +80000088: 0001 nop +8000008a: 0001 nop +8000008c: 0001 nop +8000008e: 0001 nop +80000090: 0001 nop +80000092: 0001 nop +80000094: 0001 nop +80000096: 0001 nop +80000098: 0001 nop +8000009a: 0001 nop +8000009c: 0001 nop +8000009e: 0001 nop +800000a0: 0001 nop +800000a2: 0001 nop +800000a4: 0001 nop +800000a6: 0001 nop +800000a8: 0001 nop +800000aa: 0001 nop +800000ac: 0001 nop +800000ae: 0001 nop +800000b0: 0001 nop +800000b2: 0001 nop +800000b4: 0001 nop +800000b6: 0001 nop +800000b8: 0001 nop +800000ba: 0001 nop +800000bc: 0001 nop +800000be: 0001 nop +800000c0: 0001 nop +800000c2: 0001 nop +800000c4: 0001 nop +800000c6: 0001 nop +800000c8: 0001 nop +800000ca: 0001 nop +800000cc: 0001 nop +800000ce: 0001 nop +800000d0: 0001 nop +800000d2: 0001 nop +800000d4: 0001 nop +800000d6: 0001 nop +800000d8: 0001 nop +800000da: 0001 nop +800000dc: 0001 nop +800000de: 0001 nop +800000e0: 0001 nop +800000e2: 0001 nop +800000e4: 0001 nop +800000e6: 0001 nop +800000e8: 0001 nop +800000ea: 0001 nop +800000ec: 0001 nop +800000ee: 00001117 auipc sp,0x1 +800000f2: f1210113 addi sp,sp,-238 # 80001000 +800000f6: 4401 li s0,0 +800000f8: 4781 li a5,0 +800000fa: 8fc1 or a5,a5,s0 +800000fc: c03e sw a5,0(sp) +800000fe: 4581 li a1,0 +80000100: 4485 li s1,1 +80000102: 8ccd or s1,s1,a1 +80000104: c226 sw s1,4(sp) +80000106: 4681 li a3,0 +80000108: fff00613 li a2,-1 +8000010c: 8e55 or a2,a2,a3 +8000010e: c432 sw a2,8(sp) +80000110: 4781 li a5,0 +80000112: 00008737 lui a4,0x8 +80000116: fff70713 addi a4,a4,-1 # 7fff <_start-0x7fff8001> +8000011a: 8f5d or a4,a4,a5 +8000011c: c63a sw a4,12(sp) +8000011e: 4481 li s1,0 +80000120: 6421 lui s0,0x8 +80000122: 8c45 or s0,s0,s1 +80000124: c822 sw s0,16(sp) +80000126: 00001117 auipc sp,0x1 +8000012a: eee10113 addi sp,sp,-274 # 80001014 +8000012e: 4605 li a2,1 +80000130: 4581 li a1,0 +80000132: 8dd1 or a1,a1,a2 +80000134: c02e sw a1,0(sp) +80000136: 4705 li a4,1 +80000138: 4685 li a3,1 +8000013a: 8ed9 or a3,a3,a4 +8000013c: c236 sw a3,4(sp) +8000013e: 4405 li s0,1 +80000140: fff00793 li a5,-1 +80000144: 8fc1 or a5,a5,s0 +80000146: c43e sw a5,8(sp) +80000148: 4585 li a1,1 +8000014a: 000084b7 lui s1,0x8 +8000014e: fff48493 addi s1,s1,-1 # 7fff <_start-0x7fff8001> +80000152: 8ccd or s1,s1,a1 +80000154: c626 sw s1,12(sp) +80000156: 4685 li a3,1 +80000158: 6621 lui a2,0x8 +8000015a: 8e55 or a2,a2,a3 +8000015c: c832 sw a2,16(sp) +8000015e: 00001117 auipc sp,0x1 +80000162: eca10113 addi sp,sp,-310 # 80001028 +80000166: fff00793 li a5,-1 +8000016a: 4701 li a4,0 +8000016c: 8f5d or a4,a4,a5 +8000016e: c03a sw a4,0(sp) +80000170: fff00493 li s1,-1 +80000174: 4405 li s0,1 +80000176: 8c45 or s0,s0,s1 +80000178: c222 sw s0,4(sp) +8000017a: fff00613 li a2,-1 +8000017e: fff00593 li a1,-1 +80000182: 8dd1 or a1,a1,a2 +80000184: c42e sw a1,8(sp) +80000186: fff00713 li a4,-1 +8000018a: 000086b7 lui a3,0x8 +8000018e: fff68693 addi a3,a3,-1 # 7fff <_start-0x7fff8001> +80000192: 8ed9 or a3,a3,a4 +80000194: c636 sw a3,12(sp) +80000196: fff00413 li s0,-1 +8000019a: 67a1 lui a5,0x8 +8000019c: 8fc1 or a5,a5,s0 +8000019e: c83e sw a5,16(sp) +800001a0: 00001117 auipc sp,0x1 +800001a4: e9c10113 addi sp,sp,-356 # 8000103c +800001a8: 000085b7 lui a1,0x8 +800001ac: fff58593 addi a1,a1,-1 # 7fff <_start-0x7fff8001> +800001b0: 4481 li s1,0 +800001b2: 8ccd or s1,s1,a1 +800001b4: c026 sw s1,0(sp) +800001b6: 000086b7 lui a3,0x8 +800001ba: fff68693 addi a3,a3,-1 # 7fff <_start-0x7fff8001> +800001be: 4605 li a2,1 +800001c0: 8e55 or a2,a2,a3 +800001c2: c232 sw a2,4(sp) +800001c4: 000087b7 lui a5,0x8 +800001c8: fff78793 addi a5,a5,-1 # 7fff <_start-0x7fff8001> +800001cc: fff00713 li a4,-1 +800001d0: 8f5d or a4,a4,a5 +800001d2: c43a sw a4,8(sp) +800001d4: 000084b7 lui s1,0x8 +800001d8: fff48493 addi s1,s1,-1 # 7fff <_start-0x7fff8001> +800001dc: 00008437 lui s0,0x8 +800001e0: fff40413 addi s0,s0,-1 # 7fff <_start-0x7fff8001> +800001e4: 8c45 or s0,s0,s1 +800001e6: c622 sw s0,12(sp) +800001e8: 00008637 lui a2,0x8 +800001ec: fff60613 addi a2,a2,-1 # 7fff <_start-0x7fff8001> +800001f0: 65a1 lui a1,0x8 +800001f2: 8dd1 or a1,a1,a2 +800001f4: c82e sw a1,16(sp) +800001f6: 00001117 auipc sp,0x1 +800001fa: e5a10113 addi sp,sp,-422 # 80001050 +800001fe: 6721 lui a4,0x8 +80000200: 4681 li a3,0 +80000202: 8ed9 or a3,a3,a4 +80000204: c036 sw a3,0(sp) +80000206: 6421 lui s0,0x8 +80000208: 4785 li a5,1 +8000020a: 8fc1 or a5,a5,s0 +8000020c: c23e sw a5,4(sp) +8000020e: 65a1 lui a1,0x8 +80000210: fff00493 li s1,-1 +80000214: 8ccd or s1,s1,a1 +80000216: c426 sw s1,8(sp) +80000218: 66a1 lui a3,0x8 +8000021a: 00008637 lui a2,0x8 +8000021e: fff60613 addi a2,a2,-1 # 7fff <_start-0x7fff8001> +80000222: 8e55 or a2,a2,a3 +80000224: c632 sw a2,12(sp) +80000226: 67a1 lui a5,0x8 +80000228: 6721 lui a4,0x8 +8000022a: 8f5d or a4,a4,a5 +8000022c: c83a sw a4,16(sp) +8000022e: 00001517 auipc a0,0x1 +80000232: dd250513 addi a0,a0,-558 # 80001000 +80000236: 00001597 auipc a1,0x1 +8000023a: e3a58593 addi a1,a1,-454 # 80001070 <_end> +8000023e: f0100637 lui a2,0xf0100 +80000242: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feebc> + +80000246 : +80000246: 00b50c63 beq a0,a1,8000025e +8000024a: 4554 lw a3,12(a0) +8000024c: c214 sw a3,0(a2) +8000024e: 4514 lw a3,8(a0) +80000250: c214 sw a3,0(a2) +80000252: 4154 lw a3,4(a0) +80000254: c214 sw a3,0(a2) +80000256: 4114 lw a3,0(a0) +80000258: c214 sw a3,0(a2) +8000025a: 0541 addi a0,a0,16 +8000025c: b7ed j 80000246 + +8000025e : +8000025e: f0100537 lui a0,0xf0100 +80000262: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feeb0> +80000266: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/C.SLLI.elf.objdump b/VexRiscv/src/test/resources/asm/C.SLLI.elf.objdump new file mode 100644 index 0000000..a0f3226 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/C.SLLI.elf.objdump @@ -0,0 +1,304 @@ + +/home/spinalvm/hdl/riscv-compliance/work//C.SLLI.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 0001 nop +80000002: 0001 nop +80000004: 0001 nop +80000006: 0001 nop +80000008: 0001 nop +8000000a: 0001 nop +8000000c: 0001 nop +8000000e: 0001 nop +80000010: 0001 nop +80000012: 0001 nop +80000014: 0001 nop +80000016: 0001 nop +80000018: 0001 nop +8000001a: 0001 nop +8000001c: 0001 nop +8000001e: 0001 nop +80000020: 0001 nop +80000022: 0001 nop +80000024: 0001 nop +80000026: 0001 nop +80000028: 0001 nop +8000002a: 0001 nop +8000002c: 0001 nop +8000002e: 0001 nop +80000030: 0001 nop +80000032: 0001 nop +80000034: 0001 nop +80000036: 0001 nop +80000038: 0001 nop +8000003a: 0001 nop +8000003c: 0001 nop +8000003e: 0001 nop +80000040: 0001 nop +80000042: 0001 nop +80000044: 0001 nop +80000046: 0001 nop +80000048: 0001 nop +8000004a: 0001 nop +8000004c: 0001 nop +8000004e: 0001 nop +80000050: 0001 nop +80000052: 0001 nop +80000054: 0001 nop +80000056: 0001 nop +80000058: 0001 nop +8000005a: 0001 nop +8000005c: 0001 nop +8000005e: 0001 nop +80000060: 0001 nop +80000062: 0001 nop +80000064: 0001 nop +80000066: 0001 nop +80000068: 0001 nop +8000006a: 0001 nop +8000006c: 0001 nop +8000006e: 0001 nop +80000070: 0001 nop +80000072: 0001 nop +80000074: 0001 nop +80000076: 0001 nop +80000078: 0001 nop +8000007a: 0001 nop +8000007c: 0001 nop +8000007e: 0001 nop +80000080: 0001 nop +80000082: 0001 nop +80000084: 0001 nop +80000086: 0001 nop +80000088: 0001 nop +8000008a: 0001 nop +8000008c: 0001 nop +8000008e: 0001 nop +80000090: 0001 nop +80000092: 0001 nop +80000094: 0001 nop +80000096: 0001 nop +80000098: 0001 nop +8000009a: 0001 nop +8000009c: 0001 nop +8000009e: 0001 nop +800000a0: 0001 nop +800000a2: 0001 nop +800000a4: 0001 nop +800000a6: 0001 nop +800000a8: 0001 nop +800000aa: 0001 nop +800000ac: 0001 nop +800000ae: 0001 nop +800000b0: 0001 nop +800000b2: 0001 nop +800000b4: 0001 nop +800000b6: 0001 nop +800000b8: 0001 nop +800000ba: 0001 nop +800000bc: 0001 nop +800000be: 0001 nop +800000c0: 0001 nop +800000c2: 0001 nop +800000c4: 0001 nop +800000c6: 0001 nop +800000c8: 0001 nop +800000ca: 0001 nop +800000cc: 0001 nop +800000ce: 0001 nop +800000d0: 0001 nop +800000d2: 0001 nop +800000d4: 0001 nop +800000d6: 0001 nop +800000d8: 0001 nop +800000da: 0001 nop +800000dc: 0001 nop +800000de: 0001 nop +800000e0: 0001 nop +800000e2: 0001 nop +800000e4: 0001 nop +800000e6: 0001 nop +800000e8: 0001 nop +800000ea: 0001 nop +800000ec: 0001 nop +800000ee: 00001117 auipc sp,0x1 +800000f2: f1210113 addi sp,sp,-238 # 80001000 +800000f6: 4181 li gp,0 +800000f8: 0186 slli gp,gp,0x1 +800000fa: c00e sw gp,0(sp) +800000fc: 4201 li tp,0 +800000fe: 020a slli tp,tp,0x2 +80000100: c212 sw tp,4(sp) +80000102: 4401 li s0,0 +80000104: 043e slli s0,s0,0xf +80000106: c422 sw s0,8(sp) +80000108: 4481 li s1,0 +8000010a: 04c2 slli s1,s1,0x10 +8000010c: c626 sw s1,12(sp) +8000010e: 4581 li a1,0 +80000110: 05fe slli a1,a1,0x1f +80000112: c82e sw a1,16(sp) +80000114: 00001117 auipc sp,0x1 +80000118: f0010113 addi sp,sp,-256 # 80001014 +8000011c: 4605 li a2,1 +8000011e: 0606 slli a2,a2,0x1 +80000120: c032 sw a2,0(sp) +80000122: 4685 li a3,1 +80000124: 068a slli a3,a3,0x2 +80000126: c236 sw a3,4(sp) +80000128: 4705 li a4,1 +8000012a: 073e slli a4,a4,0xf +8000012c: c43a sw a4,8(sp) +8000012e: 4785 li a5,1 +80000130: 07c2 slli a5,a5,0x10 +80000132: c63e sw a5,12(sp) +80000134: 4805 li a6,1 +80000136: 087e slli a6,a6,0x1f +80000138: c842 sw a6,16(sp) +8000013a: 00001117 auipc sp,0x1 +8000013e: eee10113 addi sp,sp,-274 # 80001028 +80000142: fff00893 li a7,-1 +80000146: 0886 slli a7,a7,0x1 +80000148: c046 sw a7,0(sp) +8000014a: fff00913 li s2,-1 +8000014e: 090a slli s2,s2,0x2 +80000150: c24a sw s2,4(sp) +80000152: fff00993 li s3,-1 +80000156: 09be slli s3,s3,0xf +80000158: c44e sw s3,8(sp) +8000015a: fff00a13 li s4,-1 +8000015e: 0a42 slli s4,s4,0x10 +80000160: c652 sw s4,12(sp) +80000162: fff00a93 li s5,-1 +80000166: 0afe slli s5,s5,0x1f +80000168: c856 sw s5,16(sp) +8000016a: 00001117 auipc sp,0x1 +8000016e: ed210113 addi sp,sp,-302 # 8000103c +80000172: 00080b37 lui s6,0x80 +80000176: fffb0b13 addi s6,s6,-1 # 7ffff <_start-0x7ff80001> +8000017a: 0b06 slli s6,s6,0x1 +8000017c: c05a sw s6,0(sp) +8000017e: 00080bb7 lui s7,0x80 +80000182: fffb8b93 addi s7,s7,-1 # 7ffff <_start-0x7ff80001> +80000186: 0b8a slli s7,s7,0x2 +80000188: c25e sw s7,4(sp) +8000018a: 00080c37 lui s8,0x80 +8000018e: fffc0c13 addi s8,s8,-1 # 7ffff <_start-0x7ff80001> +80000192: 0c3e slli s8,s8,0xf +80000194: c462 sw s8,8(sp) +80000196: 00080cb7 lui s9,0x80 +8000019a: fffc8c93 addi s9,s9,-1 # 7ffff <_start-0x7ff80001> +8000019e: 0cc2 slli s9,s9,0x10 +800001a0: c666 sw s9,12(sp) +800001a2: 00080d37 lui s10,0x80 +800001a6: fffd0d13 addi s10,s10,-1 # 7ffff <_start-0x7ff80001> +800001aa: 0d7e slli s10,s10,0x1f +800001ac: c86a sw s10,16(sp) +800001ae: 00001117 auipc sp,0x1 +800001b2: ea210113 addi sp,sp,-350 # 80001050 +800001b6: 00080db7 lui s11,0x80 +800001ba: 0d86 slli s11,s11,0x1 +800001bc: c06e sw s11,0(sp) +800001be: 00080e37 lui t3,0x80 +800001c2: 0e0a slli t3,t3,0x2 +800001c4: c272 sw t3,4(sp) +800001c6: 00080eb7 lui t4,0x80 +800001ca: 0ebe slli t4,t4,0xf +800001cc: c476 sw t4,8(sp) +800001ce: 00080f37 lui t5,0x80 +800001d2: 0f42 slli t5,t5,0x10 +800001d4: c67a sw t5,12(sp) +800001d6: 00080fb7 lui t6,0x80 +800001da: 0ffe slli t6,t6,0x1f +800001dc: c87e sw t6,16(sp) +800001de: 00001517 auipc a0,0x1 +800001e2: e2250513 addi a0,a0,-478 # 80001000 +800001e6: 00001597 auipc a1,0x1 +800001ea: e8a58593 addi a1,a1,-374 # 80001070 <_end> +800001ee: f0100637 lui a2,0xf0100 +800001f2: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feebc> + +800001f6 : +800001f6: 00b50c63 beq a0,a1,8000020e +800001fa: 4554 lw a3,12(a0) +800001fc: c214 sw a3,0(a2) +800001fe: 4514 lw a3,8(a0) +80000200: c214 sw a3,0(a2) +80000202: 4154 lw a3,4(a0) +80000204: c214 sw a3,0(a2) +80000206: 4114 lw a3,0(a0) +80000208: c214 sw a3,0(a2) +8000020a: 0541 addi a0,a0,16 +8000020c: b7ed j 800001f6 + +8000020e : +8000020e: f0100537 lui a0,0xf0100 +80000212: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feeb0> +80000216: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/C.SRAI.elf.objdump b/VexRiscv/src/test/resources/asm/C.SRAI.elf.objdump new file mode 100644 index 0000000..b5a4de3 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/C.SRAI.elf.objdump @@ -0,0 +1,304 @@ + +/home/spinalvm/hdl/riscv-compliance/work//C.SRAI.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 0001 nop +80000002: 0001 nop +80000004: 0001 nop +80000006: 0001 nop +80000008: 0001 nop +8000000a: 0001 nop +8000000c: 0001 nop +8000000e: 0001 nop +80000010: 0001 nop +80000012: 0001 nop +80000014: 0001 nop +80000016: 0001 nop +80000018: 0001 nop +8000001a: 0001 nop +8000001c: 0001 nop +8000001e: 0001 nop +80000020: 0001 nop +80000022: 0001 nop +80000024: 0001 nop +80000026: 0001 nop +80000028: 0001 nop +8000002a: 0001 nop +8000002c: 0001 nop +8000002e: 0001 nop +80000030: 0001 nop +80000032: 0001 nop +80000034: 0001 nop +80000036: 0001 nop +80000038: 0001 nop +8000003a: 0001 nop +8000003c: 0001 nop +8000003e: 0001 nop +80000040: 0001 nop +80000042: 0001 nop +80000044: 0001 nop +80000046: 0001 nop +80000048: 0001 nop +8000004a: 0001 nop +8000004c: 0001 nop +8000004e: 0001 nop +80000050: 0001 nop +80000052: 0001 nop +80000054: 0001 nop +80000056: 0001 nop +80000058: 0001 nop +8000005a: 0001 nop +8000005c: 0001 nop +8000005e: 0001 nop +80000060: 0001 nop +80000062: 0001 nop +80000064: 0001 nop +80000066: 0001 nop +80000068: 0001 nop +8000006a: 0001 nop +8000006c: 0001 nop +8000006e: 0001 nop +80000070: 0001 nop +80000072: 0001 nop +80000074: 0001 nop +80000076: 0001 nop +80000078: 0001 nop +8000007a: 0001 nop +8000007c: 0001 nop +8000007e: 0001 nop +80000080: 0001 nop +80000082: 0001 nop +80000084: 0001 nop +80000086: 0001 nop +80000088: 0001 nop +8000008a: 0001 nop +8000008c: 0001 nop +8000008e: 0001 nop +80000090: 0001 nop +80000092: 0001 nop +80000094: 0001 nop +80000096: 0001 nop +80000098: 0001 nop +8000009a: 0001 nop +8000009c: 0001 nop +8000009e: 0001 nop +800000a0: 0001 nop +800000a2: 0001 nop +800000a4: 0001 nop +800000a6: 0001 nop +800000a8: 0001 nop +800000aa: 0001 nop +800000ac: 0001 nop +800000ae: 0001 nop +800000b0: 0001 nop +800000b2: 0001 nop +800000b4: 0001 nop +800000b6: 0001 nop +800000b8: 0001 nop +800000ba: 0001 nop +800000bc: 0001 nop +800000be: 0001 nop +800000c0: 0001 nop +800000c2: 0001 nop +800000c4: 0001 nop +800000c6: 0001 nop +800000c8: 0001 nop +800000ca: 0001 nop +800000cc: 0001 nop +800000ce: 0001 nop +800000d0: 0001 nop +800000d2: 0001 nop +800000d4: 0001 nop +800000d6: 0001 nop +800000d8: 0001 nop +800000da: 0001 nop +800000dc: 0001 nop +800000de: 0001 nop +800000e0: 0001 nop +800000e2: 0001 nop +800000e4: 0001 nop +800000e6: 0001 nop +800000e8: 0001 nop +800000ea: 0001 nop +800000ec: 0001 nop +800000ee: 00001117 auipc sp,0x1 +800000f2: f1210113 addi sp,sp,-238 # 80001000 +800000f6: 4481 li s1,0 +800000f8: 8485 srai s1,s1,0x1 +800000fa: c026 sw s1,0(sp) +800000fc: 4581 li a1,0 +800000fe: 8589 srai a1,a1,0x2 +80000100: c22e sw a1,4(sp) +80000102: 4601 li a2,0 +80000104: 863d srai a2,a2,0xf +80000106: c432 sw a2,8(sp) +80000108: 4681 li a3,0 +8000010a: 86c1 srai a3,a3,0x10 +8000010c: c636 sw a3,12(sp) +8000010e: 4701 li a4,0 +80000110: 877d srai a4,a4,0x1f +80000112: c83a sw a4,16(sp) +80000114: 00001117 auipc sp,0x1 +80000118: f0010113 addi sp,sp,-256 # 80001014 +8000011c: 4785 li a5,1 +8000011e: 8785 srai a5,a5,0x1 +80000120: c03e sw a5,0(sp) +80000122: 4405 li s0,1 +80000124: 8409 srai s0,s0,0x2 +80000126: c222 sw s0,4(sp) +80000128: 4485 li s1,1 +8000012a: 84bd srai s1,s1,0xf +8000012c: c426 sw s1,8(sp) +8000012e: 4585 li a1,1 +80000130: 85c1 srai a1,a1,0x10 +80000132: c62e sw a1,12(sp) +80000134: 4605 li a2,1 +80000136: 867d srai a2,a2,0x1f +80000138: c832 sw a2,16(sp) +8000013a: 00001117 auipc sp,0x1 +8000013e: eee10113 addi sp,sp,-274 # 80001028 +80000142: fff00693 li a3,-1 +80000146: 8685 srai a3,a3,0x1 +80000148: c036 sw a3,0(sp) +8000014a: fff00713 li a4,-1 +8000014e: 8709 srai a4,a4,0x2 +80000150: c23a sw a4,4(sp) +80000152: fff00793 li a5,-1 +80000156: 87bd srai a5,a5,0xf +80000158: c43e sw a5,8(sp) +8000015a: fff00413 li s0,-1 +8000015e: 8441 srai s0,s0,0x10 +80000160: c622 sw s0,12(sp) +80000162: fff00493 li s1,-1 +80000166: 84fd srai s1,s1,0x1f +80000168: c826 sw s1,16(sp) +8000016a: 00001117 auipc sp,0x1 +8000016e: ed210113 addi sp,sp,-302 # 8000103c +80000172: 000805b7 lui a1,0x80 +80000176: fff58593 addi a1,a1,-1 # 7ffff <_start-0x7ff80001> +8000017a: 8585 srai a1,a1,0x1 +8000017c: c02e sw a1,0(sp) +8000017e: 00080637 lui a2,0x80 +80000182: fff60613 addi a2,a2,-1 # 7ffff <_start-0x7ff80001> +80000186: 8609 srai a2,a2,0x2 +80000188: c232 sw a2,4(sp) +8000018a: 000806b7 lui a3,0x80 +8000018e: fff68693 addi a3,a3,-1 # 7ffff <_start-0x7ff80001> +80000192: 86bd srai a3,a3,0xf +80000194: c436 sw a3,8(sp) +80000196: 00080737 lui a4,0x80 +8000019a: fff70713 addi a4,a4,-1 # 7ffff <_start-0x7ff80001> +8000019e: 8741 srai a4,a4,0x10 +800001a0: c63a sw a4,12(sp) +800001a2: 000807b7 lui a5,0x80 +800001a6: fff78793 addi a5,a5,-1 # 7ffff <_start-0x7ff80001> +800001aa: 87fd srai a5,a5,0x1f +800001ac: c83e sw a5,16(sp) +800001ae: 00001117 auipc sp,0x1 +800001b2: ea210113 addi sp,sp,-350 # 80001050 +800001b6: 00080437 lui s0,0x80 +800001ba: 8405 srai s0,s0,0x1 +800001bc: c022 sw s0,0(sp) +800001be: 000804b7 lui s1,0x80 +800001c2: 8489 srai s1,s1,0x2 +800001c4: c226 sw s1,4(sp) +800001c6: 000805b7 lui a1,0x80 +800001ca: 85bd srai a1,a1,0xf +800001cc: c42e sw a1,8(sp) +800001ce: 00080637 lui a2,0x80 +800001d2: 8641 srai a2,a2,0x10 +800001d4: c632 sw a2,12(sp) +800001d6: 000806b7 lui a3,0x80 +800001da: 86fd srai a3,a3,0x1f +800001dc: c836 sw a3,16(sp) +800001de: 00001517 auipc a0,0x1 +800001e2: e2250513 addi a0,a0,-478 # 80001000 +800001e6: 00001597 auipc a1,0x1 +800001ea: e8a58593 addi a1,a1,-374 # 80001070 <_end> +800001ee: f0100637 lui a2,0xf0100 +800001f2: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feebc> + +800001f6 : +800001f6: 00b50c63 beq a0,a1,8000020e +800001fa: 4554 lw a3,12(a0) +800001fc: c214 sw a3,0(a2) +800001fe: 4514 lw a3,8(a0) +80000200: c214 sw a3,0(a2) +80000202: 4154 lw a3,4(a0) +80000204: c214 sw a3,0(a2) +80000206: 4114 lw a3,0(a0) +80000208: c214 sw a3,0(a2) +8000020a: 0541 addi a0,a0,16 +8000020c: b7ed j 800001f6 + +8000020e : +8000020e: f0100537 lui a0,0xf0100 +80000212: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feeb0> +80000216: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/C.SRLI.elf.objdump b/VexRiscv/src/test/resources/asm/C.SRLI.elf.objdump new file mode 100644 index 0000000..ac89194 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/C.SRLI.elf.objdump @@ -0,0 +1,304 @@ + +/home/spinalvm/hdl/riscv-compliance/work//C.SRLI.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 0001 nop +80000002: 0001 nop +80000004: 0001 nop +80000006: 0001 nop +80000008: 0001 nop +8000000a: 0001 nop +8000000c: 0001 nop +8000000e: 0001 nop +80000010: 0001 nop +80000012: 0001 nop +80000014: 0001 nop +80000016: 0001 nop +80000018: 0001 nop +8000001a: 0001 nop +8000001c: 0001 nop +8000001e: 0001 nop +80000020: 0001 nop +80000022: 0001 nop +80000024: 0001 nop +80000026: 0001 nop +80000028: 0001 nop +8000002a: 0001 nop +8000002c: 0001 nop +8000002e: 0001 nop +80000030: 0001 nop +80000032: 0001 nop +80000034: 0001 nop +80000036: 0001 nop +80000038: 0001 nop +8000003a: 0001 nop +8000003c: 0001 nop +8000003e: 0001 nop +80000040: 0001 nop +80000042: 0001 nop +80000044: 0001 nop +80000046: 0001 nop +80000048: 0001 nop +8000004a: 0001 nop +8000004c: 0001 nop +8000004e: 0001 nop +80000050: 0001 nop +80000052: 0001 nop +80000054: 0001 nop +80000056: 0001 nop +80000058: 0001 nop +8000005a: 0001 nop +8000005c: 0001 nop +8000005e: 0001 nop +80000060: 0001 nop +80000062: 0001 nop +80000064: 0001 nop +80000066: 0001 nop +80000068: 0001 nop +8000006a: 0001 nop +8000006c: 0001 nop +8000006e: 0001 nop +80000070: 0001 nop +80000072: 0001 nop +80000074: 0001 nop +80000076: 0001 nop +80000078: 0001 nop +8000007a: 0001 nop +8000007c: 0001 nop +8000007e: 0001 nop +80000080: 0001 nop +80000082: 0001 nop +80000084: 0001 nop +80000086: 0001 nop +80000088: 0001 nop +8000008a: 0001 nop +8000008c: 0001 nop +8000008e: 0001 nop +80000090: 0001 nop +80000092: 0001 nop +80000094: 0001 nop +80000096: 0001 nop +80000098: 0001 nop +8000009a: 0001 nop +8000009c: 0001 nop +8000009e: 0001 nop +800000a0: 0001 nop +800000a2: 0001 nop +800000a4: 0001 nop +800000a6: 0001 nop +800000a8: 0001 nop +800000aa: 0001 nop +800000ac: 0001 nop +800000ae: 0001 nop +800000b0: 0001 nop +800000b2: 0001 nop +800000b4: 0001 nop +800000b6: 0001 nop +800000b8: 0001 nop +800000ba: 0001 nop +800000bc: 0001 nop +800000be: 0001 nop +800000c0: 0001 nop +800000c2: 0001 nop +800000c4: 0001 nop +800000c6: 0001 nop +800000c8: 0001 nop +800000ca: 0001 nop +800000cc: 0001 nop +800000ce: 0001 nop +800000d0: 0001 nop +800000d2: 0001 nop +800000d4: 0001 nop +800000d6: 0001 nop +800000d8: 0001 nop +800000da: 0001 nop +800000dc: 0001 nop +800000de: 0001 nop +800000e0: 0001 nop +800000e2: 0001 nop +800000e4: 0001 nop +800000e6: 0001 nop +800000e8: 0001 nop +800000ea: 0001 nop +800000ec: 0001 nop +800000ee: 00001117 auipc sp,0x1 +800000f2: f1210113 addi sp,sp,-238 # 80001000 +800000f6: 4701 li a4,0 +800000f8: 8305 srli a4,a4,0x1 +800000fa: c03a sw a4,0(sp) +800000fc: 4781 li a5,0 +800000fe: 8389 srli a5,a5,0x2 +80000100: c23e sw a5,4(sp) +80000102: 4401 li s0,0 +80000104: 803d srli s0,s0,0xf +80000106: c422 sw s0,8(sp) +80000108: 4481 li s1,0 +8000010a: 80c1 srli s1,s1,0x10 +8000010c: c626 sw s1,12(sp) +8000010e: 4581 li a1,0 +80000110: 81fd srli a1,a1,0x1f +80000112: c82e sw a1,16(sp) +80000114: 00001117 auipc sp,0x1 +80000118: f0010113 addi sp,sp,-256 # 80001014 +8000011c: 4605 li a2,1 +8000011e: 8205 srli a2,a2,0x1 +80000120: c032 sw a2,0(sp) +80000122: 4685 li a3,1 +80000124: 8289 srli a3,a3,0x2 +80000126: c236 sw a3,4(sp) +80000128: 4705 li a4,1 +8000012a: 833d srli a4,a4,0xf +8000012c: c43a sw a4,8(sp) +8000012e: 4785 li a5,1 +80000130: 83c1 srli a5,a5,0x10 +80000132: c63e sw a5,12(sp) +80000134: 4405 li s0,1 +80000136: 807d srli s0,s0,0x1f +80000138: c822 sw s0,16(sp) +8000013a: 00001117 auipc sp,0x1 +8000013e: eee10113 addi sp,sp,-274 # 80001028 +80000142: fff00493 li s1,-1 +80000146: 8085 srli s1,s1,0x1 +80000148: c026 sw s1,0(sp) +8000014a: fff00593 li a1,-1 +8000014e: 8189 srli a1,a1,0x2 +80000150: c22e sw a1,4(sp) +80000152: fff00613 li a2,-1 +80000156: 823d srli a2,a2,0xf +80000158: c432 sw a2,8(sp) +8000015a: fff00693 li a3,-1 +8000015e: 82c1 srli a3,a3,0x10 +80000160: c636 sw a3,12(sp) +80000162: fff00713 li a4,-1 +80000166: 837d srli a4,a4,0x1f +80000168: c83a sw a4,16(sp) +8000016a: 00001117 auipc sp,0x1 +8000016e: ed210113 addi sp,sp,-302 # 8000103c +80000172: 000807b7 lui a5,0x80 +80000176: fff78793 addi a5,a5,-1 # 7ffff <_start-0x7ff80001> +8000017a: 8385 srli a5,a5,0x1 +8000017c: c03e sw a5,0(sp) +8000017e: 00080437 lui s0,0x80 +80000182: fff40413 addi s0,s0,-1 # 7ffff <_start-0x7ff80001> +80000186: 8009 srli s0,s0,0x2 +80000188: c222 sw s0,4(sp) +8000018a: 000804b7 lui s1,0x80 +8000018e: fff48493 addi s1,s1,-1 # 7ffff <_start-0x7ff80001> +80000192: 80bd srli s1,s1,0xf +80000194: c426 sw s1,8(sp) +80000196: 000805b7 lui a1,0x80 +8000019a: fff58593 addi a1,a1,-1 # 7ffff <_start-0x7ff80001> +8000019e: 81c1 srli a1,a1,0x10 +800001a0: c62e sw a1,12(sp) +800001a2: 00080637 lui a2,0x80 +800001a6: fff60613 addi a2,a2,-1 # 7ffff <_start-0x7ff80001> +800001aa: 827d srli a2,a2,0x1f +800001ac: c832 sw a2,16(sp) +800001ae: 00001117 auipc sp,0x1 +800001b2: ea210113 addi sp,sp,-350 # 80001050 +800001b6: 000806b7 lui a3,0x80 +800001ba: 8285 srli a3,a3,0x1 +800001bc: c036 sw a3,0(sp) +800001be: 00080737 lui a4,0x80 +800001c2: 8309 srli a4,a4,0x2 +800001c4: c23a sw a4,4(sp) +800001c6: 000807b7 lui a5,0x80 +800001ca: 83bd srli a5,a5,0xf +800001cc: c43e sw a5,8(sp) +800001ce: 00080437 lui s0,0x80 +800001d2: 8041 srli s0,s0,0x10 +800001d4: c622 sw s0,12(sp) +800001d6: 000804b7 lui s1,0x80 +800001da: 80fd srli s1,s1,0x1f +800001dc: c826 sw s1,16(sp) +800001de: 00001517 auipc a0,0x1 +800001e2: e2250513 addi a0,a0,-478 # 80001000 +800001e6: 00001597 auipc a1,0x1 +800001ea: e8a58593 addi a1,a1,-374 # 80001070 <_end> +800001ee: f0100637 lui a2,0xf0100 +800001f2: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feebc> + +800001f6 : +800001f6: 00b50c63 beq a0,a1,8000020e +800001fa: 4554 lw a3,12(a0) +800001fc: c214 sw a3,0(a2) +800001fe: 4514 lw a3,8(a0) +80000200: c214 sw a3,0(a2) +80000202: 4154 lw a3,4(a0) +80000204: c214 sw a3,0(a2) +80000206: 4114 lw a3,0(a0) +80000208: c214 sw a3,0(a2) +8000020a: 0541 addi a0,a0,16 +8000020c: b7ed j 800001f6 + +8000020e : +8000020e: f0100537 lui a0,0xf0100 +80000212: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feeb0> +80000216: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/C.SUB.elf.objdump b/VexRiscv/src/test/resources/asm/C.SUB.elf.objdump new file mode 100644 index 0000000..800d933 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/C.SUB.elf.objdump @@ -0,0 +1,334 @@ + +/home/spinalvm/hdl/riscv-compliance/work//C.SUB.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 0001 nop +80000002: 0001 nop +80000004: 0001 nop +80000006: 0001 nop +80000008: 0001 nop +8000000a: 0001 nop +8000000c: 0001 nop +8000000e: 0001 nop +80000010: 0001 nop +80000012: 0001 nop +80000014: 0001 nop +80000016: 0001 nop +80000018: 0001 nop +8000001a: 0001 nop +8000001c: 0001 nop +8000001e: 0001 nop +80000020: 0001 nop +80000022: 0001 nop +80000024: 0001 nop +80000026: 0001 nop +80000028: 0001 nop +8000002a: 0001 nop +8000002c: 0001 nop +8000002e: 0001 nop +80000030: 0001 nop +80000032: 0001 nop +80000034: 0001 nop +80000036: 0001 nop +80000038: 0001 nop +8000003a: 0001 nop +8000003c: 0001 nop +8000003e: 0001 nop +80000040: 0001 nop +80000042: 0001 nop +80000044: 0001 nop +80000046: 0001 nop +80000048: 0001 nop +8000004a: 0001 nop +8000004c: 0001 nop +8000004e: 0001 nop +80000050: 0001 nop +80000052: 0001 nop +80000054: 0001 nop +80000056: 0001 nop +80000058: 0001 nop +8000005a: 0001 nop +8000005c: 0001 nop +8000005e: 0001 nop +80000060: 0001 nop +80000062: 0001 nop +80000064: 0001 nop +80000066: 0001 nop +80000068: 0001 nop +8000006a: 0001 nop +8000006c: 0001 nop +8000006e: 0001 nop +80000070: 0001 nop +80000072: 0001 nop +80000074: 0001 nop +80000076: 0001 nop +80000078: 0001 nop +8000007a: 0001 nop +8000007c: 0001 nop +8000007e: 0001 nop +80000080: 0001 nop +80000082: 0001 nop +80000084: 0001 nop +80000086: 0001 nop +80000088: 0001 nop +8000008a: 0001 nop +8000008c: 0001 nop +8000008e: 0001 nop +80000090: 0001 nop +80000092: 0001 nop +80000094: 0001 nop +80000096: 0001 nop +80000098: 0001 nop +8000009a: 0001 nop +8000009c: 0001 nop +8000009e: 0001 nop +800000a0: 0001 nop +800000a2: 0001 nop +800000a4: 0001 nop +800000a6: 0001 nop +800000a8: 0001 nop +800000aa: 0001 nop +800000ac: 0001 nop +800000ae: 0001 nop +800000b0: 0001 nop +800000b2: 0001 nop +800000b4: 0001 nop +800000b6: 0001 nop +800000b8: 0001 nop +800000ba: 0001 nop +800000bc: 0001 nop +800000be: 0001 nop +800000c0: 0001 nop +800000c2: 0001 nop +800000c4: 0001 nop +800000c6: 0001 nop +800000c8: 0001 nop +800000ca: 0001 nop +800000cc: 0001 nop +800000ce: 0001 nop +800000d0: 0001 nop +800000d2: 0001 nop +800000d4: 0001 nop +800000d6: 0001 nop +800000d8: 0001 nop +800000da: 0001 nop +800000dc: 0001 nop +800000de: 0001 nop +800000e0: 0001 nop +800000e2: 0001 nop +800000e4: 0001 nop +800000e6: 0001 nop +800000e8: 0001 nop +800000ea: 0001 nop +800000ec: 0001 nop +800000ee: 00001117 auipc sp,0x1 +800000f2: f1210113 addi sp,sp,-238 # 80001000 +800000f6: 4581 li a1,0 +800000f8: 4481 li s1,0 +800000fa: 8c8d sub s1,s1,a1 +800000fc: c026 sw s1,0(sp) +800000fe: 4681 li a3,0 +80000100: 4605 li a2,1 +80000102: 8e15 sub a2,a2,a3 +80000104: c232 sw a2,4(sp) +80000106: 4781 li a5,0 +80000108: fff00713 li a4,-1 +8000010c: 8f1d sub a4,a4,a5 +8000010e: c43a sw a4,8(sp) +80000110: 4481 li s1,0 +80000112: 00008437 lui s0,0x8 +80000116: fff40413 addi s0,s0,-1 # 7fff <_start-0x7fff8001> +8000011a: 8c05 sub s0,s0,s1 +8000011c: c622 sw s0,12(sp) +8000011e: 4601 li a2,0 +80000120: 65a1 lui a1,0x8 +80000122: 8d91 sub a1,a1,a2 +80000124: c82e sw a1,16(sp) +80000126: 00001117 auipc sp,0x1 +8000012a: eee10113 addi sp,sp,-274 # 80001014 +8000012e: 4705 li a4,1 +80000130: 4681 li a3,0 +80000132: 8e99 sub a3,a3,a4 +80000134: c036 sw a3,0(sp) +80000136: 4405 li s0,1 +80000138: 4785 li a5,1 +8000013a: 8f81 sub a5,a5,s0 +8000013c: c23e sw a5,4(sp) +8000013e: 4585 li a1,1 +80000140: fff00493 li s1,-1 +80000144: 8c8d sub s1,s1,a1 +80000146: c426 sw s1,8(sp) +80000148: 4685 li a3,1 +8000014a: 00008637 lui a2,0x8 +8000014e: fff60613 addi a2,a2,-1 # 7fff <_start-0x7fff8001> +80000152: 8e15 sub a2,a2,a3 +80000154: c632 sw a2,12(sp) +80000156: 4785 li a5,1 +80000158: 6721 lui a4,0x8 +8000015a: 8f1d sub a4,a4,a5 +8000015c: c83a sw a4,16(sp) +8000015e: 00001117 auipc sp,0x1 +80000162: eca10113 addi sp,sp,-310 # 80001028 +80000166: fff00493 li s1,-1 +8000016a: 4401 li s0,0 +8000016c: 8c05 sub s0,s0,s1 +8000016e: c022 sw s0,0(sp) +80000170: fff00613 li a2,-1 +80000174: 4585 li a1,1 +80000176: 8d91 sub a1,a1,a2 +80000178: c22e sw a1,4(sp) +8000017a: fff00713 li a4,-1 +8000017e: fff00693 li a3,-1 +80000182: 8e99 sub a3,a3,a4 +80000184: c436 sw a3,8(sp) +80000186: fff00413 li s0,-1 +8000018a: 000087b7 lui a5,0x8 +8000018e: fff78793 addi a5,a5,-1 # 7fff <_start-0x7fff8001> +80000192: 8f81 sub a5,a5,s0 +80000194: c63e sw a5,12(sp) +80000196: fff00593 li a1,-1 +8000019a: 64a1 lui s1,0x8 +8000019c: 8c8d sub s1,s1,a1 +8000019e: c826 sw s1,16(sp) +800001a0: 00001117 auipc sp,0x1 +800001a4: e9c10113 addi sp,sp,-356 # 8000103c +800001a8: 000086b7 lui a3,0x8 +800001ac: fff68693 addi a3,a3,-1 # 7fff <_start-0x7fff8001> +800001b0: 4601 li a2,0 +800001b2: 8e15 sub a2,a2,a3 +800001b4: c032 sw a2,0(sp) +800001b6: 000087b7 lui a5,0x8 +800001ba: fff78793 addi a5,a5,-1 # 7fff <_start-0x7fff8001> +800001be: 4705 li a4,1 +800001c0: 8f1d sub a4,a4,a5 +800001c2: c23a sw a4,4(sp) +800001c4: 000084b7 lui s1,0x8 +800001c8: fff48493 addi s1,s1,-1 # 7fff <_start-0x7fff8001> +800001cc: fff00413 li s0,-1 +800001d0: 8c05 sub s0,s0,s1 +800001d2: c422 sw s0,8(sp) +800001d4: 00008637 lui a2,0x8 +800001d8: fff60613 addi a2,a2,-1 # 7fff <_start-0x7fff8001> +800001dc: 000085b7 lui a1,0x8 +800001e0: fff58593 addi a1,a1,-1 # 7fff <_start-0x7fff8001> +800001e4: 8d91 sub a1,a1,a2 +800001e6: c62e sw a1,12(sp) +800001e8: 00008737 lui a4,0x8 +800001ec: fff70713 addi a4,a4,-1 # 7fff <_start-0x7fff8001> +800001f0: 66a1 lui a3,0x8 +800001f2: 8e99 sub a3,a3,a4 +800001f4: c836 sw a3,16(sp) +800001f6: 00001117 auipc sp,0x1 +800001fa: e5a10113 addi sp,sp,-422 # 80001050 +800001fe: 6421 lui s0,0x8 +80000200: 4781 li a5,0 +80000202: 8f81 sub a5,a5,s0 +80000204: c03e sw a5,0(sp) +80000206: 65a1 lui a1,0x8 +80000208: 4485 li s1,1 +8000020a: 8c8d sub s1,s1,a1 +8000020c: c226 sw s1,4(sp) +8000020e: 66a1 lui a3,0x8 +80000210: fff00613 li a2,-1 +80000214: 8e15 sub a2,a2,a3 +80000216: c432 sw a2,8(sp) +80000218: 67a1 lui a5,0x8 +8000021a: 00008737 lui a4,0x8 +8000021e: fff70713 addi a4,a4,-1 # 7fff <_start-0x7fff8001> +80000222: 8f1d sub a4,a4,a5 +80000224: c63a sw a4,12(sp) +80000226: 64a1 lui s1,0x8 +80000228: 6421 lui s0,0x8 +8000022a: 8c05 sub s0,s0,s1 +8000022c: c822 sw s0,16(sp) +8000022e: 00001517 auipc a0,0x1 +80000232: dd250513 addi a0,a0,-558 # 80001000 +80000236: 00001597 auipc a1,0x1 +8000023a: e3a58593 addi a1,a1,-454 # 80001070 <_end> +8000023e: f0100637 lui a2,0xf0100 +80000242: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feebc> + +80000246 : +80000246: 00b50c63 beq a0,a1,8000025e +8000024a: 4554 lw a3,12(a0) +8000024c: c214 sw a3,0(a2) +8000024e: 4514 lw a3,8(a0) +80000250: c214 sw a3,0(a2) +80000252: 4154 lw a3,4(a0) +80000254: c214 sw a3,0(a2) +80000256: 4114 lw a3,0(a0) +80000258: c214 sw a3,0(a2) +8000025a: 0541 addi a0,a0,16 +8000025c: b7ed j 80000246 + +8000025e : +8000025e: f0100537 lui a0,0xf0100 +80000262: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feeb0> +80000266: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/C.SW.elf.objdump b/VexRiscv/src/test/resources/asm/C.SW.elf.objdump new file mode 100644 index 0000000..4ab3204 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/C.SW.elf.objdump @@ -0,0 +1,281 @@ + +/home/spinalvm/hdl/riscv-compliance/work//C.SW.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 0001 nop +80000002: 0001 nop +80000004: 0001 nop +80000006: 0001 nop +80000008: 0001 nop +8000000a: 0001 nop +8000000c: 0001 nop +8000000e: 0001 nop +80000010: 0001 nop +80000012: 0001 nop +80000014: 0001 nop +80000016: 0001 nop +80000018: 0001 nop +8000001a: 0001 nop +8000001c: 0001 nop +8000001e: 0001 nop +80000020: 0001 nop +80000022: 0001 nop +80000024: 0001 nop +80000026: 0001 nop +80000028: 0001 nop +8000002a: 0001 nop +8000002c: 0001 nop +8000002e: 0001 nop +80000030: 0001 nop +80000032: 0001 nop +80000034: 0001 nop +80000036: 0001 nop +80000038: 0001 nop +8000003a: 0001 nop +8000003c: 0001 nop +8000003e: 0001 nop +80000040: 0001 nop +80000042: 0001 nop +80000044: 0001 nop +80000046: 0001 nop +80000048: 0001 nop +8000004a: 0001 nop +8000004c: 0001 nop +8000004e: 0001 nop +80000050: 0001 nop +80000052: 0001 nop +80000054: 0001 nop +80000056: 0001 nop +80000058: 0001 nop +8000005a: 0001 nop +8000005c: 0001 nop +8000005e: 0001 nop +80000060: 0001 nop +80000062: 0001 nop +80000064: 0001 nop +80000066: 0001 nop +80000068: 0001 nop +8000006a: 0001 nop +8000006c: 0001 nop +8000006e: 0001 nop +80000070: 0001 nop +80000072: 0001 nop +80000074: 0001 nop +80000076: 0001 nop +80000078: 0001 nop +8000007a: 0001 nop +8000007c: 0001 nop +8000007e: 0001 nop +80000080: 0001 nop +80000082: 0001 nop +80000084: 0001 nop +80000086: 0001 nop +80000088: 0001 nop +8000008a: 0001 nop +8000008c: 0001 nop +8000008e: 0001 nop +80000090: 0001 nop +80000092: 0001 nop +80000094: 0001 nop +80000096: 0001 nop +80000098: 0001 nop +8000009a: 0001 nop +8000009c: 0001 nop +8000009e: 0001 nop +800000a0: 0001 nop +800000a2: 0001 nop +800000a4: 0001 nop +800000a6: 0001 nop +800000a8: 0001 nop +800000aa: 0001 nop +800000ac: 0001 nop +800000ae: 0001 nop +800000b0: 0001 nop +800000b2: 0001 nop +800000b4: 0001 nop +800000b6: 0001 nop +800000b8: 0001 nop +800000ba: 0001 nop +800000bc: 0001 nop +800000be: 0001 nop +800000c0: 0001 nop +800000c2: 0001 nop +800000c4: 0001 nop +800000c6: 0001 nop +800000c8: 0001 nop +800000ca: 0001 nop +800000cc: 0001 nop +800000ce: 0001 nop +800000d0: 0001 nop +800000d2: 0001 nop +800000d4: 0001 nop +800000d6: 0001 nop +800000d8: 0001 nop +800000da: 0001 nop +800000dc: 0001 nop +800000de: 0001 nop +800000e0: 0001 nop +800000e2: 0001 nop +800000e4: 0001 nop +800000e6: 0001 nop +800000e8: 0001 nop +800000ea: 0001 nop +800000ec: 0001 nop +800000ee: 00001117 auipc sp,0x1 +800000f2: f1210113 addi sp,sp,-238 # 80001000 +800000f6: 4401 li s0,0 +800000f8: 00001617 auipc a2,0x1 +800000fc: f1c60613 addi a2,a2,-228 # 80001014 +80000100: c200 sw s0,0(a2) +80000102: 4200 lw s0,0(a2) +80000104: c022 sw s0,0(sp) +80000106: 00001117 auipc sp,0x1 +8000010a: efe10113 addi sp,sp,-258 # 80001004 +8000010e: 4485 li s1,1 +80000110: 00001797 auipc a5,0x1 +80000114: f0478793 addi a5,a5,-252 # 80001014 +80000118: c3c4 sw s1,4(a5) +8000011a: 43c4 lw s1,4(a5) +8000011c: c026 sw s1,0(sp) +8000011e: 00001117 auipc sp,0x1 +80000122: eea10113 addi sp,sp,-278 # 80001008 +80000126: 557d li a0,-1 +80000128: 00001497 auipc s1,0x1 +8000012c: eec48493 addi s1,s1,-276 # 80001014 +80000130: c488 sw a0,8(s1) +80000132: 4488 lw a0,8(s1) +80000134: c02a sw a0,0(sp) +80000136: 00001117 auipc sp,0x1 +8000013a: ed610113 addi sp,sp,-298 # 8000100c +8000013e: 000086b7 lui a3,0x8 +80000142: fff68693 addi a3,a3,-1 # 7fff <_start-0x7fff8001> +80000146: 00001717 auipc a4,0x1 +8000014a: ece70713 addi a4,a4,-306 # 80001014 +8000014e: cb14 sw a3,16(a4) +80000150: 4b14 lw a3,16(a4) +80000152: c036 sw a3,0(sp) +80000154: 00001117 auipc sp,0x1 +80000158: ebc10113 addi sp,sp,-324 # 80001010 +8000015c: 67a1 lui a5,0x8 +8000015e: 00001617 auipc a2,0x1 +80000162: eb660613 addi a2,a2,-330 # 80001014 +80000166: de7c sw a5,124(a2) +80000168: 5e7c lw a5,124(a2) +8000016a: c03e sw a5,0(sp) +8000016c: 00001517 auipc a0,0x1 +80000170: e9450513 addi a0,a0,-364 # 80001000 +80000174: 00001597 auipc a1,0x1 +80000178: f2c58593 addi a1,a1,-212 # 800010a0 <_end> +8000017c: f0100637 lui a2,0xf0100 +80000180: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee8c> + +80000184 : +80000184: 00b50c63 beq a0,a1,8000019c +80000188: 4554 lw a3,12(a0) +8000018a: c214 sw a3,0(a2) +8000018c: 4514 lw a3,8(a0) +8000018e: c214 sw a3,0(a2) +80000190: 4154 lw a3,4(a0) +80000192: c214 sw a3,0(a2) +80000194: 4114 lw a3,0(a0) +80000196: c214 sw a3,0(a2) +80000198: 0541 addi a0,a0,16 +8000019a: b7ed j 80000184 + +8000019c : +8000019c: f0100537 lui a0,0xf0100 +800001a0: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee80> +800001a4: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff + +80001004 : +80001004: ffff 0xffff +80001006: ffff 0xffff + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: ffff 0xffff + +80001010 : +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff +80001090: ffff 0xffff +80001092: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/C.SWSP.elf.objdump b/VexRiscv/src/test/resources/asm/C.SWSP.elf.objdump new file mode 100644 index 0000000..ae87ddd --- /dev/null +++ b/VexRiscv/src/test/resources/asm/C.SWSP.elf.objdump @@ -0,0 +1,280 @@ + +/home/spinalvm/hdl/riscv-compliance/work//C.SWSP.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 0001 nop +80000002: 0001 nop +80000004: 0001 nop +80000006: 0001 nop +80000008: 0001 nop +8000000a: 0001 nop +8000000c: 0001 nop +8000000e: 0001 nop +80000010: 0001 nop +80000012: 0001 nop +80000014: 0001 nop +80000016: 0001 nop +80000018: 0001 nop +8000001a: 0001 nop +8000001c: 0001 nop +8000001e: 0001 nop +80000020: 0001 nop +80000022: 0001 nop +80000024: 0001 nop +80000026: 0001 nop +80000028: 0001 nop +8000002a: 0001 nop +8000002c: 0001 nop +8000002e: 0001 nop +80000030: 0001 nop +80000032: 0001 nop +80000034: 0001 nop +80000036: 0001 nop +80000038: 0001 nop +8000003a: 0001 nop +8000003c: 0001 nop +8000003e: 0001 nop +80000040: 0001 nop +80000042: 0001 nop +80000044: 0001 nop +80000046: 0001 nop +80000048: 0001 nop +8000004a: 0001 nop +8000004c: 0001 nop +8000004e: 0001 nop +80000050: 0001 nop +80000052: 0001 nop +80000054: 0001 nop +80000056: 0001 nop +80000058: 0001 nop +8000005a: 0001 nop +8000005c: 0001 nop +8000005e: 0001 nop +80000060: 0001 nop +80000062: 0001 nop +80000064: 0001 nop +80000066: 0001 nop +80000068: 0001 nop +8000006a: 0001 nop +8000006c: 0001 nop +8000006e: 0001 nop +80000070: 0001 nop +80000072: 0001 nop +80000074: 0001 nop +80000076: 0001 nop +80000078: 0001 nop +8000007a: 0001 nop +8000007c: 0001 nop +8000007e: 0001 nop +80000080: 0001 nop +80000082: 0001 nop +80000084: 0001 nop +80000086: 0001 nop +80000088: 0001 nop +8000008a: 0001 nop +8000008c: 0001 nop +8000008e: 0001 nop +80000090: 0001 nop +80000092: 0001 nop +80000094: 0001 nop +80000096: 0001 nop +80000098: 0001 nop +8000009a: 0001 nop +8000009c: 0001 nop +8000009e: 0001 nop +800000a0: 0001 nop +800000a2: 0001 nop +800000a4: 0001 nop +800000a6: 0001 nop +800000a8: 0001 nop +800000aa: 0001 nop +800000ac: 0001 nop +800000ae: 0001 nop +800000b0: 0001 nop +800000b2: 0001 nop +800000b4: 0001 nop +800000b6: 0001 nop +800000b8: 0001 nop +800000ba: 0001 nop +800000bc: 0001 nop +800000be: 0001 nop +800000c0: 0001 nop +800000c2: 0001 nop +800000c4: 0001 nop +800000c6: 0001 nop +800000c8: 0001 nop +800000ca: 0001 nop +800000cc: 0001 nop +800000ce: 0001 nop +800000d0: 0001 nop +800000d2: 0001 nop +800000d4: 0001 nop +800000d6: 0001 nop +800000d8: 0001 nop +800000da: 0001 nop +800000dc: 0001 nop +800000de: 0001 nop +800000e0: 0001 nop +800000e2: 0001 nop +800000e4: 0001 nop +800000e6: 0001 nop +800000e8: 0001 nop +800000ea: 0001 nop +800000ec: 0001 nop +800000ee: 00001097 auipc ra,0x1 +800000f2: f1208093 addi ra,ra,-238 # 80001000 +800000f6: 00001117 auipc sp,0x1 +800000fa: f1e10113 addi sp,sp,-226 # 80001014 +800000fe: 4181 li gp,0 +80000100: c00e sw gp,0(sp) +80000102: 4182 lw gp,0(sp) +80000104: 0030a023 sw gp,0(ra) +80000108: 00001097 auipc ra,0x1 +8000010c: efc08093 addi ra,ra,-260 # 80001004 +80000110: 00001117 auipc sp,0x1 +80000114: f0410113 addi sp,sp,-252 # 80001014 +80000118: 4205 li tp,1 +8000011a: c212 sw tp,4(sp) +8000011c: 4212 lw tp,4(sp) +8000011e: 0040a023 sw tp,0(ra) +80000122: 00001097 auipc ra,0x1 +80000126: ee608093 addi ra,ra,-282 # 80001008 +8000012a: 00001117 auipc sp,0x1 +8000012e: eea10113 addi sp,sp,-278 # 80001014 +80000132: 587d li a6,-1 +80000134: c0c2 sw a6,64(sp) +80000136: 4806 lw a6,64(sp) +80000138: 0100a023 sw a6,0(ra) +8000013c: 00001097 auipc ra,0x1 +80000140: ed008093 addi ra,ra,-304 # 8000100c +80000144: 00001117 auipc sp,0x1 +80000148: ed010113 addi sp,sp,-304 # 80001014 +8000014c: 00008c37 lui s8,0x8 +80000150: fffc0c13 addi s8,s8,-1 # 7fff <_start-0x7fff8001> +80000154: dce2 sw s8,120(sp) +80000156: 5c66 lw s8,120(sp) +80000158: 0180a023 sw s8,0(ra) +8000015c: 00001097 auipc ra,0x1 +80000160: eb408093 addi ra,ra,-332 # 80001010 +80000164: 00001117 auipc sp,0x1 +80000168: eb010113 addi sp,sp,-336 # 80001014 +8000016c: 6fa1 lui t6,0x8 +8000016e: dffe sw t6,252(sp) +80000170: 5ffe lw t6,252(sp) +80000172: 01f0a023 sw t6,0(ra) +80000176: 00001517 auipc a0,0x1 +8000017a: e8a50513 addi a0,a0,-374 # 80001000 +8000017e: 00001597 auipc a1,0x1 +80000182: f2258593 addi a1,a1,-222 # 800010a0 <_end> +80000186: f0100637 lui a2,0xf0100 +8000018a: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee8c> + +8000018e : +8000018e: 00b50c63 beq a0,a1,800001a6 +80000192: 4554 lw a3,12(a0) +80000194: c214 sw a3,0(a2) +80000196: 4514 lw a3,8(a0) +80000198: c214 sw a3,0(a2) +8000019a: 4154 lw a3,4(a0) +8000019c: c214 sw a3,0(a2) +8000019e: 4114 lw a3,0(a0) +800001a0: c214 sw a3,0(a2) +800001a2: 0541 addi a0,a0,16 +800001a4: b7ed j 8000018e + +800001a6 : +800001a6: f0100537 lui a0,0xf0100 +800001aa: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee80> +800001ae: 00052023 sw zero,0(a0) + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff + +80001004 : +80001004: ffff 0xffff +80001006: ffff 0xffff + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: ffff 0xffff + +80001010 : +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff +80001090: ffff 0xffff +80001092: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/C.XOR.elf.objdump b/VexRiscv/src/test/resources/asm/C.XOR.elf.objdump new file mode 100644 index 0000000..b60bcb6 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/C.XOR.elf.objdump @@ -0,0 +1,334 @@ + +/home/spinalvm/hdl/riscv-compliance/work//C.XOR.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 0001 nop +80000002: 0001 nop +80000004: 0001 nop +80000006: 0001 nop +80000008: 0001 nop +8000000a: 0001 nop +8000000c: 0001 nop +8000000e: 0001 nop +80000010: 0001 nop +80000012: 0001 nop +80000014: 0001 nop +80000016: 0001 nop +80000018: 0001 nop +8000001a: 0001 nop +8000001c: 0001 nop +8000001e: 0001 nop +80000020: 0001 nop +80000022: 0001 nop +80000024: 0001 nop +80000026: 0001 nop +80000028: 0001 nop +8000002a: 0001 nop +8000002c: 0001 nop +8000002e: 0001 nop +80000030: 0001 nop +80000032: 0001 nop +80000034: 0001 nop +80000036: 0001 nop +80000038: 0001 nop +8000003a: 0001 nop +8000003c: 0001 nop +8000003e: 0001 nop +80000040: 0001 nop +80000042: 0001 nop +80000044: 0001 nop +80000046: 0001 nop +80000048: 0001 nop +8000004a: 0001 nop +8000004c: 0001 nop +8000004e: 0001 nop +80000050: 0001 nop +80000052: 0001 nop +80000054: 0001 nop +80000056: 0001 nop +80000058: 0001 nop +8000005a: 0001 nop +8000005c: 0001 nop +8000005e: 0001 nop +80000060: 0001 nop +80000062: 0001 nop +80000064: 0001 nop +80000066: 0001 nop +80000068: 0001 nop +8000006a: 0001 nop +8000006c: 0001 nop +8000006e: 0001 nop +80000070: 0001 nop +80000072: 0001 nop +80000074: 0001 nop +80000076: 0001 nop +80000078: 0001 nop +8000007a: 0001 nop +8000007c: 0001 nop +8000007e: 0001 nop +80000080: 0001 nop +80000082: 0001 nop +80000084: 0001 nop +80000086: 0001 nop +80000088: 0001 nop +8000008a: 0001 nop +8000008c: 0001 nop +8000008e: 0001 nop +80000090: 0001 nop +80000092: 0001 nop +80000094: 0001 nop +80000096: 0001 nop +80000098: 0001 nop +8000009a: 0001 nop +8000009c: 0001 nop +8000009e: 0001 nop +800000a0: 0001 nop +800000a2: 0001 nop +800000a4: 0001 nop +800000a6: 0001 nop +800000a8: 0001 nop +800000aa: 0001 nop +800000ac: 0001 nop +800000ae: 0001 nop +800000b0: 0001 nop +800000b2: 0001 nop +800000b4: 0001 nop +800000b6: 0001 nop +800000b8: 0001 nop +800000ba: 0001 nop +800000bc: 0001 nop +800000be: 0001 nop +800000c0: 0001 nop +800000c2: 0001 nop +800000c4: 0001 nop +800000c6: 0001 nop +800000c8: 0001 nop +800000ca: 0001 nop +800000cc: 0001 nop +800000ce: 0001 nop +800000d0: 0001 nop +800000d2: 0001 nop +800000d4: 0001 nop +800000d6: 0001 nop +800000d8: 0001 nop +800000da: 0001 nop +800000dc: 0001 nop +800000de: 0001 nop +800000e0: 0001 nop +800000e2: 0001 nop +800000e4: 0001 nop +800000e6: 0001 nop +800000e8: 0001 nop +800000ea: 0001 nop +800000ec: 0001 nop +800000ee: 00001117 auipc sp,0x1 +800000f2: f1210113 addi sp,sp,-238 # 80001000 +800000f6: 4481 li s1,0 +800000f8: 4401 li s0,0 +800000fa: 8c25 xor s0,s0,s1 +800000fc: c022 sw s0,0(sp) +800000fe: 4601 li a2,0 +80000100: 4585 li a1,1 +80000102: 8db1 xor a1,a1,a2 +80000104: c22e sw a1,4(sp) +80000106: 4701 li a4,0 +80000108: fff00693 li a3,-1 +8000010c: 8eb9 xor a3,a3,a4 +8000010e: c436 sw a3,8(sp) +80000110: 4401 li s0,0 +80000112: 000087b7 lui a5,0x8 +80000116: fff78793 addi a5,a5,-1 # 7fff <_start-0x7fff8001> +8000011a: 8fa1 xor a5,a5,s0 +8000011c: c63e sw a5,12(sp) +8000011e: 4581 li a1,0 +80000120: 64a1 lui s1,0x8 +80000122: 8cad xor s1,s1,a1 +80000124: c826 sw s1,16(sp) +80000126: 00001117 auipc sp,0x1 +8000012a: eee10113 addi sp,sp,-274 # 80001014 +8000012e: 4685 li a3,1 +80000130: 4601 li a2,0 +80000132: 8e35 xor a2,a2,a3 +80000134: c032 sw a2,0(sp) +80000136: 4785 li a5,1 +80000138: 4705 li a4,1 +8000013a: 8f3d xor a4,a4,a5 +8000013c: c23a sw a4,4(sp) +8000013e: 4485 li s1,1 +80000140: fff00413 li s0,-1 +80000144: 8c25 xor s0,s0,s1 +80000146: c422 sw s0,8(sp) +80000148: 4605 li a2,1 +8000014a: 000085b7 lui a1,0x8 +8000014e: fff58593 addi a1,a1,-1 # 7fff <_start-0x7fff8001> +80000152: 8db1 xor a1,a1,a2 +80000154: c62e sw a1,12(sp) +80000156: 4705 li a4,1 +80000158: 66a1 lui a3,0x8 +8000015a: 8eb9 xor a3,a3,a4 +8000015c: c836 sw a3,16(sp) +8000015e: 00001117 auipc sp,0x1 +80000162: eca10113 addi sp,sp,-310 # 80001028 +80000166: fff00413 li s0,-1 +8000016a: 4781 li a5,0 +8000016c: 8fa1 xor a5,a5,s0 +8000016e: c03e sw a5,0(sp) +80000170: fff00593 li a1,-1 +80000174: 4485 li s1,1 +80000176: 8cad xor s1,s1,a1 +80000178: c226 sw s1,4(sp) +8000017a: fff00693 li a3,-1 +8000017e: fff00613 li a2,-1 +80000182: 8e35 xor a2,a2,a3 +80000184: c432 sw a2,8(sp) +80000186: fff00793 li a5,-1 +8000018a: 00008737 lui a4,0x8 +8000018e: fff70713 addi a4,a4,-1 # 7fff <_start-0x7fff8001> +80000192: 8f3d xor a4,a4,a5 +80000194: c63a sw a4,12(sp) +80000196: fff00493 li s1,-1 +8000019a: 6421 lui s0,0x8 +8000019c: 8c25 xor s0,s0,s1 +8000019e: c822 sw s0,16(sp) +800001a0: 00001117 auipc sp,0x1 +800001a4: e9c10113 addi sp,sp,-356 # 8000103c +800001a8: 00008637 lui a2,0x8 +800001ac: fff60613 addi a2,a2,-1 # 7fff <_start-0x7fff8001> +800001b0: 4581 li a1,0 +800001b2: 8db1 xor a1,a1,a2 +800001b4: c02e sw a1,0(sp) +800001b6: 00008737 lui a4,0x8 +800001ba: fff70713 addi a4,a4,-1 # 7fff <_start-0x7fff8001> +800001be: 4685 li a3,1 +800001c0: 8eb9 xor a3,a3,a4 +800001c2: c236 sw a3,4(sp) +800001c4: 00008437 lui s0,0x8 +800001c8: fff40413 addi s0,s0,-1 # 7fff <_start-0x7fff8001> +800001cc: fff00793 li a5,-1 +800001d0: 8fa1 xor a5,a5,s0 +800001d2: c43e sw a5,8(sp) +800001d4: 000085b7 lui a1,0x8 +800001d8: fff58593 addi a1,a1,-1 # 7fff <_start-0x7fff8001> +800001dc: 000084b7 lui s1,0x8 +800001e0: fff48493 addi s1,s1,-1 # 7fff <_start-0x7fff8001> +800001e4: 8cad xor s1,s1,a1 +800001e6: c626 sw s1,12(sp) +800001e8: 000086b7 lui a3,0x8 +800001ec: fff68693 addi a3,a3,-1 # 7fff <_start-0x7fff8001> +800001f0: 6621 lui a2,0x8 +800001f2: 8e35 xor a2,a2,a3 +800001f4: c832 sw a2,16(sp) +800001f6: 00001117 auipc sp,0x1 +800001fa: e5a10113 addi sp,sp,-422 # 80001050 +800001fe: 67a1 lui a5,0x8 +80000200: 4701 li a4,0 +80000202: 8f3d xor a4,a4,a5 +80000204: c03a sw a4,0(sp) +80000206: 64a1 lui s1,0x8 +80000208: 4405 li s0,1 +8000020a: 8c25 xor s0,s0,s1 +8000020c: c222 sw s0,4(sp) +8000020e: 6621 lui a2,0x8 +80000210: fff00593 li a1,-1 +80000214: 8db1 xor a1,a1,a2 +80000216: c42e sw a1,8(sp) +80000218: 6721 lui a4,0x8 +8000021a: 000086b7 lui a3,0x8 +8000021e: fff68693 addi a3,a3,-1 # 7fff <_start-0x7fff8001> +80000222: 8eb9 xor a3,a3,a4 +80000224: c636 sw a3,12(sp) +80000226: 6421 lui s0,0x8 +80000228: 67a1 lui a5,0x8 +8000022a: 8fa1 xor a5,a5,s0 +8000022c: c83e sw a5,16(sp) +8000022e: 00001517 auipc a0,0x1 +80000232: dd250513 addi a0,a0,-558 # 80001000 +80000236: 00001597 auipc a1,0x1 +8000023a: e3a58593 addi a1,a1,-454 # 80001070 <_end> +8000023e: f0100637 lui a2,0xf0100 +80000242: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feebc> + +80000246 : +80000246: 00b50c63 beq a0,a1,8000025e +8000024a: 4554 lw a3,12(a0) +8000024c: c214 sw a3,0(a2) +8000024e: 4514 lw a3,8(a0) +80000250: c214 sw a3,0(a2) +80000252: 4154 lw a3,4(a0) +80000254: c214 sw a3,0(a2) +80000256: 4114 lw a3,0(a0) +80000258: c214 sw a3,0(a2) +8000025a: 0541 addi a0,a0,16 +8000025c: b7ed j 80000246 + +8000025e : +8000025e: f0100537 lui a0,0xf0100 +80000262: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feeb0> +80000266: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/DIV.elf.objdump b/VexRiscv/src/test/resources/asm/DIV.elf.objdump new file mode 100644 index 0000000..9846875 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/DIV.elf.objdump @@ -0,0 +1,276 @@ + +/home/spinalvm/hdl/riscv-compliance/work//DIV.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001117 auipc sp,0x1 +80000004: 00010113 mv sp,sp +80000008: 00000913 li s2,0 +8000000c: 00000893 li a7,0 +80000010: 031948b3 div a7,s2,a7 +80000014: 01112023 sw a7,0(sp) # 80001000 +80000018: 00000a13 li s4,0 +8000001c: 00100993 li s3,1 +80000020: 033a49b3 div s3,s4,s3 +80000024: 01312223 sw s3,4(sp) +80000028: 00000b13 li s6,0 +8000002c: fff00a93 li s5,-1 +80000030: 035b4ab3 div s5,s6,s5 +80000034: 01512423 sw s5,8(sp) +80000038: 00000c13 li s8,0 +8000003c: 80000bb7 lui s7,0x80000 +80000040: fffb8b93 addi s7,s7,-1 # 7fffffff <_end+0xffffef2f> +80000044: 037c4bb3 div s7,s8,s7 +80000048: 01712623 sw s7,12(sp) +8000004c: 00000d13 li s10,0 +80000050: 80000cb7 lui s9,0x80000 +80000054: 039d4cb3 div s9,s10,s9 +80000058: 01912823 sw s9,16(sp) +8000005c: 00001117 auipc sp,0x1 +80000060: fb810113 addi sp,sp,-72 # 80001014 +80000064: 00100e13 li t3,1 +80000068: 00000d93 li s11,0 +8000006c: 03be4db3 div s11,t3,s11 +80000070: 01b12023 sw s11,0(sp) +80000074: 00100f13 li t5,1 +80000078: 00100e93 li t4,1 +8000007c: 03df4eb3 div t4,t5,t4 +80000080: 01d12223 sw t4,4(sp) +80000084: 00100193 li gp,1 +80000088: fff00f93 li t6,-1 +8000008c: 03f1cfb3 div t6,gp,t6 +80000090: 01f12423 sw t6,8(sp) +80000094: 00100413 li s0,1 +80000098: 80000237 lui tp,0x80000 +8000009c: fff20213 addi tp,tp,-1 # 7fffffff <_end+0xffffef2f> +800000a0: 02444233 div tp,s0,tp +800000a4: 00412623 sw tp,12(sp) +800000a8: 00100593 li a1,1 +800000ac: 800004b7 lui s1,0x80000 +800000b0: 0295c4b3 div s1,a1,s1 +800000b4: 00912823 sw s1,16(sp) +800000b8: 00001117 auipc sp,0x1 +800000bc: f7010113 addi sp,sp,-144 # 80001028 +800000c0: fff00693 li a3,-1 +800000c4: 00000613 li a2,0 +800000c8: 02c6c633 div a2,a3,a2 +800000cc: 00c12023 sw a2,0(sp) +800000d0: fff00793 li a5,-1 +800000d4: 00100713 li a4,1 +800000d8: 02e7c733 div a4,a5,a4 +800000dc: 00e12223 sw a4,4(sp) +800000e0: fff00893 li a7,-1 +800000e4: fff00813 li a6,-1 +800000e8: 0308c833 div a6,a7,a6 +800000ec: 01012423 sw a6,8(sp) +800000f0: fff00993 li s3,-1 +800000f4: 80000937 lui s2,0x80000 +800000f8: fff90913 addi s2,s2,-1 # 7fffffff <_end+0xffffef2f> +800000fc: 0329c933 div s2,s3,s2 +80000100: 01212623 sw s2,12(sp) +80000104: fff00a93 li s5,-1 +80000108: 80000a37 lui s4,0x80000 +8000010c: 034aca33 div s4,s5,s4 +80000110: 01412823 sw s4,16(sp) +80000114: 00001117 auipc sp,0x1 +80000118: f2810113 addi sp,sp,-216 # 8000103c +8000011c: 80000bb7 lui s7,0x80000 +80000120: fffb8b93 addi s7,s7,-1 # 7fffffff <_end+0xffffef2f> +80000124: 00000b13 li s6,0 +80000128: 036bcb33 div s6,s7,s6 +8000012c: 01612023 sw s6,0(sp) +80000130: 80000cb7 lui s9,0x80000 +80000134: fffc8c93 addi s9,s9,-1 # 7fffffff <_end+0xffffef2f> +80000138: 00100c13 li s8,1 +8000013c: 038ccc33 div s8,s9,s8 +80000140: 01812223 sw s8,4(sp) +80000144: 80000db7 lui s11,0x80000 +80000148: fffd8d93 addi s11,s11,-1 # 7fffffff <_end+0xffffef2f> +8000014c: fff00d13 li s10,-1 +80000150: 03adcd33 div s10,s11,s10 +80000154: 01a12423 sw s10,8(sp) +80000158: 80000eb7 lui t4,0x80000 +8000015c: fffe8e93 addi t4,t4,-1 # 7fffffff <_end+0xffffef2f> +80000160: 80000e37 lui t3,0x80000 +80000164: fffe0e13 addi t3,t3,-1 # 7fffffff <_end+0xffffef2f> +80000168: 03cece33 div t3,t4,t3 +8000016c: 01c12623 sw t3,12(sp) +80000170: 80000fb7 lui t6,0x80000 +80000174: ffff8f93 addi t6,t6,-1 # 7fffffff <_end+0xffffef2f> +80000178: 80000f37 lui t5,0x80000 +8000017c: 03efcf33 div t5,t6,t5 +80000180: 01e12823 sw t5,16(sp) +80000184: 00001117 auipc sp,0x1 +80000188: ecc10113 addi sp,sp,-308 # 80001050 +8000018c: 80000237 lui tp,0x80000 +80000190: 00000193 li gp,0 +80000194: 023241b3 div gp,tp,gp +80000198: 00312023 sw gp,0(sp) +8000019c: 800004b7 lui s1,0x80000 +800001a0: 00100413 li s0,1 +800001a4: 0284c433 div s0,s1,s0 +800001a8: 00812223 sw s0,4(sp) +800001ac: 80000637 lui a2,0x80000 +800001b0: fff00593 li a1,-1 +800001b4: 02b645b3 div a1,a2,a1 +800001b8: 00b12423 sw a1,8(sp) +800001bc: 80000737 lui a4,0x80000 +800001c0: 800006b7 lui a3,0x80000 +800001c4: fff68693 addi a3,a3,-1 # 7fffffff <_end+0xffffef2f> +800001c8: 02d746b3 div a3,a4,a3 +800001cc: 00d12623 sw a3,12(sp) +800001d0: 80000837 lui a6,0x80000 +800001d4: 800007b7 lui a5,0x80000 +800001d8: 02f847b3 div a5,a6,a5 +800001dc: 00f12823 sw a5,16(sp) +800001e0: 00001517 auipc a0,0x1 +800001e4: e2050513 addi a0,a0,-480 # 80001000 +800001e8: 00001597 auipc a1,0x1 +800001ec: ee858593 addi a1,a1,-280 # 800010d0 <_end> +800001f0: f0100637 lui a2,0xf0100 +800001f4: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee5c> + +800001f8 : +800001f8: 02b50663 beq a0,a1,80000224 +800001fc: 00c52683 lw a3,12(a0) +80000200: 00d62023 sw a3,0(a2) +80000204: 00852683 lw a3,8(a0) +80000208: 00d62023 sw a3,0(a2) +8000020c: 00452683 lw a3,4(a0) +80000210: 00d62023 sw a3,0(a2) +80000214: 00052683 lw a3,0(a0) +80000218: 00d62023 sw a3,0(a2) +8000021c: 01050513 addi a0,a0,16 +80000220: fd9ff06f j 800001f8 + +80000224 : +80000224: f0100537 lui a0,0xf0100 +80000228: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee50> +8000022c: 00052023 sw zero,0(a0) +80000230: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff + +80001064 : +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff + +80001078 : +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff + +8000108c : +8000108c: ffff 0xffff +8000108e: ffff 0xffff +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff + +800010a0 : +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff + +800010b4 : +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff +800010c0: ffff 0xffff +800010c2: ffff 0xffff +800010c4: ffff 0xffff +800010c6: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/DIVU.elf.objdump b/VexRiscv/src/test/resources/asm/DIVU.elf.objdump new file mode 100644 index 0000000..4f855b3 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/DIVU.elf.objdump @@ -0,0 +1,276 @@ + +/home/spinalvm/hdl/riscv-compliance/work//DIVU.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001117 auipc sp,0x1 +80000004: 00010113 mv sp,sp +80000008: 00000913 li s2,0 +8000000c: 00000893 li a7,0 +80000010: 031958b3 divu a7,s2,a7 +80000014: 01112023 sw a7,0(sp) # 80001000 +80000018: 00000a13 li s4,0 +8000001c: 00100993 li s3,1 +80000020: 033a59b3 divu s3,s4,s3 +80000024: 01312223 sw s3,4(sp) +80000028: 00000b13 li s6,0 +8000002c: fff00a93 li s5,-1 +80000030: 035b5ab3 divu s5,s6,s5 +80000034: 01512423 sw s5,8(sp) +80000038: 00000c13 li s8,0 +8000003c: 80000bb7 lui s7,0x80000 +80000040: fffb8b93 addi s7,s7,-1 # 7fffffff <_end+0xffffef2f> +80000044: 037c5bb3 divu s7,s8,s7 +80000048: 01712623 sw s7,12(sp) +8000004c: 00000d13 li s10,0 +80000050: 80000cb7 lui s9,0x80000 +80000054: 039d5cb3 divu s9,s10,s9 +80000058: 01912823 sw s9,16(sp) +8000005c: 00001117 auipc sp,0x1 +80000060: fb810113 addi sp,sp,-72 # 80001014 +80000064: 00100e13 li t3,1 +80000068: 00000d93 li s11,0 +8000006c: 03be5db3 divu s11,t3,s11 +80000070: 01b12023 sw s11,0(sp) +80000074: 00100f13 li t5,1 +80000078: 00100e93 li t4,1 +8000007c: 03df5eb3 divu t4,t5,t4 +80000080: 01d12223 sw t4,4(sp) +80000084: 00100193 li gp,1 +80000088: fff00f93 li t6,-1 +8000008c: 03f1dfb3 divu t6,gp,t6 +80000090: 01f12423 sw t6,8(sp) +80000094: 00100413 li s0,1 +80000098: 80000237 lui tp,0x80000 +8000009c: fff20213 addi tp,tp,-1 # 7fffffff <_end+0xffffef2f> +800000a0: 02445233 divu tp,s0,tp +800000a4: 00412623 sw tp,12(sp) +800000a8: 00100593 li a1,1 +800000ac: 800004b7 lui s1,0x80000 +800000b0: 0295d4b3 divu s1,a1,s1 +800000b4: 00912823 sw s1,16(sp) +800000b8: 00001117 auipc sp,0x1 +800000bc: f7010113 addi sp,sp,-144 # 80001028 +800000c0: fff00693 li a3,-1 +800000c4: 00000613 li a2,0 +800000c8: 02c6d633 divu a2,a3,a2 +800000cc: 00c12023 sw a2,0(sp) +800000d0: fff00793 li a5,-1 +800000d4: 00100713 li a4,1 +800000d8: 02e7d733 divu a4,a5,a4 +800000dc: 00e12223 sw a4,4(sp) +800000e0: fff00893 li a7,-1 +800000e4: fff00813 li a6,-1 +800000e8: 0308d833 divu a6,a7,a6 +800000ec: 01012423 sw a6,8(sp) +800000f0: fff00993 li s3,-1 +800000f4: 80000937 lui s2,0x80000 +800000f8: fff90913 addi s2,s2,-1 # 7fffffff <_end+0xffffef2f> +800000fc: 0329d933 divu s2,s3,s2 +80000100: 01212623 sw s2,12(sp) +80000104: fff00a93 li s5,-1 +80000108: 80000a37 lui s4,0x80000 +8000010c: 034ada33 divu s4,s5,s4 +80000110: 01412823 sw s4,16(sp) +80000114: 00001117 auipc sp,0x1 +80000118: f2810113 addi sp,sp,-216 # 8000103c +8000011c: 80000bb7 lui s7,0x80000 +80000120: fffb8b93 addi s7,s7,-1 # 7fffffff <_end+0xffffef2f> +80000124: 00000b13 li s6,0 +80000128: 036bdb33 divu s6,s7,s6 +8000012c: 01612023 sw s6,0(sp) +80000130: 80000cb7 lui s9,0x80000 +80000134: fffc8c93 addi s9,s9,-1 # 7fffffff <_end+0xffffef2f> +80000138: 00100c13 li s8,1 +8000013c: 038cdc33 divu s8,s9,s8 +80000140: 01812223 sw s8,4(sp) +80000144: 80000db7 lui s11,0x80000 +80000148: fffd8d93 addi s11,s11,-1 # 7fffffff <_end+0xffffef2f> +8000014c: fff00d13 li s10,-1 +80000150: 03addd33 divu s10,s11,s10 +80000154: 01a12423 sw s10,8(sp) +80000158: 80000eb7 lui t4,0x80000 +8000015c: fffe8e93 addi t4,t4,-1 # 7fffffff <_end+0xffffef2f> +80000160: 80000e37 lui t3,0x80000 +80000164: fffe0e13 addi t3,t3,-1 # 7fffffff <_end+0xffffef2f> +80000168: 03cede33 divu t3,t4,t3 +8000016c: 01c12623 sw t3,12(sp) +80000170: 80000fb7 lui t6,0x80000 +80000174: ffff8f93 addi t6,t6,-1 # 7fffffff <_end+0xffffef2f> +80000178: 80000f37 lui t5,0x80000 +8000017c: 03efdf33 divu t5,t6,t5 +80000180: 01e12823 sw t5,16(sp) +80000184: 00001117 auipc sp,0x1 +80000188: ecc10113 addi sp,sp,-308 # 80001050 +8000018c: 80000237 lui tp,0x80000 +80000190: 00000193 li gp,0 +80000194: 023251b3 divu gp,tp,gp +80000198: 00312023 sw gp,0(sp) +8000019c: 800004b7 lui s1,0x80000 +800001a0: 00100413 li s0,1 +800001a4: 0284d433 divu s0,s1,s0 +800001a8: 00812223 sw s0,4(sp) +800001ac: 80000637 lui a2,0x80000 +800001b0: fff00593 li a1,-1 +800001b4: 02b655b3 divu a1,a2,a1 +800001b8: 00b12423 sw a1,8(sp) +800001bc: 80000737 lui a4,0x80000 +800001c0: 800006b7 lui a3,0x80000 +800001c4: fff68693 addi a3,a3,-1 # 7fffffff <_end+0xffffef2f> +800001c8: 02d756b3 divu a3,a4,a3 +800001cc: 00d12623 sw a3,12(sp) +800001d0: 80000837 lui a6,0x80000 +800001d4: 800007b7 lui a5,0x80000 +800001d8: 02f857b3 divu a5,a6,a5 +800001dc: 00f12823 sw a5,16(sp) +800001e0: 00001517 auipc a0,0x1 +800001e4: e2050513 addi a0,a0,-480 # 80001000 +800001e8: 00001597 auipc a1,0x1 +800001ec: ee858593 addi a1,a1,-280 # 800010d0 <_end> +800001f0: f0100637 lui a2,0xf0100 +800001f4: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee5c> + +800001f8 : +800001f8: 02b50663 beq a0,a1,80000224 +800001fc: 00c52683 lw a3,12(a0) +80000200: 00d62023 sw a3,0(a2) +80000204: 00852683 lw a3,8(a0) +80000208: 00d62023 sw a3,0(a2) +8000020c: 00452683 lw a3,4(a0) +80000210: 00d62023 sw a3,0(a2) +80000214: 00052683 lw a3,0(a0) +80000218: 00d62023 sw a3,0(a2) +8000021c: 01050513 addi a0,a0,16 +80000220: fd9ff06f j 800001f8 + +80000224 : +80000224: f0100537 lui a0,0xf0100 +80000228: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee50> +8000022c: 00052023 sw zero,0(a0) +80000230: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff + +80001064 : +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff + +80001078 : +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff + +8000108c : +8000108c: ffff 0xffff +8000108e: ffff 0xffff +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff + +800010a0 : +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff + +800010b4 : +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff +800010c0: ffff 0xffff +800010c2: ffff 0xffff +800010c4: ffff 0xffff +800010c6: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/DIVW.elf.objdump b/VexRiscv/src/test/resources/asm/DIVW.elf.objdump new file mode 100644 index 0000000..00918b4 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/DIVW.elf.objdump @@ -0,0 +1,460 @@ + +/home/spinalvm/hdl/riscv-compliance/work//DIVW.elf: file format elf64-littleriscv + + +Disassembly of section .text.init: + +0000000080000000 <_start>: + 80000000: 04c0006f j 8000004c + +0000000080000004 : + 80000004: 34202f73 csrr t5,mcause + 80000008: 00800f93 li t6,8 + 8000000c: 03ff0a63 beq t5,t6,80000040 + 80000010: 00900f93 li t6,9 + 80000014: 03ff0663 beq t5,t6,80000040 + 80000018: 00b00f93 li t6,11 + 8000001c: 03ff0263 beq t5,t6,80000040 + 80000020: 80000f17 auipc t5,0x80000 + 80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> + 80000028: 000f0463 beqz t5,80000030 + 8000002c: 000f0067 jr t5 + 80000030: 34202f73 csrr t5,mcause + 80000034: 000f5463 bgez t5,8000003c + 80000038: 0040006f j 8000003c + +000000008000003c : + 8000003c: 5391e193 ori gp,gp,1337 + +0000000080000040 : + 80000040: 00001f17 auipc t5,0x1 + 80000044: fc3f2023 sw gp,-64(t5) # 80001000 + 80000048: ff9ff06f j 80000040 + +000000008000004c : + 8000004c: f1402573 csrr a0,mhartid + 80000050: 00051063 bnez a0,80000050 + 80000054: 00000297 auipc t0,0x0 + 80000058: 01028293 addi t0,t0,16 # 80000064 + 8000005c: 30529073 csrw mtvec,t0 + 80000060: 18005073 csrwi satp,0 + 80000064: 00000297 auipc t0,0x0 + 80000068: 01c28293 addi t0,t0,28 # 80000080 + 8000006c: 30529073 csrw mtvec,t0 + 80000070: fff00293 li t0,-1 + 80000074: 3b029073 csrw pmpaddr0,t0 + 80000078: 01f00293 li t0,31 + 8000007c: 3a029073 csrw pmpcfg0,t0 + 80000080: 00000297 auipc t0,0x0 + 80000084: 01828293 addi t0,t0,24 # 80000098 + 80000088: 30529073 csrw mtvec,t0 + 8000008c: 30205073 csrwi medeleg,0 + 80000090: 30305073 csrwi mideleg,0 + 80000094: 30405073 csrwi mie,0 + 80000098: 00000193 li gp,0 + 8000009c: 00000297 auipc t0,0x0 + 800000a0: f6828293 addi t0,t0,-152 # 80000004 + 800000a4: 30529073 csrw mtvec,t0 + 800000a8: 00100513 li a0,1 + 800000ac: 01f51513 slli a0,a0,0x1f + 800000b0: 00055863 bgez a0,800000c0 + 800000b4: 00000013 nop + 800000b8: 00100193 li gp,1 + 800000bc: 00000073 ecall + 800000c0: 80000297 auipc t0,0x80000 + 800000c4: f4028293 addi t0,t0,-192 # 0 <_start-0x80000000> + 800000c8: 00028e63 beqz t0,800000e4 + 800000cc: 10529073 csrw stvec,t0 + 800000d0: 0000b2b7 lui t0,0xb + 800000d4: 1092829b addiw t0,t0,265 + 800000d8: 30229073 csrw medeleg,t0 + 800000dc: 30202373 csrr t1,medeleg + 800000e0: f4629ee3 bne t0,t1,8000003c + 800000e4: 30005073 csrwi mstatus,0 + 800000e8: 00002537 lui a0,0x2 + 800000ec: 8005051b addiw a0,a0,-2048 + 800000f0: 30052073 csrs mstatus,a0 + 800000f4: 00000297 auipc t0,0x0 + 800000f8: 01428293 addi t0,t0,20 # 80000108 + 800000fc: 34129073 csrw mepc,t0 + 80000100: f1402573 csrr a0,mhartid + 80000104: 30200073 mret + +0000000080000108 : + 80000108: 00002117 auipc sp,0x2 + 8000010c: ef810113 addi sp,sp,-264 # 80002000 + 80000110: 00000213 li tp,0 + 80000114: 00000193 li gp,0 + 80000118: 023241bb divw gp,tp,gp + 8000011c: 00312023 sw gp,0(sp) + 80000120: 00000493 li s1,0 + 80000124: 00100413 li s0,1 + 80000128: 0284c43b divw s0,s1,s0 + 8000012c: 00812423 sw s0,8(sp) + 80000130: 00000613 li a2,0 + 80000134: fff00593 li a1,-1 + 80000138: 02b645bb divw a1,a2,a1 + 8000013c: 00b12823 sw a1,16(sp) + 80000140: 00000713 li a4,0 + 80000144: fff0069b addiw a3,zero,-1 + 80000148: 03f69693 slli a3,a3,0x3f + 8000014c: fff68693 addi a3,a3,-1 + 80000150: 02d746bb divw a3,a4,a3 + 80000154: 00d12c23 sw a3,24(sp) + 80000158: 00000813 li a6,0 + 8000015c: fff0079b addiw a5,zero,-1 + 80000160: 03f79793 slli a5,a5,0x3f + 80000164: 02f847bb divw a5,a6,a5 + 80000168: 02f12023 sw a5,32(sp) + 8000016c: 00002117 auipc sp,0x2 + 80000170: ebc10113 addi sp,sp,-324 # 80002028 + 80000174: 00100913 li s2,1 + 80000178: 00000893 li a7,0 + 8000017c: 031948bb divw a7,s2,a7 + 80000180: 01112023 sw a7,0(sp) + 80000184: 00100a13 li s4,1 + 80000188: 00100993 li s3,1 + 8000018c: 033a49bb divw s3,s4,s3 + 80000190: 01312423 sw s3,8(sp) + 80000194: 00100b13 li s6,1 + 80000198: fff00a93 li s5,-1 + 8000019c: 035b4abb divw s5,s6,s5 + 800001a0: 01512823 sw s5,16(sp) + 800001a4: 00100c13 li s8,1 + 800001a8: fff00b9b addiw s7,zero,-1 + 800001ac: 03fb9b93 slli s7,s7,0x3f + 800001b0: fffb8b93 addi s7,s7,-1 + 800001b4: 037c4bbb divw s7,s8,s7 + 800001b8: 01712c23 sw s7,24(sp) + 800001bc: 00100d13 li s10,1 + 800001c0: fff00c9b addiw s9,zero,-1 + 800001c4: 03fc9c93 slli s9,s9,0x3f + 800001c8: 039d4cbb divw s9,s10,s9 + 800001cc: 03912023 sw s9,32(sp) + 800001d0: 00002117 auipc sp,0x2 + 800001d4: e8010113 addi sp,sp,-384 # 80002050 + 800001d8: fff00e13 li t3,-1 + 800001dc: 00000d93 li s11,0 + 800001e0: 03be4dbb divw s11,t3,s11 + 800001e4: 01b12023 sw s11,0(sp) + 800001e8: fff00f13 li t5,-1 + 800001ec: 00100e93 li t4,1 + 800001f0: 03df4ebb divw t4,t5,t4 + 800001f4: 01d12423 sw t4,8(sp) + 800001f8: fff00193 li gp,-1 + 800001fc: fff00f93 li t6,-1 + 80000200: 03f1cfbb divw t6,gp,t6 + 80000204: 01f12823 sw t6,16(sp) + 80000208: fff00413 li s0,-1 + 8000020c: fff0021b addiw tp,zero,-1 + 80000210: 03f21213 slli tp,tp,0x3f + 80000214: fff20213 addi tp,tp,-1 + 80000218: 0244423b divw tp,s0,tp + 8000021c: 00412c23 sw tp,24(sp) + 80000220: fff00593 li a1,-1 + 80000224: fff0049b addiw s1,zero,-1 + 80000228: 03f49493 slli s1,s1,0x3f + 8000022c: 0295c4bb divw s1,a1,s1 + 80000230: 02912023 sw s1,32(sp) + 80000234: 00002117 auipc sp,0x2 + 80000238: e4410113 addi sp,sp,-444 # 80002078 + 8000023c: fff0069b addiw a3,zero,-1 + 80000240: 03f69693 slli a3,a3,0x3f + 80000244: fff68693 addi a3,a3,-1 + 80000248: 00000613 li a2,0 + 8000024c: 02c6c63b divw a2,a3,a2 + 80000250: 00c12023 sw a2,0(sp) + 80000254: fff0079b addiw a5,zero,-1 + 80000258: 03f79793 slli a5,a5,0x3f + 8000025c: fff78793 addi a5,a5,-1 + 80000260: 00100713 li a4,1 + 80000264: 02e7c73b divw a4,a5,a4 + 80000268: 00e12423 sw a4,8(sp) + 8000026c: fff0089b addiw a7,zero,-1 + 80000270: 03f89893 slli a7,a7,0x3f + 80000274: fff88893 addi a7,a7,-1 + 80000278: fff00813 li a6,-1 + 8000027c: 0308c83b divw a6,a7,a6 + 80000280: 01012823 sw a6,16(sp) + 80000284: fff0099b addiw s3,zero,-1 + 80000288: 03f99993 slli s3,s3,0x3f + 8000028c: fff98993 addi s3,s3,-1 + 80000290: fff0091b addiw s2,zero,-1 + 80000294: 03f91913 slli s2,s2,0x3f + 80000298: fff90913 addi s2,s2,-1 + 8000029c: 0329c93b divw s2,s3,s2 + 800002a0: 01212c23 sw s2,24(sp) + 800002a4: fff00a9b addiw s5,zero,-1 + 800002a8: 03fa9a93 slli s5,s5,0x3f + 800002ac: fffa8a93 addi s5,s5,-1 + 800002b0: fff00a1b addiw s4,zero,-1 + 800002b4: 03fa1a13 slli s4,s4,0x3f + 800002b8: 034aca3b divw s4,s5,s4 + 800002bc: 03412023 sw s4,32(sp) + 800002c0: 00002117 auipc sp,0x2 + 800002c4: de010113 addi sp,sp,-544 # 800020a0 + 800002c8: fff00b9b addiw s7,zero,-1 + 800002cc: 03fb9b93 slli s7,s7,0x3f + 800002d0: 00000b13 li s6,0 + 800002d4: 036bcb3b divw s6,s7,s6 + 800002d8: 01612023 sw s6,0(sp) + 800002dc: fff00c9b addiw s9,zero,-1 + 800002e0: 03fc9c93 slli s9,s9,0x3f + 800002e4: 00100c13 li s8,1 + 800002e8: 038ccc3b divw s8,s9,s8 + 800002ec: 01812423 sw s8,8(sp) + 800002f0: fff00d9b addiw s11,zero,-1 + 800002f4: 03fd9d93 slli s11,s11,0x3f + 800002f8: fff00d13 li s10,-1 + 800002fc: 03adcd3b divw s10,s11,s10 + 80000300: 01a12823 sw s10,16(sp) + 80000304: fff00e9b addiw t4,zero,-1 + 80000308: 03fe9e93 slli t4,t4,0x3f + 8000030c: fff00e1b addiw t3,zero,-1 + 80000310: 03fe1e13 slli t3,t3,0x3f + 80000314: fffe0e13 addi t3,t3,-1 + 80000318: 03cece3b divw t3,t4,t3 + 8000031c: 01c12c23 sw t3,24(sp) + 80000320: fff00f9b addiw t6,zero,-1 + 80000324: 03ff9f93 slli t6,t6,0x3f + 80000328: fff00f1b addiw t5,zero,-1 + 8000032c: 03ff1f13 slli t5,t5,0x3f + 80000330: 03efcf3b divw t5,t6,t5 + 80000334: 03e12023 sw t5,32(sp) + 80000338: 00000013 nop + 8000033c: 00100193 li gp,1 + 80000340: 00000073 ecall + +0000000080000344 : + 80000344: c0001073 unimp + ... + +Disassembly of section .tohost: + +0000000080001000 : + ... + +0000000080001100 : + ... + +Disassembly of section .data: + +0000000080002000 : + 80002000: ffff 0xffff + 80002002: ffff 0xffff + 80002004: 0000 unimp + 80002006: 0000 unimp + 80002008: ffff 0xffff + 8000200a: ffff 0xffff + 8000200c: 0000 unimp + 8000200e: 0000 unimp + 80002010: ffff 0xffff + 80002012: ffff 0xffff + 80002014: 0000 unimp + 80002016: 0000 unimp + 80002018: ffff 0xffff + 8000201a: ffff 0xffff + 8000201c: 0000 unimp + 8000201e: 0000 unimp + 80002020: ffff 0xffff + 80002022: ffff 0xffff + 80002024: 0000 unimp + ... + +0000000080002028 : + 80002028: ffff 0xffff + 8000202a: ffff 0xffff + 8000202c: 0000 unimp + 8000202e: 0000 unimp + 80002030: ffff 0xffff + 80002032: ffff 0xffff + 80002034: 0000 unimp + 80002036: 0000 unimp + 80002038: ffff 0xffff + 8000203a: ffff 0xffff + 8000203c: 0000 unimp + 8000203e: 0000 unimp + 80002040: ffff 0xffff + 80002042: ffff 0xffff + 80002044: 0000 unimp + 80002046: 0000 unimp + 80002048: ffff 0xffff + 8000204a: ffff 0xffff + 8000204c: 0000 unimp + ... + +0000000080002050 : + 80002050: ffff 0xffff + 80002052: ffff 0xffff + 80002054: 0000 unimp + 80002056: 0000 unimp + 80002058: ffff 0xffff + 8000205a: ffff 0xffff + 8000205c: 0000 unimp + 8000205e: 0000 unimp + 80002060: ffff 0xffff + 80002062: ffff 0xffff + 80002064: 0000 unimp + 80002066: 0000 unimp + 80002068: ffff 0xffff + 8000206a: ffff 0xffff + 8000206c: 0000 unimp + 8000206e: 0000 unimp + 80002070: ffff 0xffff + 80002072: ffff 0xffff + 80002074: 0000 unimp + ... + +0000000080002078 : + 80002078: ffff 0xffff + 8000207a: ffff 0xffff + 8000207c: 0000 unimp + 8000207e: 0000 unimp + 80002080: ffff 0xffff + 80002082: ffff 0xffff + 80002084: 0000 unimp + 80002086: 0000 unimp + 80002088: ffff 0xffff + 8000208a: ffff 0xffff + 8000208c: 0000 unimp + 8000208e: 0000 unimp + 80002090: ffff 0xffff + 80002092: ffff 0xffff + 80002094: 0000 unimp + 80002096: 0000 unimp + 80002098: ffff 0xffff + 8000209a: ffff 0xffff + 8000209c: 0000 unimp + ... + +00000000800020a0 : + 800020a0: ffff 0xffff + 800020a2: ffff 0xffff + 800020a4: 0000 unimp + 800020a6: 0000 unimp + 800020a8: ffff 0xffff + 800020aa: ffff 0xffff + 800020ac: 0000 unimp + 800020ae: 0000 unimp + 800020b0: ffff 0xffff + 800020b2: ffff 0xffff + 800020b4: 0000 unimp + 800020b6: 0000 unimp + 800020b8: ffff 0xffff + 800020ba: ffff 0xffff + 800020bc: 0000 unimp + 800020be: 0000 unimp + 800020c0: ffff 0xffff + 800020c2: ffff 0xffff + 800020c4: 0000 unimp + ... + +00000000800020c8 : + 800020c8: ffff 0xffff + 800020ca: ffff 0xffff + 800020cc: 0000 unimp + 800020ce: 0000 unimp + 800020d0: ffff 0xffff + 800020d2: ffff 0xffff + 800020d4: 0000 unimp + 800020d6: 0000 unimp + 800020d8: ffff 0xffff + 800020da: ffff 0xffff + 800020dc: 0000 unimp + 800020de: 0000 unimp + 800020e0: ffff 0xffff + 800020e2: ffff 0xffff + 800020e4: 0000 unimp + 800020e6: 0000 unimp + 800020e8: ffff 0xffff + 800020ea: ffff 0xffff + 800020ec: 0000 unimp + ... + +00000000800020f0 : + 800020f0: ffff 0xffff + 800020f2: ffff 0xffff + 800020f4: 0000 unimp + 800020f6: 0000 unimp + 800020f8: ffff 0xffff + 800020fa: ffff 0xffff + 800020fc: 0000 unimp + 800020fe: 0000 unimp + 80002100: ffff 0xffff + 80002102: ffff 0xffff + 80002104: 0000 unimp + 80002106: 0000 unimp + 80002108: ffff 0xffff + 8000210a: ffff 0xffff + 8000210c: 0000 unimp + 8000210e: 0000 unimp + 80002110: ffff 0xffff + 80002112: ffff 0xffff + 80002114: 0000 unimp + ... + +0000000080002118 : + 80002118: ffff 0xffff + 8000211a: ffff 0xffff + 8000211c: 0000 unimp + 8000211e: 0000 unimp + 80002120: ffff 0xffff + 80002122: ffff 0xffff + 80002124: 0000 unimp + 80002126: 0000 unimp + 80002128: ffff 0xffff + 8000212a: ffff 0xffff + 8000212c: 0000 unimp + 8000212e: 0000 unimp + 80002130: ffff 0xffff + 80002132: ffff 0xffff + 80002134: 0000 unimp + 80002136: 0000 unimp + 80002138: ffff 0xffff + 8000213a: ffff 0xffff + 8000213c: 0000 unimp + ... + +0000000080002140 : + 80002140: ffff 0xffff + 80002142: ffff 0xffff + 80002144: 0000 unimp + 80002146: 0000 unimp + 80002148: ffff 0xffff + 8000214a: ffff 0xffff + 8000214c: 0000 unimp + 8000214e: 0000 unimp + 80002150: ffff 0xffff + 80002152: ffff 0xffff + 80002154: 0000 unimp + 80002156: 0000 unimp + 80002158: ffff 0xffff + 8000215a: ffff 0xffff + 8000215c: 0000 unimp + 8000215e: 0000 unimp + 80002160: ffff 0xffff + 80002162: ffff 0xffff + 80002164: 0000 unimp + ... + +0000000080002168 : + 80002168: ffff 0xffff + 8000216a: ffff 0xffff + 8000216c: 0000 unimp + 8000216e: 0000 unimp + 80002170: ffff 0xffff + 80002172: ffff 0xffff + 80002174: 0000 unimp + 80002176: 0000 unimp + 80002178: ffff 0xffff + 8000217a: ffff 0xffff + 8000217c: 0000 unimp + 8000217e: 0000 unimp + 80002180: ffff 0xffff + 80002182: ffff 0xffff + 80002184: 0000 unimp + 80002186: 0000 unimp + 80002188: ffff 0xffff + 8000218a: ffff 0xffff + 8000218c: 0000 unimp + ... diff --git a/VexRiscv/src/test/resources/asm/I-ADD-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-ADD-01.elf.objdump new file mode 100644 index 0000000..0da0b8d --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-ADD-01.elf.objdump @@ -0,0 +1,344 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-ADD-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 00001117 auipc sp,0x1 +8000000c: 02810113 addi sp,sp,40 # 80001030 +80000010: 0000a183 lw gp,0(ra) # 80001000 +80000014: 00000213 li tp,0 +80000018: 00100293 li t0,1 +8000001c: fff00313 li t1,-1 +80000020: 800003b7 lui t2,0x80000 +80000024: fff38393 addi t2,t2,-1 # 7fffffff <_end+0xffffef1f> +80000028: 80000437 lui s0,0x80000 +8000002c: 00418233 add tp,gp,tp +80000030: 005182b3 add t0,gp,t0 +80000034: 00618333 add t1,gp,t1 +80000038: 007183b3 add t2,gp,t2 +8000003c: 00818433 add s0,gp,s0 +80000040: 00312023 sw gp,0(sp) +80000044: 00412223 sw tp,4(sp) +80000048: 00512423 sw t0,8(sp) +8000004c: 00612623 sw t1,12(sp) +80000050: 00712823 sw t2,16(sp) +80000054: 00812a23 sw s0,20(sp) +80000058: 00001097 auipc ra,0x1 +8000005c: fac08093 addi ra,ra,-84 # 80001004 +80000060: 00001117 auipc sp,0x1 +80000064: fe810113 addi sp,sp,-24 # 80001048 +80000068: 0000a403 lw s0,0(ra) +8000006c: 00000493 li s1,0 +80000070: 00100513 li a0,1 +80000074: fff00593 li a1,-1 +80000078: 80000637 lui a2,0x80000 +8000007c: fff60613 addi a2,a2,-1 # 7fffffff <_end+0xffffef1f> +80000080: 800006b7 lui a3,0x80000 +80000084: 009404b3 add s1,s0,s1 +80000088: 00a40533 add a0,s0,a0 +8000008c: 00b405b3 add a1,s0,a1 +80000090: 00c40633 add a2,s0,a2 +80000094: 00d406b3 add a3,s0,a3 +80000098: 00812023 sw s0,0(sp) +8000009c: 00912223 sw s1,4(sp) +800000a0: 00a12423 sw a0,8(sp) +800000a4: 00b12623 sw a1,12(sp) +800000a8: 00c12823 sw a2,16(sp) +800000ac: 00d12a23 sw a3,20(sp) +800000b0: 00001097 auipc ra,0x1 +800000b4: f5808093 addi ra,ra,-168 # 80001008 +800000b8: 00001117 auipc sp,0x1 +800000bc: fa810113 addi sp,sp,-88 # 80001060 +800000c0: 0000a683 lw a3,0(ra) +800000c4: 00000713 li a4,0 +800000c8: 00100793 li a5,1 +800000cc: fff00813 li a6,-1 +800000d0: 800008b7 lui a7,0x80000 +800000d4: fff88893 addi a7,a7,-1 # 7fffffff <_end+0xffffef1f> +800000d8: 80000937 lui s2,0x80000 +800000dc: 00e68733 add a4,a3,a4 +800000e0: 00f687b3 add a5,a3,a5 +800000e4: 01068833 add a6,a3,a6 +800000e8: 011688b3 add a7,a3,a7 +800000ec: 01268933 add s2,a3,s2 +800000f0: 00d12023 sw a3,0(sp) +800000f4: 00e12223 sw a4,4(sp) +800000f8: 00f12423 sw a5,8(sp) +800000fc: 01012623 sw a6,12(sp) +80000100: 01112823 sw a7,16(sp) +80000104: 01212a23 sw s2,20(sp) +80000108: 00001097 auipc ra,0x1 +8000010c: f0408093 addi ra,ra,-252 # 8000100c +80000110: 00001117 auipc sp,0x1 +80000114: f6810113 addi sp,sp,-152 # 80001078 +80000118: 0000a903 lw s2,0(ra) +8000011c: 00000993 li s3,0 +80000120: 00100a13 li s4,1 +80000124: fff00a93 li s5,-1 +80000128: 80000b37 lui s6,0x80000 +8000012c: fffb0b13 addi s6,s6,-1 # 7fffffff <_end+0xffffef1f> +80000130: 80000bb7 lui s7,0x80000 +80000134: 013909b3 add s3,s2,s3 +80000138: 01490a33 add s4,s2,s4 +8000013c: 01590ab3 add s5,s2,s5 +80000140: 01690b33 add s6,s2,s6 +80000144: 01790bb3 add s7,s2,s7 +80000148: 01212023 sw s2,0(sp) +8000014c: 01312223 sw s3,4(sp) +80000150: 01412423 sw s4,8(sp) +80000154: 01512623 sw s5,12(sp) +80000158: 01612823 sw s6,16(sp) +8000015c: 01712a23 sw s7,20(sp) +80000160: 00001097 auipc ra,0x1 +80000164: eb008093 addi ra,ra,-336 # 80001010 +80000168: 00001117 auipc sp,0x1 +8000016c: f2810113 addi sp,sp,-216 # 80001090 +80000170: 0000ab83 lw s7,0(ra) +80000174: 00000c13 li s8,0 +80000178: 00100c93 li s9,1 +8000017c: fff00d13 li s10,-1 +80000180: 80000db7 lui s11,0x80000 +80000184: fffd8d93 addi s11,s11,-1 # 7fffffff <_end+0xffffef1f> +80000188: 80000e37 lui t3,0x80000 +8000018c: 018b8c33 add s8,s7,s8 +80000190: 019b8cb3 add s9,s7,s9 +80000194: 01ab8d33 add s10,s7,s10 +80000198: 01bb8db3 add s11,s7,s11 +8000019c: 01cb8e33 add t3,s7,t3 +800001a0: 01712023 sw s7,0(sp) +800001a4: 01812223 sw s8,4(sp) +800001a8: 01912423 sw s9,8(sp) +800001ac: 01a12623 sw s10,12(sp) +800001b0: 01b12823 sw s11,16(sp) +800001b4: 01c12a23 sw t3,20(sp) +800001b8: 00001c97 auipc s9,0x1 +800001bc: e5cc8c93 addi s9,s9,-420 # 80001014 +800001c0: 00001d17 auipc s10,0x1 +800001c4: ee8d0d13 addi s10,s10,-280 # 800010a8 +800001c8: 000cae03 lw t3,0(s9) +800001cc: 00100d93 li s11,1 +800001d0: 01be0eb3 add t4,t3,s11 +800001d4: 01be8f33 add t5,t4,s11 +800001d8: 01bf0fb3 add t6,t5,s11 +800001dc: 01bf80b3 add ra,t6,s11 +800001e0: 01b08133 add sp,ra,s11 +800001e4: 01b101b3 add gp,sp,s11 +800001e8: 01bd2023 sw s11,0(s10) +800001ec: 01cd2223 sw t3,4(s10) +800001f0: 01dd2423 sw t4,8(s10) +800001f4: 01ed2623 sw t5,12(s10) +800001f8: 01fd2823 sw t6,16(s10) +800001fc: 001d2a23 sw ra,20(s10) +80000200: 002d2c23 sw sp,24(s10) +80000204: 003d2e23 sw gp,28(s10) +80000208: 00001097 auipc ra,0x1 +8000020c: e1008093 addi ra,ra,-496 # 80001018 +80000210: 00001117 auipc sp,0x1 +80000214: eb810113 addi sp,sp,-328 # 800010c8 +80000218: 0000ae03 lw t3,0(ra) +8000021c: f7ff9db7 lui s11,0xf7ff9 +80000220: 818d8d93 addi s11,s11,-2024 # f7ff8818 <_end+0x77ff7738> +80000224: 01be0033 add zero,t3,s11 +80000228: 00012023 sw zero,0(sp) +8000022c: 00001097 auipc ra,0x1 +80000230: df008093 addi ra,ra,-528 # 8000101c +80000234: 00001117 auipc sp,0x1 +80000238: e9810113 addi sp,sp,-360 # 800010cc +8000023c: 0000ae03 lw t3,0(ra) +80000240: f7ff9db7 lui s11,0xf7ff9 +80000244: 818d8d93 addi s11,s11,-2024 # f7ff8818 <_end+0x77ff7738> +80000248: 01be0033 add zero,t3,s11 +8000024c: 000002b3 add t0,zero,zero +80000250: 00012023 sw zero,0(sp) +80000254: 00512223 sw t0,4(sp) +80000258: 00001097 auipc ra,0x1 +8000025c: dc808093 addi ra,ra,-568 # 80001020 +80000260: 00001117 auipc sp,0x1 +80000264: e7410113 addi sp,sp,-396 # 800010d4 +80000268: 0000a183 lw gp,0(ra) +8000026c: 00018233 add tp,gp,zero +80000270: 000202b3 add t0,tp,zero +80000274: 00500333 add t1,zero,t0 +80000278: 00030733 add a4,t1,zero +8000027c: 000707b3 add a5,a4,zero +80000280: 00078833 add a6,a5,zero +80000284: 01000cb3 add s9,zero,a6 +80000288: 01900d33 add s10,zero,s9 +8000028c: 000d0db3 add s11,s10,zero +80000290: 00412023 sw tp,0(sp) +80000294: 01a12223 sw s10,4(sp) +80000298: 01b12423 sw s11,8(sp) +8000029c: 00001517 auipc a0,0x1 +800002a0: d9450513 addi a0,a0,-620 # 80001030 +800002a4: 00001597 auipc a1,0x1 +800002a8: e3c58593 addi a1,a1,-452 # 800010e0 <_end> +800002ac: f0100637 lui a2,0xf0100 +800002b0: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee4c> + +800002b4 : +800002b4: 02b50663 beq a0,a1,800002e0 +800002b8: 00c52683 lw a3,12(a0) +800002bc: 00d62023 sw a3,0(a2) +800002c0: 00852683 lw a3,8(a0) +800002c4: 00d62023 sw a3,0(a2) +800002c8: 00452683 lw a3,4(a0) +800002cc: 00d62023 sw a3,0(a2) +800002d0: 00052683 lw a3,0(a0) +800002d4: 00d62023 sw a3,0(a2) +800002d8: 01050513 addi a0,a0,16 +800002dc: fd9ff06f j 800002b4 + +800002e0 : +800002e0: f0100537 lui a0,0xf0100 +800002e4: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee40> +800002e8: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: 0000 unimp + ... + +80001004 : +80001004: 0001 nop + ... + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: 7fff 0x7fff + +80001010 : +80001010: 0000 unimp +80001012: 8000 0x8000 + +80001014 : +80001014: abcd j 80001606 <_end+0x526> + ... + +80001018 : +80001018: 5678 lw a4,108(a2) +8000101a: 1234 addi a3,sp,296 + +8000101c : +8000101c: ba98 fsd fa4,48(a3) +8000101e: fedc fsw fa5,60(a3) + +80001020 : +80001020: 5814 lw a3,48(s0) +80001022: 3692 fld fa3,288(sp) + ... + +80001030 : +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff + +80001048 : +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff + +80001060 : +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff + +80001078 : +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff + +80001090 : +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff + +800010a8 : +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff +800010c0: ffff 0xffff +800010c2: ffff 0xffff +800010c4: ffff 0xffff +800010c6: ffff 0xffff + +800010c8 : +800010c8: ffff 0xffff +800010ca: ffff 0xffff + +800010cc : +800010cc: ffff 0xffff +800010ce: ffff 0xffff +800010d0: ffff 0xffff +800010d2: ffff 0xffff + +800010d4 : +800010d4: ffff 0xffff +800010d6: ffff 0xffff +800010d8: ffff 0xffff +800010da: ffff 0xffff +800010dc: ffff 0xffff +800010de: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-ADDI-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-ADDI-01.elf.objdump new file mode 100644 index 0000000..3a7b768 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-ADDI-01.elf.objdump @@ -0,0 +1,310 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-ADDI-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 00001117 auipc sp,0x1 +8000000c: 02810113 addi sp,sp,40 # 80001030 +80000010: 0000a183 lw gp,0(ra) # 80001000 +80000014: 00118213 addi tp,gp,1 +80000018: 7ff18293 addi t0,gp,2047 +8000001c: fff18313 addi t1,gp,-1 +80000020: 00018393 mv t2,gp +80000024: 80018413 addi s0,gp,-2048 +80000028: 00312023 sw gp,0(sp) +8000002c: 00412223 sw tp,4(sp) +80000030: 00512423 sw t0,8(sp) +80000034: 00612623 sw t1,12(sp) +80000038: 00712823 sw t2,16(sp) +8000003c: 00812a23 sw s0,20(sp) +80000040: 00001097 auipc ra,0x1 +80000044: fc408093 addi ra,ra,-60 # 80001004 +80000048: 00001117 auipc sp,0x1 +8000004c: 00010113 mv sp,sp +80000050: 0000a403 lw s0,0(ra) +80000054: 00140493 addi s1,s0,1 +80000058: 7ff40513 addi a0,s0,2047 +8000005c: fff40593 addi a1,s0,-1 +80000060: 00040613 mv a2,s0 +80000064: 80040693 addi a3,s0,-2048 +80000068: 00812023 sw s0,0(sp) # 80001048 +8000006c: 00912223 sw s1,4(sp) +80000070: 00a12423 sw a0,8(sp) +80000074: 00b12623 sw a1,12(sp) +80000078: 00c12823 sw a2,16(sp) +8000007c: 00d12a23 sw a3,20(sp) +80000080: 00001097 auipc ra,0x1 +80000084: f8808093 addi ra,ra,-120 # 80001008 +80000088: 00001117 auipc sp,0x1 +8000008c: fd810113 addi sp,sp,-40 # 80001060 +80000090: 0000a683 lw a3,0(ra) +80000094: 00168713 addi a4,a3,1 +80000098: 7ff68793 addi a5,a3,2047 +8000009c: fff68813 addi a6,a3,-1 +800000a0: 00068893 mv a7,a3 +800000a4: 80068913 addi s2,a3,-2048 +800000a8: 00d12023 sw a3,0(sp) +800000ac: 00e12223 sw a4,4(sp) +800000b0: 00f12423 sw a5,8(sp) +800000b4: 01012623 sw a6,12(sp) +800000b8: 01112823 sw a7,16(sp) +800000bc: 01212a23 sw s2,20(sp) +800000c0: 00001097 auipc ra,0x1 +800000c4: f4c08093 addi ra,ra,-180 # 8000100c +800000c8: 00001117 auipc sp,0x1 +800000cc: fb010113 addi sp,sp,-80 # 80001078 +800000d0: 0000a903 lw s2,0(ra) +800000d4: 00190993 addi s3,s2,1 +800000d8: 7ff90a13 addi s4,s2,2047 +800000dc: fff90a93 addi s5,s2,-1 +800000e0: 00090b13 mv s6,s2 +800000e4: 80090b93 addi s7,s2,-2048 +800000e8: 01212023 sw s2,0(sp) +800000ec: 01312223 sw s3,4(sp) +800000f0: 01412423 sw s4,8(sp) +800000f4: 01512623 sw s5,12(sp) +800000f8: 01612823 sw s6,16(sp) +800000fc: 01712a23 sw s7,20(sp) +80000100: 00001097 auipc ra,0x1 +80000104: f1008093 addi ra,ra,-240 # 80001010 +80000108: 00001117 auipc sp,0x1 +8000010c: f8810113 addi sp,sp,-120 # 80001090 +80000110: 0000ab83 lw s7,0(ra) +80000114: 001b8c13 addi s8,s7,1 +80000118: 7ffb8c93 addi s9,s7,2047 +8000011c: fffb8d13 addi s10,s7,-1 +80000120: 000b8d93 mv s11,s7 +80000124: 800b8e13 addi t3,s7,-2048 +80000128: 01712023 sw s7,0(sp) +8000012c: 01812223 sw s8,4(sp) +80000130: 01912423 sw s9,8(sp) +80000134: 01a12623 sw s10,12(sp) +80000138: 01b12823 sw s11,16(sp) +8000013c: 01c12a23 sw t3,20(sp) +80000140: 00001d17 auipc s10,0x1 +80000144: ed4d0d13 addi s10,s10,-300 # 80001014 +80000148: 00001d97 auipc s11,0x1 +8000014c: f60d8d93 addi s11,s11,-160 # 800010a8 +80000150: 000d2e03 lw t3,0(s10) +80000154: 001e0e93 addi t4,t3,1 +80000158: 001e8f13 addi t5,t4,1 +8000015c: 001f0f93 addi t6,t5,1 +80000160: 001f8093 addi ra,t6,1 +80000164: 00108113 addi sp,ra,1 +80000168: 00110193 addi gp,sp,1 +8000016c: 01cda023 sw t3,0(s11) +80000170: 01dda223 sw t4,4(s11) +80000174: 01eda423 sw t5,8(s11) +80000178: 01fda623 sw t6,12(s11) +8000017c: 001da823 sw ra,16(s11) +80000180: 002daa23 sw sp,20(s11) +80000184: 003dac23 sw gp,24(s11) +80000188: 00001097 auipc ra,0x1 +8000018c: e9008093 addi ra,ra,-368 # 80001018 +80000190: 00001117 auipc sp,0x1 +80000194: f3410113 addi sp,sp,-204 # 800010c4 +80000198: 0000a283 lw t0,0(ra) +8000019c: 00128013 addi zero,t0,1 +800001a0: 00012023 sw zero,0(sp) +800001a4: 00001097 auipc ra,0x1 +800001a8: e7808093 addi ra,ra,-392 # 8000101c +800001ac: 00001117 auipc sp,0x1 +800001b0: f1c10113 addi sp,sp,-228 # 800010c8 +800001b4: 0000a283 lw t0,0(ra) +800001b8: 00128013 addi zero,t0,1 +800001bc: 00100293 li t0,1 +800001c0: 00012023 sw zero,0(sp) +800001c4: 00512223 sw t0,4(sp) +800001c8: 00001097 auipc ra,0x1 +800001cc: e5808093 addi ra,ra,-424 # 80001020 +800001d0: 00001117 auipc sp,0x1 +800001d4: f0010113 addi sp,sp,-256 # 800010d0 +800001d8: 0000a183 lw gp,0(ra) +800001dc: 00018213 mv tp,gp +800001e0: 00020293 mv t0,tp +800001e4: 00028313 mv t1,t0 +800001e8: 00030713 mv a4,t1 +800001ec: 00070793 mv a5,a4 +800001f0: 00078813 mv a6,a5 +800001f4: 00080c93 mv s9,a6 +800001f8: 000c8d13 mv s10,s9 +800001fc: 000d0d93 mv s11,s10 +80000200: 00312023 sw gp,0(sp) +80000204: 00412223 sw tp,4(sp) +80000208: 01a12423 sw s10,8(sp) +8000020c: 01b12623 sw s11,12(sp) +80000210: 00001517 auipc a0,0x1 +80000214: e2050513 addi a0,a0,-480 # 80001030 +80000218: 00001597 auipc a1,0x1 +8000021c: ec858593 addi a1,a1,-312 # 800010e0 <_end> +80000220: f0100637 lui a2,0xf0100 +80000224: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee4c> + +80000228 : +80000228: 02b50663 beq a0,a1,80000254 +8000022c: 00c52683 lw a3,12(a0) +80000230: 00d62023 sw a3,0(a2) +80000234: 00852683 lw a3,8(a0) +80000238: 00d62023 sw a3,0(a2) +8000023c: 00452683 lw a3,4(a0) +80000240: 00d62023 sw a3,0(a2) +80000244: 00052683 lw a3,0(a0) +80000248: 00d62023 sw a3,0(a2) +8000024c: 01050513 addi a0,a0,16 +80000250: fd9ff06f j 80000228 + +80000254 : +80000254: f0100537 lui a0,0xf0100 +80000258: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee40> +8000025c: 00052023 sw zero,0(a0) +80000260: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: 0000 unimp + ... + +80001004 : +80001004: 0001 nop + ... + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: 7fff 0x7fff + +80001010 : +80001010: 0000 unimp +80001012: 8000 0x8000 + +80001014 : +80001014: abcd j 80001606 <_end+0x526> + ... + +80001018 : +80001018: 5678 lw a4,108(a2) +8000101a: 1234 addi a3,sp,296 + +8000101c : +8000101c: ba98 fsd fa4,48(a3) +8000101e: fedc fsw fa5,60(a3) + +80001020 : +80001020: 5814 lw a3,48(s0) +80001022: 3692 fld fa3,288(sp) + ... + +80001030 : +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff + +80001048 : +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff + +80001060 : +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff + +80001078 : +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff + +80001090 : +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff + +800010a8 : +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff +800010c0: ffff 0xffff +800010c2: ffff 0xffff + +800010c4 : +800010c4: ffff 0xffff +800010c6: ffff 0xffff + +800010c8 : +800010c8: ffff 0xffff +800010ca: ffff 0xffff +800010cc: ffff 0xffff +800010ce: ffff 0xffff + +800010d0 : +800010d0: ffff 0xffff +800010d2: ffff 0xffff +800010d4: ffff 0xffff +800010d6: ffff 0xffff +800010d8: ffff 0xffff +800010da: ffff 0xffff +800010dc: ffff 0xffff +800010de: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-AND-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-AND-01.elf.objdump new file mode 100644 index 0000000..1291340 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-AND-01.elf.objdump @@ -0,0 +1,349 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-AND-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 00001117 auipc sp,0x1 +8000000c: 02810113 addi sp,sp,40 # 80001030 +80000010: 0000a183 lw gp,0(ra) # 80001000 +80000014: 00000213 li tp,0 +80000018: 00100293 li t0,1 +8000001c: fff00313 li t1,-1 +80000020: 800003b7 lui t2,0x80000 +80000024: fff38393 addi t2,t2,-1 # 7fffffff <_end+0xffffef1f> +80000028: 80000437 lui s0,0x80000 +8000002c: 0041f233 and tp,gp,tp +80000030: 0051f2b3 and t0,gp,t0 +80000034: 0061f333 and t1,gp,t1 +80000038: 0071f3b3 and t2,gp,t2 +8000003c: 0081f433 and s0,gp,s0 +80000040: 00312023 sw gp,0(sp) +80000044: 00412223 sw tp,4(sp) +80000048: 00512423 sw t0,8(sp) +8000004c: 00612623 sw t1,12(sp) +80000050: 00712823 sw t2,16(sp) +80000054: 00812a23 sw s0,20(sp) +80000058: 00001097 auipc ra,0x1 +8000005c: fac08093 addi ra,ra,-84 # 80001004 +80000060: 00001117 auipc sp,0x1 +80000064: fe810113 addi sp,sp,-24 # 80001048 +80000068: 0000a403 lw s0,0(ra) +8000006c: 00000493 li s1,0 +80000070: 00100513 li a0,1 +80000074: fff00593 li a1,-1 +80000078: 80000637 lui a2,0x80000 +8000007c: fff60613 addi a2,a2,-1 # 7fffffff <_end+0xffffef1f> +80000080: 800006b7 lui a3,0x80000 +80000084: 009474b3 and s1,s0,s1 +80000088: 00a47533 and a0,s0,a0 +8000008c: 00b475b3 and a1,s0,a1 +80000090: 00c47633 and a2,s0,a2 +80000094: 00d476b3 and a3,s0,a3 +80000098: 00812023 sw s0,0(sp) +8000009c: 00912223 sw s1,4(sp) +800000a0: 00a12423 sw a0,8(sp) +800000a4: 00b12623 sw a1,12(sp) +800000a8: 00c12823 sw a2,16(sp) +800000ac: 00d12a23 sw a3,20(sp) +800000b0: 00001097 auipc ra,0x1 +800000b4: f5808093 addi ra,ra,-168 # 80001008 +800000b8: 00001117 auipc sp,0x1 +800000bc: fa810113 addi sp,sp,-88 # 80001060 +800000c0: 0000a683 lw a3,0(ra) +800000c4: 00000713 li a4,0 +800000c8: 00100793 li a5,1 +800000cc: fff00813 li a6,-1 +800000d0: 800008b7 lui a7,0x80000 +800000d4: fff88893 addi a7,a7,-1 # 7fffffff <_end+0xffffef1f> +800000d8: 80000937 lui s2,0x80000 +800000dc: 00e6f733 and a4,a3,a4 +800000e0: 00f6f7b3 and a5,a3,a5 +800000e4: 0106f833 and a6,a3,a6 +800000e8: 0116f8b3 and a7,a3,a7 +800000ec: 0126f933 and s2,a3,s2 +800000f0: 00d12023 sw a3,0(sp) +800000f4: 00e12223 sw a4,4(sp) +800000f8: 00f12423 sw a5,8(sp) +800000fc: 01012623 sw a6,12(sp) +80000100: 01112823 sw a7,16(sp) +80000104: 01212a23 sw s2,20(sp) +80000108: 00001097 auipc ra,0x1 +8000010c: f0408093 addi ra,ra,-252 # 8000100c +80000110: 00001117 auipc sp,0x1 +80000114: f6810113 addi sp,sp,-152 # 80001078 +80000118: 0000a903 lw s2,0(ra) +8000011c: 00000993 li s3,0 +80000120: 00100a13 li s4,1 +80000124: fff00a93 li s5,-1 +80000128: 80000b37 lui s6,0x80000 +8000012c: fffb0b13 addi s6,s6,-1 # 7fffffff <_end+0xffffef1f> +80000130: 80000bb7 lui s7,0x80000 +80000134: 013979b3 and s3,s2,s3 +80000138: 01497a33 and s4,s2,s4 +8000013c: 01597ab3 and s5,s2,s5 +80000140: 01697b33 and s6,s2,s6 +80000144: 01797bb3 and s7,s2,s7 +80000148: 01212023 sw s2,0(sp) +8000014c: 01312223 sw s3,4(sp) +80000150: 01412423 sw s4,8(sp) +80000154: 01512623 sw s5,12(sp) +80000158: 01612823 sw s6,16(sp) +8000015c: 01712a23 sw s7,20(sp) +80000160: 00001097 auipc ra,0x1 +80000164: eb008093 addi ra,ra,-336 # 80001010 +80000168: 00001117 auipc sp,0x1 +8000016c: f2810113 addi sp,sp,-216 # 80001090 +80000170: 0000ab83 lw s7,0(ra) +80000174: 00000c13 li s8,0 +80000178: 00100c93 li s9,1 +8000017c: fff00d13 li s10,-1 +80000180: 80000db7 lui s11,0x80000 +80000184: fffd8d93 addi s11,s11,-1 # 7fffffff <_end+0xffffef1f> +80000188: 80000e37 lui t3,0x80000 +8000018c: 018bfc33 and s8,s7,s8 +80000190: 019bfcb3 and s9,s7,s9 +80000194: 01abfd33 and s10,s7,s10 +80000198: 01bbfdb3 and s11,s7,s11 +8000019c: 01cbfe33 and t3,s7,t3 +800001a0: 01712023 sw s7,0(sp) +800001a4: 01812223 sw s8,4(sp) +800001a8: 01912423 sw s9,8(sp) +800001ac: 01a12623 sw s10,12(sp) +800001b0: 01b12823 sw s11,16(sp) +800001b4: 01c12a23 sw t3,20(sp) +800001b8: 00001c97 auipc s9,0x1 +800001bc: e5cc8c93 addi s9,s9,-420 # 80001014 +800001c0: 00001d17 auipc s10,0x1 +800001c4: ee8d0d13 addi s10,s10,-280 # 800010a8 +800001c8: 000cae03 lw t3,0(s9) +800001cc: 07f00213 li tp,127 +800001d0: 03f00293 li t0,63 +800001d4: 01f00313 li t1,31 +800001d8: 00f00393 li t2,15 +800001dc: 00700413 li s0,7 +800001e0: 00300493 li s1,3 +800001e4: 004e7eb3 and t4,t3,tp +800001e8: 005eff33 and t5,t4,t0 +800001ec: 006f7fb3 and t6,t5,t1 +800001f0: 007ff0b3 and ra,t6,t2 +800001f4: 0080f133 and sp,ra,s0 +800001f8: 009171b3 and gp,sp,s1 +800001fc: 004d2023 sw tp,0(s10) +80000200: 01cd2223 sw t3,4(s10) +80000204: 01dd2423 sw t4,8(s10) +80000208: 01ed2623 sw t5,12(s10) +8000020c: 01fd2823 sw t6,16(s10) +80000210: 001d2a23 sw ra,20(s10) +80000214: 002d2c23 sw sp,24(s10) +80000218: 003d2e23 sw gp,28(s10) +8000021c: 00001097 auipc ra,0x1 +80000220: dfc08093 addi ra,ra,-516 # 80001018 +80000224: 00001117 auipc sp,0x1 +80000228: ea410113 addi sp,sp,-348 # 800010c8 +8000022c: 0000ae03 lw t3,0(ra) +80000230: f7ff9db7 lui s11,0xf7ff9 +80000234: 818d8d93 addi s11,s11,-2024 # f7ff8818 <_end+0x77ff7738> +80000238: 01be7033 and zero,t3,s11 +8000023c: 00012023 sw zero,0(sp) +80000240: 00001097 auipc ra,0x1 +80000244: ddc08093 addi ra,ra,-548 # 8000101c +80000248: 00001117 auipc sp,0x1 +8000024c: e8410113 addi sp,sp,-380 # 800010cc +80000250: 0000ae03 lw t3,0(ra) +80000254: f7ff9db7 lui s11,0xf7ff9 +80000258: 818d8d93 addi s11,s11,-2024 # f7ff8818 <_end+0x77ff7738> +8000025c: 01be7033 and zero,t3,s11 +80000260: 000072b3 and t0,zero,zero +80000264: 00012023 sw zero,0(sp) +80000268: 00512223 sw t0,4(sp) +8000026c: 00001097 auipc ra,0x1 +80000270: db408093 addi ra,ra,-588 # 80001020 +80000274: 00001117 auipc sp,0x1 +80000278: e6010113 addi sp,sp,-416 # 800010d4 +8000027c: 0000a183 lw gp,0(ra) +80000280: fff00393 li t2,-1 +80000284: 0071f233 and tp,gp,t2 +80000288: 007272b3 and t0,tp,t2 +8000028c: 0053f333 and t1,t2,t0 +80000290: 00737733 and a4,t1,t2 +80000294: 007777b3 and a5,a4,t2 +80000298: 0077f833 and a6,a5,t2 +8000029c: 0103fcb3 and s9,t2,a6 +800002a0: 0193fd33 and s10,t2,s9 +800002a4: 007d7db3 and s11,s10,t2 +800002a8: 00412023 sw tp,0(sp) +800002ac: 01a12223 sw s10,4(sp) +800002b0: 01b12423 sw s11,8(sp) +800002b4: 00001517 auipc a0,0x1 +800002b8: d7c50513 addi a0,a0,-644 # 80001030 +800002bc: 00001597 auipc a1,0x1 +800002c0: e2458593 addi a1,a1,-476 # 800010e0 <_end> +800002c4: f0100637 lui a2,0xf0100 +800002c8: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee4c> + +800002cc : +800002cc: 02b50663 beq a0,a1,800002f8 +800002d0: 00c52683 lw a3,12(a0) +800002d4: 00d62023 sw a3,0(a2) +800002d8: 00852683 lw a3,8(a0) +800002dc: 00d62023 sw a3,0(a2) +800002e0: 00452683 lw a3,4(a0) +800002e4: 00d62023 sw a3,0(a2) +800002e8: 00052683 lw a3,0(a0) +800002ec: 00d62023 sw a3,0(a2) +800002f0: 01050513 addi a0,a0,16 +800002f4: fd9ff06f j 800002cc + +800002f8 : +800002f8: f0100537 lui a0,0xf0100 +800002fc: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee40> +80000300: 00052023 sw zero,0(a0) + +Disassembly of section .data: + +80001000 : +80001000: 0000 unimp + ... + +80001004 : +80001004: 0001 nop + ... + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: 7fff 0x7fff + +80001010 : +80001010: 0000 unimp +80001012: 8000 0x8000 + +80001014 : +80001014: ffff 0xffff +80001016: abcd j 80001608 <_end+0x528> + +80001018 : +80001018: 5678 lw a4,108(a2) +8000101a: 1234 addi a3,sp,296 + +8000101c : +8000101c: ba98 fsd fa4,48(a3) +8000101e: fedc fsw fa5,60(a3) + +80001020 : +80001020: 5814 lw a3,48(s0) +80001022: 3692 fld fa3,288(sp) + ... + +80001030 : +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff + +80001048 : +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff + +80001060 : +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff + +80001078 : +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff + +80001090 : +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff + +800010a8 : +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff +800010c0: ffff 0xffff +800010c2: ffff 0xffff +800010c4: ffff 0xffff +800010c6: ffff 0xffff + +800010c8 : +800010c8: ffff 0xffff +800010ca: ffff 0xffff + +800010cc : +800010cc: ffff 0xffff +800010ce: ffff 0xffff +800010d0: ffff 0xffff +800010d2: ffff 0xffff + +800010d4 : +800010d4: ffff 0xffff +800010d6: ffff 0xffff +800010d8: ffff 0xffff +800010da: ffff 0xffff +800010dc: ffff 0xffff +800010de: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-ANDI-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-ANDI-01.elf.objdump new file mode 100644 index 0000000..e3b8126 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-ANDI-01.elf.objdump @@ -0,0 +1,310 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-ANDI-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 00001117 auipc sp,0x1 +8000000c: 02810113 addi sp,sp,40 # 80001030 +80000010: 0000a183 lw gp,0(ra) # 80001000 +80000014: 0011f213 andi tp,gp,1 +80000018: 7ff1f293 andi t0,gp,2047 +8000001c: fff1f313 andi t1,gp,-1 +80000020: 0001f393 andi t2,gp,0 +80000024: 8001f413 andi s0,gp,-2048 +80000028: 00312023 sw gp,0(sp) +8000002c: 00412223 sw tp,4(sp) +80000030: 00512423 sw t0,8(sp) +80000034: 00612623 sw t1,12(sp) +80000038: 00712823 sw t2,16(sp) +8000003c: 00812a23 sw s0,20(sp) +80000040: 00001097 auipc ra,0x1 +80000044: fc408093 addi ra,ra,-60 # 80001004 +80000048: 00001117 auipc sp,0x1 +8000004c: 00010113 mv sp,sp +80000050: 0000a403 lw s0,0(ra) +80000054: 00147493 andi s1,s0,1 +80000058: 7ff47513 andi a0,s0,2047 +8000005c: fff47593 andi a1,s0,-1 +80000060: 00047613 andi a2,s0,0 +80000064: 80047693 andi a3,s0,-2048 +80000068: 00812023 sw s0,0(sp) # 80001048 +8000006c: 00912223 sw s1,4(sp) +80000070: 00a12423 sw a0,8(sp) +80000074: 00b12623 sw a1,12(sp) +80000078: 00c12823 sw a2,16(sp) +8000007c: 00d12a23 sw a3,20(sp) +80000080: 00001097 auipc ra,0x1 +80000084: f8808093 addi ra,ra,-120 # 80001008 +80000088: 00001117 auipc sp,0x1 +8000008c: fd810113 addi sp,sp,-40 # 80001060 +80000090: 0000a683 lw a3,0(ra) +80000094: 0016f713 andi a4,a3,1 +80000098: 7ff6f793 andi a5,a3,2047 +8000009c: fff6f813 andi a6,a3,-1 +800000a0: 0006f893 andi a7,a3,0 +800000a4: 8006f913 andi s2,a3,-2048 +800000a8: 00d12023 sw a3,0(sp) +800000ac: 00e12223 sw a4,4(sp) +800000b0: 00f12423 sw a5,8(sp) +800000b4: 01012623 sw a6,12(sp) +800000b8: 01112823 sw a7,16(sp) +800000bc: 01212a23 sw s2,20(sp) +800000c0: 00001097 auipc ra,0x1 +800000c4: f4c08093 addi ra,ra,-180 # 8000100c +800000c8: 00001117 auipc sp,0x1 +800000cc: fb010113 addi sp,sp,-80 # 80001078 +800000d0: 0000a903 lw s2,0(ra) +800000d4: 00197993 andi s3,s2,1 +800000d8: 7ff97a13 andi s4,s2,2047 +800000dc: fff97a93 andi s5,s2,-1 +800000e0: 00097b13 andi s6,s2,0 +800000e4: 80097b93 andi s7,s2,-2048 +800000e8: 01212023 sw s2,0(sp) +800000ec: 01312223 sw s3,4(sp) +800000f0: 01412423 sw s4,8(sp) +800000f4: 01512623 sw s5,12(sp) +800000f8: 01612823 sw s6,16(sp) +800000fc: 01712a23 sw s7,20(sp) +80000100: 00001097 auipc ra,0x1 +80000104: f1008093 addi ra,ra,-240 # 80001010 +80000108: 00001117 auipc sp,0x1 +8000010c: f8810113 addi sp,sp,-120 # 80001090 +80000110: 0000ab83 lw s7,0(ra) +80000114: 001bfc13 andi s8,s7,1 +80000118: 7ffbfc93 andi s9,s7,2047 +8000011c: fffbfd13 andi s10,s7,-1 +80000120: 000bfd93 andi s11,s7,0 +80000124: 800bfe13 andi t3,s7,-2048 +80000128: 01712023 sw s7,0(sp) +8000012c: 01812223 sw s8,4(sp) +80000130: 01912423 sw s9,8(sp) +80000134: 01a12623 sw s10,12(sp) +80000138: 01b12823 sw s11,16(sp) +8000013c: 01c12a23 sw t3,20(sp) +80000140: 00001d17 auipc s10,0x1 +80000144: ed4d0d13 addi s10,s10,-300 # 80001014 +80000148: 00001d97 auipc s11,0x1 +8000014c: f60d8d93 addi s11,s11,-160 # 800010a8 +80000150: 000d2e03 lw t3,0(s10) +80000154: 07fe7e93 andi t4,t3,127 +80000158: 03feff13 andi t5,t4,63 +8000015c: 01ff7f93 andi t6,t5,31 +80000160: 00fff093 andi ra,t6,15 +80000164: 0070f113 andi sp,ra,7 +80000168: 00317193 andi gp,sp,3 +8000016c: 01cda023 sw t3,0(s11) +80000170: 01dda223 sw t4,4(s11) +80000174: 01eda423 sw t5,8(s11) +80000178: 01fda623 sw t6,12(s11) +8000017c: 001da823 sw ra,16(s11) +80000180: 002daa23 sw sp,20(s11) +80000184: 003dac23 sw gp,24(s11) +80000188: 00001097 auipc ra,0x1 +8000018c: e9008093 addi ra,ra,-368 # 80001018 +80000190: 00001117 auipc sp,0x1 +80000194: f3410113 addi sp,sp,-204 # 800010c4 +80000198: 0000a283 lw t0,0(ra) +8000019c: 0012f013 andi zero,t0,1 +800001a0: 00012023 sw zero,0(sp) +800001a4: 00001097 auipc ra,0x1 +800001a8: e7808093 addi ra,ra,-392 # 8000101c +800001ac: 00001117 auipc sp,0x1 +800001b0: f1c10113 addi sp,sp,-228 # 800010c8 +800001b4: 0000a283 lw t0,0(ra) +800001b8: 0012f013 andi zero,t0,1 +800001bc: 00107293 andi t0,zero,1 +800001c0: 00012023 sw zero,0(sp) +800001c4: 00512223 sw t0,4(sp) +800001c8: 00001097 auipc ra,0x1 +800001cc: e5808093 addi ra,ra,-424 # 80001020 +800001d0: 00001117 auipc sp,0x1 +800001d4: f0010113 addi sp,sp,-256 # 800010d0 +800001d8: 0000a183 lw gp,0(ra) +800001dc: fff1f213 andi tp,gp,-1 +800001e0: fff27293 andi t0,tp,-1 +800001e4: fff2f313 andi t1,t0,-1 +800001e8: fff37713 andi a4,t1,-1 +800001ec: fff77793 andi a5,a4,-1 +800001f0: fff7f813 andi a6,a5,-1 +800001f4: fff87c93 andi s9,a6,-1 +800001f8: fffcfd13 andi s10,s9,-1 +800001fc: fffd7d93 andi s11,s10,-1 +80000200: 00312023 sw gp,0(sp) +80000204: 00412223 sw tp,4(sp) +80000208: 01a12423 sw s10,8(sp) +8000020c: 01b12623 sw s11,12(sp) +80000210: 00001517 auipc a0,0x1 +80000214: e2050513 addi a0,a0,-480 # 80001030 +80000218: 00001597 auipc a1,0x1 +8000021c: ec858593 addi a1,a1,-312 # 800010e0 <_end> +80000220: f0100637 lui a2,0xf0100 +80000224: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee4c> + +80000228 : +80000228: 02b50663 beq a0,a1,80000254 +8000022c: 00c52683 lw a3,12(a0) +80000230: 00d62023 sw a3,0(a2) +80000234: 00852683 lw a3,8(a0) +80000238: 00d62023 sw a3,0(a2) +8000023c: 00452683 lw a3,4(a0) +80000240: 00d62023 sw a3,0(a2) +80000244: 00052683 lw a3,0(a0) +80000248: 00d62023 sw a3,0(a2) +8000024c: 01050513 addi a0,a0,16 +80000250: fd9ff06f j 80000228 + +80000254 : +80000254: f0100537 lui a0,0xf0100 +80000258: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee40> +8000025c: 00052023 sw zero,0(a0) +80000260: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: 0000 unimp + ... + +80001004 : +80001004: 0001 nop + ... + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: 7fff 0x7fff + +80001010 : +80001010: 0000 unimp +80001012: 8000 0x8000 + +80001014 : +80001014: ffff 0xffff +80001016: abcd j 80001608 <_end+0x528> + +80001018 : +80001018: 5678 lw a4,108(a2) +8000101a: 1234 addi a3,sp,296 + +8000101c : +8000101c: ba98 fsd fa4,48(a3) +8000101e: fedc fsw fa5,60(a3) + +80001020 : +80001020: 5814 lw a3,48(s0) +80001022: 3692 fld fa3,288(sp) + ... + +80001030 : +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff + +80001048 : +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff + +80001060 : +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff + +80001078 : +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff + +80001090 : +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff + +800010a8 : +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff +800010c0: ffff 0xffff +800010c2: ffff 0xffff + +800010c4 : +800010c4: ffff 0xffff +800010c6: ffff 0xffff + +800010c8 : +800010c8: ffff 0xffff +800010ca: ffff 0xffff +800010cc: ffff 0xffff +800010ce: ffff 0xffff + +800010d0 : +800010d0: ffff 0xffff +800010d2: ffff 0xffff +800010d4: ffff 0xffff +800010d6: ffff 0xffff +800010d8: ffff 0xffff +800010da: ffff 0xffff +800010dc: ffff 0xffff +800010de: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-AUIPC-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-AUIPC-01.elf.objdump new file mode 100644 index 0000000..a10de4b --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-AUIPC-01.elf.objdump @@ -0,0 +1,205 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-AUIPC-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001717 auipc a4,0x1 +80000004: 00070713 mv a4,a4 +80000008: 00001797 auipc a5,0x1 +8000000c: 01878793 addi a5,a5,24 # 80001020 +80000010: 00072083 lw ra,0(a4) # 80001000 +80000014: 00001137 lui sp,0x1 +80000018: 00410113 addi sp,sp,4 # 1004 <_start-0x7fffeffc> +8000001c: fffff1b7 lui gp,0xfffff +80000020: 00818193 addi gp,gp,8 # fffff008 <_end+0x7fffdfa8> +80000024: 7ffff237 lui tp,0x7ffff +80000028: 00c20213 addi tp,tp,12 # 7ffff00c <_start-0xff4> +8000002c: 800002b7 lui t0,0x80000 +80000030: 01028293 addi t0,t0,16 # 80000010 <_end+0xffffefb0> +80000034: 00208133 add sp,ra,sp +80000038: 003081b3 add gp,ra,gp +8000003c: 00408233 add tp,ra,tp +80000040: 005082b3 add t0,ra,t0 + +80000044 : +80000044: 00000317 auipc t1,0x0 +80000048: 00001397 auipc t2,0x1 +8000004c: fffff817 auipc a6,0xfffff +80000050: 7ffffe97 auipc t4,0x7ffff +80000054: 80000f97 auipc t6,0x80000 +80000058: 00134333 xor t1,t1,ra +8000005c: 0023c3b3 xor t2,t2,sp +80000060: 00384833 xor a6,a6,gp +80000064: 004eceb3 xor t4,t4,tp +80000068: 005fcfb3 xor t6,t6,t0 +8000006c: 0067a023 sw t1,0(a5) +80000070: 0077a223 sw t2,4(a5) +80000074: 0107a423 sw a6,8(a5) +80000078: 01d7a623 sw t4,12(a5) +8000007c: 01f7a823 sw t6,16(a5) +80000080: 00001897 auipc a7,0x1 +80000084: f8488893 addi a7,a7,-124 # 80001004 +80000088: 00001817 auipc a6,0x1 +8000008c: fac80813 addi a6,a6,-84 # 80001034 +80000090: 111110b7 lui ra,0x11111 +80000094: 11108093 addi ra,ra,273 # 11111111 <_start-0x6eeeeeef> +80000098: 22222137 lui sp,0x22222 +8000009c: 22210113 addi sp,sp,546 # 22222222 <_start-0x5dddddde> +800000a0: 333337b7 lui a5,0x33333 +800000a4: 33378793 addi a5,a5,819 # 33333333 <_start-0x4ccccccd> +800000a8: 44444e37 lui t3,0x44444 +800000ac: 444e0e13 addi t3,t3,1092 # 44444444 <_start-0x3bbbbbbc> +800000b0: 55555f37 lui t5,0x55555 +800000b4: 555f0f13 addi t5,t5,1365 # 55555555 <_start-0x2aaaaaab> +800000b8: 0008a183 lw gp,0(a7) +800000bc: 80000237 lui tp,0x80000 +800000c0: 7ffff2b7 lui t0,0x7ffff +800000c4: 00428293 addi t0,t0,4 # 7ffff004 <_start-0xffc> +800000c8: 00800313 li t1,8 +800000cc: 000013b7 lui t2,0x1 +800000d0: 00c38393 addi t2,t2,12 # 100c <_start-0x7fffeff4> +800000d4: fffff437 lui s0,0xfffff +800000d8: 01040413 addi s0,s0,16 # fffff010 <_end+0x7fffdfb0> +800000dc: 00418233 add tp,gp,tp +800000e0: 005182b3 add t0,gp,t0 +800000e4: 00618333 add t1,gp,t1 +800000e8: 007183b3 add t2,gp,t2 +800000ec: 00818433 add s0,gp,s0 + +800000f0 : +800000f0: 80000097 auipc ra,0x80000 +800000f4: 7ffff117 auipc sp,0x7ffff +800000f8: 00000797 auipc a5,0x0 +800000fc: 00001e17 auipc t3,0x1 +80000100: ffffff17 auipc t5,0xfffff +80000104: 0040c0b3 xor ra,ra,tp +80000108: 00514133 xor sp,sp,t0 +8000010c: 0067c7b3 xor a5,a5,t1 +80000110: 007e4e33 xor t3,t3,t2 +80000114: 008f4f33 xor t5,t5,s0 +80000118: 00182023 sw ra,0(a6) +8000011c: 00282223 sw sp,4(a6) +80000120: 00f82423 sw a5,8(a6) +80000124: 01c82623 sw t3,12(a6) +80000128: 01e82823 sw t5,16(a6) +8000012c: 00001917 auipc s2,0x1 +80000130: edc90913 addi s2,s2,-292 # 80001008 +80000134: 00001897 auipc a7,0x1 +80000138: f1488893 addi a7,a7,-236 # 80001048 + +8000013c : +8000013c: 00092083 lw ra,0(s2) +80000140: 00492103 lw sp,4(s2) +80000144: 00892183 lw gp,8(s2) +80000148: 00000217 auipc tp,0x0 +8000014c: ff420213 addi tp,tp,-12 # 8000013c +80000150: 00000797 auipc a5,0x0 +80000154: 01878793 addi a5,a5,24 # 80000168 +80000158: 00000f17 auipc t5,0x0 +8000015c: 040f0f13 addi t5,t5,64 # 80000198 +80000160: 00000297 auipc t0,0x0 +80000164: fdc28293 addi t0,t0,-36 # 8000013c + +80000168 : +80000168: 00000817 auipc a6,0x0 +8000016c: 00080813 mv a6,a6 +80000170: 00000f97 auipc t6,0x0 +80000174: 028f8f93 addi t6,t6,40 # 80000198 +80000178: 00124233 xor tp,tp,ra +8000017c: 0027c7b3 xor a5,a5,sp +80000180: 003f4f33 xor t5,t5,gp +80000184: 401282b3 sub t0,t0,ra +80000188: 00284833 xor a6,a6,sp +8000018c: 003fcfb3 xor t6,t6,gp +80000190: 0048a023 sw tp,0(a7) +80000194: 00f8a223 sw a5,4(a7) + +80000198 : +80000198: 01e8a423 sw t5,8(a7) +8000019c: 0058a623 sw t0,12(a7) +800001a0: 0108a823 sw a6,16(a7) +800001a4: 01f8aa23 sw t6,20(a7) +800001a8: 00001517 auipc a0,0x1 +800001ac: e7850513 addi a0,a0,-392 # 80001020 +800001b0: 00001597 auipc a1,0x1 +800001b4: eb058593 addi a1,a1,-336 # 80001060 <_end> +800001b8: f0100637 lui a2,0xf0100 +800001bc: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feecc> + +800001c0 : +800001c0: 02b50663 beq a0,a1,800001ec +800001c4: 00c52683 lw a3,12(a0) +800001c8: 00d62023 sw a3,0(a2) +800001cc: 00852683 lw a3,8(a0) +800001d0: 00d62023 sw a3,0(a2) +800001d4: 00452683 lw a3,4(a0) +800001d8: 00d62023 sw a3,0(a2) +800001dc: 00052683 lw a3,0(a0) +800001e0: 00d62023 sw a3,0(a2) +800001e4: 01050513 addi a0,a0,16 +800001e8: fd9ff06f j 800001c0 + +800001ec : +800001ec: f0100537 lui a0,0xf0100 +800001f0: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feec0> +800001f4: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: 0044 addi s1,sp,4 +80001002: 8000 0x8000 + +80001004 : +80001004: 00f0 addi a2,sp,76 +80001006: 8000 0x8000 + +80001008 : +80001008: 013c addi a5,sp,136 +8000100a: 8000 0x8000 +8000100c: 0168 addi a0,sp,140 +8000100e: 8000 0x8000 +80001010: 0198 addi a4,sp,192 +80001012: 8000 0x8000 + ... + +80001020 : +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff + +80001034 : +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff + +80001048 : +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-BEQ-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-BEQ-01.elf.objdump new file mode 100644 index 0000000..337a437 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-BEQ-01.elf.objdump @@ -0,0 +1,356 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-BEQ-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 00001117 auipc sp,0x1 +8000000c: 01810113 addi sp,sp,24 # 80001020 +80000010: 0000a183 lw gp,0(ra) # 80001000 +80000014: 00000213 li tp,0 +80000018: 00100293 li t0,1 +8000001c: fff00313 li t1,-1 +80000020: 800003b7 lui t2,0x80000 +80000024: fff38393 addi t2,t2,-1 # 7fffffff <_end+0xffffef3f> +80000028: 80000437 lui s0,0x80000 +8000002c: 00000f93 li t6,0 +80000030: 00418463 beq gp,tp,80000038 <_start+0x38> +80000034: 001fef93 ori t6,t6,1 +80000038: 00518463 beq gp,t0,80000040 <_start+0x40> +8000003c: 002fef93 ori t6,t6,2 +80000040: 00618463 beq gp,t1,80000048 <_start+0x48> +80000044: 004fef93 ori t6,t6,4 +80000048: 00718463 beq gp,t2,80000050 <_start+0x50> +8000004c: 008fef93 ori t6,t6,8 +80000050: 00818463 beq gp,s0,80000058 <_start+0x58> +80000054: 010fef93 ori t6,t6,16 +80000058: 00312023 sw gp,0(sp) +8000005c: 00412223 sw tp,4(sp) +80000060: 00512423 sw t0,8(sp) +80000064: 00612623 sw t1,12(sp) +80000068: 00712823 sw t2,16(sp) +8000006c: 00812a23 sw s0,20(sp) +80000070: 01f12c23 sw t6,24(sp) +80000074: 00001097 auipc ra,0x1 +80000078: f9008093 addi ra,ra,-112 # 80001004 +8000007c: 00001117 auipc sp,0x1 +80000080: fc010113 addi sp,sp,-64 # 8000103c +80000084: 0000a303 lw t1,0(ra) +80000088: 00000393 li t2,0 +8000008c: 00100413 li s0,1 +80000090: fff00493 li s1,-1 +80000094: 80000537 lui a0,0x80000 +80000098: fff50513 addi a0,a0,-1 # 7fffffff <_end+0xffffef3f> +8000009c: 800005b7 lui a1,0x80000 +800000a0: 00000f93 li t6,0 +800000a4: 00730463 beq t1,t2,800000ac <_start+0xac> +800000a8: 001fef93 ori t6,t6,1 +800000ac: 00830463 beq t1,s0,800000b4 <_start+0xb4> +800000b0: 002fef93 ori t6,t6,2 +800000b4: 00930463 beq t1,s1,800000bc <_start+0xbc> +800000b8: 004fef93 ori t6,t6,4 +800000bc: 00a30463 beq t1,a0,800000c4 <_start+0xc4> +800000c0: 008fef93 ori t6,t6,8 +800000c4: 00b30463 beq t1,a1,800000cc <_start+0xcc> +800000c8: 010fef93 ori t6,t6,16 +800000cc: 00612023 sw t1,0(sp) +800000d0: 00712223 sw t2,4(sp) +800000d4: 00812423 sw s0,8(sp) +800000d8: 00912623 sw s1,12(sp) +800000dc: 00a12823 sw a0,16(sp) +800000e0: 00b12a23 sw a1,20(sp) +800000e4: 01f12c23 sw t6,24(sp) +800000e8: 00001097 auipc ra,0x1 +800000ec: f2008093 addi ra,ra,-224 # 80001008 +800000f0: 00001117 auipc sp,0x1 +800000f4: f6810113 addi sp,sp,-152 # 80001058 +800000f8: 0000a603 lw a2,0(ra) +800000fc: 00000693 li a3,0 +80000100: 00100713 li a4,1 +80000104: fff00793 li a5,-1 +80000108: 80000837 lui a6,0x80000 +8000010c: fff80813 addi a6,a6,-1 # 7fffffff <_end+0xffffef3f> +80000110: 800008b7 lui a7,0x80000 +80000114: 00000f93 li t6,0 +80000118: 00d60463 beq a2,a3,80000120 <_start+0x120> +8000011c: 001fef93 ori t6,t6,1 +80000120: 00e60463 beq a2,a4,80000128 <_start+0x128> +80000124: 002fef93 ori t6,t6,2 +80000128: 00f60463 beq a2,a5,80000130 <_start+0x130> +8000012c: 004fef93 ori t6,t6,4 +80000130: 01060463 beq a2,a6,80000138 <_start+0x138> +80000134: 008fef93 ori t6,t6,8 +80000138: 01160463 beq a2,a7,80000140 <_start+0x140> +8000013c: 010fef93 ori t6,t6,16 +80000140: 00c12023 sw a2,0(sp) +80000144: 00d12223 sw a3,4(sp) +80000148: 00e12423 sw a4,8(sp) +8000014c: 00f12623 sw a5,12(sp) +80000150: 01012823 sw a6,16(sp) +80000154: 01112a23 sw a7,20(sp) +80000158: 01f12c23 sw t6,24(sp) +8000015c: 00001097 auipc ra,0x1 +80000160: eb008093 addi ra,ra,-336 # 8000100c +80000164: 00001117 auipc sp,0x1 +80000168: f1010113 addi sp,sp,-240 # 80001074 +8000016c: 0000a903 lw s2,0(ra) +80000170: 00000993 li s3,0 +80000174: 00100a13 li s4,1 +80000178: fff00a93 li s5,-1 +8000017c: 80000b37 lui s6,0x80000 +80000180: fffb0b13 addi s6,s6,-1 # 7fffffff <_end+0xffffef3f> +80000184: 80000bb7 lui s7,0x80000 +80000188: 00000f93 li t6,0 +8000018c: 01390463 beq s2,s3,80000194 <_start+0x194> +80000190: 001fef93 ori t6,t6,1 +80000194: 01490463 beq s2,s4,8000019c <_start+0x19c> +80000198: 002fef93 ori t6,t6,2 +8000019c: 01590463 beq s2,s5,800001a4 <_start+0x1a4> +800001a0: 004fef93 ori t6,t6,4 +800001a4: 01690463 beq s2,s6,800001ac <_start+0x1ac> +800001a8: 008fef93 ori t6,t6,8 +800001ac: 01790463 beq s2,s7,800001b4 <_start+0x1b4> +800001b0: 010fef93 ori t6,t6,16 +800001b4: 01212023 sw s2,0(sp) +800001b8: 01312223 sw s3,4(sp) +800001bc: 01412423 sw s4,8(sp) +800001c0: 01512623 sw s5,12(sp) +800001c4: 01612823 sw s6,16(sp) +800001c8: 01712a23 sw s7,20(sp) +800001cc: 01f12c23 sw t6,24(sp) +800001d0: 00001097 auipc ra,0x1 +800001d4: e4008093 addi ra,ra,-448 # 80001010 +800001d8: 00001117 auipc sp,0x1 +800001dc: eb810113 addi sp,sp,-328 # 80001090 +800001e0: 0000ac03 lw s8,0(ra) +800001e4: 00000c93 li s9,0 +800001e8: 00100d13 li s10,1 +800001ec: fff00d93 li s11,-1 +800001f0: 80000e37 lui t3,0x80000 +800001f4: fffe0e13 addi t3,t3,-1 # 7fffffff <_end+0xffffef3f> +800001f8: 80000eb7 lui t4,0x80000 +800001fc: 00000f93 li t6,0 +80000200: 019c0463 beq s8,s9,80000208 <_start+0x208> +80000204: 001fef93 ori t6,t6,1 +80000208: 01ac0463 beq s8,s10,80000210 <_start+0x210> +8000020c: 002fef93 ori t6,t6,2 +80000210: 01bc0463 beq s8,s11,80000218 <_start+0x218> +80000214: 004fef93 ori t6,t6,4 +80000218: 01cc0463 beq s8,t3,80000220 <_start+0x220> +8000021c: 008fef93 ori t6,t6,8 +80000220: 01dc0463 beq s8,t4,80000228 <_start+0x228> +80000224: 010fef93 ori t6,t6,16 +80000228: 01812023 sw s8,0(sp) +8000022c: 01912223 sw s9,4(sp) +80000230: 01a12423 sw s10,8(sp) +80000234: 01b12623 sw s11,12(sp) +80000238: 01c12823 sw t3,16(sp) +8000023c: 01d12a23 sw t4,20(sp) +80000240: 01f12c23 sw t6,24(sp) +80000244: 00001d97 auipc s11,0x1 +80000248: e68d8d93 addi s11,s11,-408 # 800010ac +8000024c: 00000093 li ra,0 +80000250: 00100113 li sp,1 +80000254: fff00193 li gp,-1 +80000258: 80000237 lui tp,0x80000 +8000025c: fff20213 addi tp,tp,-1 # 7fffffff <_end+0xffffef3f> +80000260: 800002b7 lui t0,0x80000 +80000264: 00000f93 li t6,0 +80000268: 00008463 beqz ra,80000270 <_start+0x270> +8000026c: 001fef93 ori t6,t6,1 +80000270: 00010463 beqz sp,80000278 <_start+0x278> +80000274: 002fef93 ori t6,t6,2 +80000278: 00018463 beqz gp,80000280 <_start+0x280> +8000027c: 004fef93 ori t6,t6,4 +80000280: 00020463 beqz tp,80000288 <_start+0x288> +80000284: 008fef93 ori t6,t6,8 +80000288: 00028463 beqz t0,80000290 <_start+0x290> +8000028c: 010fef93 ori t6,t6,16 +80000290: 00100463 beq zero,ra,80000298 <_start+0x298> +80000294: 020fef93 ori t6,t6,32 +80000298: 00200463 beq zero,sp,800002a0 <_start+0x2a0> +8000029c: 040fef93 ori t6,t6,64 +800002a0: 00300463 beq zero,gp,800002a8 <_start+0x2a8> +800002a4: 080fef93 ori t6,t6,128 +800002a8: 00400463 beq zero,tp,800002b0 <_start+0x2b0> +800002ac: 100fef93 ori t6,t6,256 +800002b0: 00500463 beq zero,t0,800002b8 <_start+0x2b8> +800002b4: 200fef93 ori t6,t6,512 +800002b8: 01fda023 sw t6,0(s11) +800002bc: 00001a97 auipc s5,0x1 +800002c0: d58a8a93 addi s5,s5,-680 # 80001014 +800002c4: 00001b17 auipc s6,0x1 +800002c8: decb0b13 addi s6,s6,-532 # 800010b0 +800002cc: 000aaf83 lw t6,0(s5) +800002d0: fff00113 li sp,-1 +800002d4: fff00193 li gp,-1 +800002d8: 0fedd237 lui tp,0xfedd +800002dc: ba920213 addi tp,tp,-1111 # fedcba9 <_start-0x70123457> +800002e0: 020f8463 beqz t6,80000308 <_start+0x308> +800002e4: 00000113 li sp,0 +800002e8: 00000193 li gp,0 +800002ec: 00000213 li tp,0 +800002f0: 876541b7 lui gp,0x87654 +800002f4: 32118193 addi gp,gp,801 # 87654321 <_end+0x7653261> +800002f8: 020f8463 beqz t6,80000320 <_start+0x320> +800002fc: 00000113 li sp,0 +80000300: 00000193 li gp,0 +80000304: 00000213 li tp,0 +80000308: 9abce137 lui sp,0x9abce +8000030c: ef010113 addi sp,sp,-272 # 9abcdef0 <_end+0x1abcce30> +80000310: fe0f80e3 beqz t6,800002f0 <_start+0x2f0> +80000314: 00000113 li sp,0 +80000318: 00000193 li gp,0 +8000031c: 00000213 li tp,0 +80000320: 000b2023 sw zero,0(s6) +80000324: 002b2223 sw sp,4(s6) +80000328: 003b2423 sw gp,8(s6) +8000032c: 004b2623 sw tp,12(s6) +80000330: 00001517 auipc a0,0x1 +80000334: cf050513 addi a0,a0,-784 # 80001020 +80000338: 00001597 auipc a1,0x1 +8000033c: d8858593 addi a1,a1,-632 # 800010c0 <_end> +80000340: f0100637 lui a2,0xf0100 +80000344: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee6c> + +80000348 : +80000348: 02b50663 beq a0,a1,80000374 +8000034c: 00c52683 lw a3,12(a0) +80000350: 00d62023 sw a3,0(a2) +80000354: 00852683 lw a3,8(a0) +80000358: 00d62023 sw a3,0(a2) +8000035c: 00452683 lw a3,4(a0) +80000360: 00d62023 sw a3,0(a2) +80000364: 00052683 lw a3,0(a0) +80000368: 00d62023 sw a3,0(a2) +8000036c: 01050513 addi a0,a0,16 +80000370: fd9ff06f j 80000348 + +80000374 : +80000374: f0100537 lui a0,0xf0100 +80000378: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee60> +8000037c: 00052023 sw zero,0(a0) +80000380: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: 0000 unimp + ... + +80001004 : +80001004: 0001 nop + ... + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: 7fff 0x7fff + +80001010 : +80001010: 0000 unimp +80001012: 8000 0x8000 + +80001014 : + ... + +80001020 : +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff + +80001058 : +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff + +80001074 : +80001074: ffff 0xffff +80001076: ffff 0xffff +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff + +80001090 : +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff +800010a8: ffff 0xffff +800010aa: ffff 0xffff + +800010ac : +800010ac: ffff 0xffff +800010ae: ffff 0xffff + +800010b0 : +800010b0: ffff 0xffff +800010b2: ffff 0xffff +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-BGE-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-BGE-01.elf.objdump new file mode 100644 index 0000000..21cace1 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-BGE-01.elf.objdump @@ -0,0 +1,357 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-BGE-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 00001117 auipc sp,0x1 +8000000c: 01810113 addi sp,sp,24 # 80001020 +80000010: 0000a183 lw gp,0(ra) # 80001000 +80000014: 00000213 li tp,0 +80000018: 00100293 li t0,1 +8000001c: fff00313 li t1,-1 +80000020: 800003b7 lui t2,0x80000 +80000024: fff38393 addi t2,t2,-1 # 7fffffff <_end+0xffffef3f> +80000028: 80000437 lui s0,0x80000 +8000002c: 00000f93 li t6,0 +80000030: 0041d463 ble tp,gp,80000038 <_start+0x38> +80000034: 001fef93 ori t6,t6,1 +80000038: 0051d463 ble t0,gp,80000040 <_start+0x40> +8000003c: 002fef93 ori t6,t6,2 +80000040: 0061d463 ble t1,gp,80000048 <_start+0x48> +80000044: 004fef93 ori t6,t6,4 +80000048: 0071d463 ble t2,gp,80000050 <_start+0x50> +8000004c: 008fef93 ori t6,t6,8 +80000050: 0081d463 ble s0,gp,80000058 <_start+0x58> +80000054: 010fef93 ori t6,t6,16 +80000058: 00312023 sw gp,0(sp) +8000005c: 00412223 sw tp,4(sp) +80000060: 00512423 sw t0,8(sp) +80000064: 00612623 sw t1,12(sp) +80000068: 00712823 sw t2,16(sp) +8000006c: 00812a23 sw s0,20(sp) +80000070: 01f12c23 sw t6,24(sp) +80000074: 00001097 auipc ra,0x1 +80000078: f9008093 addi ra,ra,-112 # 80001004 +8000007c: 00001117 auipc sp,0x1 +80000080: fc010113 addi sp,sp,-64 # 8000103c +80000084: 0000a303 lw t1,0(ra) +80000088: 00000393 li t2,0 +8000008c: 00100413 li s0,1 +80000090: fff00493 li s1,-1 +80000094: 80000537 lui a0,0x80000 +80000098: fff50513 addi a0,a0,-1 # 7fffffff <_end+0xffffef3f> +8000009c: 800005b7 lui a1,0x80000 +800000a0: 00000f93 li t6,0 +800000a4: 00735463 ble t2,t1,800000ac <_start+0xac> +800000a8: 001fef93 ori t6,t6,1 +800000ac: 00835463 ble s0,t1,800000b4 <_start+0xb4> +800000b0: 002fef93 ori t6,t6,2 +800000b4: 00935463 ble s1,t1,800000bc <_start+0xbc> +800000b8: 004fef93 ori t6,t6,4 +800000bc: 00a35463 ble a0,t1,800000c4 <_start+0xc4> +800000c0: 008fef93 ori t6,t6,8 +800000c4: 00b35463 ble a1,t1,800000cc <_start+0xcc> +800000c8: 010fef93 ori t6,t6,16 +800000cc: 00612023 sw t1,0(sp) +800000d0: 00712223 sw t2,4(sp) +800000d4: 00812423 sw s0,8(sp) +800000d8: 00912623 sw s1,12(sp) +800000dc: 00a12823 sw a0,16(sp) +800000e0: 00b12a23 sw a1,20(sp) +800000e4: 01f12c23 sw t6,24(sp) +800000e8: 00001097 auipc ra,0x1 +800000ec: f2008093 addi ra,ra,-224 # 80001008 +800000f0: 00001117 auipc sp,0x1 +800000f4: f6810113 addi sp,sp,-152 # 80001058 +800000f8: 0000a603 lw a2,0(ra) +800000fc: 00000693 li a3,0 +80000100: 00100713 li a4,1 +80000104: fff00793 li a5,-1 +80000108: 80000837 lui a6,0x80000 +8000010c: fff80813 addi a6,a6,-1 # 7fffffff <_end+0xffffef3f> +80000110: 800008b7 lui a7,0x80000 +80000114: 00000f93 li t6,0 +80000118: 00d65463 ble a3,a2,80000120 <_start+0x120> +8000011c: 001fef93 ori t6,t6,1 +80000120: 00e65463 ble a4,a2,80000128 <_start+0x128> +80000124: 002fef93 ori t6,t6,2 +80000128: 00f65463 ble a5,a2,80000130 <_start+0x130> +8000012c: 004fef93 ori t6,t6,4 +80000130: 01065463 ble a6,a2,80000138 <_start+0x138> +80000134: 008fef93 ori t6,t6,8 +80000138: 01165463 ble a7,a2,80000140 <_start+0x140> +8000013c: 010fef93 ori t6,t6,16 +80000140: 00c12023 sw a2,0(sp) +80000144: 00d12223 sw a3,4(sp) +80000148: 00e12423 sw a4,8(sp) +8000014c: 00f12623 sw a5,12(sp) +80000150: 01012823 sw a6,16(sp) +80000154: 01112a23 sw a7,20(sp) +80000158: 01f12c23 sw t6,24(sp) +8000015c: 00001097 auipc ra,0x1 +80000160: eb008093 addi ra,ra,-336 # 8000100c +80000164: 00001117 auipc sp,0x1 +80000168: f1010113 addi sp,sp,-240 # 80001074 +8000016c: 0000a903 lw s2,0(ra) +80000170: 00000993 li s3,0 +80000174: 00100a13 li s4,1 +80000178: fff00a93 li s5,-1 +8000017c: 80000b37 lui s6,0x80000 +80000180: fffb0b13 addi s6,s6,-1 # 7fffffff <_end+0xffffef3f> +80000184: 80000bb7 lui s7,0x80000 +80000188: 00000f93 li t6,0 +8000018c: 01395463 ble s3,s2,80000194 <_start+0x194> +80000190: 001fef93 ori t6,t6,1 +80000194: 01495463 ble s4,s2,8000019c <_start+0x19c> +80000198: 002fef93 ori t6,t6,2 +8000019c: 01595463 ble s5,s2,800001a4 <_start+0x1a4> +800001a0: 004fef93 ori t6,t6,4 +800001a4: 01695463 ble s6,s2,800001ac <_start+0x1ac> +800001a8: 008fef93 ori t6,t6,8 +800001ac: 01795463 ble s7,s2,800001b4 <_start+0x1b4> +800001b0: 010fef93 ori t6,t6,16 +800001b4: 01212023 sw s2,0(sp) +800001b8: 01312223 sw s3,4(sp) +800001bc: 01412423 sw s4,8(sp) +800001c0: 01512623 sw s5,12(sp) +800001c4: 01612823 sw s6,16(sp) +800001c8: 01712a23 sw s7,20(sp) +800001cc: 01f12c23 sw t6,24(sp) +800001d0: 00001097 auipc ra,0x1 +800001d4: e4008093 addi ra,ra,-448 # 80001010 +800001d8: 00001117 auipc sp,0x1 +800001dc: eb810113 addi sp,sp,-328 # 80001090 +800001e0: 0000ac03 lw s8,0(ra) +800001e4: 00000c93 li s9,0 +800001e8: 00100d13 li s10,1 +800001ec: fff00d93 li s11,-1 +800001f0: 80000e37 lui t3,0x80000 +800001f4: fffe0e13 addi t3,t3,-1 # 7fffffff <_end+0xffffef3f> +800001f8: 80000eb7 lui t4,0x80000 +800001fc: 00000f93 li t6,0 +80000200: 019c5463 ble s9,s8,80000208 <_start+0x208> +80000204: 001fef93 ori t6,t6,1 +80000208: 01ac5463 ble s10,s8,80000210 <_start+0x210> +8000020c: 002fef93 ori t6,t6,2 +80000210: 01bc5463 ble s11,s8,80000218 <_start+0x218> +80000214: 004fef93 ori t6,t6,4 +80000218: 01cc5463 ble t3,s8,80000220 <_start+0x220> +8000021c: 008fef93 ori t6,t6,8 +80000220: 01dc5463 ble t4,s8,80000228 <_start+0x228> +80000224: 010fef93 ori t6,t6,16 +80000228: 01812023 sw s8,0(sp) +8000022c: 01912223 sw s9,4(sp) +80000230: 01a12423 sw s10,8(sp) +80000234: 01b12623 sw s11,12(sp) +80000238: 01c12823 sw t3,16(sp) +8000023c: 01d12a23 sw t4,20(sp) +80000240: 01f12c23 sw t6,24(sp) +80000244: 00001d97 auipc s11,0x1 +80000248: e68d8d93 addi s11,s11,-408 # 800010ac +8000024c: 00000093 li ra,0 +80000250: 00100113 li sp,1 +80000254: fff00193 li gp,-1 +80000258: 80000237 lui tp,0x80000 +8000025c: fff20213 addi tp,tp,-1 # 7fffffff <_end+0xffffef3f> +80000260: 800002b7 lui t0,0x80000 +80000264: 00000f93 li t6,0 +80000268: 0000d463 bgez ra,80000270 <_start+0x270> +8000026c: 001fef93 ori t6,t6,1 +80000270: 00015463 bgez sp,80000278 <_start+0x278> +80000274: 002fef93 ori t6,t6,2 +80000278: 0001d463 bgez gp,80000280 <_start+0x280> +8000027c: 004fef93 ori t6,t6,4 +80000280: 00025463 bgez tp,80000288 <_start+0x288> +80000284: 008fef93 ori t6,t6,8 +80000288: 0002d463 bgez t0,80000290 <_start+0x290> +8000028c: 010fef93 ori t6,t6,16 +80000290: 00105463 blez ra,80000298 <_start+0x298> +80000294: 020fef93 ori t6,t6,32 +80000298: 00205463 blez sp,800002a0 <_start+0x2a0> +8000029c: 040fef93 ori t6,t6,64 +800002a0: 00305463 blez gp,800002a8 <_start+0x2a8> +800002a4: 080fef93 ori t6,t6,128 +800002a8: 00405463 blez tp,800002b0 <_start+0x2b0> +800002ac: 100fef93 ori t6,t6,256 +800002b0: 00505463 blez t0,800002b8 <_start+0x2b8> +800002b4: 200fef93 ori t6,t6,512 +800002b8: 01fda023 sw t6,0(s11) +800002bc: 00001a97 auipc s5,0x1 +800002c0: d58a8a93 addi s5,s5,-680 # 80001014 +800002c4: 00001b17 auipc s6,0x1 +800002c8: decb0b13 addi s6,s6,-532 # 800010b0 +800002cc: 000aaf83 lw t6,0(s5) +800002d0: fff00113 li sp,-1 +800002d4: fff00193 li gp,-1 +800002d8: 0fedd237 lui tp,0xfedd +800002dc: ba920213 addi tp,tp,-1111 # fedcba9 <_start-0x70123457> +800002e0: 020fd463 bgez t6,80000308 <_start+0x308> +800002e4: 00000113 li sp,0 +800002e8: 00000193 li gp,0 +800002ec: 00000213 li tp,0 +800002f0: 876541b7 lui gp,0x87654 +800002f4: 32118193 addi gp,gp,801 # 87654321 <_end+0x7653261> +800002f8: 020fd463 bgez t6,80000320 <_start+0x320> +800002fc: 00000113 li sp,0 +80000300: 00000193 li gp,0 +80000304: 00000213 li tp,0 +80000308: 9abce137 lui sp,0x9abce +8000030c: ef010113 addi sp,sp,-272 # 9abcdef0 <_end+0x1abcce30> +80000310: fe0fd0e3 bgez t6,800002f0 <_start+0x2f0> +80000314: 00000113 li sp,0 +80000318: 00000193 li gp,0 +8000031c: 00000213 li tp,0 +80000320: 000b2023 sw zero,0(s6) +80000324: 002b2223 sw sp,4(s6) +80000328: 003b2423 sw gp,8(s6) +8000032c: 004b2623 sw tp,12(s6) +80000330: 00001517 auipc a0,0x1 +80000334: cf050513 addi a0,a0,-784 # 80001020 +80000338: 00001597 auipc a1,0x1 +8000033c: d8858593 addi a1,a1,-632 # 800010c0 <_end> +80000340: f0100637 lui a2,0xf0100 +80000344: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee6c> + +80000348 : +80000348: 02b50663 beq a0,a1,80000374 +8000034c: 00c52683 lw a3,12(a0) +80000350: 00d62023 sw a3,0(a2) +80000354: 00852683 lw a3,8(a0) +80000358: 00d62023 sw a3,0(a2) +8000035c: 00452683 lw a3,4(a0) +80000360: 00d62023 sw a3,0(a2) +80000364: 00052683 lw a3,0(a0) +80000368: 00d62023 sw a3,0(a2) +8000036c: 01050513 addi a0,a0,16 +80000370: fd9ff06f j 80000348 + +80000374 : +80000374: f0100537 lui a0,0xf0100 +80000378: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee60> +8000037c: 00052023 sw zero,0(a0) +80000380: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: 0000 unimp + ... + +80001004 : +80001004: 0001 nop + ... + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: 7fff 0x7fff + +80001010 : +80001010: 0000 unimp +80001012: 8000 0x8000 + +80001014 : +80001014: 0001 nop + ... + +80001020 : +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff + +80001058 : +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff + +80001074 : +80001074: ffff 0xffff +80001076: ffff 0xffff +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff + +80001090 : +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff +800010a8: ffff 0xffff +800010aa: ffff 0xffff + +800010ac : +800010ac: ffff 0xffff +800010ae: ffff 0xffff + +800010b0 : +800010b0: ffff 0xffff +800010b2: ffff 0xffff +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-BGEU-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-BGEU-01.elf.objdump new file mode 100644 index 0000000..4727fe1 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-BGEU-01.elf.objdump @@ -0,0 +1,357 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-BGEU-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 00001117 auipc sp,0x1 +8000000c: 01810113 addi sp,sp,24 # 80001020 +80000010: 0000a183 lw gp,0(ra) # 80001000 +80000014: 00000213 li tp,0 +80000018: 00100293 li t0,1 +8000001c: fff00313 li t1,-1 +80000020: 800003b7 lui t2,0x80000 +80000024: fff38393 addi t2,t2,-1 # 7fffffff <_end+0xffffef3f> +80000028: 80000437 lui s0,0x80000 +8000002c: 00000f93 li t6,0 +80000030: 0041f463 bleu tp,gp,80000038 <_start+0x38> +80000034: 001fef93 ori t6,t6,1 +80000038: 0051f463 bleu t0,gp,80000040 <_start+0x40> +8000003c: 002fef93 ori t6,t6,2 +80000040: 0061f463 bleu t1,gp,80000048 <_start+0x48> +80000044: 004fef93 ori t6,t6,4 +80000048: 0071f463 bleu t2,gp,80000050 <_start+0x50> +8000004c: 008fef93 ori t6,t6,8 +80000050: 0081f463 bleu s0,gp,80000058 <_start+0x58> +80000054: 010fef93 ori t6,t6,16 +80000058: 00312023 sw gp,0(sp) +8000005c: 00412223 sw tp,4(sp) +80000060: 00512423 sw t0,8(sp) +80000064: 00612623 sw t1,12(sp) +80000068: 00712823 sw t2,16(sp) +8000006c: 00812a23 sw s0,20(sp) +80000070: 01f12c23 sw t6,24(sp) +80000074: 00001097 auipc ra,0x1 +80000078: f9008093 addi ra,ra,-112 # 80001004 +8000007c: 00001117 auipc sp,0x1 +80000080: fc010113 addi sp,sp,-64 # 8000103c +80000084: 0000a303 lw t1,0(ra) +80000088: 00000393 li t2,0 +8000008c: 00100413 li s0,1 +80000090: fff00493 li s1,-1 +80000094: 80000537 lui a0,0x80000 +80000098: fff50513 addi a0,a0,-1 # 7fffffff <_end+0xffffef3f> +8000009c: 800005b7 lui a1,0x80000 +800000a0: 00000f93 li t6,0 +800000a4: 00737463 bleu t2,t1,800000ac <_start+0xac> +800000a8: 001fef93 ori t6,t6,1 +800000ac: 00837463 bleu s0,t1,800000b4 <_start+0xb4> +800000b0: 002fef93 ori t6,t6,2 +800000b4: 00937463 bleu s1,t1,800000bc <_start+0xbc> +800000b8: 004fef93 ori t6,t6,4 +800000bc: 00a37463 bleu a0,t1,800000c4 <_start+0xc4> +800000c0: 008fef93 ori t6,t6,8 +800000c4: 00b37463 bleu a1,t1,800000cc <_start+0xcc> +800000c8: 010fef93 ori t6,t6,16 +800000cc: 00612023 sw t1,0(sp) +800000d0: 00712223 sw t2,4(sp) +800000d4: 00812423 sw s0,8(sp) +800000d8: 00912623 sw s1,12(sp) +800000dc: 00a12823 sw a0,16(sp) +800000e0: 00b12a23 sw a1,20(sp) +800000e4: 01f12c23 sw t6,24(sp) +800000e8: 00001097 auipc ra,0x1 +800000ec: f2008093 addi ra,ra,-224 # 80001008 +800000f0: 00001117 auipc sp,0x1 +800000f4: f6810113 addi sp,sp,-152 # 80001058 +800000f8: 0000a603 lw a2,0(ra) +800000fc: 00000693 li a3,0 +80000100: 00100713 li a4,1 +80000104: fff00793 li a5,-1 +80000108: 80000837 lui a6,0x80000 +8000010c: fff80813 addi a6,a6,-1 # 7fffffff <_end+0xffffef3f> +80000110: 800008b7 lui a7,0x80000 +80000114: 00000f93 li t6,0 +80000118: 00d67463 bleu a3,a2,80000120 <_start+0x120> +8000011c: 001fef93 ori t6,t6,1 +80000120: 00e67463 bleu a4,a2,80000128 <_start+0x128> +80000124: 002fef93 ori t6,t6,2 +80000128: 00f67463 bleu a5,a2,80000130 <_start+0x130> +8000012c: 004fef93 ori t6,t6,4 +80000130: 01067463 bleu a6,a2,80000138 <_start+0x138> +80000134: 008fef93 ori t6,t6,8 +80000138: 01167463 bleu a7,a2,80000140 <_start+0x140> +8000013c: 010fef93 ori t6,t6,16 +80000140: 00c12023 sw a2,0(sp) +80000144: 00d12223 sw a3,4(sp) +80000148: 00e12423 sw a4,8(sp) +8000014c: 00f12623 sw a5,12(sp) +80000150: 01012823 sw a6,16(sp) +80000154: 01112a23 sw a7,20(sp) +80000158: 01f12c23 sw t6,24(sp) +8000015c: 00001097 auipc ra,0x1 +80000160: eb008093 addi ra,ra,-336 # 8000100c +80000164: 00001117 auipc sp,0x1 +80000168: f1010113 addi sp,sp,-240 # 80001074 +8000016c: 0000a903 lw s2,0(ra) +80000170: 00000993 li s3,0 +80000174: 00100a13 li s4,1 +80000178: fff00a93 li s5,-1 +8000017c: 80000b37 lui s6,0x80000 +80000180: fffb0b13 addi s6,s6,-1 # 7fffffff <_end+0xffffef3f> +80000184: 80000bb7 lui s7,0x80000 +80000188: 00000f93 li t6,0 +8000018c: 01397463 bleu s3,s2,80000194 <_start+0x194> +80000190: 001fef93 ori t6,t6,1 +80000194: 01497463 bleu s4,s2,8000019c <_start+0x19c> +80000198: 002fef93 ori t6,t6,2 +8000019c: 01597463 bleu s5,s2,800001a4 <_start+0x1a4> +800001a0: 004fef93 ori t6,t6,4 +800001a4: 01697463 bleu s6,s2,800001ac <_start+0x1ac> +800001a8: 008fef93 ori t6,t6,8 +800001ac: 01797463 bleu s7,s2,800001b4 <_start+0x1b4> +800001b0: 010fef93 ori t6,t6,16 +800001b4: 01212023 sw s2,0(sp) +800001b8: 01312223 sw s3,4(sp) +800001bc: 01412423 sw s4,8(sp) +800001c0: 01512623 sw s5,12(sp) +800001c4: 01612823 sw s6,16(sp) +800001c8: 01712a23 sw s7,20(sp) +800001cc: 01f12c23 sw t6,24(sp) +800001d0: 00001097 auipc ra,0x1 +800001d4: e4008093 addi ra,ra,-448 # 80001010 +800001d8: 00001117 auipc sp,0x1 +800001dc: eb810113 addi sp,sp,-328 # 80001090 +800001e0: 0000ac03 lw s8,0(ra) +800001e4: 00000c93 li s9,0 +800001e8: 00100d13 li s10,1 +800001ec: fff00d93 li s11,-1 +800001f0: 80000e37 lui t3,0x80000 +800001f4: fffe0e13 addi t3,t3,-1 # 7fffffff <_end+0xffffef3f> +800001f8: 80000eb7 lui t4,0x80000 +800001fc: 00000f93 li t6,0 +80000200: 019c7463 bleu s9,s8,80000208 <_start+0x208> +80000204: 001fef93 ori t6,t6,1 +80000208: 01ac7463 bleu s10,s8,80000210 <_start+0x210> +8000020c: 002fef93 ori t6,t6,2 +80000210: 01bc7463 bleu s11,s8,80000218 <_start+0x218> +80000214: 004fef93 ori t6,t6,4 +80000218: 01cc7463 bleu t3,s8,80000220 <_start+0x220> +8000021c: 008fef93 ori t6,t6,8 +80000220: 01dc7463 bleu t4,s8,80000228 <_start+0x228> +80000224: 010fef93 ori t6,t6,16 +80000228: 01812023 sw s8,0(sp) +8000022c: 01912223 sw s9,4(sp) +80000230: 01a12423 sw s10,8(sp) +80000234: 01b12623 sw s11,12(sp) +80000238: 01c12823 sw t3,16(sp) +8000023c: 01d12a23 sw t4,20(sp) +80000240: 01f12c23 sw t6,24(sp) +80000244: 00001d97 auipc s11,0x1 +80000248: e68d8d93 addi s11,s11,-408 # 800010ac +8000024c: 00000093 li ra,0 +80000250: 00100113 li sp,1 +80000254: fff00193 li gp,-1 +80000258: 80000237 lui tp,0x80000 +8000025c: fff20213 addi tp,tp,-1 # 7fffffff <_end+0xffffef3f> +80000260: 800002b7 lui t0,0x80000 +80000264: 00000f93 li t6,0 +80000268: 0000f463 bleu zero,ra,80000270 <_start+0x270> +8000026c: 001fef93 ori t6,t6,1 +80000270: 00017463 bleu zero,sp,80000278 <_start+0x278> +80000274: 002fef93 ori t6,t6,2 +80000278: 0001f463 bleu zero,gp,80000280 <_start+0x280> +8000027c: 004fef93 ori t6,t6,4 +80000280: 00027463 bleu zero,tp,80000288 <_start+0x288> +80000284: 008fef93 ori t6,t6,8 +80000288: 0002f463 bleu zero,t0,80000290 <_start+0x290> +8000028c: 010fef93 ori t6,t6,16 +80000290: 00107463 bleu ra,zero,80000298 <_start+0x298> +80000294: 020fef93 ori t6,t6,32 +80000298: 00207463 bleu sp,zero,800002a0 <_start+0x2a0> +8000029c: 040fef93 ori t6,t6,64 +800002a0: 00307463 bleu gp,zero,800002a8 <_start+0x2a8> +800002a4: 080fef93 ori t6,t6,128 +800002a8: 00407463 bleu tp,zero,800002b0 <_start+0x2b0> +800002ac: 100fef93 ori t6,t6,256 +800002b0: 00507463 bleu t0,zero,800002b8 <_start+0x2b8> +800002b4: 200fef93 ori t6,t6,512 +800002b8: 01fda023 sw t6,0(s11) +800002bc: 00001a97 auipc s5,0x1 +800002c0: d58a8a93 addi s5,s5,-680 # 80001014 +800002c4: 00001b17 auipc s6,0x1 +800002c8: decb0b13 addi s6,s6,-532 # 800010b0 +800002cc: 000aaf83 lw t6,0(s5) +800002d0: fff00113 li sp,-1 +800002d4: fff00193 li gp,-1 +800002d8: 0fedd237 lui tp,0xfedd +800002dc: ba920213 addi tp,tp,-1111 # fedcba9 <_start-0x70123457> +800002e0: 020ff463 bleu zero,t6,80000308 <_start+0x308> +800002e4: 00000113 li sp,0 +800002e8: 00000193 li gp,0 +800002ec: 00000213 li tp,0 +800002f0: 876541b7 lui gp,0x87654 +800002f4: 32118193 addi gp,gp,801 # 87654321 <_end+0x7653261> +800002f8: 020ff463 bleu zero,t6,80000320 <_start+0x320> +800002fc: 00000113 li sp,0 +80000300: 00000193 li gp,0 +80000304: 00000213 li tp,0 +80000308: 9abce137 lui sp,0x9abce +8000030c: ef010113 addi sp,sp,-272 # 9abcdef0 <_end+0x1abcce30> +80000310: fe0ff0e3 bleu zero,t6,800002f0 <_start+0x2f0> +80000314: 00000113 li sp,0 +80000318: 00000193 li gp,0 +8000031c: 00000213 li tp,0 +80000320: 000b2023 sw zero,0(s6) +80000324: 002b2223 sw sp,4(s6) +80000328: 003b2423 sw gp,8(s6) +8000032c: 004b2623 sw tp,12(s6) +80000330: 00001517 auipc a0,0x1 +80000334: cf050513 addi a0,a0,-784 # 80001020 +80000338: 00001597 auipc a1,0x1 +8000033c: d8858593 addi a1,a1,-632 # 800010c0 <_end> +80000340: f0100637 lui a2,0xf0100 +80000344: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee6c> + +80000348 : +80000348: 02b50663 beq a0,a1,80000374 +8000034c: 00c52683 lw a3,12(a0) +80000350: 00d62023 sw a3,0(a2) +80000354: 00852683 lw a3,8(a0) +80000358: 00d62023 sw a3,0(a2) +8000035c: 00452683 lw a3,4(a0) +80000360: 00d62023 sw a3,0(a2) +80000364: 00052683 lw a3,0(a0) +80000368: 00d62023 sw a3,0(a2) +8000036c: 01050513 addi a0,a0,16 +80000370: fd9ff06f j 80000348 + +80000374 : +80000374: f0100537 lui a0,0xf0100 +80000378: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee60> +8000037c: 00052023 sw zero,0(a0) +80000380: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: 0000 unimp + ... + +80001004 : +80001004: 0001 nop + ... + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: 7fff 0x7fff + +80001010 : +80001010: 0000 unimp +80001012: 8000 0x8000 + +80001014 : +80001014: 0001 nop + ... + +80001020 : +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff + +80001058 : +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff + +80001074 : +80001074: ffff 0xffff +80001076: ffff 0xffff +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff + +80001090 : +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff +800010a8: ffff 0xffff +800010aa: ffff 0xffff + +800010ac : +800010ac: ffff 0xffff +800010ae: ffff 0xffff + +800010b0 : +800010b0: ffff 0xffff +800010b2: ffff 0xffff +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-BLT-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-BLT-01.elf.objdump new file mode 100644 index 0000000..837a074 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-BLT-01.elf.objdump @@ -0,0 +1,358 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-BLT-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 00001117 auipc sp,0x1 +8000000c: 01810113 addi sp,sp,24 # 80001020 +80000010: 0000a183 lw gp,0(ra) # 80001000 +80000014: 00000213 li tp,0 +80000018: 00100293 li t0,1 +8000001c: fff00313 li t1,-1 +80000020: 800003b7 lui t2,0x80000 +80000024: fff38393 addi t2,t2,-1 # 7fffffff <_end+0xffffef3f> +80000028: 80000437 lui s0,0x80000 +8000002c: 00000f93 li t6,0 +80000030: 0041c463 blt gp,tp,80000038 <_start+0x38> +80000034: 001fef93 ori t6,t6,1 +80000038: 0051c463 blt gp,t0,80000040 <_start+0x40> +8000003c: 002fef93 ori t6,t6,2 +80000040: 0061c463 blt gp,t1,80000048 <_start+0x48> +80000044: 004fef93 ori t6,t6,4 +80000048: 0071c463 blt gp,t2,80000050 <_start+0x50> +8000004c: 008fef93 ori t6,t6,8 +80000050: 0081c463 blt gp,s0,80000058 <_start+0x58> +80000054: 010fef93 ori t6,t6,16 +80000058: 00312023 sw gp,0(sp) +8000005c: 00412223 sw tp,4(sp) +80000060: 00512423 sw t0,8(sp) +80000064: 00612623 sw t1,12(sp) +80000068: 00712823 sw t2,16(sp) +8000006c: 00812a23 sw s0,20(sp) +80000070: 01f12c23 sw t6,24(sp) +80000074: 00001097 auipc ra,0x1 +80000078: f9008093 addi ra,ra,-112 # 80001004 +8000007c: 00001117 auipc sp,0x1 +80000080: fc010113 addi sp,sp,-64 # 8000103c +80000084: 0000a303 lw t1,0(ra) +80000088: 00000393 li t2,0 +8000008c: 00100413 li s0,1 +80000090: fff00493 li s1,-1 +80000094: 80000537 lui a0,0x80000 +80000098: fff50513 addi a0,a0,-1 # 7fffffff <_end+0xffffef3f> +8000009c: 800005b7 lui a1,0x80000 +800000a0: 00000f93 li t6,0 +800000a4: 00734463 blt t1,t2,800000ac <_start+0xac> +800000a8: 001fef93 ori t6,t6,1 +800000ac: 00834463 blt t1,s0,800000b4 <_start+0xb4> +800000b0: 002fef93 ori t6,t6,2 +800000b4: 00934463 blt t1,s1,800000bc <_start+0xbc> +800000b8: 004fef93 ori t6,t6,4 +800000bc: 00a34463 blt t1,a0,800000c4 <_start+0xc4> +800000c0: 008fef93 ori t6,t6,8 +800000c4: 00b34463 blt t1,a1,800000cc <_start+0xcc> +800000c8: 010fef93 ori t6,t6,16 +800000cc: 00612023 sw t1,0(sp) +800000d0: 00712223 sw t2,4(sp) +800000d4: 00812423 sw s0,8(sp) +800000d8: 00912623 sw s1,12(sp) +800000dc: 00a12823 sw a0,16(sp) +800000e0: 00b12a23 sw a1,20(sp) +800000e4: 01f12c23 sw t6,24(sp) +800000e8: 00001097 auipc ra,0x1 +800000ec: f2008093 addi ra,ra,-224 # 80001008 +800000f0: 00001117 auipc sp,0x1 +800000f4: f6810113 addi sp,sp,-152 # 80001058 +800000f8: 0000a603 lw a2,0(ra) +800000fc: 00000693 li a3,0 +80000100: 00100713 li a4,1 +80000104: fff00793 li a5,-1 +80000108: 80000837 lui a6,0x80000 +8000010c: fff80813 addi a6,a6,-1 # 7fffffff <_end+0xffffef3f> +80000110: 800008b7 lui a7,0x80000 +80000114: 00000f93 li t6,0 +80000118: 00d64463 blt a2,a3,80000120 <_start+0x120> +8000011c: 001fef93 ori t6,t6,1 +80000120: 00e64463 blt a2,a4,80000128 <_start+0x128> +80000124: 002fef93 ori t6,t6,2 +80000128: 00f64463 blt a2,a5,80000130 <_start+0x130> +8000012c: 004fef93 ori t6,t6,4 +80000130: 01064463 blt a2,a6,80000138 <_start+0x138> +80000134: 008fef93 ori t6,t6,8 +80000138: 01164463 blt a2,a7,80000140 <_start+0x140> +8000013c: 010fef93 ori t6,t6,16 +80000140: 00c12023 sw a2,0(sp) +80000144: 00d12223 sw a3,4(sp) +80000148: 00e12423 sw a4,8(sp) +8000014c: 00f12623 sw a5,12(sp) +80000150: 01012823 sw a6,16(sp) +80000154: 01112a23 sw a7,20(sp) +80000158: 01f12c23 sw t6,24(sp) +8000015c: 00001097 auipc ra,0x1 +80000160: eb008093 addi ra,ra,-336 # 8000100c +80000164: 00001117 auipc sp,0x1 +80000168: f1010113 addi sp,sp,-240 # 80001074 +8000016c: 0000a903 lw s2,0(ra) +80000170: 00000993 li s3,0 +80000174: 00100a13 li s4,1 +80000178: fff00a93 li s5,-1 +8000017c: 80000b37 lui s6,0x80000 +80000180: fffb0b13 addi s6,s6,-1 # 7fffffff <_end+0xffffef3f> +80000184: 80000bb7 lui s7,0x80000 +80000188: 00000f93 li t6,0 +8000018c: 01394463 blt s2,s3,80000194 <_start+0x194> +80000190: 001fef93 ori t6,t6,1 +80000194: 01494463 blt s2,s4,8000019c <_start+0x19c> +80000198: 002fef93 ori t6,t6,2 +8000019c: 01594463 blt s2,s5,800001a4 <_start+0x1a4> +800001a0: 004fef93 ori t6,t6,4 +800001a4: 01694463 blt s2,s6,800001ac <_start+0x1ac> +800001a8: 008fef93 ori t6,t6,8 +800001ac: 01794463 blt s2,s7,800001b4 <_start+0x1b4> +800001b0: 010fef93 ori t6,t6,16 +800001b4: 01212023 sw s2,0(sp) +800001b8: 01312223 sw s3,4(sp) +800001bc: 01412423 sw s4,8(sp) +800001c0: 01512623 sw s5,12(sp) +800001c4: 01612823 sw s6,16(sp) +800001c8: 01712a23 sw s7,20(sp) +800001cc: 01f12c23 sw t6,24(sp) +800001d0: 00001097 auipc ra,0x1 +800001d4: e4008093 addi ra,ra,-448 # 80001010 +800001d8: 00001117 auipc sp,0x1 +800001dc: eb810113 addi sp,sp,-328 # 80001090 +800001e0: 0000ac03 lw s8,0(ra) +800001e4: 00000c93 li s9,0 +800001e8: 00100d13 li s10,1 +800001ec: fff00d93 li s11,-1 +800001f0: 80000e37 lui t3,0x80000 +800001f4: fffe0e13 addi t3,t3,-1 # 7fffffff <_end+0xffffef3f> +800001f8: 80000eb7 lui t4,0x80000 +800001fc: 00000f93 li t6,0 +80000200: 019c4463 blt s8,s9,80000208 <_start+0x208> +80000204: 001fef93 ori t6,t6,1 +80000208: 01ac4463 blt s8,s10,80000210 <_start+0x210> +8000020c: 002fef93 ori t6,t6,2 +80000210: 01bc4463 blt s8,s11,80000218 <_start+0x218> +80000214: 004fef93 ori t6,t6,4 +80000218: 01cc4463 blt s8,t3,80000220 <_start+0x220> +8000021c: 008fef93 ori t6,t6,8 +80000220: 01dc4463 blt s8,t4,80000228 <_start+0x228> +80000224: 010fef93 ori t6,t6,16 +80000228: 01812023 sw s8,0(sp) +8000022c: 01912223 sw s9,4(sp) +80000230: 01a12423 sw s10,8(sp) +80000234: 01b12623 sw s11,12(sp) +80000238: 01c12823 sw t3,16(sp) +8000023c: 01d12a23 sw t4,20(sp) +80000240: 01f12c23 sw t6,24(sp) +80000244: 00001d97 auipc s11,0x1 +80000248: e68d8d93 addi s11,s11,-408 # 800010ac +8000024c: 00000093 li ra,0 +80000250: 00100113 li sp,1 +80000254: fff00193 li gp,-1 +80000258: 80000237 lui tp,0x80000 +8000025c: fff20213 addi tp,tp,-1 # 7fffffff <_end+0xffffef3f> +80000260: 800002b7 lui t0,0x80000 +80000264: 00000f93 li t6,0 +80000268: 0000c463 bltz ra,80000270 <_start+0x270> +8000026c: 001fef93 ori t6,t6,1 +80000270: 00014463 bltz sp,80000278 <_start+0x278> +80000274: 002fef93 ori t6,t6,2 +80000278: 0001c463 bltz gp,80000280 <_start+0x280> +8000027c: 004fef93 ori t6,t6,4 +80000280: 00024463 bltz tp,80000288 <_start+0x288> +80000284: 008fef93 ori t6,t6,8 +80000288: 0002c463 bltz t0,80000290 <_start+0x290> +8000028c: 010fef93 ori t6,t6,16 +80000290: 00104463 bgtz ra,80000298 <_start+0x298> +80000294: 020fef93 ori t6,t6,32 +80000298: 00204463 bgtz sp,800002a0 <_start+0x2a0> +8000029c: 040fef93 ori t6,t6,64 +800002a0: 00304463 bgtz gp,800002a8 <_start+0x2a8> +800002a4: 080fef93 ori t6,t6,128 +800002a8: 00404463 bgtz tp,800002b0 <_start+0x2b0> +800002ac: 100fef93 ori t6,t6,256 +800002b0: 00504463 bgtz t0,800002b8 <_start+0x2b8> +800002b4: 200fef93 ori t6,t6,512 +800002b8: 01fda023 sw t6,0(s11) +800002bc: 00001a97 auipc s5,0x1 +800002c0: d58a8a93 addi s5,s5,-680 # 80001014 +800002c4: 00001b17 auipc s6,0x1 +800002c8: decb0b13 addi s6,s6,-532 # 800010b0 +800002cc: 000aaf83 lw t6,0(s5) +800002d0: fff00113 li sp,-1 +800002d4: fff00193 li gp,-1 +800002d8: 0fedd237 lui tp,0xfedd +800002dc: ba920213 addi tp,tp,-1111 # fedcba9 <_start-0x70123457> +800002e0: 020fc463 bltz t6,80000308 <_start+0x308> +800002e4: 00000113 li sp,0 +800002e8: 00000193 li gp,0 +800002ec: 00000213 li tp,0 +800002f0: 876541b7 lui gp,0x87654 +800002f4: 32118193 addi gp,gp,801 # 87654321 <_end+0x7653261> +800002f8: 020fc463 bltz t6,80000320 <_start+0x320> +800002fc: 00000113 li sp,0 +80000300: 00000193 li gp,0 +80000304: 00000213 li tp,0 +80000308: 9abce137 lui sp,0x9abce +8000030c: ef010113 addi sp,sp,-272 # 9abcdef0 <_end+0x1abcce30> +80000310: fe0fc0e3 bltz t6,800002f0 <_start+0x2f0> +80000314: 00000113 li sp,0 +80000318: 00000193 li gp,0 +8000031c: 00000213 li tp,0 +80000320: 000b2023 sw zero,0(s6) +80000324: 002b2223 sw sp,4(s6) +80000328: 003b2423 sw gp,8(s6) +8000032c: 004b2623 sw tp,12(s6) +80000330: 00001517 auipc a0,0x1 +80000334: cf050513 addi a0,a0,-784 # 80001020 +80000338: 00001597 auipc a1,0x1 +8000033c: d8858593 addi a1,a1,-632 # 800010c0 <_end> +80000340: f0100637 lui a2,0xf0100 +80000344: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee6c> + +80000348 : +80000348: 02b50663 beq a0,a1,80000374 +8000034c: 00c52683 lw a3,12(a0) +80000350: 00d62023 sw a3,0(a2) +80000354: 00852683 lw a3,8(a0) +80000358: 00d62023 sw a3,0(a2) +8000035c: 00452683 lw a3,4(a0) +80000360: 00d62023 sw a3,0(a2) +80000364: 00052683 lw a3,0(a0) +80000368: 00d62023 sw a3,0(a2) +8000036c: 01050513 addi a0,a0,16 +80000370: fd9ff06f j 80000348 + +80000374 : +80000374: f0100537 lui a0,0xf0100 +80000378: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee60> +8000037c: 00052023 sw zero,0(a0) +80000380: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: 0000 unimp + ... + +80001004 : +80001004: 0001 nop + ... + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: 7fff 0x7fff + +80001010 : +80001010: 0000 unimp +80001012: 8000 0x8000 + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff + ... + +80001020 : +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff + +80001058 : +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff + +80001074 : +80001074: ffff 0xffff +80001076: ffff 0xffff +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff + +80001090 : +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff +800010a8: ffff 0xffff +800010aa: ffff 0xffff + +800010ac : +800010ac: ffff 0xffff +800010ae: ffff 0xffff + +800010b0 : +800010b0: ffff 0xffff +800010b2: ffff 0xffff +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-BLTU-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-BLTU-01.elf.objdump new file mode 100644 index 0000000..cd90729 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-BLTU-01.elf.objdump @@ -0,0 +1,357 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-BLTU-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 00001117 auipc sp,0x1 +8000000c: 01810113 addi sp,sp,24 # 80001020 +80000010: 0000a183 lw gp,0(ra) # 80001000 +80000014: 00000213 li tp,0 +80000018: 00100293 li t0,1 +8000001c: fff00313 li t1,-1 +80000020: 800003b7 lui t2,0x80000 +80000024: fff38393 addi t2,t2,-1 # 7fffffff <_end+0xffffef3f> +80000028: 80000437 lui s0,0x80000 +8000002c: 00000f93 li t6,0 +80000030: 0041e463 bltu gp,tp,80000038 <_start+0x38> +80000034: 001fef93 ori t6,t6,1 +80000038: 0051e463 bltu gp,t0,80000040 <_start+0x40> +8000003c: 002fef93 ori t6,t6,2 +80000040: 0061e463 bltu gp,t1,80000048 <_start+0x48> +80000044: 004fef93 ori t6,t6,4 +80000048: 0071e463 bltu gp,t2,80000050 <_start+0x50> +8000004c: 008fef93 ori t6,t6,8 +80000050: 0081e463 bltu gp,s0,80000058 <_start+0x58> +80000054: 010fef93 ori t6,t6,16 +80000058: 00312023 sw gp,0(sp) +8000005c: 00412223 sw tp,4(sp) +80000060: 00512423 sw t0,8(sp) +80000064: 00612623 sw t1,12(sp) +80000068: 00712823 sw t2,16(sp) +8000006c: 00812a23 sw s0,20(sp) +80000070: 01f12c23 sw t6,24(sp) +80000074: 00001097 auipc ra,0x1 +80000078: f9008093 addi ra,ra,-112 # 80001004 +8000007c: 00001117 auipc sp,0x1 +80000080: fc010113 addi sp,sp,-64 # 8000103c +80000084: 0000a303 lw t1,0(ra) +80000088: 00000393 li t2,0 +8000008c: 00100413 li s0,1 +80000090: fff00493 li s1,-1 +80000094: 80000537 lui a0,0x80000 +80000098: fff50513 addi a0,a0,-1 # 7fffffff <_end+0xffffef3f> +8000009c: 800005b7 lui a1,0x80000 +800000a0: 00000f93 li t6,0 +800000a4: 00736463 bltu t1,t2,800000ac <_start+0xac> +800000a8: 001fef93 ori t6,t6,1 +800000ac: 00836463 bltu t1,s0,800000b4 <_start+0xb4> +800000b0: 002fef93 ori t6,t6,2 +800000b4: 00936463 bltu t1,s1,800000bc <_start+0xbc> +800000b8: 004fef93 ori t6,t6,4 +800000bc: 00a36463 bltu t1,a0,800000c4 <_start+0xc4> +800000c0: 008fef93 ori t6,t6,8 +800000c4: 00b36463 bltu t1,a1,800000cc <_start+0xcc> +800000c8: 010fef93 ori t6,t6,16 +800000cc: 00612023 sw t1,0(sp) +800000d0: 00712223 sw t2,4(sp) +800000d4: 00812423 sw s0,8(sp) +800000d8: 00912623 sw s1,12(sp) +800000dc: 00a12823 sw a0,16(sp) +800000e0: 00b12a23 sw a1,20(sp) +800000e4: 01f12c23 sw t6,24(sp) +800000e8: 00001097 auipc ra,0x1 +800000ec: f2008093 addi ra,ra,-224 # 80001008 +800000f0: 00001117 auipc sp,0x1 +800000f4: f6810113 addi sp,sp,-152 # 80001058 +800000f8: 0000a603 lw a2,0(ra) +800000fc: 00000693 li a3,0 +80000100: 00100713 li a4,1 +80000104: fff00793 li a5,-1 +80000108: 80000837 lui a6,0x80000 +8000010c: fff80813 addi a6,a6,-1 # 7fffffff <_end+0xffffef3f> +80000110: 800008b7 lui a7,0x80000 +80000114: 00000f93 li t6,0 +80000118: 00d66463 bltu a2,a3,80000120 <_start+0x120> +8000011c: 001fef93 ori t6,t6,1 +80000120: 00e66463 bltu a2,a4,80000128 <_start+0x128> +80000124: 002fef93 ori t6,t6,2 +80000128: 00f66463 bltu a2,a5,80000130 <_start+0x130> +8000012c: 004fef93 ori t6,t6,4 +80000130: 01066463 bltu a2,a6,80000138 <_start+0x138> +80000134: 008fef93 ori t6,t6,8 +80000138: 01166463 bltu a2,a7,80000140 <_start+0x140> +8000013c: 010fef93 ori t6,t6,16 +80000140: 00c12023 sw a2,0(sp) +80000144: 00d12223 sw a3,4(sp) +80000148: 00e12423 sw a4,8(sp) +8000014c: 00f12623 sw a5,12(sp) +80000150: 01012823 sw a6,16(sp) +80000154: 01112a23 sw a7,20(sp) +80000158: 01f12c23 sw t6,24(sp) +8000015c: 00001097 auipc ra,0x1 +80000160: eb008093 addi ra,ra,-336 # 8000100c +80000164: 00001117 auipc sp,0x1 +80000168: f1010113 addi sp,sp,-240 # 80001074 +8000016c: 0000a903 lw s2,0(ra) +80000170: 00000993 li s3,0 +80000174: 00100a13 li s4,1 +80000178: fff00a93 li s5,-1 +8000017c: 80000b37 lui s6,0x80000 +80000180: fffb0b13 addi s6,s6,-1 # 7fffffff <_end+0xffffef3f> +80000184: 80000bb7 lui s7,0x80000 +80000188: 00000f93 li t6,0 +8000018c: 01396463 bltu s2,s3,80000194 <_start+0x194> +80000190: 001fef93 ori t6,t6,1 +80000194: 01496463 bltu s2,s4,8000019c <_start+0x19c> +80000198: 002fef93 ori t6,t6,2 +8000019c: 01596463 bltu s2,s5,800001a4 <_start+0x1a4> +800001a0: 004fef93 ori t6,t6,4 +800001a4: 01696463 bltu s2,s6,800001ac <_start+0x1ac> +800001a8: 008fef93 ori t6,t6,8 +800001ac: 01796463 bltu s2,s7,800001b4 <_start+0x1b4> +800001b0: 010fef93 ori t6,t6,16 +800001b4: 01212023 sw s2,0(sp) +800001b8: 01312223 sw s3,4(sp) +800001bc: 01412423 sw s4,8(sp) +800001c0: 01512623 sw s5,12(sp) +800001c4: 01612823 sw s6,16(sp) +800001c8: 01712a23 sw s7,20(sp) +800001cc: 01f12c23 sw t6,24(sp) +800001d0: 00001097 auipc ra,0x1 +800001d4: e4008093 addi ra,ra,-448 # 80001010 +800001d8: 00001117 auipc sp,0x1 +800001dc: eb810113 addi sp,sp,-328 # 80001090 +800001e0: 0000ac03 lw s8,0(ra) +800001e4: 00000c93 li s9,0 +800001e8: 00100d13 li s10,1 +800001ec: fff00d93 li s11,-1 +800001f0: 80000e37 lui t3,0x80000 +800001f4: fffe0e13 addi t3,t3,-1 # 7fffffff <_end+0xffffef3f> +800001f8: 80000eb7 lui t4,0x80000 +800001fc: 00000f93 li t6,0 +80000200: 019c6463 bltu s8,s9,80000208 <_start+0x208> +80000204: 001fef93 ori t6,t6,1 +80000208: 01ac6463 bltu s8,s10,80000210 <_start+0x210> +8000020c: 002fef93 ori t6,t6,2 +80000210: 01bc6463 bltu s8,s11,80000218 <_start+0x218> +80000214: 004fef93 ori t6,t6,4 +80000218: 01cc6463 bltu s8,t3,80000220 <_start+0x220> +8000021c: 008fef93 ori t6,t6,8 +80000220: 01dc6463 bltu s8,t4,80000228 <_start+0x228> +80000224: 010fef93 ori t6,t6,16 +80000228: 01812023 sw s8,0(sp) +8000022c: 01912223 sw s9,4(sp) +80000230: 01a12423 sw s10,8(sp) +80000234: 01b12623 sw s11,12(sp) +80000238: 01c12823 sw t3,16(sp) +8000023c: 01d12a23 sw t4,20(sp) +80000240: 01f12c23 sw t6,24(sp) +80000244: 00001d97 auipc s11,0x1 +80000248: e68d8d93 addi s11,s11,-408 # 800010ac +8000024c: 00000093 li ra,0 +80000250: 00100113 li sp,1 +80000254: fff00193 li gp,-1 +80000258: 80000237 lui tp,0x80000 +8000025c: fff20213 addi tp,tp,-1 # 7fffffff <_end+0xffffef3f> +80000260: 800002b7 lui t0,0x80000 +80000264: 00000f93 li t6,0 +80000268: 0000e463 bltu ra,zero,80000270 <_start+0x270> +8000026c: 001fef93 ori t6,t6,1 +80000270: 00016463 bltu sp,zero,80000278 <_start+0x278> +80000274: 002fef93 ori t6,t6,2 +80000278: 0001e463 bltu gp,zero,80000280 <_start+0x280> +8000027c: 004fef93 ori t6,t6,4 +80000280: 00026463 bltu tp,zero,80000288 <_start+0x288> +80000284: 008fef93 ori t6,t6,8 +80000288: 0002e463 bltu t0,zero,80000290 <_start+0x290> +8000028c: 010fef93 ori t6,t6,16 +80000290: 00106463 bltu zero,ra,80000298 <_start+0x298> +80000294: 020fef93 ori t6,t6,32 +80000298: 00206463 bltu zero,sp,800002a0 <_start+0x2a0> +8000029c: 040fef93 ori t6,t6,64 +800002a0: 00306463 bltu zero,gp,800002a8 <_start+0x2a8> +800002a4: 080fef93 ori t6,t6,128 +800002a8: 00406463 bltu zero,tp,800002b0 <_start+0x2b0> +800002ac: 100fef93 ori t6,t6,256 +800002b0: 00506463 bltu zero,t0,800002b8 <_start+0x2b8> +800002b4: 200fef93 ori t6,t6,512 +800002b8: 01fda023 sw t6,0(s11) +800002bc: 00001a97 auipc s5,0x1 +800002c0: d58a8a93 addi s5,s5,-680 # 80001014 +800002c4: 00001b17 auipc s6,0x1 +800002c8: decb0b13 addi s6,s6,-532 # 800010b0 +800002cc: 000aaf83 lw t6,0(s5) +800002d0: fff00113 li sp,-1 +800002d4: fff00193 li gp,-1 +800002d8: 0fedd237 lui tp,0xfedd +800002dc: ba920213 addi tp,tp,-1111 # fedcba9 <_start-0x70123457> +800002e0: 03f06463 bltu zero,t6,80000308 <_start+0x308> +800002e4: 00000113 li sp,0 +800002e8: 00000193 li gp,0 +800002ec: 00000213 li tp,0 +800002f0: 876541b7 lui gp,0x87654 +800002f4: 32118193 addi gp,gp,801 # 87654321 <_end+0x7653261> +800002f8: 03f06463 bltu zero,t6,80000320 <_start+0x320> +800002fc: 00000113 li sp,0 +80000300: 00000193 li gp,0 +80000304: 00000213 li tp,0 +80000308: 9abce137 lui sp,0x9abce +8000030c: ef010113 addi sp,sp,-272 # 9abcdef0 <_end+0x1abcce30> +80000310: fff060e3 bltu zero,t6,800002f0 <_start+0x2f0> +80000314: 00000113 li sp,0 +80000318: 00000193 li gp,0 +8000031c: 00000213 li tp,0 +80000320: 000b2023 sw zero,0(s6) +80000324: 002b2223 sw sp,4(s6) +80000328: 003b2423 sw gp,8(s6) +8000032c: 004b2623 sw tp,12(s6) +80000330: 00001517 auipc a0,0x1 +80000334: cf050513 addi a0,a0,-784 # 80001020 +80000338: 00001597 auipc a1,0x1 +8000033c: d8858593 addi a1,a1,-632 # 800010c0 <_end> +80000340: f0100637 lui a2,0xf0100 +80000344: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee6c> + +80000348 : +80000348: 02b50663 beq a0,a1,80000374 +8000034c: 00c52683 lw a3,12(a0) +80000350: 00d62023 sw a3,0(a2) +80000354: 00852683 lw a3,8(a0) +80000358: 00d62023 sw a3,0(a2) +8000035c: 00452683 lw a3,4(a0) +80000360: 00d62023 sw a3,0(a2) +80000364: 00052683 lw a3,0(a0) +80000368: 00d62023 sw a3,0(a2) +8000036c: 01050513 addi a0,a0,16 +80000370: fd9ff06f j 80000348 + +80000374 : +80000374: f0100537 lui a0,0xf0100 +80000378: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee60> +8000037c: 00052023 sw zero,0(a0) +80000380: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: 0000 unimp + ... + +80001004 : +80001004: 0001 nop + ... + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: 7fff 0x7fff + +80001010 : +80001010: 0000 unimp +80001012: 8000 0x8000 + +80001014 : +80001014: 0001 nop + ... + +80001020 : +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff + +80001058 : +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff + +80001074 : +80001074: ffff 0xffff +80001076: ffff 0xffff +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff + +80001090 : +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff +800010a8: ffff 0xffff +800010aa: ffff 0xffff + +800010ac : +800010ac: ffff 0xffff +800010ae: ffff 0xffff + +800010b0 : +800010b0: ffff 0xffff +800010b2: ffff 0xffff +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-BNE-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-BNE-01.elf.objdump new file mode 100644 index 0000000..d976a5d --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-BNE-01.elf.objdump @@ -0,0 +1,358 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-BNE-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 00001117 auipc sp,0x1 +8000000c: 01810113 addi sp,sp,24 # 80001020 +80000010: 0000a183 lw gp,0(ra) # 80001000 +80000014: 00000213 li tp,0 +80000018: 00100293 li t0,1 +8000001c: fff00313 li t1,-1 +80000020: 800003b7 lui t2,0x80000 +80000024: fff38393 addi t2,t2,-1 # 7fffffff <_end+0xffffef3f> +80000028: 80000437 lui s0,0x80000 +8000002c: 00000f93 li t6,0 +80000030: 00419463 bne gp,tp,80000038 <_start+0x38> +80000034: 001fef93 ori t6,t6,1 +80000038: 00519463 bne gp,t0,80000040 <_start+0x40> +8000003c: 002fef93 ori t6,t6,2 +80000040: 00619463 bne gp,t1,80000048 <_start+0x48> +80000044: 004fef93 ori t6,t6,4 +80000048: 00719463 bne gp,t2,80000050 <_start+0x50> +8000004c: 008fef93 ori t6,t6,8 +80000050: 00819463 bne gp,s0,80000058 <_start+0x58> +80000054: 010fef93 ori t6,t6,16 +80000058: 00312023 sw gp,0(sp) +8000005c: 00412223 sw tp,4(sp) +80000060: 00512423 sw t0,8(sp) +80000064: 00612623 sw t1,12(sp) +80000068: 00712823 sw t2,16(sp) +8000006c: 00812a23 sw s0,20(sp) +80000070: 01f12c23 sw t6,24(sp) +80000074: 00001097 auipc ra,0x1 +80000078: f9008093 addi ra,ra,-112 # 80001004 +8000007c: 00001117 auipc sp,0x1 +80000080: fc010113 addi sp,sp,-64 # 8000103c +80000084: 0000a303 lw t1,0(ra) +80000088: 00000393 li t2,0 +8000008c: 00100413 li s0,1 +80000090: fff00493 li s1,-1 +80000094: 80000537 lui a0,0x80000 +80000098: fff50513 addi a0,a0,-1 # 7fffffff <_end+0xffffef3f> +8000009c: 800005b7 lui a1,0x80000 +800000a0: 00000f93 li t6,0 +800000a4: 00731463 bne t1,t2,800000ac <_start+0xac> +800000a8: 001fef93 ori t6,t6,1 +800000ac: 00831463 bne t1,s0,800000b4 <_start+0xb4> +800000b0: 002fef93 ori t6,t6,2 +800000b4: 00931463 bne t1,s1,800000bc <_start+0xbc> +800000b8: 004fef93 ori t6,t6,4 +800000bc: 00a31463 bne t1,a0,800000c4 <_start+0xc4> +800000c0: 008fef93 ori t6,t6,8 +800000c4: 00b31463 bne t1,a1,800000cc <_start+0xcc> +800000c8: 010fef93 ori t6,t6,16 +800000cc: 00612023 sw t1,0(sp) +800000d0: 00712223 sw t2,4(sp) +800000d4: 00812423 sw s0,8(sp) +800000d8: 00912623 sw s1,12(sp) +800000dc: 00a12823 sw a0,16(sp) +800000e0: 00b12a23 sw a1,20(sp) +800000e4: 01f12c23 sw t6,24(sp) +800000e8: 00001097 auipc ra,0x1 +800000ec: f2008093 addi ra,ra,-224 # 80001008 +800000f0: 00001117 auipc sp,0x1 +800000f4: f6810113 addi sp,sp,-152 # 80001058 +800000f8: 0000a603 lw a2,0(ra) +800000fc: 00000693 li a3,0 +80000100: 00100713 li a4,1 +80000104: fff00793 li a5,-1 +80000108: 80000837 lui a6,0x80000 +8000010c: fff80813 addi a6,a6,-1 # 7fffffff <_end+0xffffef3f> +80000110: 800008b7 lui a7,0x80000 +80000114: 00000f93 li t6,0 +80000118: 00d61463 bne a2,a3,80000120 <_start+0x120> +8000011c: 001fef93 ori t6,t6,1 +80000120: 00e61463 bne a2,a4,80000128 <_start+0x128> +80000124: 002fef93 ori t6,t6,2 +80000128: 00f61463 bne a2,a5,80000130 <_start+0x130> +8000012c: 004fef93 ori t6,t6,4 +80000130: 01061463 bne a2,a6,80000138 <_start+0x138> +80000134: 008fef93 ori t6,t6,8 +80000138: 01161463 bne a2,a7,80000140 <_start+0x140> +8000013c: 010fef93 ori t6,t6,16 +80000140: 00c12023 sw a2,0(sp) +80000144: 00d12223 sw a3,4(sp) +80000148: 00e12423 sw a4,8(sp) +8000014c: 00f12623 sw a5,12(sp) +80000150: 01012823 sw a6,16(sp) +80000154: 01112a23 sw a7,20(sp) +80000158: 01f12c23 sw t6,24(sp) +8000015c: 00001097 auipc ra,0x1 +80000160: eb008093 addi ra,ra,-336 # 8000100c +80000164: 00001117 auipc sp,0x1 +80000168: f1010113 addi sp,sp,-240 # 80001074 +8000016c: 0000a903 lw s2,0(ra) +80000170: 00000993 li s3,0 +80000174: 00100a13 li s4,1 +80000178: fff00a93 li s5,-1 +8000017c: 80000b37 lui s6,0x80000 +80000180: fffb0b13 addi s6,s6,-1 # 7fffffff <_end+0xffffef3f> +80000184: 80000bb7 lui s7,0x80000 +80000188: 00000f93 li t6,0 +8000018c: 01391463 bne s2,s3,80000194 <_start+0x194> +80000190: 001fef93 ori t6,t6,1 +80000194: 01491463 bne s2,s4,8000019c <_start+0x19c> +80000198: 002fef93 ori t6,t6,2 +8000019c: 01591463 bne s2,s5,800001a4 <_start+0x1a4> +800001a0: 004fef93 ori t6,t6,4 +800001a4: 01691463 bne s2,s6,800001ac <_start+0x1ac> +800001a8: 008fef93 ori t6,t6,8 +800001ac: 01791463 bne s2,s7,800001b4 <_start+0x1b4> +800001b0: 010fef93 ori t6,t6,16 +800001b4: 01212023 sw s2,0(sp) +800001b8: 01312223 sw s3,4(sp) +800001bc: 01412423 sw s4,8(sp) +800001c0: 01512623 sw s5,12(sp) +800001c4: 01612823 sw s6,16(sp) +800001c8: 01712a23 sw s7,20(sp) +800001cc: 01f12c23 sw t6,24(sp) +800001d0: 00001097 auipc ra,0x1 +800001d4: e4008093 addi ra,ra,-448 # 80001010 +800001d8: 00001117 auipc sp,0x1 +800001dc: eb810113 addi sp,sp,-328 # 80001090 +800001e0: 0000ac03 lw s8,0(ra) +800001e4: 00000c93 li s9,0 +800001e8: 00100d13 li s10,1 +800001ec: fff00d93 li s11,-1 +800001f0: 80000e37 lui t3,0x80000 +800001f4: fffe0e13 addi t3,t3,-1 # 7fffffff <_end+0xffffef3f> +800001f8: 80000eb7 lui t4,0x80000 +800001fc: 00000f93 li t6,0 +80000200: 019c1463 bne s8,s9,80000208 <_start+0x208> +80000204: 001fef93 ori t6,t6,1 +80000208: 01ac1463 bne s8,s10,80000210 <_start+0x210> +8000020c: 002fef93 ori t6,t6,2 +80000210: 01bc1463 bne s8,s11,80000218 <_start+0x218> +80000214: 004fef93 ori t6,t6,4 +80000218: 01cc1463 bne s8,t3,80000220 <_start+0x220> +8000021c: 008fef93 ori t6,t6,8 +80000220: 01dc1463 bne s8,t4,80000228 <_start+0x228> +80000224: 010fef93 ori t6,t6,16 +80000228: 01812023 sw s8,0(sp) +8000022c: 01912223 sw s9,4(sp) +80000230: 01a12423 sw s10,8(sp) +80000234: 01b12623 sw s11,12(sp) +80000238: 01c12823 sw t3,16(sp) +8000023c: 01d12a23 sw t4,20(sp) +80000240: 01f12c23 sw t6,24(sp) +80000244: 00001d97 auipc s11,0x1 +80000248: e68d8d93 addi s11,s11,-408 # 800010ac +8000024c: 00000093 li ra,0 +80000250: 00100113 li sp,1 +80000254: fff00193 li gp,-1 +80000258: 80000237 lui tp,0x80000 +8000025c: fff20213 addi tp,tp,-1 # 7fffffff <_end+0xffffef3f> +80000260: 800002b7 lui t0,0x80000 +80000264: 00000f93 li t6,0 +80000268: 00009463 bnez ra,80000270 <_start+0x270> +8000026c: 001fef93 ori t6,t6,1 +80000270: 00011463 bnez sp,80000278 <_start+0x278> +80000274: 002fef93 ori t6,t6,2 +80000278: 00019463 bnez gp,80000280 <_start+0x280> +8000027c: 004fef93 ori t6,t6,4 +80000280: 00021463 bnez tp,80000288 <_start+0x288> +80000284: 008fef93 ori t6,t6,8 +80000288: 00029463 bnez t0,80000290 <_start+0x290> +8000028c: 010fef93 ori t6,t6,16 +80000290: 00101463 bne zero,ra,80000298 <_start+0x298> +80000294: 020fef93 ori t6,t6,32 +80000298: 00201463 bne zero,sp,800002a0 <_start+0x2a0> +8000029c: 040fef93 ori t6,t6,64 +800002a0: 00301463 bne zero,gp,800002a8 <_start+0x2a8> +800002a4: 080fef93 ori t6,t6,128 +800002a8: 00401463 bne zero,tp,800002b0 <_start+0x2b0> +800002ac: 100fef93 ori t6,t6,256 +800002b0: 00501463 bne zero,t0,800002b8 <_start+0x2b8> +800002b4: 200fef93 ori t6,t6,512 +800002b8: 01fda023 sw t6,0(s11) +800002bc: 00001a97 auipc s5,0x1 +800002c0: d58a8a93 addi s5,s5,-680 # 80001014 +800002c4: 00001b17 auipc s6,0x1 +800002c8: decb0b13 addi s6,s6,-532 # 800010b0 +800002cc: 000aaf83 lw t6,0(s5) +800002d0: fff00113 li sp,-1 +800002d4: fff00193 li gp,-1 +800002d8: 0fedd237 lui tp,0xfedd +800002dc: ba920213 addi tp,tp,-1111 # fedcba9 <_start-0x70123457> +800002e0: 020f9463 bnez t6,80000308 <_start+0x308> +800002e4: 00000113 li sp,0 +800002e8: 00000193 li gp,0 +800002ec: 00000213 li tp,0 +800002f0: 876541b7 lui gp,0x87654 +800002f4: 32118193 addi gp,gp,801 # 87654321 <_end+0x7653261> +800002f8: 020f9463 bnez t6,80000320 <_start+0x320> +800002fc: 00000113 li sp,0 +80000300: 00000193 li gp,0 +80000304: 00000213 li tp,0 +80000308: 9abce137 lui sp,0x9abce +8000030c: ef010113 addi sp,sp,-272 # 9abcdef0 <_end+0x1abcce30> +80000310: fe0f90e3 bnez t6,800002f0 <_start+0x2f0> +80000314: 00000113 li sp,0 +80000318: 00000193 li gp,0 +8000031c: 00000213 li tp,0 +80000320: 000b2023 sw zero,0(s6) +80000324: 002b2223 sw sp,4(s6) +80000328: 003b2423 sw gp,8(s6) +8000032c: 004b2623 sw tp,12(s6) +80000330: 00001517 auipc a0,0x1 +80000334: cf050513 addi a0,a0,-784 # 80001020 +80000338: 00001597 auipc a1,0x1 +8000033c: d8858593 addi a1,a1,-632 # 800010c0 <_end> +80000340: f0100637 lui a2,0xf0100 +80000344: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee6c> + +80000348 : +80000348: 02b50663 beq a0,a1,80000374 +8000034c: 00c52683 lw a3,12(a0) +80000350: 00d62023 sw a3,0(a2) +80000354: 00852683 lw a3,8(a0) +80000358: 00d62023 sw a3,0(a2) +8000035c: 00452683 lw a3,4(a0) +80000360: 00d62023 sw a3,0(a2) +80000364: 00052683 lw a3,0(a0) +80000368: 00d62023 sw a3,0(a2) +8000036c: 01050513 addi a0,a0,16 +80000370: fd9ff06f j 80000348 + +80000374 : +80000374: f0100537 lui a0,0xf0100 +80000378: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee60> +8000037c: 00052023 sw zero,0(a0) +80000380: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: 0000 unimp + ... + +80001004 : +80001004: 0001 nop + ... + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: 7fff 0x7fff + +80001010 : +80001010: 0000 unimp +80001012: 8000 0x8000 + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff + ... + +80001020 : +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff + +80001058 : +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff + +80001074 : +80001074: ffff 0xffff +80001076: ffff 0xffff +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff + +80001090 : +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff +800010a8: ffff 0xffff +800010aa: ffff 0xffff + +800010ac : +800010ac: ffff 0xffff +800010ae: ffff 0xffff + +800010b0 : +800010b0: ffff 0xffff +800010b2: ffff 0xffff +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-CSRRC-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-CSRRC-01.elf.objdump new file mode 100644 index 0000000..125a2d2 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-CSRRC-01.elf.objdump @@ -0,0 +1,188 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-CSRRC-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001797 auipc a5,0x1 +80000004: 00078793 mv a5,a5 +80000008: 00100093 li ra,1 +8000000c: 00000113 li sp,0 +80000010: 7ff101b7 lui gp,0x7ff10 +80000014: fff18193 addi gp,gp,-1 # 7ff0ffff <_start-0xf0001> +80000018: 80000237 lui tp,0x80000 +8000001c: fff00293 li t0,-1 +80000020: 34029073 csrw mscratch,t0 +80000024: 3400b0f3 csrrc ra,mscratch,ra +80000028: 340290f3 csrrw ra,mscratch,t0 +8000002c: 34013173 csrrc sp,mscratch,sp +80000030: 34029173 csrrw sp,mscratch,t0 +80000034: 3401b1f3 csrrc gp,mscratch,gp +80000038: 340291f3 csrrw gp,mscratch,t0 +8000003c: 34023273 csrrc tp,mscratch,tp +80000040: 34029273 csrrw tp,mscratch,t0 +80000044: 3402b2f3 csrrc t0,mscratch,t0 +80000048: 340012f3 csrrw t0,mscratch,zero +8000004c: 0007a023 sw zero,0(a5) # 80001000 +80000050: 0017a223 sw ra,4(a5) +80000054: 0027a423 sw sp,8(a5) +80000058: 0037a623 sw gp,12(a5) +8000005c: 0047a823 sw tp,16(a5) +80000060: 0057aa23 sw t0,20(a5) +80000064: 00001297 auipc t0,0x1 +80000068: fb428293 addi t0,t0,-76 # 80001018 +8000006c: 00100593 li a1,1 +80000070: 00000613 li a2,0 +80000074: 7ff106b7 lui a3,0x7ff10 +80000078: fff68693 addi a3,a3,-1 # 7ff0ffff <_start-0xf0001> +8000007c: 80000737 lui a4,0x80000 +80000080: fff00793 li a5,-1 +80000084: 34079073 csrw mscratch,a5 +80000088: 3405b5f3 csrrc a1,mscratch,a1 +8000008c: 34063673 csrrc a2,mscratch,a2 +80000090: 3406b6f3 csrrc a3,mscratch,a3 +80000094: 34073773 csrrc a4,mscratch,a4 +80000098: 3407b7f3 csrrc a5,mscratch,a5 +8000009c: 34003873 csrrc a6,mscratch,zero +800000a0: 00b2a023 sw a1,0(t0) +800000a4: 00c2a223 sw a2,4(t0) +800000a8: 00d2a423 sw a3,8(t0) +800000ac: 00e2a623 sw a4,12(t0) +800000b0: 00f2a823 sw a5,16(t0) +800000b4: 0102aa23 sw a6,20(t0) +800000b8: 00001d17 auipc s10,0x1 +800000bc: f78d0d13 addi s10,s10,-136 # 80001030 +800000c0: 12345ab7 lui s5,0x12345 +800000c4: 678a8a93 addi s5,s5,1656 # 12345678 <_start-0x6dcba988> +800000c8: fff00a13 li s4,-1 +800000cc: 340a1073 csrw mscratch,s4 +800000d0: 340abb73 csrrc s6,mscratch,s5 +800000d4: 340b3af3 csrrc s5,mscratch,s6 +800000d8: 340a1bf3 csrrw s7,mscratch,s4 +800000dc: 340bbc73 csrrc s8,mscratch,s7 +800000e0: 34003cf3 csrrc s9,mscratch,zero +800000e4: 015d2023 sw s5,0(s10) +800000e8: 016d2223 sw s6,4(s10) +800000ec: 017d2423 sw s7,8(s10) +800000f0: 018d2623 sw s8,12(s10) +800000f4: 019d2823 sw s9,16(s10) +800000f8: 00001097 auipc ra,0x1 +800000fc: f4c08093 addi ra,ra,-180 # 80001044 +80000100: 42727f37 lui t5,0x42727 +80000104: e6ff0f13 addi t5,t5,-401 # 42726e6f <_start-0x3d8d9191> +80000108: 340f1073 csrw mscratch,t5 +8000010c: 340f3073 csrc mscratch,t5 +80000110: 0000a023 sw zero,0(ra) +80000114: 01e0a223 sw t5,4(ra) +80000118: 00001117 auipc sp,0x1 +8000011c: f3410113 addi sp,sp,-204 # 8000104c +80000120: f7ff9fb7 lui t6,0xf7ff9 +80000124: 818f8f93 addi t6,t6,-2024 # f7ff8818 <_end+0x77ff77b8> +80000128: 340f9073 csrw mscratch,t6 +8000012c: 34003073 csrc mscratch,zero +80000130: 34003073 csrc mscratch,zero +80000134: 34003ff3 csrrc t6,mscratch,zero +80000138: 00012023 sw zero,0(sp) +8000013c: 01f12223 sw t6,4(sp) +80000140: 00001117 auipc sp,0x1 +80000144: f1410113 addi sp,sp,-236 # 80001054 +80000148: fff00213 li tp,-1 +8000014c: 963852b7 lui t0,0x96385 +80000150: 27428293 addi t0,t0,628 # 96385274 <_end+0x16384214> +80000154: 321653b7 lui t2,0x32165 +80000158: 49838393 addi t2,t2,1176 # 32165498 <_start-0x4de9ab68> +8000015c: 34021073 csrw mscratch,tp +80000160: 3402b2f3 csrrc t0,mscratch,t0 +80000164: 3403b3f3 csrrc t2,mscratch,t2 +80000168: 34043473 csrrc s0,mscratch,s0 +8000016c: 00512023 sw t0,0(sp) +80000170: 00712223 sw t2,4(sp) +80000174: 00812423 sw s0,8(sp) +80000178: 00001517 auipc a0,0x1 +8000017c: e8850513 addi a0,a0,-376 # 80001000 +80000180: 00001597 auipc a1,0x1 +80000184: ee058593 addi a1,a1,-288 # 80001060 <_end> +80000188: f0100637 lui a2,0xf0100 +8000018c: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feecc> + +80000190 : +80000190: 02b50663 beq a0,a1,800001bc +80000194: 00c52683 lw a3,12(a0) +80000198: 00d62023 sw a3,0(a2) +8000019c: 00852683 lw a3,8(a0) +800001a0: 00d62023 sw a3,0(a2) +800001a4: 00452683 lw a3,4(a0) +800001a8: 00d62023 sw a3,0(a2) +800001ac: 00052683 lw a3,0(a0) +800001b0: 00d62023 sw a3,0(a2) +800001b4: 01050513 addi a0,a0,16 +800001b8: fd9ff06f j 80000190 + +800001bc : +800001bc: f0100537 lui a0,0xf0100 +800001c0: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feec0> +800001c4: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff +80001014: ffff 0xffff +80001016: ffff 0xffff + +80001018 : +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff + +80001030 : +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff + +80001044 : +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff + +8000104c : +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff + +80001054 : +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-CSRRCI-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-CSRRCI-01.elf.objdump new file mode 100644 index 0000000..3699174 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-CSRRCI-01.elf.objdump @@ -0,0 +1,119 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-CSRRCI-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001797 auipc a5,0x1 +80000004: 00078793 mv a5,a5 +80000008: fff00413 li s0,-1 +8000000c: 34041073 csrw mscratch,s0 +80000010: 3400f0f3 csrrci ra,mscratch,1 +80000014: 340410f3 csrrw ra,mscratch,s0 +80000018: 34007173 csrrci sp,mscratch,0 +8000001c: 34041173 csrrw sp,mscratch,s0 +80000020: 340ff1f3 csrrci gp,mscratch,31 +80000024: 340411f3 csrrw gp,mscratch,s0 +80000028: 34087273 csrrci tp,mscratch,16 +8000002c: 34041273 csrrw tp,mscratch,s0 +80000030: 3407f2f3 csrrci t0,mscratch,15 +80000034: 340412f3 csrrw t0,mscratch,s0 +80000038: 0007a023 sw zero,0(a5) # 80001000 +8000003c: 0017a223 sw ra,4(a5) +80000040: 0027a423 sw sp,8(a5) +80000044: 0037a623 sw gp,12(a5) +80000048: 0047a823 sw tp,16(a5) +8000004c: 0057aa23 sw t0,20(a5) +80000050: 0087ac23 sw s0,24(a5) +80000054: 00001297 auipc t0,0x1 +80000058: fc828293 addi t0,t0,-56 # 8000101c +8000005c: fff00413 li s0,-1 +80000060: 34041073 csrw mscratch,s0 +80000064: 3400f5f3 csrrci a1,mscratch,1 +80000068: 34007673 csrrci a2,mscratch,0 +8000006c: 340ff6f3 csrrci a3,mscratch,31 +80000070: 34087773 csrrci a4,mscratch,16 +80000074: 3407f7f3 csrrci a5,mscratch,15 +80000078: 34007873 csrrci a6,mscratch,0 +8000007c: 00b2a023 sw a1,0(t0) +80000080: 00c2a223 sw a2,4(t0) +80000084: 00d2a423 sw a3,8(t0) +80000088: 00e2a623 sw a4,12(t0) +8000008c: 00f2a823 sw a5,16(t0) +80000090: 0102aa23 sw a6,20(t0) +80000094: 0082ac23 sw s0,24(t0) +80000098: 00001097 auipc ra,0x1 +8000009c: fa008093 addi ra,ra,-96 # 80001038 +800000a0: 32165a37 lui s4,0x32165 +800000a4: 498a0a13 addi s4,s4,1176 # 32165498 <_start-0x4de9ab68> +800000a8: 340a1073 csrw mscratch,s4 +800000ac: 3407f073 csrci mscratch,15 +800000b0: 340a1a73 csrrw s4,mscratch,s4 +800000b4: 0000a023 sw zero,0(ra) +800000b8: 0140a223 sw s4,4(ra) +800000bc: 00001517 auipc a0,0x1 +800000c0: f4450513 addi a0,a0,-188 # 80001000 +800000c4: 00001597 auipc a1,0x1 +800000c8: f7c58593 addi a1,a1,-132 # 80001040 <_end> +800000cc: f0100637 lui a2,0xf0100 +800000d0: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feeec> + +800000d4 : +800000d4: 02b50663 beq a0,a1,80000100 +800000d8: 00c52683 lw a3,12(a0) +800000dc: 00d62023 sw a3,0(a2) +800000e0: 00852683 lw a3,8(a0) +800000e4: 00d62023 sw a3,0(a2) +800000e8: 00452683 lw a3,4(a0) +800000ec: 00d62023 sw a3,0(a2) +800000f0: 00052683 lw a3,0(a0) +800000f4: 00d62023 sw a3,0(a2) +800000f8: 01050513 addi a0,a0,16 +800000fc: fd9ff06f j 800000d4 + +80000100 : +80000100: f0100537 lui a0,0xf0100 +80000104: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feee0> +80000108: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff + +8000101c : +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff + +80001038 : +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-CSRRS-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-CSRRS-01.elf.objdump new file mode 100644 index 0000000..7b672b2 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-CSRRS-01.elf.objdump @@ -0,0 +1,187 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-CSRRS-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001797 auipc a5,0x1 +80000004: 00078793 mv a5,a5 +80000008: 00100093 li ra,1 +8000000c: 00000113 li sp,0 +80000010: 7ff101b7 lui gp,0x7ff10 +80000014: fff18193 addi gp,gp,-1 # 7ff0ffff <_start-0xf0001> +80000018: 80000237 lui tp,0x80000 +8000001c: fff00293 li t0,-1 +80000020: 34001073 csrw mscratch,zero +80000024: 3400a0f3 csrrs ra,mscratch,ra +80000028: 340010f3 csrrw ra,mscratch,zero +8000002c: 34012173 csrrs sp,mscratch,sp +80000030: 34001173 csrrw sp,mscratch,zero +80000034: 3401a1f3 csrrs gp,mscratch,gp +80000038: 340011f3 csrrw gp,mscratch,zero +8000003c: 34022273 csrrs tp,mscratch,tp +80000040: 34001273 csrrw tp,mscratch,zero +80000044: 3402a2f3 csrrs t0,mscratch,t0 +80000048: 340012f3 csrrw t0,mscratch,zero +8000004c: 0007a023 sw zero,0(a5) # 80001000 +80000050: 0017a223 sw ra,4(a5) +80000054: 0027a423 sw sp,8(a5) +80000058: 0037a623 sw gp,12(a5) +8000005c: 0047a823 sw tp,16(a5) +80000060: 0057aa23 sw t0,20(a5) +80000064: 00001297 auipc t0,0x1 +80000068: fb428293 addi t0,t0,-76 # 80001018 +8000006c: 00100593 li a1,1 +80000070: 00000613 li a2,0 +80000074: 7ff106b7 lui a3,0x7ff10 +80000078: fff68693 addi a3,a3,-1 # 7ff0ffff <_start-0xf0001> +8000007c: 80000737 lui a4,0x80000 +80000080: fff00793 li a5,-1 +80000084: 34001073 csrw mscratch,zero +80000088: 3405a5f3 csrrs a1,mscratch,a1 +8000008c: 34062673 csrrs a2,mscratch,a2 +80000090: 3406a6f3 csrrs a3,mscratch,a3 +80000094: 34072773 csrrs a4,mscratch,a4 +80000098: 3407a7f3 csrrs a5,mscratch,a5 +8000009c: 34002873 csrr a6,mscratch +800000a0: 00b2a023 sw a1,0(t0) +800000a4: 00c2a223 sw a2,4(t0) +800000a8: 00d2a423 sw a3,8(t0) +800000ac: 00e2a623 sw a4,12(t0) +800000b0: 00f2a823 sw a5,16(t0) +800000b4: 0102aa23 sw a6,20(t0) +800000b8: 00001d17 auipc s10,0x1 +800000bc: f78d0d13 addi s10,s10,-136 # 80001030 +800000c0: 12345ab7 lui s5,0x12345 +800000c4: 678a8a93 addi s5,s5,1656 # 12345678 <_start-0x6dcba988> +800000c8: 34001073 csrw mscratch,zero +800000cc: 340aab73 csrrs s6,mscratch,s5 +800000d0: 340b2bf3 csrrs s7,mscratch,s6 +800000d4: 34001bf3 csrrw s7,mscratch,zero +800000d8: 340bac73 csrrs s8,mscratch,s7 +800000dc: 34002cf3 csrr s9,mscratch +800000e0: 015d2023 sw s5,0(s10) +800000e4: 016d2223 sw s6,4(s10) +800000e8: 017d2423 sw s7,8(s10) +800000ec: 018d2623 sw s8,12(s10) +800000f0: 019d2823 sw s9,16(s10) +800000f4: 00001097 auipc ra,0x1 +800000f8: f5008093 addi ra,ra,-176 # 80001044 +800000fc: 42727f37 lui t5,0x42727 +80000100: e6ff0f13 addi t5,t5,-401 # 42726e6f <_start-0x3d8d9191> +80000104: 340f1073 csrw mscratch,t5 +80000108: 340f2073 csrs mscratch,t5 +8000010c: 0000a023 sw zero,0(ra) +80000110: 01e0a223 sw t5,4(ra) +80000114: 00001117 auipc sp,0x1 +80000118: f3810113 addi sp,sp,-200 # 8000104c +8000011c: f7ff9fb7 lui t6,0xf7ff9 +80000120: 818f8f93 addi t6,t6,-2024 # f7ff8818 <_end+0x77ff77b8> +80000124: 340f9073 csrw mscratch,t6 +80000128: 34002073 csrr zero,mscratch +8000012c: 34002073 csrr zero,mscratch +80000130: 34002ff3 csrr t6,mscratch +80000134: 00012023 sw zero,0(sp) +80000138: 01f12223 sw t6,4(sp) +8000013c: 00001117 auipc sp,0x1 +80000140: f1810113 addi sp,sp,-232 # 80001054 +80000144: 321653b7 lui t2,0x32165 +80000148: 49838393 addi t2,t2,1176 # 32165498 <_start-0x4de9ab68> +8000014c: 963852b7 lui t0,0x96385 +80000150: 27428293 addi t0,t0,628 # 96385274 <_end+0x16384214> +80000154: 34001073 csrw mscratch,zero +80000158: 3402a2f3 csrrs t0,mscratch,t0 +8000015c: 3403a3f3 csrrs t2,mscratch,t2 +80000160: 34042473 csrrs s0,mscratch,s0 +80000164: 00512023 sw t0,0(sp) +80000168: 00712223 sw t2,4(sp) +8000016c: 00812423 sw s0,8(sp) +80000170: 00001517 auipc a0,0x1 +80000174: e9050513 addi a0,a0,-368 # 80001000 +80000178: 00001597 auipc a1,0x1 +8000017c: ee858593 addi a1,a1,-280 # 80001060 <_end> +80000180: f0100637 lui a2,0xf0100 +80000184: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feecc> + +80000188 : +80000188: 02b50663 beq a0,a1,800001b4 +8000018c: 00c52683 lw a3,12(a0) +80000190: 00d62023 sw a3,0(a2) +80000194: 00852683 lw a3,8(a0) +80000198: 00d62023 sw a3,0(a2) +8000019c: 00452683 lw a3,4(a0) +800001a0: 00d62023 sw a3,0(a2) +800001a4: 00052683 lw a3,0(a0) +800001a8: 00d62023 sw a3,0(a2) +800001ac: 01050513 addi a0,a0,16 +800001b0: fd9ff06f j 80000188 + +800001b4 : +800001b4: f0100537 lui a0,0xf0100 +800001b8: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feec0> +800001bc: 00052023 sw zero,0(a0) +800001c0: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff +80001014: ffff 0xffff +80001016: ffff 0xffff + +80001018 : +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff + +80001030 : +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff + +80001044 : +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff + +8000104c : +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff + +80001054 : +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-CSRRSI-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-CSRRSI-01.elf.objdump new file mode 100644 index 0000000..64cd496 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-CSRRSI-01.elf.objdump @@ -0,0 +1,116 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-CSRRSI-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001797 auipc a5,0x1 +80000004: 00078793 mv a5,a5 +80000008: 34001073 csrw mscratch,zero +8000000c: 3400e0f3 csrrsi ra,mscratch,1 +80000010: 340010f3 csrrw ra,mscratch,zero +80000014: 34006173 csrrsi sp,mscratch,0 +80000018: 34001173 csrrw sp,mscratch,zero +8000001c: 340fe1f3 csrrsi gp,mscratch,31 +80000020: 340011f3 csrrw gp,mscratch,zero +80000024: 34086273 csrrsi tp,mscratch,16 +80000028: 34001273 csrrw tp,mscratch,zero +8000002c: 3407e2f3 csrrsi t0,mscratch,15 +80000030: 340012f3 csrrw t0,mscratch,zero +80000034: 0007a023 sw zero,0(a5) # 80001000 +80000038: 0017a223 sw ra,4(a5) +8000003c: 0027a423 sw sp,8(a5) +80000040: 0037a623 sw gp,12(a5) +80000044: 0047a823 sw tp,16(a5) +80000048: 0057aa23 sw t0,20(a5) +8000004c: 00001297 auipc t0,0x1 +80000050: fcc28293 addi t0,t0,-52 # 80001018 +80000054: 34001073 csrw mscratch,zero +80000058: 3400e5f3 csrrsi a1,mscratch,1 +8000005c: 34006673 csrrsi a2,mscratch,0 +80000060: 340fe6f3 csrrsi a3,mscratch,31 +80000064: 34086773 csrrsi a4,mscratch,16 +80000068: 3407e7f3 csrrsi a5,mscratch,15 +8000006c: 34006873 csrrsi a6,mscratch,0 +80000070: 0002a023 sw zero,0(t0) +80000074: 00b2a223 sw a1,4(t0) +80000078: 00c2a423 sw a2,8(t0) +8000007c: 00d2a623 sw a3,12(t0) +80000080: 00e2a823 sw a4,16(t0) +80000084: 00f2aa23 sw a5,20(t0) +80000088: 0102ac23 sw a6,24(t0) +8000008c: 00001097 auipc ra,0x1 +80000090: fa808093 addi ra,ra,-88 # 80001034 +80000094: 32165a37 lui s4,0x32165 +80000098: 498a0a13 addi s4,s4,1176 # 32165498 <_start-0x4de9ab68> +8000009c: 340a1073 csrw mscratch,s4 +800000a0: 3407e073 csrsi mscratch,15 +800000a4: 340a1af3 csrrw s5,mscratch,s4 +800000a8: 0000a023 sw zero,0(ra) +800000ac: 0150a223 sw s5,4(ra) +800000b0: 0140a423 sw s4,8(ra) +800000b4: 00001517 auipc a0,0x1 +800000b8: f4c50513 addi a0,a0,-180 # 80001000 +800000bc: 00001597 auipc a1,0x1 +800000c0: f8458593 addi a1,a1,-124 # 80001040 <_end> +800000c4: f0100637 lui a2,0xf0100 +800000c8: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feeec> + +800000cc : +800000cc: 02b50663 beq a0,a1,800000f8 +800000d0: 00c52683 lw a3,12(a0) +800000d4: 00d62023 sw a3,0(a2) +800000d8: 00852683 lw a3,8(a0) +800000dc: 00d62023 sw a3,0(a2) +800000e0: 00452683 lw a3,4(a0) +800000e4: 00d62023 sw a3,0(a2) +800000e8: 00052683 lw a3,0(a0) +800000ec: 00d62023 sw a3,0(a2) +800000f0: 01050513 addi a0,a0,16 +800000f4: fd9ff06f j 800000cc + +800000f8 : +800000f8: f0100537 lui a0,0xf0100 +800000fc: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feee0> +80000100: 00052023 sw zero,0(a0) + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff +80001014: ffff 0xffff +80001016: ffff 0xffff + +80001018 : +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff + +80001034 : +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-CSRRW-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-CSRRW-01.elf.objdump new file mode 100644 index 0000000..3791434 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-CSRRW-01.elf.objdump @@ -0,0 +1,145 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-CSRRW-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001797 auipc a5,0x1 +80000004: 00078793 mv a5,a5 +80000008: 00100093 li ra,1 +8000000c: 00000193 li gp,0 +80000010: fff00293 li t0,-1 +80000014: 80000db7 lui s11,0x80000 +80000018: fffd8d93 addi s11,s11,-1 # 7fffffff <_end+0xffffefbf> +8000001c: 80000eb7 lui t4,0x80000 +80000020: 34001073 csrw mscratch,zero +80000024: 34009173 csrrw sp,mscratch,ra +80000028: 34019273 csrrw tp,mscratch,gp +8000002c: 34029373 csrrw t1,mscratch,t0 +80000030: 340d9e73 csrrw t3,mscratch,s11 +80000034: 340e9f73 csrrw t5,mscratch,t4 +80000038: 34001ff3 csrrw t6,mscratch,zero +8000003c: 0027a023 sw sp,0(a5) # 80001000 +80000040: 0047a223 sw tp,4(a5) +80000044: 0067a423 sw t1,8(a5) +80000048: 01c7a623 sw t3,12(a5) +8000004c: 01e7a823 sw t5,16(a5) +80000050: 01f7aa23 sw t6,20(a5) +80000054: 00001d17 auipc s10,0x1 +80000058: fc4d0d13 addi s10,s10,-60 # 80001018 +8000005c: 123450b7 lui ra,0x12345 +80000060: 67808093 addi ra,ra,1656 # 12345678 <_start-0x6dcba988> +80000064: 9abce137 lui sp,0x9abce +80000068: ef010113 addi sp,sp,-272 # 9abcdef0 <_end+0x1abcceb0> +8000006c: 34009073 csrw mscratch,ra +80000070: 340111f3 csrrw gp,mscratch,sp +80000074: 34019273 csrrw tp,mscratch,gp +80000078: 340212f3 csrrw t0,mscratch,tp +8000007c: 34001373 csrrw t1,mscratch,zero +80000080: 003d2023 sw gp,0(s10) +80000084: 004d2223 sw tp,4(s10) +80000088: 005d2423 sw t0,8(s10) +8000008c: 006d2623 sw t1,12(s10) +80000090: 00001097 auipc ra,0x1 +80000094: f9808093 addi ra,ra,-104 # 80001028 +80000098: 42727137 lui sp,0x42727 +8000009c: e6f10113 addi sp,sp,-401 # 42726e6f <_start-0x3d8d9191> +800000a0: 34011073 csrw mscratch,sp +800000a4: 34001073 csrw mscratch,zero +800000a8: 0000a023 sw zero,0(ra) +800000ac: 00001117 auipc sp,0x1 +800000b0: f8010113 addi sp,sp,-128 # 8000102c +800000b4: f7ff9db7 lui s11,0xf7ff9 +800000b8: 818d8d93 addi s11,s11,-2024 # f7ff8818 <_end+0x77ff77d8> +800000bc: 340d9073 csrw mscratch,s11 +800000c0: 34001073 csrw mscratch,zero +800000c4: 34001073 csrw mscratch,zero +800000c8: 340012f3 csrrw t0,mscratch,zero +800000cc: 00012023 sw zero,0(sp) +800000d0: 00512223 sw t0,4(sp) +800000d4: 00001117 auipc sp,0x1 +800000d8: f6010113 addi sp,sp,-160 # 80001034 +800000dc: 321653b7 lui t2,0x32165 +800000e0: 49838393 addi t2,t2,1176 # 32165498 <_start-0x4de9ab68> +800000e4: 14726337 lui t1,0x14726 +800000e8: 83630313 addi t1,t1,-1994 # 14725836 <_start-0x6b8da7ca> +800000ec: 963852b7 lui t0,0x96385 +800000f0: 27428293 addi t0,t0,628 # 96385274 <_end+0x16384234> +800000f4: 34031073 csrw mscratch,t1 +800000f8: 340292f3 csrrw t0,mscratch,t0 +800000fc: 340393f3 csrrw t2,mscratch,t2 +80000100: 34001473 csrrw s0,mscratch,zero +80000104: 00512023 sw t0,0(sp) +80000108: 00712223 sw t2,4(sp) +8000010c: 00812423 sw s0,8(sp) +80000110: 00001517 auipc a0,0x1 +80000114: ef050513 addi a0,a0,-272 # 80001000 +80000118: 00001597 auipc a1,0x1 +8000011c: f2858593 addi a1,a1,-216 # 80001040 <_end> +80000120: f0100637 lui a2,0xf0100 +80000124: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feeec> + +80000128 : +80000128: 02b50663 beq a0,a1,80000154 +8000012c: 00c52683 lw a3,12(a0) +80000130: 00d62023 sw a3,0(a2) +80000134: 00852683 lw a3,8(a0) +80000138: 00d62023 sw a3,0(a2) +8000013c: 00452683 lw a3,4(a0) +80000140: 00d62023 sw a3,0(a2) +80000144: 00052683 lw a3,0(a0) +80000148: 00d62023 sw a3,0(a2) +8000014c: 01050513 addi a0,a0,16 +80000150: fd9ff06f j 80000128 + +80000154 : +80000154: f0100537 lui a0,0xf0100 +80000158: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feee0> +8000015c: 00052023 sw zero,0(a0) +80000160: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff +80001014: ffff 0xffff +80001016: ffff 0xffff + +80001018 : +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff + +8000102c : +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff + +80001034 : +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-CSRRWI-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-CSRRWI-01.elf.objdump new file mode 100644 index 0000000..b1307ce --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-CSRRWI-01.elf.objdump @@ -0,0 +1,74 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-CSRRWI-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001797 auipc a5,0x1 +80000004: 00078793 mv a5,a5 +80000008: 34001073 csrw mscratch,zero +8000000c: 3400d173 csrrwi sp,mscratch,1 +80000010: 34005273 csrrwi tp,mscratch,0 +80000014: 340fd373 csrrwi t1,mscratch,31 +80000018: 3407de73 csrrwi t3,mscratch,15 +8000001c: 34085f73 csrrwi t5,mscratch,16 +80000020: 34005ff3 csrrwi t6,mscratch,0 +80000024: 0007a023 sw zero,0(a5) # 80001000 +80000028: 0027a223 sw sp,4(a5) +8000002c: 0047a423 sw tp,8(a5) +80000030: 0067a623 sw t1,12(a5) +80000034: 01c7a823 sw t3,16(a5) +80000038: 01e7aa23 sw t5,20(a5) +8000003c: 01f7ac23 sw t6,24(a5) +80000040: 00001097 auipc ra,0x1 +80000044: fdc08093 addi ra,ra,-36 # 8000101c +80000048: 3407d073 csrwi mscratch,15 +8000004c: 34005073 csrwi mscratch,0 +80000050: 0000a023 sw zero,0(ra) +80000054: 00001517 auipc a0,0x1 +80000058: fac50513 addi a0,a0,-84 # 80001000 +8000005c: 00001597 auipc a1,0x1 +80000060: fc458593 addi a1,a1,-60 # 80001020 <_end> +80000064: f0100637 lui a2,0xf0100 +80000068: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fef0c> + +8000006c : +8000006c: 02b50663 beq a0,a1,80000098 +80000070: 00c52683 lw a3,12(a0) +80000074: 00d62023 sw a3,0(a2) +80000078: 00852683 lw a3,8(a0) +8000007c: 00d62023 sw a3,0(a2) +80000080: 00452683 lw a3,4(a0) +80000084: 00d62023 sw a3,0(a2) +80000088: 00052683 lw a3,0(a0) +8000008c: 00d62023 sw a3,0(a2) +80000090: 01050513 addi a0,a0,16 +80000094: fd9ff06f j 8000006c + +80000098 : +80000098: f0100537 lui a0,0xf0100 +8000009c: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fef00> +800000a0: 00052023 sw zero,0(a0) + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff + +8000101c : +8000101c: ffff 0xffff +8000101e: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-DELAY_SLOTS-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-DELAY_SLOTS-01.elf.objdump new file mode 100644 index 0000000..00fc5fb --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-DELAY_SLOTS-01.elf.objdump @@ -0,0 +1,136 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-DELAY_SLOTS-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 11111137 lui sp,0x11111 +8000000c: 11110113 addi sp,sp,273 # 11111111 <_start-0x6eeeeeef> +80000010: 0080006f j 80000018 <_start+0x18> +80000014: 00000113 li sp,0 +80000018: 0020a023 sw sp,0(ra) # 80001000 +8000001c: 00001097 auipc ra,0x1 +80000020: fe808093 addi ra,ra,-24 # 80001004 +80000024: 22222137 lui sp,0x22222 +80000028: 22210113 addi sp,sp,546 # 22222222 <_start-0x5dddddde> +8000002c: 00000217 auipc tp,0x0 +80000030: 01020213 addi tp,tp,16 # 8000003c <_start+0x3c> +80000034: 00020067 jr tp +80000038: 00000113 li sp,0 +8000003c: 0020a023 sw sp,0(ra) +80000040: 00001097 auipc ra,0x1 +80000044: fc808093 addi ra,ra,-56 # 80001008 +80000048: 00500293 li t0,5 +8000004c: 00600313 li t1,6 +80000050: 33333137 lui sp,0x33333 +80000054: 33310113 addi sp,sp,819 # 33333333 <_start-0x4ccccccd> +80000058: 00528463 beq t0,t0,80000060 <_start+0x60> +8000005c: 00000113 li sp,0 +80000060: 0020a023 sw sp,0(ra) +80000064: 00001097 auipc ra,0x1 +80000068: fa808093 addi ra,ra,-88 # 8000100c +8000006c: 00500293 li t0,5 +80000070: 00600313 li t1,6 +80000074: 44444137 lui sp,0x44444 +80000078: 44410113 addi sp,sp,1092 # 44444444 <_start-0x3bbbbbbc> +8000007c: 00629463 bne t0,t1,80000084 <_start+0x84> +80000080: 00000113 li sp,0 +80000084: 0020a023 sw sp,0(ra) +80000088: 00001097 auipc ra,0x1 +8000008c: f8808093 addi ra,ra,-120 # 80001010 +80000090: 00500293 li t0,5 +80000094: 00600313 li t1,6 +80000098: 55555137 lui sp,0x55555 +8000009c: 55510113 addi sp,sp,1365 # 55555555 <_start-0x2aaaaaab> +800000a0: 0062c463 blt t0,t1,800000a8 <_start+0xa8> +800000a4: 00000113 li sp,0 +800000a8: 0020a023 sw sp,0(ra) +800000ac: 00001097 auipc ra,0x1 +800000b0: f6808093 addi ra,ra,-152 # 80001014 +800000b4: 00500293 li t0,5 +800000b8: 00600313 li t1,6 +800000bc: 66666137 lui sp,0x66666 +800000c0: 66610113 addi sp,sp,1638 # 66666666 <_start-0x1999999a> +800000c4: 0062e463 bltu t0,t1,800000cc <_start+0xcc> +800000c8: 00000113 li sp,0 +800000cc: 0020a023 sw sp,0(ra) +800000d0: 00001097 auipc ra,0x1 +800000d4: f4808093 addi ra,ra,-184 # 80001018 +800000d8: 00500293 li t0,5 +800000dc: 00600313 li t1,6 +800000e0: 77777137 lui sp,0x77777 +800000e4: 77710113 addi sp,sp,1911 # 77777777 <_start-0x8888889> +800000e8: 00535463 ble t0,t1,800000f0 <_start+0xf0> +800000ec: 00000113 li sp,0 +800000f0: 0020a023 sw sp,0(ra) +800000f4: 00001097 auipc ra,0x1 +800000f8: f2808093 addi ra,ra,-216 # 8000101c +800000fc: 00500293 li t0,5 +80000100: 00600313 li t1,6 +80000104: 88889137 lui sp,0x88889 +80000108: 88810113 addi sp,sp,-1912 # 88888888 <_end+0x8887868> +8000010c: 00537463 bleu t0,t1,80000114 <_start+0x114> +80000110: 00000113 li sp,0 +80000114: 0020a023 sw sp,0(ra) +80000118: 00001517 auipc a0,0x1 +8000011c: ee850513 addi a0,a0,-280 # 80001000 +80000120: 00001597 auipc a1,0x1 +80000124: f0058593 addi a1,a1,-256 # 80001020 <_end> +80000128: f0100637 lui a2,0xf0100 +8000012c: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fef0c> + +80000130 : +80000130: 02b50663 beq a0,a1,8000015c +80000134: 00c52683 lw a3,12(a0) +80000138: 00d62023 sw a3,0(a2) +8000013c: 00852683 lw a3,8(a0) +80000140: 00d62023 sw a3,0(a2) +80000144: 00452683 lw a3,4(a0) +80000148: 00d62023 sw a3,0(a2) +8000014c: 00052683 lw a3,0(a0) +80000150: 00d62023 sw a3,0(a2) +80000154: 01050513 addi a0,a0,16 +80000158: fd9ff06f j 80000130 + +8000015c : +8000015c: f0100537 lui a0,0xf0100 +80000160: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fef00> +80000164: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff + +80001004 : +80001004: ffff 0xffff +80001006: ffff 0xffff + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: ffff 0xffff + +80001010 : +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff + +80001018 : +80001018: ffff 0xffff +8000101a: ffff 0xffff + +8000101c : +8000101c: ffff 0xffff +8000101e: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-EBREAK-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-EBREAK-01.elf.objdump new file mode 100644 index 0000000..9634d82 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-EBREAK-01.elf.objdump @@ -0,0 +1,69 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-EBREAK-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00000097 auipc ra,0x0 +80000004: 02c08093 addi ra,ra,44 # 8000002c <_trap_handler> +80000008: 30509ff3 csrrw t6,mtvec,ra +8000000c: 00001097 auipc ra,0x1 +80000010: ff408093 addi ra,ra,-12 # 80001000 +80000014: 11111137 lui sp,0x11111 +80000018: 11110113 addi sp,sp,273 # 11111111 <_start-0x6eeeeeef> +8000001c: 00100073 ebreak +80000020: 0000a023 sw zero,0(ra) +80000024: 305f9073 csrw mtvec,t6 +80000028: 0280006f j 80000050 + +8000002c <_trap_handler>: +8000002c: 34102f73 csrr t5,mepc +80000030: 004f0f13 addi t5,t5,4 +80000034: 341f1073 csrw mepc,t5 +80000038: 34202f73 csrr t5,mcause +8000003c: 01e0a023 sw t5,0(ra) +80000040: 0020a223 sw sp,4(ra) +80000044: 0000a423 sw zero,8(ra) +80000048: 00c08093 addi ra,ra,12 +8000004c: 30200073 mret + +80000050 : +80000050: 00001517 auipc a0,0x1 +80000054: fb050513 addi a0,a0,-80 # 80001000 +80000058: 00001597 auipc a1,0x1 +8000005c: fb858593 addi a1,a1,-72 # 80001010 <_end> +80000060: f0100637 lui a2,0xf0100 +80000064: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fef1c> + +80000068 : +80000068: 02b50663 beq a0,a1,80000094 +8000006c: 00c52683 lw a3,12(a0) +80000070: 00d62023 sw a3,0(a2) +80000074: 00852683 lw a3,8(a0) +80000078: 00d62023 sw a3,0(a2) +8000007c: 00452683 lw a3,4(a0) +80000080: 00d62023 sw a3,0(a2) +80000084: 00052683 lw a3,0(a0) +80000088: 00d62023 sw a3,0(a2) +8000008c: 01050513 addi a0,a0,16 +80000090: fd9ff06f j 80000068 + +80000094 : +80000094: f0100537 lui a0,0xf0100 +80000098: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fef10> +8000009c: 00052023 sw zero,0(a0) +800000a0: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-ECALL-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-ECALL-01.elf.objdump new file mode 100644 index 0000000..d98bfec --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-ECALL-01.elf.objdump @@ -0,0 +1,69 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-ECALL-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00000097 auipc ra,0x0 +80000004: 02c08093 addi ra,ra,44 # 8000002c <_trap_handler> +80000008: 30509ff3 csrrw t6,mtvec,ra +8000000c: 00001097 auipc ra,0x1 +80000010: ff408093 addi ra,ra,-12 # 80001000 +80000014: 11111137 lui sp,0x11111 +80000018: 11110113 addi sp,sp,273 # 11111111 <_start-0x6eeeeeef> +8000001c: 00000073 ecall +80000020: 0000a023 sw zero,0(ra) +80000024: 305f9073 csrw mtvec,t6 +80000028: 0280006f j 80000050 + +8000002c <_trap_handler>: +8000002c: 34102f73 csrr t5,mepc +80000030: 004f0f13 addi t5,t5,4 +80000034: 341f1073 csrw mepc,t5 +80000038: 34202f73 csrr t5,mcause +8000003c: 01e0a023 sw t5,0(ra) +80000040: 0020a223 sw sp,4(ra) +80000044: 0000a423 sw zero,8(ra) +80000048: 00c08093 addi ra,ra,12 +8000004c: 30200073 mret + +80000050 : +80000050: 00001517 auipc a0,0x1 +80000054: fb050513 addi a0,a0,-80 # 80001000 +80000058: 00001597 auipc a1,0x1 +8000005c: fb858593 addi a1,a1,-72 # 80001010 <_end> +80000060: f0100637 lui a2,0xf0100 +80000064: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fef1c> + +80000068 : +80000068: 02b50663 beq a0,a1,80000094 +8000006c: 00c52683 lw a3,12(a0) +80000070: 00d62023 sw a3,0(a2) +80000074: 00852683 lw a3,8(a0) +80000078: 00d62023 sw a3,0(a2) +8000007c: 00452683 lw a3,4(a0) +80000080: 00d62023 sw a3,0(a2) +80000084: 00052683 lw a3,0(a0) +80000088: 00d62023 sw a3,0(a2) +8000008c: 01050513 addi a0,a0,16 +80000090: fd9ff06f j 80000068 + +80000094 : +80000094: f0100537 lui a0,0xf0100 +80000098: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fef10> +8000009c: 00052023 sw zero,0(a0) +800000a0: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-ENDIANESS-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-ENDIANESS-01.elf.objdump new file mode 100644 index 0000000..536bfd0 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-ENDIANESS-01.elf.objdump @@ -0,0 +1,80 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-ENDIANESS-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001817 auipc a6,0x1 +80000004: 00480813 addi a6,a6,4 # 80001004 +80000008: 00001897 auipc a7,0x1 +8000000c: 00888893 addi a7,a7,8 # 80001010 +80000010: 00082083 lw ra,0(a6) +80000014: 00085103 lhu sp,0(a6) +80000018: 00285183 lhu gp,2(a6) +8000001c: fff84203 lbu tp,-1(a6) +80000020: 00084283 lbu t0,0(a6) +80000024: 00184303 lbu t1,1(a6) +80000028: 00284383 lbu t2,2(a6) +8000002c: 00384403 lbu s0,3(a6) +80000030: 0018a023 sw ra,0(a7) +80000034: 0028a223 sw sp,4(a7) +80000038: 0038a423 sw gp,8(a7) +8000003c: 0048a623 sw tp,12(a7) +80000040: 0058a823 sw t0,16(a7) +80000044: 0068aa23 sw t1,20(a7) +80000048: 0078ac23 sw t2,24(a7) +8000004c: 0088ae23 sw s0,28(a7) +80000050: 00001517 auipc a0,0x1 +80000054: fc050513 addi a0,a0,-64 # 80001010 +80000058: 00001597 auipc a1,0x1 +8000005c: fd858593 addi a1,a1,-40 # 80001030 <_end> +80000060: f0100637 lui a2,0xf0100 +80000064: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feefc> + +80000068 : +80000068: 02b50663 beq a0,a1,80000094 +8000006c: 00c52683 lw a3,12(a0) +80000070: 00d62023 sw a3,0(a2) +80000074: 00852683 lw a3,8(a0) +80000078: 00d62023 sw a3,0(a2) +8000007c: 00452683 lw a3,4(a0) +80000080: 00d62023 sw a3,0(a2) +80000084: 00052683 lw a3,0(a0) +80000088: 00d62023 sw a3,0(a2) +8000008c: 01050513 addi a0,a0,16 +80000090: fd9ff06f j 80000068 + +80000094 : +80000094: f0100537 lui a0,0xf0100 +80000098: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feef0> +8000009c: 00052023 sw zero,0(a0) +800000a0: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: 89abcdef jal s11,7ffbd09a <_start-0x42f66> + +80001004 : +80001004: 01234567 0x1234567 + ... + +80001010 : +80001010: ffff 0xffff +80001012: ffff 0xffff +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-FENCE.I-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-FENCE.I-01.elf.objdump new file mode 100644 index 0000000..99e1ea7 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-FENCE.I-01.elf.objdump @@ -0,0 +1,76 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-FENCE.I-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001817 auipc a6,0x1 +80000004: 00480813 addi a6,a6,4 # 80001004 +80000008: 00001897 auipc a7,0x1 +8000000c: 00888893 addi a7,a7,8 # 80001010 +80000010: 00000193 li gp,0 +80000014: 00082083 lw ra,0(a6) +80000018: 00482103 lw sp,4(a6) +8000001c: 00001a17 auipc s4,0x1 +80000020: fe4a0a13 addi s4,s4,-28 # 80001000 +80000024: 00000a97 auipc s5,0x0 +80000028: 014a8a93 addi s5,s5,20 # 80000038 +8000002c: 000a2783 lw a5,0(s4) +80000030: 00faa023 sw a5,0(s5) +80000034: 0000100f fence.i + +80000038 : +80000038: 00000137 lui sp,0x0 +8000003c: 0018a023 sw ra,0(a7) +80000040: 0028a223 sw sp,4(a7) +80000044: 0038a423 sw gp,8(a7) +80000048: 00f8a623 sw a5,12(a7) +8000004c: 00001517 auipc a0,0x1 +80000050: fc450513 addi a0,a0,-60 # 80001010 +80000054: 00001597 auipc a1,0x1 +80000058: fcc58593 addi a1,a1,-52 # 80001020 <_end> +8000005c: f0100637 lui a2,0xf0100 +80000060: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fef0c> + +80000064 : +80000064: 02b50663 beq a0,a1,80000090 +80000068: 00c52683 lw a3,12(a0) +8000006c: 00d62023 sw a3,0(a2) +80000070: 00852683 lw a3,8(a0) +80000074: 00d62023 sw a3,0(a2) +80000078: 00452683 lw a3,4(a0) +8000007c: 00d62023 sw a3,0(a2) +80000080: 00052683 lw a3,0(a0) +80000084: 00d62023 sw a3,0(a2) +80000088: 01050513 addi a0,a0,16 +8000008c: fd9ff06f j 80000064 + +80000090 : +80000090: f0100537 lui a0,0xf0100 +80000094: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fef00> +80000098: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: 001101b3 add gp,sp,ra + +80001004 : +80001004: 0030 addi a2,sp,8 +80001006: 0000 unimp +80001008: 0012 c.slli zero,0x4 +8000100a: 0000 unimp +8000100c: 0000 unimp + ... + +80001010 : +80001010: ffff 0xffff +80001012: ffff 0xffff +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-IO.elf.objdump b/VexRiscv/src/test/resources/asm/I-IO.elf.objdump new file mode 100644 index 0000000..73994c1 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-IO.elf.objdump @@ -0,0 +1,344 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-IO.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 00001117 auipc sp,0x1 +8000000c: 02810113 addi sp,sp,40 # 80001030 +80000010: 0000a183 lw gp,0(ra) # 80001000 +80000014: 00000213 li tp,0 +80000018: 00100293 li t0,1 +8000001c: fff00313 li t1,-1 +80000020: 800003b7 lui t2,0x80000 +80000024: fff38393 addi t2,t2,-1 # 7fffffff <_end+0xffffef1f> +80000028: 80000437 lui s0,0x80000 +8000002c: 00418233 add tp,gp,tp +80000030: 005182b3 add t0,gp,t0 +80000034: 00618333 add t1,gp,t1 +80000038: 007183b3 add t2,gp,t2 +8000003c: 00818433 add s0,gp,s0 +80000040: 00312023 sw gp,0(sp) +80000044: 00412223 sw tp,4(sp) +80000048: 00512423 sw t0,8(sp) +8000004c: 00612623 sw t1,12(sp) +80000050: 00712823 sw t2,16(sp) +80000054: 00812a23 sw s0,20(sp) +80000058: 00001097 auipc ra,0x1 +8000005c: fac08093 addi ra,ra,-84 # 80001004 +80000060: 00001117 auipc sp,0x1 +80000064: fe810113 addi sp,sp,-24 # 80001048 +80000068: 0000a403 lw s0,0(ra) +8000006c: 00000493 li s1,0 +80000070: 00100513 li a0,1 +80000074: fff00593 li a1,-1 +80000078: 80000637 lui a2,0x80000 +8000007c: fff60613 addi a2,a2,-1 # 7fffffff <_end+0xffffef1f> +80000080: 800006b7 lui a3,0x80000 +80000084: 009404b3 add s1,s0,s1 +80000088: 00a40533 add a0,s0,a0 +8000008c: 00b405b3 add a1,s0,a1 +80000090: 00c40633 add a2,s0,a2 +80000094: 00d406b3 add a3,s0,a3 +80000098: 00812023 sw s0,0(sp) +8000009c: 00912223 sw s1,4(sp) +800000a0: 00a12423 sw a0,8(sp) +800000a4: 00b12623 sw a1,12(sp) +800000a8: 00c12823 sw a2,16(sp) +800000ac: 00d12a23 sw a3,20(sp) +800000b0: 00001097 auipc ra,0x1 +800000b4: f5808093 addi ra,ra,-168 # 80001008 +800000b8: 00001117 auipc sp,0x1 +800000bc: fa810113 addi sp,sp,-88 # 80001060 +800000c0: 0000a683 lw a3,0(ra) +800000c4: 00000713 li a4,0 +800000c8: 00100793 li a5,1 +800000cc: fff00813 li a6,-1 +800000d0: 800008b7 lui a7,0x80000 +800000d4: fff88893 addi a7,a7,-1 # 7fffffff <_end+0xffffef1f> +800000d8: 80000937 lui s2,0x80000 +800000dc: 00e68733 add a4,a3,a4 +800000e0: 00f687b3 add a5,a3,a5 +800000e4: 01068833 add a6,a3,a6 +800000e8: 011688b3 add a7,a3,a7 +800000ec: 01268933 add s2,a3,s2 +800000f0: 00d12023 sw a3,0(sp) +800000f4: 00e12223 sw a4,4(sp) +800000f8: 00f12423 sw a5,8(sp) +800000fc: 01012623 sw a6,12(sp) +80000100: 01112823 sw a7,16(sp) +80000104: 01212a23 sw s2,20(sp) +80000108: 00001097 auipc ra,0x1 +8000010c: f0408093 addi ra,ra,-252 # 8000100c +80000110: 00001117 auipc sp,0x1 +80000114: f6810113 addi sp,sp,-152 # 80001078 +80000118: 0000a903 lw s2,0(ra) +8000011c: 00000993 li s3,0 +80000120: 00100a13 li s4,1 +80000124: fff00a93 li s5,-1 +80000128: 80000b37 lui s6,0x80000 +8000012c: fffb0b13 addi s6,s6,-1 # 7fffffff <_end+0xffffef1f> +80000130: 80000bb7 lui s7,0x80000 +80000134: 013909b3 add s3,s2,s3 +80000138: 01490a33 add s4,s2,s4 +8000013c: 01590ab3 add s5,s2,s5 +80000140: 01690b33 add s6,s2,s6 +80000144: 01790bb3 add s7,s2,s7 +80000148: 01212023 sw s2,0(sp) +8000014c: 01312223 sw s3,4(sp) +80000150: 01412423 sw s4,8(sp) +80000154: 01512623 sw s5,12(sp) +80000158: 01612823 sw s6,16(sp) +8000015c: 01712a23 sw s7,20(sp) +80000160: 00001097 auipc ra,0x1 +80000164: eb008093 addi ra,ra,-336 # 80001010 +80000168: 00001117 auipc sp,0x1 +8000016c: f2810113 addi sp,sp,-216 # 80001090 +80000170: 0000ab83 lw s7,0(ra) +80000174: 00000c13 li s8,0 +80000178: 00100c93 li s9,1 +8000017c: fff00d13 li s10,-1 +80000180: 80000db7 lui s11,0x80000 +80000184: fffd8d93 addi s11,s11,-1 # 7fffffff <_end+0xffffef1f> +80000188: 80000e37 lui t3,0x80000 +8000018c: 018b8c33 add s8,s7,s8 +80000190: 019b8cb3 add s9,s7,s9 +80000194: 01ab8d33 add s10,s7,s10 +80000198: 01bb8db3 add s11,s7,s11 +8000019c: 01cb8e33 add t3,s7,t3 +800001a0: 01712023 sw s7,0(sp) +800001a4: 01812223 sw s8,4(sp) +800001a8: 01912423 sw s9,8(sp) +800001ac: 01a12623 sw s10,12(sp) +800001b0: 01b12823 sw s11,16(sp) +800001b4: 01c12a23 sw t3,20(sp) +800001b8: 00001c97 auipc s9,0x1 +800001bc: e5cc8c93 addi s9,s9,-420 # 80001014 +800001c0: 00001d17 auipc s10,0x1 +800001c4: ee8d0d13 addi s10,s10,-280 # 800010a8 +800001c8: 000cae03 lw t3,0(s9) +800001cc: 00100d93 li s11,1 +800001d0: 01be0eb3 add t4,t3,s11 +800001d4: 01be8f33 add t5,t4,s11 +800001d8: 01bf0fb3 add t6,t5,s11 +800001dc: 01bf80b3 add ra,t6,s11 +800001e0: 01b08133 add sp,ra,s11 +800001e4: 01b101b3 add gp,sp,s11 +800001e8: 01bd2023 sw s11,0(s10) +800001ec: 01cd2223 sw t3,4(s10) +800001f0: 01dd2423 sw t4,8(s10) +800001f4: 01ed2623 sw t5,12(s10) +800001f8: 01fd2823 sw t6,16(s10) +800001fc: 001d2a23 sw ra,20(s10) +80000200: 002d2c23 sw sp,24(s10) +80000204: 003d2e23 sw gp,28(s10) +80000208: 00001097 auipc ra,0x1 +8000020c: e1008093 addi ra,ra,-496 # 80001018 +80000210: 00001117 auipc sp,0x1 +80000214: eb810113 addi sp,sp,-328 # 800010c8 +80000218: 0000ae03 lw t3,0(ra) +8000021c: f7ff9db7 lui s11,0xf7ff9 +80000220: 818d8d93 addi s11,s11,-2024 # f7ff8818 <_end+0x77ff7738> +80000224: 01be0033 add zero,t3,s11 +80000228: 00012023 sw zero,0(sp) +8000022c: 00001097 auipc ra,0x1 +80000230: df008093 addi ra,ra,-528 # 8000101c +80000234: 00001117 auipc sp,0x1 +80000238: e9810113 addi sp,sp,-360 # 800010cc +8000023c: 0000ae03 lw t3,0(ra) +80000240: f7ff9db7 lui s11,0xf7ff9 +80000244: 818d8d93 addi s11,s11,-2024 # f7ff8818 <_end+0x77ff7738> +80000248: 01be0033 add zero,t3,s11 +8000024c: 000002b3 add t0,zero,zero +80000250: 00012023 sw zero,0(sp) +80000254: 00512223 sw t0,4(sp) +80000258: 00001097 auipc ra,0x1 +8000025c: dc808093 addi ra,ra,-568 # 80001020 +80000260: 00001117 auipc sp,0x1 +80000264: e7410113 addi sp,sp,-396 # 800010d4 +80000268: 0000a183 lw gp,0(ra) +8000026c: 00018233 add tp,gp,zero +80000270: 000202b3 add t0,tp,zero +80000274: 00500333 add t1,zero,t0 +80000278: 00030733 add a4,t1,zero +8000027c: 000707b3 add a5,a4,zero +80000280: 00078833 add a6,a5,zero +80000284: 01000cb3 add s9,zero,a6 +80000288: 01900d33 add s10,zero,s9 +8000028c: 000d0db3 add s11,s10,zero +80000290: 00412023 sw tp,0(sp) +80000294: 01a12223 sw s10,4(sp) +80000298: 01b12423 sw s11,8(sp) +8000029c: 00001517 auipc a0,0x1 +800002a0: d9450513 addi a0,a0,-620 # 80001030 +800002a4: 00001597 auipc a1,0x1 +800002a8: e3c58593 addi a1,a1,-452 # 800010e0 <_end> +800002ac: f0100637 lui a2,0xf0100 +800002b0: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee4c> + +800002b4 : +800002b4: 02b50663 beq a0,a1,800002e0 +800002b8: 00c52683 lw a3,12(a0) +800002bc: 00d62023 sw a3,0(a2) +800002c0: 00852683 lw a3,8(a0) +800002c4: 00d62023 sw a3,0(a2) +800002c8: 00452683 lw a3,4(a0) +800002cc: 00d62023 sw a3,0(a2) +800002d0: 00052683 lw a3,0(a0) +800002d4: 00d62023 sw a3,0(a2) +800002d8: 01050513 addi a0,a0,16 +800002dc: fd9ff06f j 800002b4 + +800002e0 : +800002e0: f0100537 lui a0,0xf0100 +800002e4: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee40> +800002e8: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: 0000 unimp + ... + +80001004 : +80001004: 0001 nop + ... + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: 7fff 0x7fff + +80001010 : +80001010: 0000 unimp +80001012: 8000 0x8000 + +80001014 : +80001014: abcd j 80001606 <_end+0x526> + ... + +80001018 : +80001018: 5678 lw a4,108(a2) +8000101a: 1234 addi a3,sp,296 + +8000101c : +8000101c: ba98 fsd fa4,48(a3) +8000101e: fedc fsw fa5,60(a3) + +80001020 : +80001020: 5814 lw a3,48(s0) +80001022: 3692 fld fa3,288(sp) + ... + +80001030 : +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff + +80001048 : +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff + +80001060 : +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff + +80001078 : +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff + +80001090 : +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff + +800010a8 : +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff +800010c0: ffff 0xffff +800010c2: ffff 0xffff +800010c4: ffff 0xffff +800010c6: ffff 0xffff + +800010c8 : +800010c8: ffff 0xffff +800010ca: ffff 0xffff + +800010cc : +800010cc: ffff 0xffff +800010ce: ffff 0xffff +800010d0: ffff 0xffff +800010d2: ffff 0xffff + +800010d4 : +800010d4: ffff 0xffff +800010d6: ffff 0xffff +800010d8: ffff 0xffff +800010da: ffff 0xffff +800010dc: ffff 0xffff +800010de: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-JAL-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-JAL-01.elf.objdump new file mode 100644 index 0000000..233f6af --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-JAL-01.elf.objdump @@ -0,0 +1,227 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-JAL-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 01008093 addi ra,ra,16 # 80001010 +80000008: 12345137 lui sp,0x12345 +8000000c: 67810113 addi sp,sp,1656 # 12345678 <_start-0x6dcba988> +80000010: 0080006f j 80000018 <_start+0x18> +80000014: 00000113 li sp,0 +80000018: 0000a023 sw zero,0(ra) +8000001c: 0020a223 sw sp,4(ra) +80000020: 00001097 auipc ra,0x1 +80000024: ff808093 addi ra,ra,-8 # 80001018 +80000028: fff00113 li sp,-1 +8000002c: fff00193 li gp,-1 +80000030: 0fedd237 lui tp,0xfedd +80000034: ba920213 addi tp,tp,-1111 # fedcba9 <_start-0x70123457> +80000038: 0280006f j 80000060 <_start+0x60> +8000003c: 00000113 li sp,0 +80000040: 00000193 li gp,0 +80000044: 00000213 li tp,0 +80000048: 876541b7 lui gp,0x87654 +8000004c: 32118193 addi gp,gp,801 # 87654321 <_end+0x76532c1> +80000050: 0280006f j 80000078 <_start+0x78> +80000054: 00000113 li sp,0 +80000058: 00000193 li gp,0 +8000005c: 00000213 li tp,0 +80000060: 9abce137 lui sp,0x9abce +80000064: ef010113 addi sp,sp,-272 # 9abcdef0 <_end+0x1abcce90> +80000068: fe1ff06f j 80000048 <_start+0x48> +8000006c: 00000113 li sp,0 +80000070: 00000193 li gp,0 +80000074: 00000213 li tp,0 +80000078: 0000a023 sw zero,0(ra) +8000007c: 0020a223 sw sp,4(ra) +80000080: 0030a423 sw gp,8(ra) +80000084: 0040a623 sw tp,12(ra) +80000088: 00001497 auipc s1,0x1 +8000008c: f7848493 addi s1,s1,-136 # 80001000 +80000090: 00001517 auipc a0,0x1 +80000094: f9850513 addi a0,a0,-104 # 80001028 +80000098: fff00113 li sp,-1 +8000009c: fff00193 li gp,-1 +800000a0: fff00213 li tp,-1 +800000a4: fff00293 li t0,-1 +800000a8: 55555337 lui t1,0x55555 +800000ac: 55530313 addi t1,t1,1365 # 55555555 <_start-0x2aaaaaab> +800000b0: 0004ac83 lw s9,0(s1) +800000b4: 0044ac03 lw s8,4(s1) +800000b8: 01c000ef jal ra,800000d4 + +800000bc : +800000bc: 222221b7 lui gp,0x22222 +800000c0: 22218193 addi gp,gp,546 # 22222222 <_start-0x5dddddde> +800000c4: 03000fef jal t6,800000f4 + +800000c8 : +800000c8: 444442b7 lui t0,0x44444 +800000cc: 44428293 addi t0,t0,1092 # 44444444 <_start-0x3bbbbbbc> +800000d0: 0440006f j 80000114 +800000d4: 11111137 lui sp,0x11111 +800000d8: 11110113 addi sp,sp,273 # 11111111 <_start-0x6eeeeeef> +800000dc: 00008067 ret +800000e0: 00000113 li sp,0 +800000e4: 00000193 li gp,0 +800000e8: 00000213 li tp,0 +800000ec: 00000293 li t0,0 +800000f0: 00000313 li t1,0 +800000f4: 33333237 lui tp,0x33333 +800000f8: 33320213 addi tp,tp,819 # 33333333 <_start-0x4ccccccd> +800000fc: 000f8067 jr t6 +80000100: 00000113 li sp,0 +80000104: 00000193 li gp,0 +80000108: 00000213 li tp,0 +8000010c: 00000293 li t0,0 +80000110: 00000313 li t1,0 +80000114: 0190c3b3 xor t2,ra,s9 +80000118: 018fc433 xor s0,t6,s8 +8000011c: 00252023 sw sp,0(a0) +80000120: 00352223 sw gp,4(a0) +80000124: 00452423 sw tp,8(a0) +80000128: 00552623 sw t0,12(a0) +8000012c: 00652823 sw t1,16(a0) +80000130: 00752a23 sw t2,20(a0) +80000134: 00852c23 sw s0,24(a0) +80000138: 00001497 auipc s1,0x1 +8000013c: ed048493 addi s1,s1,-304 # 80001008 +80000140: 00001517 auipc a0,0x1 +80000144: f0450513 addi a0,a0,-252 # 80001044 +80000148: fff00113 li sp,-1 +8000014c: fff00193 li gp,-1 +80000150: fff00213 li tp,-1 +80000154: fff00293 li t0,-1 +80000158: fff00313 li t1,-1 +8000015c: 0004ac03 lw s8,0(s1) +80000160: 0044ac83 lw s9,4(s1) +80000164: 0240006f j 80000188 +80000168: 777771b7 lui gp,0x77777 +8000016c: 77718193 addi gp,gp,1911 # 77777777 <_start-0x8888889> +80000170: 000f8067 jr t6 +80000174: 00000113 li sp,0 +80000178: 00000193 li gp,0 +8000017c: 00000213 li tp,0 +80000180: 00000293 li t0,0 +80000184: 00000313 li t1,0 +80000188: 66666137 lui sp,0x66666 +8000018c: 66610113 addi sp,sp,1638 # 66666666 <_start-0x1999999a> +80000190: fd9fffef jal t6,80000168 + +80000194 : +80000194: 88889237 lui tp,0x88889 +80000198: 88820213 addi tp,tp,-1912 # 88888888 <_end+0x8887828> +8000019c: 010000ef jal ra,800001ac + +800001a0 : +800001a0: aaaab337 lui t1,0xaaaab +800001a4: aaa30313 addi t1,t1,-1366 # aaaaaaaa <_end+0x2aaa9a4a> +800001a8: 0240006f j 800001cc +800001ac: 9999a2b7 lui t0,0x9999a +800001b0: 99928293 addi t0,t0,-1639 # 99999999 <_end+0x19998939> +800001b4: 00008067 ret +800001b8: 00000113 li sp,0 +800001bc: 00000193 li gp,0 +800001c0: 00000213 li tp,0 +800001c4: 00000293 li t0,0 +800001c8: 00000313 li t1,0 +800001cc: 018fc3b3 xor t2,t6,s8 +800001d0: 0190c433 xor s0,ra,s9 +800001d4: 00252023 sw sp,0(a0) +800001d8: 00352223 sw gp,4(a0) +800001dc: 00452423 sw tp,8(a0) +800001e0: 00552623 sw t0,12(a0) +800001e4: 00652823 sw t1,16(a0) +800001e8: 00752a23 sw t2,20(a0) +800001ec: 00852c23 sw s0,24(a0) +800001f0: 00001517 auipc a0,0x1 +800001f4: e2050513 addi a0,a0,-480 # 80001010 +800001f8: 00001597 auipc a1,0x1 +800001fc: e6858593 addi a1,a1,-408 # 80001060 <_end> +80000200: f0100637 lui a2,0xf0100 +80000204: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feecc> + +80000208 : +80000208: 02b50663 beq a0,a1,80000234 +8000020c: 00c52683 lw a3,12(a0) +80000210: 00d62023 sw a3,0(a2) +80000214: 00852683 lw a3,8(a0) +80000218: 00d62023 sw a3,0(a2) +8000021c: 00452683 lw a3,4(a0) +80000220: 00d62023 sw a3,0(a2) +80000224: 00052683 lw a3,0(a0) +80000228: 00d62023 sw a3,0(a2) +8000022c: 01050513 addi a0,a0,16 +80000230: fd9ff06f j 80000208 + +80000234 : +80000234: f0100537 lui a0,0xf0100 +80000238: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feec0> +8000023c: 00052023 sw zero,0(a0) +80000240: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: 00bc addi a5,sp,72 +80001002: 8000 0x8000 +80001004: 00c8 addi a0,sp,68 +80001006: 8000 0x8000 + +80001008 : +80001008: 0194 addi a3,sp,192 +8000100a: 8000 0x8000 +8000100c: 01a0 addi s0,sp,200 +8000100e: 8000 0x8000 + +80001010 : +80001010: ffff 0xffff +80001012: ffff 0xffff +80001014: ffff 0xffff +80001016: ffff 0xffff + +80001018 : +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff + +80001044 : +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-JALR-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-JALR-01.elf.objdump new file mode 100644 index 0000000..8505a9c --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-JALR-01.elf.objdump @@ -0,0 +1,289 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-JALR-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 01008093 addi ra,ra,16 # 80001010 +80000008: 12345137 lui sp,0x12345 +8000000c: 67810113 addi sp,sp,1656 # 12345678 <_start-0x6dcba988> +80000010: 00000197 auipc gp,0x0 +80000014: 01018193 addi gp,gp,16 # 80000020 <_start+0x20> +80000018: 00018067 jr gp +8000001c: 00000113 li sp,0 +80000020: 0000a023 sw zero,0(ra) +80000024: 0020a223 sw sp,4(ra) +80000028: 00001097 auipc ra,0x1 +8000002c: ff008093 addi ra,ra,-16 # 80001018 +80000030: fff00113 li sp,-1 +80000034: fff00193 li gp,-1 +80000038: 0fedd237 lui tp,0xfedd +8000003c: ba920213 addi tp,tp,-1111 # fedcba9 <_start-0x70123457> +80000040: 00000f97 auipc t6,0x0 +80000044: 038f8f93 addi t6,t6,56 # 80000078 <_start+0x78> +80000048: 000f8067 jr t6 +8000004c: 00000113 li sp,0 +80000050: 00000193 li gp,0 +80000054: 00000213 li tp,0 +80000058: 876541b7 lui gp,0x87654 +8000005c: 32118193 addi gp,gp,801 # 87654321 <_end+0x76532b1> +80000060: 00000297 auipc t0,0x0 +80000064: 03828293 addi t0,t0,56 # 80000098 <_start+0x98> +80000068: 00028067 jr t0 +8000006c: 00000113 li sp,0 +80000070: 00000193 li gp,0 +80000074: 00000213 li tp,0 +80000078: 9abce137 lui sp,0x9abce +8000007c: ef010113 addi sp,sp,-272 # 9abcdef0 <_end+0x1abcce80> +80000080: 00000797 auipc a5,0x0 +80000084: fd878793 addi a5,a5,-40 # 80000058 <_start+0x58> +80000088: 00078067 jr a5 +8000008c: 00000113 li sp,0 +80000090: 00000193 li gp,0 +80000094: 00000213 li tp,0 +80000098: 0000a023 sw zero,0(ra) +8000009c: 0020a223 sw sp,4(ra) +800000a0: 0030a423 sw gp,8(ra) +800000a4: 0040a623 sw tp,12(ra) +800000a8: 00001497 auipc s1,0x1 +800000ac: f5848493 addi s1,s1,-168 # 80001000 +800000b0: 00001517 auipc a0,0x1 +800000b4: f7850513 addi a0,a0,-136 # 80001028 +800000b8: fff00113 li sp,-1 +800000bc: fff00193 li gp,-1 +800000c0: fff00213 li tp,-1 +800000c4: fff00293 li t0,-1 +800000c8: 55555337 lui t1,0x55555 +800000cc: 55530313 addi t1,t1,1365 # 55555555 <_start-0x2aaaaaab> +800000d0: 0004ac83 lw s9,0(s1) +800000d4: 0044ac03 lw s8,4(s1) +800000d8: 00000397 auipc t2,0x0 +800000dc: 03438393 addi t2,t2,52 # 8000010c +800000e0: 000380e7 jalr t2 + +800000e4 : +800000e4: 222221b7 lui gp,0x22222 +800000e8: 22218193 addi gp,gp,546 # 22222222 <_start-0x5dddddde> +800000ec: 00000417 auipc s0,0x0 +800000f0: 04040413 addi s0,s0,64 # 8000012c +800000f4: 00040fe7 jalr t6,s0 + +800000f8 : +800000f8: 444442b7 lui t0,0x44444 +800000fc: 44428293 addi t0,t0,1092 # 44444444 <_start-0x3bbbbbbc> +80000100: 00000f17 auipc t5,0x0 +80000104: 04cf0f13 addi t5,t5,76 # 8000014c +80000108: 000f0067 jr t5 +8000010c: 11111137 lui sp,0x11111 +80000110: 11110113 addi sp,sp,273 # 11111111 <_start-0x6eeeeeef> +80000114: 00008067 ret +80000118: 00000113 li sp,0 +8000011c: 00000193 li gp,0 +80000120: 00000213 li tp,0 +80000124: 00000293 li t0,0 +80000128: 00000313 li t1,0 +8000012c: 33333237 lui tp,0x33333 +80000130: 33320213 addi tp,tp,819 # 33333333 <_start-0x4ccccccd> +80000134: 000f8067 jr t6 +80000138: 00000113 li sp,0 +8000013c: 00000193 li gp,0 +80000140: 00000213 li tp,0 +80000144: 00000293 li t0,0 +80000148: 00000313 li t1,0 +8000014c: 0190c3b3 xor t2,ra,s9 +80000150: 018fc433 xor s0,t6,s8 +80000154: 00252023 sw sp,0(a0) +80000158: 00352223 sw gp,4(a0) +8000015c: 00452423 sw tp,8(a0) +80000160: 00552623 sw t0,12(a0) +80000164: 00652823 sw t1,16(a0) +80000168: 00752a23 sw t2,20(a0) +8000016c: 00852c23 sw s0,24(a0) +80000170: 00001497 auipc s1,0x1 +80000174: e9848493 addi s1,s1,-360 # 80001008 +80000178: 00001517 auipc a0,0x1 +8000017c: ecc50513 addi a0,a0,-308 # 80001044 +80000180: fff00113 li sp,-1 +80000184: fff00193 li gp,-1 +80000188: fff00213 li tp,-1 +8000018c: fff00293 li t0,-1 +80000190: fff00313 li t1,-1 +80000194: 0004ac03 lw s8,0(s1) +80000198: 0044ac83 lw s9,4(s1) +8000019c: 00000797 auipc a5,0x0 +800001a0: 02c78793 addi a5,a5,44 # 800001c8 +800001a4: 00078067 jr a5 +800001a8: 777771b7 lui gp,0x77777 +800001ac: 77718193 addi gp,gp,1911 # 77777777 <_start-0x8888889> +800001b0: 001f8067 jr 1(t6) +800001b4: 00000113 li sp,0 +800001b8: 00000193 li gp,0 +800001bc: 00000213 li tp,0 +800001c0: 00000293 li t0,0 +800001c4: 00000313 li t1,0 +800001c8: 66666137 lui sp,0x66666 +800001cc: 66610113 addi sp,sp,1638 # 66666666 <_start-0x1999999a> +800001d0: 00000f97 auipc t6,0x0 +800001d4: fd9f8f93 addi t6,t6,-39 # 800001a9 +800001d8: 000f8fe7 jalr t6,t6 + +800001dc : +800001dc: 88889237 lui tp,0x88889 +800001e0: 88820213 addi tp,tp,-1912 # 88888888 <_end+0x8887818> +800001e4: 00000097 auipc ra,0x0 +800001e8: 02108093 addi ra,ra,33 # 80000205 +800001ec: 000080e7 jalr ra + +800001f0 : +800001f0: aaaab337 lui t1,0xaaaab +800001f4: aaa30313 addi t1,t1,-1366 # aaaaaaaa <_end+0x2aaa9a3a> +800001f8: 00000f17 auipc t5,0x0 +800001fc: 02cf0f13 addi t5,t5,44 # 80000224 +80000200: 000f0067 jr t5 +80000204: 9999a2b7 lui t0,0x9999a +80000208: 99928293 addi t0,t0,-1639 # 99999999 <_end+0x19998929> +8000020c: 00108067 jr 1(ra) +80000210: 00000113 li sp,0 +80000214: 00000193 li gp,0 +80000218: 00000213 li tp,0 +8000021c: 00000293 li t0,0 +80000220: 00000313 li t1,0 +80000224: 018fc3b3 xor t2,t6,s8 +80000228: 0190c433 xor s0,ra,s9 +8000022c: 00252023 sw sp,0(a0) +80000230: 00352223 sw gp,4(a0) +80000234: 00452423 sw tp,8(a0) +80000238: 00552623 sw t0,12(a0) +8000023c: 00652823 sw t1,16(a0) +80000240: 00752a23 sw t2,20(a0) +80000244: 00852c23 sw s0,24(a0) +80000248: 00001097 auipc ra,0x1 +8000024c: e1808093 addi ra,ra,-488 # 80001060 +80000250: 11111237 lui tp,0x11111 +80000254: 11120213 addi tp,tp,273 # 11111111 <_start-0x6eeeeeef> +80000258: 00000197 auipc gp,0x0 +8000025c: 00f18193 addi gp,gp,15 # 80000267 +80000260: 00118067 jr 1(gp) +80000264: 00000213 li tp,0 +80000268: 222222b7 lui t0,0x22222 +8000026c: 22228293 addi t0,t0,546 # 22222222 <_start-0x5dddddde> +80000270: 00000197 auipc gp,0x0 +80000274: 01118193 addi gp,gp,17 # 80000281 +80000278: fff18067 jr -1(gp) +8000027c: 00000293 li t0,0 +80000280: 33333337 lui t1,0x33333 +80000284: 33330313 addi t1,t1,819 # 33333333 <_start-0x4ccccccd> +80000288: 00000197 auipc gp,0x0 +8000028c: 81118193 addi gp,gp,-2031 # 7ffffa99 <_start-0x567> +80000290: 7ff18067 jr 2047(gp) +80000294: 00000313 li t1,0 +80000298: 444443b7 lui t2,0x44444 +8000029c: 44438393 addi t2,t2,1092 # 44444444 <_start-0x3bbbbbbc> +800002a0: 00001197 auipc gp,0x1 +800002a4: 81018193 addi gp,gp,-2032 # 80000ab0 +800002a8: 80018067 jr -2048(gp) +800002ac: 00000393 li t2,0 +800002b0: 0040a023 sw tp,0(ra) +800002b4: 0050a223 sw t0,4(ra) +800002b8: 0060a423 sw t1,8(ra) +800002bc: 0070a623 sw t2,12(ra) +800002c0: 00001517 auipc a0,0x1 +800002c4: d5050513 addi a0,a0,-688 # 80001010 +800002c8: 00001597 auipc a1,0x1 +800002cc: da858593 addi a1,a1,-600 # 80001070 <_end> +800002d0: f0100637 lui a2,0xf0100 +800002d4: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feebc> + +800002d8 : +800002d8: 02b50663 beq a0,a1,80000304 +800002dc: 00c52683 lw a3,12(a0) +800002e0: 00d62023 sw a3,0(a2) +800002e4: 00852683 lw a3,8(a0) +800002e8: 00d62023 sw a3,0(a2) +800002ec: 00452683 lw a3,4(a0) +800002f0: 00d62023 sw a3,0(a2) +800002f4: 00052683 lw a3,0(a0) +800002f8: 00d62023 sw a3,0(a2) +800002fc: 01050513 addi a0,a0,16 +80000300: fd9ff06f j 800002d8 + +80000304 : +80000304: f0100537 lui a0,0xf0100 +80000308: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feeb0> +8000030c: 00052023 sw zero,0(a0) +80000310: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: 00e4 addi s1,sp,76 +80001002: 8000 0x8000 +80001004: 00f8 addi a4,sp,76 +80001006: 8000 0x8000 + +80001008 : +80001008: 01dc addi a5,sp,196 +8000100a: 8000 0x8000 +8000100c: 01f0 addi a2,sp,204 +8000100e: 8000 0x8000 + +80001010 : +80001010: ffff 0xffff +80001012: ffff 0xffff +80001014: ffff 0xffff +80001016: ffff 0xffff + +80001018 : +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff + +80001044 : +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff + +80001060 : +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-LB-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-LB-01.elf.objdump new file mode 100644 index 0000000..ab8c109 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-LB-01.elf.objdump @@ -0,0 +1,289 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-LB-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001f97 auipc t6,0x1 +80000004: 000f8f93 mv t6,t6 +80000008: 00001117 auipc sp,0x1 +8000000c: 03810113 addi sp,sp,56 # 80001040 +80000010: 000f8183 lb gp,0(t6) # 80001000 +80000014: 001f8203 lb tp,1(t6) +80000018: 002f8283 lb t0,2(t6) +8000001c: 003f8303 lb t1,3(t6) +80000020: 00312023 sw gp,0(sp) +80000024: 00412223 sw tp,4(sp) +80000028: 00512423 sw t0,8(sp) +8000002c: 00612623 sw t1,12(sp) +80000030: 00001c17 auipc s8,0x1 +80000034: fd5c0c13 addi s8,s8,-43 # 80001005 +80000038: 00001297 auipc t0,0x1 +8000003c: 01828293 addi t0,t0,24 # 80001050 +80000040: fffc0c83 lb s9,-1(s8) +80000044: 000c0d03 lb s10,0(s8) +80000048: 001c0d83 lb s11,1(s8) +8000004c: 002c0e03 lb t3,2(s8) +80000050: 0192a023 sw s9,0(t0) +80000054: 01a2a223 sw s10,4(t0) +80000058: 01b2a423 sw s11,8(t0) +8000005c: 01c2a623 sw t3,12(t0) +80000060: 00001397 auipc t2,0x1 +80000064: fa738393 addi t2,t2,-89 # 80001007 +80000068: 00001417 auipc s0,0x1 +8000006c: ff840413 addi s0,s0,-8 # 80001060 +80000070: 00138f03 lb t5,1(t2) +80000074: 00238f83 lb t6,2(t2) +80000078: 00338083 lb ra,3(t2) +8000007c: 00438103 lb sp,4(t2) +80000080: 01e42023 sw t5,0(s0) +80000084: 01f42223 sw t6,4(s0) +80000088: 00142423 sw ra,8(s0) +8000008c: 00242623 sw sp,12(s0) +80000090: 00001517 auipc a0,0x1 +80000094: 77c50513 addi a0,a0,1916 # 8000180c <_end+0x73c> +80000098: 00001597 auipc a1,0x1 +8000009c: fd858593 addi a1,a1,-40 # 80001070 +800000a0: 80050603 lb a2,-2048(a0) +800000a4: 80150683 lb a3,-2047(a0) +800000a8: 80250703 lb a4,-2046(a0) +800000ac: 80350783 lb a5,-2045(a0) +800000b0: 00c5a023 sw a2,0(a1) +800000b4: 00d5a223 sw a3,4(a1) +800000b8: 00e5a423 sw a4,8(a1) +800000bc: 00f5a623 sw a5,12(a1) +800000c0: 00000697 auipc a3,0x0 +800000c4: 75468693 addi a3,a3,1876 # 80000814 +800000c8: 00001717 auipc a4,0x1 +800000cc: fb870713 addi a4,a4,-72 # 80001080 +800000d0: 7fc68783 lb a5,2044(a3) +800000d4: 7fd68803 lb a6,2045(a3) +800000d8: 7fe68883 lb a7,2046(a3) +800000dc: 7ff68903 lb s2,2047(a3) +800000e0: 00f72023 sw a5,0(a4) +800000e4: 01072223 sw a6,4(a4) +800000e8: 01172423 sw a7,8(a4) +800000ec: 01272623 sw s2,12(a4) +800000f0: 00001817 auipc a6,0x1 +800000f4: f2880813 addi a6,a6,-216 # 80001018 +800000f8: 00001897 auipc a7,0x1 +800000fc: f9888893 addi a7,a7,-104 # 80001090 +80000100: ffc80903 lb s2,-4(a6) +80000104: ffd80983 lb s3,-3(a6) +80000108: ffe80a03 lb s4,-2(a6) +8000010c: fff80a83 lb s5,-1(a6) +80000110: 00080b03 lb s6,0(a6) +80000114: 00180b83 lb s7,1(a6) +80000118: 00280c03 lb s8,2(a6) +8000011c: 00380c83 lb s9,3(a6) +80000120: 00480d03 lb s10,4(a6) +80000124: 00580d83 lb s11,5(a6) +80000128: 00680e03 lb t3,6(a6) +8000012c: 00780e83 lb t4,7(a6) +80000130: 0128a023 sw s2,0(a7) +80000134: 0138a223 sw s3,4(a7) +80000138: 0148a423 sw s4,8(a7) +8000013c: 0158a623 sw s5,12(a7) +80000140: 0168a823 sw s6,16(a7) +80000144: 0178aa23 sw s7,20(a7) +80000148: 0188ac23 sw s8,24(a7) +8000014c: 0198ae23 sw s9,28(a7) +80000150: 03a8a023 sw s10,32(a7) +80000154: 03b8a223 sw s11,36(a7) +80000158: 03c8a423 sw t3,40(a7) +8000015c: 03d8a623 sw t4,44(a7) +80000160: 00001a97 auipc s5,0x1 +80000164: ec0a8a93 addi s5,s5,-320 # 80001020 +80000168: 00001b17 auipc s6,0x1 +8000016c: f58b0b13 addi s6,s6,-168 # 800010c0 +80000170: 000a8003 lb zero,0(s5) +80000174: 000b2023 sw zero,0(s6) +80000178: 00001a97 auipc s5,0x1 +8000017c: eaca8a93 addi s5,s5,-340 # 80001024 +80000180: 00001b17 auipc s6,0x1 +80000184: f44b0b13 addi s6,s6,-188 # 800010c4 +80000188: 000aab83 lw s7,0(s5) +8000018c: 000b8c03 lb s8,0(s7) +80000190: 000c0c93 mv s9,s8 +80000194: 019b2023 sw s9,0(s6) +80000198: 00001c97 auipc s9,0x1 +8000019c: e94c8c93 addi s9,s9,-364 # 8000102c +800001a0: 00001d17 auipc s10,0x1 +800001a4: f28d0d13 addi s10,s10,-216 # 800010c8 +800001a8: 000c8c83 lb s9,0(s9) +800001ac: 019d2023 sw s9,0(s10) +800001b0: 00001d97 auipc s11,0x1 +800001b4: e81d8d93 addi s11,s11,-383 # 80001031 +800001b8: 00001e17 auipc t3,0x1 +800001bc: f14e0e13 addi t3,t3,-236 # 800010cc +800001c0: fffd8d83 lb s11,-1(s11) +800001c4: 01be2023 sw s11,0(t3) +800001c8: 00001517 auipc a0,0x1 +800001cc: e7850513 addi a0,a0,-392 # 80001040 +800001d0: 00001597 auipc a1,0x1 +800001d4: f0058593 addi a1,a1,-256 # 800010d0 <_end> +800001d8: f0100637 lui a2,0xf0100 +800001dc: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee5c> + +800001e0 : +800001e0: 02b50663 beq a0,a1,8000020c +800001e4: 00c52683 lw a3,12(a0) +800001e8: 00d62023 sw a3,0(a2) +800001ec: 00852683 lw a3,8(a0) +800001f0: 00d62023 sw a3,0(a2) +800001f4: 00452683 lw a3,4(a0) +800001f8: 00d62023 sw a3,0(a2) +800001fc: 00052683 lw a3,0(a0) +80000200: 00d62023 sw a3,0(a2) +80000204: 01050513 addi a0,a0,16 +80000208: fd9ff06f j 800001e0 + +8000020c : +8000020c: f0100537 lui a0,0xf0100 +80000210: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee50> +80000214: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: f222 fsw fs0,36(sp) +80001002: 11f1 addi gp,gp,-4 + +80001004 : +80001004: 44f4 lw a3,76(s1) +80001006: 0xf666f333 + +80001008 : +80001008: f666 fsw fs9,44(sp) +8000100a: 55f5 li a1,-3 + +8000100c : +8000100c: 88f8 0x88f8 +8000100e: 0xaaaf777 + +80001010 : +80001010: 0aaa slli s5,s5,0xa +80001012: 9909 andi a0,a0,-30 +80001014: cc0c sw a1,24(s0) +80001016: 0xeee0bbb + +80001018 : +80001018: 0eee slli t4,t4,0x1b +8000101a: dd0d beqz a0,80000f54 +8000101c: 00f0 addi a2,sp,76 +8000101e: 0fff 0xfff + +80001020 : +80001020: 5678 lw a4,108(a2) +80001022: 1234 addi a3,sp,296 + +80001024 : +80001024: 1028 addi a0,sp,40 +80001026: 8000 0x8000 + +80001028 : +80001028: def0 sw a2,124(a3) +8000102a: 9abc 0x9abc + +8000102c : +8000102c: 3210 fld fa2,32(a2) +8000102e: 7654 flw fa3,44(a2) + +80001030 : +80001030: ba98 fsd fa4,48(a3) +80001032: fedc fsw fa5,60(a3) + ... + +80001040 : +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff + +80001060 : +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff + +80001070 : +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff + +80001080 : +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff + +80001090 : +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff + +800010c0 : +800010c0: ffff 0xffff +800010c2: ffff 0xffff + +800010c4 : +800010c4: ffff 0xffff +800010c6: ffff 0xffff + +800010c8 : +800010c8: ffff 0xffff +800010ca: ffff 0xffff + +800010cc : +800010cc: ffff 0xffff +800010ce: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-LBU-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-LBU-01.elf.objdump new file mode 100644 index 0000000..9419861 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-LBU-01.elf.objdump @@ -0,0 +1,289 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-LBU-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001f97 auipc t6,0x1 +80000004: 000f8f93 mv t6,t6 +80000008: 00001117 auipc sp,0x1 +8000000c: 03810113 addi sp,sp,56 # 80001040 +80000010: 000fc183 lbu gp,0(t6) # 80001000 +80000014: 001fc203 lbu tp,1(t6) +80000018: 002fc283 lbu t0,2(t6) +8000001c: 003fc303 lbu t1,3(t6) +80000020: 00312023 sw gp,0(sp) +80000024: 00412223 sw tp,4(sp) +80000028: 00512423 sw t0,8(sp) +8000002c: 00612623 sw t1,12(sp) +80000030: 00001c17 auipc s8,0x1 +80000034: fd5c0c13 addi s8,s8,-43 # 80001005 +80000038: 00001297 auipc t0,0x1 +8000003c: 01828293 addi t0,t0,24 # 80001050 +80000040: fffc4c83 lbu s9,-1(s8) +80000044: 000c4d03 lbu s10,0(s8) +80000048: 001c4d83 lbu s11,1(s8) +8000004c: 002c4e03 lbu t3,2(s8) +80000050: 0192a023 sw s9,0(t0) +80000054: 01a2a223 sw s10,4(t0) +80000058: 01b2a423 sw s11,8(t0) +8000005c: 01c2a623 sw t3,12(t0) +80000060: 00001397 auipc t2,0x1 +80000064: fa738393 addi t2,t2,-89 # 80001007 +80000068: 00001417 auipc s0,0x1 +8000006c: ff840413 addi s0,s0,-8 # 80001060 +80000070: 0013cf03 lbu t5,1(t2) +80000074: 0023cf83 lbu t6,2(t2) +80000078: 0033c083 lbu ra,3(t2) +8000007c: 0043c103 lbu sp,4(t2) +80000080: 01e42023 sw t5,0(s0) +80000084: 01f42223 sw t6,4(s0) +80000088: 00142423 sw ra,8(s0) +8000008c: 00242623 sw sp,12(s0) +80000090: 00001517 auipc a0,0x1 +80000094: 77c50513 addi a0,a0,1916 # 8000180c <_end+0x73c> +80000098: 00001597 auipc a1,0x1 +8000009c: fd858593 addi a1,a1,-40 # 80001070 +800000a0: 80054603 lbu a2,-2048(a0) +800000a4: 80154683 lbu a3,-2047(a0) +800000a8: 80254703 lbu a4,-2046(a0) +800000ac: 80354783 lbu a5,-2045(a0) +800000b0: 00c5a023 sw a2,0(a1) +800000b4: 00d5a223 sw a3,4(a1) +800000b8: 00e5a423 sw a4,8(a1) +800000bc: 00f5a623 sw a5,12(a1) +800000c0: 00000697 auipc a3,0x0 +800000c4: 75468693 addi a3,a3,1876 # 80000814 +800000c8: 00001717 auipc a4,0x1 +800000cc: fb870713 addi a4,a4,-72 # 80001080 +800000d0: 7fc6c783 lbu a5,2044(a3) +800000d4: 7fd6c803 lbu a6,2045(a3) +800000d8: 7fe6c883 lbu a7,2046(a3) +800000dc: 7ff6c903 lbu s2,2047(a3) +800000e0: 00f72023 sw a5,0(a4) +800000e4: 01072223 sw a6,4(a4) +800000e8: 01172423 sw a7,8(a4) +800000ec: 01272623 sw s2,12(a4) +800000f0: 00001817 auipc a6,0x1 +800000f4: f2880813 addi a6,a6,-216 # 80001018 +800000f8: 00001897 auipc a7,0x1 +800000fc: f9888893 addi a7,a7,-104 # 80001090 +80000100: ffc84903 lbu s2,-4(a6) +80000104: ffd84983 lbu s3,-3(a6) +80000108: ffe84a03 lbu s4,-2(a6) +8000010c: fff84a83 lbu s5,-1(a6) +80000110: 00084b03 lbu s6,0(a6) +80000114: 00184b83 lbu s7,1(a6) +80000118: 00284c03 lbu s8,2(a6) +8000011c: 00384c83 lbu s9,3(a6) +80000120: 00484d03 lbu s10,4(a6) +80000124: 00584d83 lbu s11,5(a6) +80000128: 00684e03 lbu t3,6(a6) +8000012c: 00784e83 lbu t4,7(a6) +80000130: 0128a023 sw s2,0(a7) +80000134: 0138a223 sw s3,4(a7) +80000138: 0148a423 sw s4,8(a7) +8000013c: 0158a623 sw s5,12(a7) +80000140: 0168a823 sw s6,16(a7) +80000144: 0178aa23 sw s7,20(a7) +80000148: 0188ac23 sw s8,24(a7) +8000014c: 0198ae23 sw s9,28(a7) +80000150: 03a8a023 sw s10,32(a7) +80000154: 03b8a223 sw s11,36(a7) +80000158: 03c8a423 sw t3,40(a7) +8000015c: 03d8a623 sw t4,44(a7) +80000160: 00001a97 auipc s5,0x1 +80000164: ec0a8a93 addi s5,s5,-320 # 80001020 +80000168: 00001b17 auipc s6,0x1 +8000016c: f58b0b13 addi s6,s6,-168 # 800010c0 +80000170: 000ac003 lbu zero,0(s5) +80000174: 000b2023 sw zero,0(s6) +80000178: 00001a97 auipc s5,0x1 +8000017c: eaca8a93 addi s5,s5,-340 # 80001024 +80000180: 00001b17 auipc s6,0x1 +80000184: f44b0b13 addi s6,s6,-188 # 800010c4 +80000188: 000aab83 lw s7,0(s5) +8000018c: 000bcc03 lbu s8,0(s7) +80000190: 000c0c93 mv s9,s8 +80000194: 019b2023 sw s9,0(s6) +80000198: 00001c97 auipc s9,0x1 +8000019c: e94c8c93 addi s9,s9,-364 # 8000102c +800001a0: 00001d17 auipc s10,0x1 +800001a4: f28d0d13 addi s10,s10,-216 # 800010c8 +800001a8: 000ccc83 lbu s9,0(s9) +800001ac: 019d2023 sw s9,0(s10) +800001b0: 00001d97 auipc s11,0x1 +800001b4: e81d8d93 addi s11,s11,-383 # 80001031 +800001b8: 00001e17 auipc t3,0x1 +800001bc: f14e0e13 addi t3,t3,-236 # 800010cc +800001c0: fffdcd83 lbu s11,-1(s11) +800001c4: 01be2023 sw s11,0(t3) +800001c8: 00001517 auipc a0,0x1 +800001cc: e7850513 addi a0,a0,-392 # 80001040 +800001d0: 00001597 auipc a1,0x1 +800001d4: f0058593 addi a1,a1,-256 # 800010d0 <_end> +800001d8: f0100637 lui a2,0xf0100 +800001dc: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee5c> + +800001e0 : +800001e0: 02b50663 beq a0,a1,8000020c +800001e4: 00c52683 lw a3,12(a0) +800001e8: 00d62023 sw a3,0(a2) +800001ec: 00852683 lw a3,8(a0) +800001f0: 00d62023 sw a3,0(a2) +800001f4: 00452683 lw a3,4(a0) +800001f8: 00d62023 sw a3,0(a2) +800001fc: 00052683 lw a3,0(a0) +80000200: 00d62023 sw a3,0(a2) +80000204: 01050513 addi a0,a0,16 +80000208: fd9ff06f j 800001e0 + +8000020c : +8000020c: f0100537 lui a0,0xf0100 +80000210: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee50> +80000214: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: f222 fsw fs0,36(sp) +80001002: 11f1 addi gp,gp,-4 + +80001004 : +80001004: 44f4 lw a3,76(s1) +80001006: 0xf666f333 + +80001008 : +80001008: f666 fsw fs9,44(sp) +8000100a: 55f5 li a1,-3 + +8000100c : +8000100c: 88f8 0x88f8 +8000100e: 0xaaaf777 + +80001010 : +80001010: 0aaa slli s5,s5,0xa +80001012: 9909 andi a0,a0,-30 +80001014: cc0c sw a1,24(s0) +80001016: 0xeee0bbb + +80001018 : +80001018: 0eee slli t4,t4,0x1b +8000101a: dd0d beqz a0,80000f54 +8000101c: 00f0 addi a2,sp,76 +8000101e: 0fff 0xfff + +80001020 : +80001020: 5678 lw a4,108(a2) +80001022: 1234 addi a3,sp,296 + +80001024 : +80001024: 1028 addi a0,sp,40 +80001026: 8000 0x8000 + +80001028 : +80001028: def0 sw a2,124(a3) +8000102a: 9abc 0x9abc + +8000102c : +8000102c: 3210 fld fa2,32(a2) +8000102e: 7654 flw fa3,44(a2) + +80001030 : +80001030: ba98 fsd fa4,48(a3) +80001032: fedc fsw fa5,60(a3) + ... + +80001040 : +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff + +80001060 : +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff + +80001070 : +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff + +80001080 : +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff + +80001090 : +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff + +800010c0 : +800010c0: ffff 0xffff +800010c2: ffff 0xffff + +800010c4 : +800010c4: ffff 0xffff +800010c6: ffff 0xffff + +800010c8 : +800010c8: ffff 0xffff +800010ca: ffff 0xffff + +800010cc : +800010cc: ffff 0xffff +800010ce: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-LH-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-LH-01.elf.objdump new file mode 100644 index 0000000..d917bae --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-LH-01.elf.objdump @@ -0,0 +1,225 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-LH-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001f97 auipc t6,0x1 +80000004: 000f8f93 mv t6,t6 +80000008: 00001117 auipc sp,0x1 +8000000c: 03810113 addi sp,sp,56 # 80001040 +80000010: 000f9183 lh gp,0(t6) # 80001000 +80000014: 002f9203 lh tp,2(t6) +80000018: 00312023 sw gp,0(sp) +8000001c: 00412223 sw tp,4(sp) +80000020: 00001c17 auipc s8,0x1 +80000024: fe5c0c13 addi s8,s8,-27 # 80001005 +80000028: 00001297 auipc t0,0x1 +8000002c: 02028293 addi t0,t0,32 # 80001048 +80000030: fffc1c83 lh s9,-1(s8) +80000034: 001c1d03 lh s10,1(s8) +80000038: 0192a023 sw s9,0(t0) +8000003c: 01a2a223 sw s10,4(t0) +80000040: 00001397 auipc t2,0x1 +80000044: fc738393 addi t2,t2,-57 # 80001007 +80000048: 00001417 auipc s0,0x1 +8000004c: 00840413 addi s0,s0,8 # 80001050 +80000050: 00139f03 lh t5,1(t2) +80000054: 00339f83 lh t6,3(t2) +80000058: 01e42023 sw t5,0(s0) +8000005c: 01f42223 sw t6,4(s0) +80000060: 00001517 auipc a0,0x1 +80000064: 7ac50513 addi a0,a0,1964 # 8000180c <_end+0x77c> +80000068: 00001597 auipc a1,0x1 +8000006c: ff058593 addi a1,a1,-16 # 80001058 +80000070: 80051603 lh a2,-2048(a0) +80000074: 80251683 lh a3,-2046(a0) +80000078: 00c5a023 sw a2,0(a1) +8000007c: 00d5a223 sw a3,4(a1) +80000080: 00000697 auipc a3,0x0 +80000084: 79368693 addi a3,a3,1939 # 80000813 +80000088: 00001717 auipc a4,0x1 +8000008c: fd870713 addi a4,a4,-40 # 80001060 +80000090: 7fd69783 lh a5,2045(a3) +80000094: 7ff69803 lh a6,2047(a3) +80000098: 00f72023 sw a5,0(a4) +8000009c: 01072223 sw a6,4(a4) +800000a0: 00001817 auipc a6,0x1 +800000a4: f7880813 addi a6,a6,-136 # 80001018 +800000a8: 00001897 auipc a7,0x1 +800000ac: fc088893 addi a7,a7,-64 # 80001068 +800000b0: ffc81903 lh s2,-4(a6) +800000b4: ffe81983 lh s3,-2(a6) +800000b8: 00081a03 lh s4,0(a6) +800000bc: 00281a83 lh s5,2(a6) +800000c0: 00481b03 lh s6,4(a6) +800000c4: 00681b83 lh s7,6(a6) +800000c8: 0128a023 sw s2,0(a7) +800000cc: 0138a223 sw s3,4(a7) +800000d0: 0148a423 sw s4,8(a7) +800000d4: 0158a623 sw s5,12(a7) +800000d8: 0168a823 sw s6,16(a7) +800000dc: 0178aa23 sw s7,20(a7) +800000e0: 00001a97 auipc s5,0x1 +800000e4: f40a8a93 addi s5,s5,-192 # 80001020 +800000e8: 00001b17 auipc s6,0x1 +800000ec: f98b0b13 addi s6,s6,-104 # 80001080 +800000f0: 000a9003 lh zero,0(s5) +800000f4: 000b2023 sw zero,0(s6) +800000f8: 00001a97 auipc s5,0x1 +800000fc: f2ca8a93 addi s5,s5,-212 # 80001024 +80000100: 00001b17 auipc s6,0x1 +80000104: f84b0b13 addi s6,s6,-124 # 80001084 +80000108: 000aab83 lw s7,0(s5) +8000010c: 000b9c03 lh s8,0(s7) +80000110: 000c0c93 mv s9,s8 +80000114: 019b2023 sw s9,0(s6) +80000118: 00001c97 auipc s9,0x1 +8000011c: f14c8c93 addi s9,s9,-236 # 8000102c +80000120: 00001d17 auipc s10,0x1 +80000124: f68d0d13 addi s10,s10,-152 # 80001088 +80000128: 000c9c83 lh s9,0(s9) +8000012c: 019d2023 sw s9,0(s10) +80000130: 00001d97 auipc s11,0x1 +80000134: f01d8d93 addi s11,s11,-255 # 80001031 +80000138: 00001e17 auipc t3,0x1 +8000013c: f54e0e13 addi t3,t3,-172 # 8000108c +80000140: fffd9d83 lh s11,-1(s11) +80000144: 01be2023 sw s11,0(t3) +80000148: 00001517 auipc a0,0x1 +8000014c: ef850513 addi a0,a0,-264 # 80001040 +80000150: 00001597 auipc a1,0x1 +80000154: f4058593 addi a1,a1,-192 # 80001090 <_end> +80000158: f0100637 lui a2,0xf0100 +8000015c: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee9c> + +80000160 : +80000160: 02b50663 beq a0,a1,8000018c +80000164: 00c52683 lw a3,12(a0) +80000168: 00d62023 sw a3,0(a2) +8000016c: 00852683 lw a3,8(a0) +80000170: 00d62023 sw a3,0(a2) +80000174: 00452683 lw a3,4(a0) +80000178: 00d62023 sw a3,0(a2) +8000017c: 00052683 lw a3,0(a0) +80000180: 00d62023 sw a3,0(a2) +80000184: 01050513 addi a0,a0,16 +80000188: fd9ff06f j 80000160 + +8000018c : +8000018c: f0100537 lui a0,0xf0100 +80000190: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee90> +80000194: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: f222 fsw fs0,36(sp) +80001002: 11f1 addi gp,gp,-4 + +80001004 : +80001004: 44f4 lw a3,76(s1) +80001006: 0xf666f333 + +80001008 : +80001008: f666 fsw fs9,44(sp) +8000100a: 55f5 li a1,-3 + +8000100c : +8000100c: 88f8 0x88f8 +8000100e: 0xaaaf777 + +80001010 : +80001010: 0aaa slli s5,s5,0xa +80001012: 9909 andi a0,a0,-30 +80001014: cc0c sw a1,24(s0) +80001016: 0xeee0bbb + +80001018 : +80001018: 0eee slli t4,t4,0x1b +8000101a: dd0d beqz a0,80000f54 +8000101c: 00f0 addi a2,sp,76 +8000101e: 0fff 0xfff + +80001020 : +80001020: 5678 lw a4,108(a2) +80001022: 1234 addi a3,sp,296 + +80001024 : +80001024: 1028 addi a0,sp,40 +80001026: 8000 0x8000 + +80001028 : +80001028: def0 sw a2,124(a3) +8000102a: 9abc 0x9abc + +8000102c : +8000102c: 3210 fld fa2,32(a2) +8000102e: 7654 flw fa3,44(a2) + +80001030 : +80001030: ba98 fsd fa4,48(a3) +80001032: fedc fsw fa5,60(a3) + ... + +80001040 : +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff + +80001048 : +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff + +80001058 : +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff + +80001060 : +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff + +80001068 : +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff + +80001080 : +80001080: ffff 0xffff +80001082: ffff 0xffff + +80001084 : +80001084: ffff 0xffff +80001086: ffff 0xffff + +80001088 : +80001088: ffff 0xffff +8000108a: ffff 0xffff + +8000108c : +8000108c: ffff 0xffff +8000108e: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-LHU-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-LHU-01.elf.objdump new file mode 100644 index 0000000..e5d2430 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-LHU-01.elf.objdump @@ -0,0 +1,225 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-LHU-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001f97 auipc t6,0x1 +80000004: 000f8f93 mv t6,t6 +80000008: 00001117 auipc sp,0x1 +8000000c: 03810113 addi sp,sp,56 # 80001040 +80000010: 000fd183 lhu gp,0(t6) # 80001000 +80000014: 002fd203 lhu tp,2(t6) +80000018: 00312023 sw gp,0(sp) +8000001c: 00412223 sw tp,4(sp) +80000020: 00001c17 auipc s8,0x1 +80000024: fe5c0c13 addi s8,s8,-27 # 80001005 +80000028: 00001297 auipc t0,0x1 +8000002c: 02028293 addi t0,t0,32 # 80001048 +80000030: fffc5c83 lhu s9,-1(s8) +80000034: 001c5d03 lhu s10,1(s8) +80000038: 0192a023 sw s9,0(t0) +8000003c: 01a2a223 sw s10,4(t0) +80000040: 00001397 auipc t2,0x1 +80000044: fc738393 addi t2,t2,-57 # 80001007 +80000048: 00001417 auipc s0,0x1 +8000004c: 00840413 addi s0,s0,8 # 80001050 +80000050: 0013df03 lhu t5,1(t2) +80000054: 0033df83 lhu t6,3(t2) +80000058: 01e42023 sw t5,0(s0) +8000005c: 01f42223 sw t6,4(s0) +80000060: 00001517 auipc a0,0x1 +80000064: 7ac50513 addi a0,a0,1964 # 8000180c <_end+0x77c> +80000068: 00001597 auipc a1,0x1 +8000006c: ff058593 addi a1,a1,-16 # 80001058 +80000070: 80055603 lhu a2,-2048(a0) +80000074: 80255683 lhu a3,-2046(a0) +80000078: 00c5a023 sw a2,0(a1) +8000007c: 00d5a223 sw a3,4(a1) +80000080: 00000697 auipc a3,0x0 +80000084: 79368693 addi a3,a3,1939 # 80000813 +80000088: 00001717 auipc a4,0x1 +8000008c: fd870713 addi a4,a4,-40 # 80001060 +80000090: 7fd6d783 lhu a5,2045(a3) +80000094: 7ff6d803 lhu a6,2047(a3) +80000098: 00f72023 sw a5,0(a4) +8000009c: 01072223 sw a6,4(a4) +800000a0: 00001817 auipc a6,0x1 +800000a4: f7880813 addi a6,a6,-136 # 80001018 +800000a8: 00001897 auipc a7,0x1 +800000ac: fc088893 addi a7,a7,-64 # 80001068 +800000b0: ffc85903 lhu s2,-4(a6) +800000b4: ffe85983 lhu s3,-2(a6) +800000b8: 00085a03 lhu s4,0(a6) +800000bc: 00285a83 lhu s5,2(a6) +800000c0: 00485b03 lhu s6,4(a6) +800000c4: 00685b83 lhu s7,6(a6) +800000c8: 0128a023 sw s2,0(a7) +800000cc: 0138a223 sw s3,4(a7) +800000d0: 0148a423 sw s4,8(a7) +800000d4: 0158a623 sw s5,12(a7) +800000d8: 0168a823 sw s6,16(a7) +800000dc: 0178aa23 sw s7,20(a7) +800000e0: 00001a97 auipc s5,0x1 +800000e4: f40a8a93 addi s5,s5,-192 # 80001020 +800000e8: 00001b17 auipc s6,0x1 +800000ec: f98b0b13 addi s6,s6,-104 # 80001080 +800000f0: 000ad003 lhu zero,0(s5) +800000f4: 000b2023 sw zero,0(s6) +800000f8: 00001a97 auipc s5,0x1 +800000fc: f2ca8a93 addi s5,s5,-212 # 80001024 +80000100: 00001b17 auipc s6,0x1 +80000104: f84b0b13 addi s6,s6,-124 # 80001084 +80000108: 000aab83 lw s7,0(s5) +8000010c: 000bdc03 lhu s8,0(s7) +80000110: 000c0c93 mv s9,s8 +80000114: 019b2023 sw s9,0(s6) +80000118: 00001c97 auipc s9,0x1 +8000011c: f14c8c93 addi s9,s9,-236 # 8000102c +80000120: 00001d17 auipc s10,0x1 +80000124: f68d0d13 addi s10,s10,-152 # 80001088 +80000128: 000cdc83 lhu s9,0(s9) +8000012c: 019d2023 sw s9,0(s10) +80000130: 00001d97 auipc s11,0x1 +80000134: f01d8d93 addi s11,s11,-255 # 80001031 +80000138: 00001e17 auipc t3,0x1 +8000013c: f54e0e13 addi t3,t3,-172 # 8000108c +80000140: fffddd83 lhu s11,-1(s11) +80000144: 01be2023 sw s11,0(t3) +80000148: 00001517 auipc a0,0x1 +8000014c: ef850513 addi a0,a0,-264 # 80001040 +80000150: 00001597 auipc a1,0x1 +80000154: f4058593 addi a1,a1,-192 # 80001090 <_end> +80000158: f0100637 lui a2,0xf0100 +8000015c: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee9c> + +80000160 : +80000160: 02b50663 beq a0,a1,8000018c +80000164: 00c52683 lw a3,12(a0) +80000168: 00d62023 sw a3,0(a2) +8000016c: 00852683 lw a3,8(a0) +80000170: 00d62023 sw a3,0(a2) +80000174: 00452683 lw a3,4(a0) +80000178: 00d62023 sw a3,0(a2) +8000017c: 00052683 lw a3,0(a0) +80000180: 00d62023 sw a3,0(a2) +80000184: 01050513 addi a0,a0,16 +80000188: fd9ff06f j 80000160 + +8000018c : +8000018c: f0100537 lui a0,0xf0100 +80000190: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee90> +80000194: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: f222 fsw fs0,36(sp) +80001002: 11f1 addi gp,gp,-4 + +80001004 : +80001004: 44f4 lw a3,76(s1) +80001006: 0xf666f333 + +80001008 : +80001008: f666 fsw fs9,44(sp) +8000100a: 55f5 li a1,-3 + +8000100c : +8000100c: 88f8 0x88f8 +8000100e: 0xaaaf777 + +80001010 : +80001010: 0aaa slli s5,s5,0xa +80001012: 9909 andi a0,a0,-30 +80001014: cc0c sw a1,24(s0) +80001016: 0xeee0bbb + +80001018 : +80001018: 0eee slli t4,t4,0x1b +8000101a: dd0d beqz a0,80000f54 +8000101c: 00f0 addi a2,sp,76 +8000101e: 0fff 0xfff + +80001020 : +80001020: 5678 lw a4,108(a2) +80001022: 1234 addi a3,sp,296 + +80001024 : +80001024: 1028 addi a0,sp,40 +80001026: 8000 0x8000 + +80001028 : +80001028: def0 sw a2,124(a3) +8000102a: 9abc 0x9abc + +8000102c : +8000102c: 3210 fld fa2,32(a2) +8000102e: 7654 flw fa3,44(a2) + +80001030 : +80001030: ba98 fsd fa4,48(a3) +80001032: fedc fsw fa5,60(a3) + ... + +80001040 : +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff + +80001048 : +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff + +80001058 : +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff + +80001060 : +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff + +80001068 : +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff + +80001080 : +80001080: ffff 0xffff +80001082: ffff 0xffff + +80001084 : +80001084: ffff 0xffff +80001086: ffff 0xffff + +80001088 : +80001088: ffff 0xffff +8000108a: ffff 0xffff + +8000108c : +8000108c: ffff 0xffff +8000108e: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-LUI-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-LUI-01.elf.objdump new file mode 100644 index 0000000..0d35aba --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-LUI-01.elf.objdump @@ -0,0 +1,128 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-LUI-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001797 auipc a5,0x1 +80000004: 01078793 addi a5,a5,16 # 80001010 +80000008: 000000b7 lui ra,0x0 +8000000c: 000011b7 lui gp,0x1 +80000010: fffff2b7 lui t0,0xfffff +80000014: 7ffffeb7 lui t4,0x7ffff +80000018: 80000fb7 lui t6,0x80000 +8000001c: 0017a023 sw ra,0(a5) +80000020: 0037a223 sw gp,4(a5) +80000024: 0057a423 sw t0,8(a5) +80000028: 01d7a623 sw t4,12(a5) +8000002c: 01f7a823 sw t6,16(a5) +80000030: 00001797 auipc a5,0x1 +80000034: fd078793 addi a5,a5,-48 # 80001000 +80000038: 00001817 auipc a6,0x1 +8000003c: fec80813 addi a6,a6,-20 # 80001024 +80000040: 0007a103 lw sp,0(a5) +80000044: 0007a203 lw tp,0(a5) +80000048: 0007a303 lw t1,0(a5) +8000004c: 0007ae03 lw t3,0(a5) +80000050: 0007af03 lw t5,0(a5) +80000054: 80000137 lui sp,0x80000 +80000058: 7ffff237 lui tp,0x7ffff +8000005c: 00000337 lui t1,0x0 +80000060: 00001e37 lui t3,0x1 +80000064: ffffff37 lui t5,0xfffff +80000068: 00282023 sw sp,0(a6) +8000006c: 00482223 sw tp,4(a6) +80000070: 00682423 sw t1,8(a6) +80000074: 01c82623 sw t3,12(a6) +80000078: 01e82823 sw t5,16(a6) +8000007c: 00001897 auipc a7,0x1 +80000080: fbc88893 addi a7,a7,-68 # 80001038 +80000084: 427270b7 lui ra,0x42727 +80000088: e6f08093 addi ra,ra,-401 # 42726e6f <_start-0x3d8d9191> +8000008c: 123457b7 lui a5,0x12345 +80000090: 67878793 addi a5,a5,1656 # 12345678 <_start-0x6dcba988> +80000094: 9abcef37 lui t5,0x9abce +80000098: ef0f0f13 addi t5,t5,-272 # 9abcdef0 <_end+0x1abccea0> +8000009c: 42727137 lui sp,0x42727 +800000a0: e6f10113 addi sp,sp,-401 # 42726e6f <_start-0x3d8d9191> +800000a4: 12345837 lui a6,0x12345 +800000a8: 67880813 addi a6,a6,1656 # 12345678 <_start-0x6dcba988> +800000ac: 9abcefb7 lui t6,0x9abce +800000b0: ef0f8f93 addi t6,t6,-272 # 9abcdef0 <_end+0x1abccea0> +800000b4: 0018a023 sw ra,0(a7) +800000b8: 00f8a223 sw a5,4(a7) +800000bc: 01e8a423 sw t5,8(a7) +800000c0: 0028a623 sw sp,12(a7) +800000c4: 0108a823 sw a6,16(a7) +800000c8: 01f8aa23 sw t6,20(a7) +800000cc: 00001517 auipc a0,0x1 +800000d0: f4450513 addi a0,a0,-188 # 80001010 +800000d4: 00001597 auipc a1,0x1 +800000d8: f7c58593 addi a1,a1,-132 # 80001050 <_end> +800000dc: f0100637 lui a2,0xf0100 +800000e0: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feedc> + +800000e4 : +800000e4: 02b50663 beq a0,a1,80000110 +800000e8: 00c52683 lw a3,12(a0) +800000ec: 00d62023 sw a3,0(a2) +800000f0: 00852683 lw a3,8(a0) +800000f4: 00d62023 sw a3,0(a2) +800000f8: 00452683 lw a3,4(a0) +800000fc: 00d62023 sw a3,0(a2) +80000100: 00052683 lw a3,0(a0) +80000104: 00d62023 sw a3,0(a2) +80000108: 01050513 addi a0,a0,16 +8000010c: fd9ff06f j 800000e4 + +80000110 : +80000110: f0100537 lui a0,0xf0100 +80000114: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feed0> +80000118: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: 1111 addi sp,sp,-28 +80001002: 1111 addi sp,sp,-28 + ... + +80001010 : +80001010: ffff 0xffff +80001012: ffff 0xffff +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff + +80001024 : +80001024: ffff 0xffff +80001026: ffff 0xffff +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff + +80001038 : +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-LW-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-LW-01.elf.objdump new file mode 100644 index 0000000..8d033c8 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-LW-01.elf.objdump @@ -0,0 +1,193 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-LW-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001f97 auipc t6,0x1 +80000004: 000f8f93 mv t6,t6 +80000008: 00001117 auipc sp,0x1 +8000000c: 03810113 addi sp,sp,56 # 80001040 +80000010: 000fa183 lw gp,0(t6) # 80001000 +80000014: 00312023 sw gp,0(sp) +80000018: 00001c17 auipc s8,0x1 +8000001c: fedc0c13 addi s8,s8,-19 # 80001005 +80000020: 00001297 auipc t0,0x1 +80000024: 02428293 addi t0,t0,36 # 80001044 +80000028: fffc2c83 lw s9,-1(s8) +8000002c: 0192a023 sw s9,0(t0) +80000030: 00001397 auipc t2,0x1 +80000034: fd738393 addi t2,t2,-41 # 80001007 +80000038: 00001417 auipc s0,0x1 +8000003c: 01040413 addi s0,s0,16 # 80001048 +80000040: 0013af83 lw t6,1(t2) +80000044: 01f42023 sw t6,0(s0) +80000048: 00001517 auipc a0,0x1 +8000004c: 7c450513 addi a0,a0,1988 # 8000180c <_end+0x79c> +80000050: 00001597 auipc a1,0x1 +80000054: ffc58593 addi a1,a1,-4 # 8000104c +80000058: 80052603 lw a2,-2048(a0) +8000005c: 00c5a023 sw a2,0(a1) +80000060: 00000697 auipc a3,0x0 +80000064: 7b168693 addi a3,a3,1969 # 80000811 +80000068: 00001717 auipc a4,0x1 +8000006c: fe870713 addi a4,a4,-24 # 80001050 +80000070: 7ff6a783 lw a5,2047(a3) +80000074: 00f72023 sw a5,0(a4) +80000078: 00001817 auipc a6,0x1 +8000007c: fa080813 addi a6,a6,-96 # 80001018 +80000080: 00001897 auipc a7,0x1 +80000084: fd488893 addi a7,a7,-44 # 80001054 +80000088: ffc82903 lw s2,-4(a6) +8000008c: 00082983 lw s3,0(a6) +80000090: 00482a03 lw s4,4(a6) +80000094: 0128a023 sw s2,0(a7) +80000098: 0138a223 sw s3,4(a7) +8000009c: 0148a423 sw s4,8(a7) +800000a0: 00001a97 auipc s5,0x1 +800000a4: f80a8a93 addi s5,s5,-128 # 80001020 +800000a8: 00001b17 auipc s6,0x1 +800000ac: fb8b0b13 addi s6,s6,-72 # 80001060 +800000b0: 000aa003 lw zero,0(s5) +800000b4: 000b2023 sw zero,0(s6) +800000b8: 00001a97 auipc s5,0x1 +800000bc: f6ca8a93 addi s5,s5,-148 # 80001024 +800000c0: 00001b17 auipc s6,0x1 +800000c4: fa4b0b13 addi s6,s6,-92 # 80001064 +800000c8: 000aab83 lw s7,0(s5) +800000cc: 000bac03 lw s8,0(s7) +800000d0: 000c0c93 mv s9,s8 +800000d4: 019b2023 sw s9,0(s6) +800000d8: 00001c97 auipc s9,0x1 +800000dc: f54c8c93 addi s9,s9,-172 # 8000102c +800000e0: 00001d17 auipc s10,0x1 +800000e4: f88d0d13 addi s10,s10,-120 # 80001068 +800000e8: 000cac83 lw s9,0(s9) +800000ec: 019d2023 sw s9,0(s10) +800000f0: 00001d97 auipc s11,0x1 +800000f4: f41d8d93 addi s11,s11,-191 # 80001031 +800000f8: 00001e17 auipc t3,0x1 +800000fc: f74e0e13 addi t3,t3,-140 # 8000106c +80000100: fffdad83 lw s11,-1(s11) +80000104: 01be2023 sw s11,0(t3) +80000108: 00001517 auipc a0,0x1 +8000010c: f3850513 addi a0,a0,-200 # 80001040 +80000110: 00001597 auipc a1,0x1 +80000114: f6058593 addi a1,a1,-160 # 80001070 <_end> +80000118: f0100637 lui a2,0xf0100 +8000011c: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feebc> + +80000120 : +80000120: 02b50663 beq a0,a1,8000014c +80000124: 00c52683 lw a3,12(a0) +80000128: 00d62023 sw a3,0(a2) +8000012c: 00852683 lw a3,8(a0) +80000130: 00d62023 sw a3,0(a2) +80000134: 00452683 lw a3,4(a0) +80000138: 00d62023 sw a3,0(a2) +8000013c: 00052683 lw a3,0(a0) +80000140: 00d62023 sw a3,0(a2) +80000144: 01050513 addi a0,a0,16 +80000148: fd9ff06f j 80000120 + +8000014c : +8000014c: f0100537 lui a0,0xf0100 +80000150: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feeb0> +80000154: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: f222 fsw fs0,36(sp) +80001002: 11f1 addi gp,gp,-4 + +80001004 : +80001004: 44f4 lw a3,76(s1) +80001006: 0xf666f333 + +80001008 : +80001008: f666 fsw fs9,44(sp) +8000100a: 55f5 li a1,-3 + +8000100c : +8000100c: 88f8 0x88f8 +8000100e: 0xaaaf777 + +80001010 : +80001010: 0aaa slli s5,s5,0xa +80001012: 9909 andi a0,a0,-30 +80001014: cc0c sw a1,24(s0) +80001016: 0xeee0bbb + +80001018 : +80001018: 0eee slli t4,t4,0x1b +8000101a: dd0d beqz a0,80000f54 +8000101c: 00f0 addi a2,sp,76 +8000101e: 0fff 0xfff + +80001020 : +80001020: 5678 lw a4,108(a2) +80001022: 1234 addi a3,sp,296 + +80001024 : +80001024: 1028 addi a0,sp,40 +80001026: 8000 0x8000 + +80001028 : +80001028: def0 sw a2,124(a3) +8000102a: 9abc 0x9abc + +8000102c : +8000102c: 3210 fld fa2,32(a2) +8000102e: 7654 flw fa3,44(a2) + +80001030 : +80001030: ba98 fsd fa4,48(a3) +80001032: fedc fsw fa5,60(a3) + ... + +80001040 : +80001040: ffff 0xffff +80001042: ffff 0xffff + +80001044 : +80001044: ffff 0xffff +80001046: ffff 0xffff + +80001048 : +80001048: ffff 0xffff +8000104a: ffff 0xffff + +8000104c : +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff + +80001054 : +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff + +80001060 : +80001060: ffff 0xffff +80001062: ffff 0xffff + +80001064 : +80001064: ffff 0xffff +80001066: ffff 0xffff + +80001068 : +80001068: ffff 0xffff +8000106a: ffff 0xffff + +8000106c : +8000106c: ffff 0xffff +8000106e: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-MISALIGN_JMP-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-MISALIGN_JMP-01.elf.objdump new file mode 100644 index 0000000..f08f7b4 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-MISALIGN_JMP-01.elf.objdump @@ -0,0 +1,268 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-MISALIGN_JMP-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00000097 auipc ra,0x0 +80000004: 20808093 addi ra,ra,520 # 80000208 <_trap_handler> +80000008: 30509ff3 csrrw t6,mtvec,ra +8000000c: 30127073 csrci misa,4 +80000010: 00001097 auipc ra,0x1 +80000014: ff008093 addi ra,ra,-16 # 80001000 +80000018: 11111137 lui sp,0x11111 +8000001c: 11110113 addi sp,sp,273 # 11111111 <_start-0x6eeeeeef> +80000020: 00a0006f j 8000002a <_start+0x2a> +80000024: 00000113 li sp,0 +80000028: 00001097 auipc ra,0x1 +8000002c: fe408093 addi ra,ra,-28 # 8000100c +80000030: 22222137 lui sp,0x22222 +80000034: 22210113 addi sp,sp,546 # 22222222 <_start-0x5dddddde> +80000038: 00000217 auipc tp,0x0 +8000003c: 01120213 addi tp,tp,17 # 80000049 <_start+0x49> +80000040: 00020067 jr tp +80000044: 00000113 li sp,0 +80000048: 0020a023 sw sp,0(ra) +8000004c: 00408093 addi ra,ra,4 +80000050: 33333137 lui sp,0x33333 +80000054: 33310113 addi sp,sp,819 # 33333333 <_start-0x4ccccccd> +80000058: 00000217 auipc tp,0x0 +8000005c: 01020213 addi tp,tp,16 # 80000068 <_start+0x68> +80000060: 00120067 jr 1(tp) # 1 <_start-0x7fffffff> +80000064: 00000113 li sp,0 +80000068: 0020a023 sw sp,0(ra) +8000006c: 00408093 addi ra,ra,4 +80000070: 44444137 lui sp,0x44444 +80000074: 44410113 addi sp,sp,1092 # 44444444 <_start-0x3bbbbbbc> +80000078: 00000217 auipc tp,0x0 +8000007c: 01420213 addi tp,tp,20 # 8000008c <_start+0x8c> +80000080: ffd20067 jr -3(tp) # fffffffd <_end+0x7fffef6d> +80000084: 00000113 li sp,0 +80000088: 0020a023 sw sp,0(ra) +8000008c: 00408093 addi ra,ra,4 +80000090: 00001097 auipc ra,0x1 +80000094: f8808093 addi ra,ra,-120 # 80001018 +80000098: 55555137 lui sp,0x55555 +8000009c: 55510113 addi sp,sp,1365 # 55555555 <_start-0x2aaaaaab> +800000a0: 00000217 auipc tp,0x0 +800000a4: 01220213 addi tp,tp,18 # 800000b2 <_start+0xb2> +800000a8: 00020067 jr tp +800000ac: 00000113 li sp,0 +800000b0: 66666137 lui sp,0x66666 +800000b4: 66610113 addi sp,sp,1638 # 66666666 <_start-0x1999999a> +800000b8: 00000217 auipc tp,0x0 +800000bc: 01320213 addi tp,tp,19 # 800000cb <_start+0xcb> +800000c0: 00020067 jr tp +800000c4: 00000113 li sp,0 +800000c8: 77777137 lui sp,0x77777 +800000cc: 77710113 addi sp,sp,1911 # 77777777 <_start-0x8888889> +800000d0: 00000217 auipc tp,0x0 +800000d4: 01020213 addi tp,tp,16 # 800000e0 <_start+0xe0> +800000d8: 00220067 jr 2(tp) # 2 <_start-0x7ffffffe> +800000dc: 00000113 li sp,0 +800000e0: 88889137 lui sp,0x88889 +800000e4: 88810113 addi sp,sp,-1912 # 88888888 <_end+0x88877f8> +800000e8: 00000217 auipc tp,0x0 +800000ec: 01020213 addi tp,tp,16 # 800000f8 <_start+0xf8> +800000f0: 00320067 jr 3(tp) # 3 <_start-0x7ffffffd> +800000f4: 00000113 li sp,0 +800000f8: 00001097 auipc ra,0x1 +800000fc: f5008093 addi ra,ra,-176 # 80001048 +80000100: 00500293 li t0,5 +80000104: 00600313 li t1,6 +80000108: 00628763 beq t0,t1,80000116 <_start+0x116> +8000010c: 9999a137 lui sp,0x9999a +80000110: 99910113 addi sp,sp,-1639 # 99999999 <_end+0x19998909> +80000114: 00000013 nop +80000118: 00000013 nop +8000011c: 00528563 beq t0,t0,80000126 <_start+0x126> +80000120: 00000113 li sp,0 +80000124: 00001097 auipc ra,0x1 +80000128: f3008093 addi ra,ra,-208 # 80001054 +8000012c: 00500293 li t0,5 +80000130: 00600313 li t1,6 +80000134: 00529763 bne t0,t0,80000142 <_start+0x142> +80000138: aaaab137 lui sp,0xaaaab +8000013c: aaa10113 addi sp,sp,-1366 # aaaaaaaa <_end+0x2aaa9a1a> +80000140: 00000013 nop +80000144: 00000013 nop +80000148: 00629563 bne t0,t1,80000152 <_start+0x152> +8000014c: 00000113 li sp,0 +80000150: 00001097 auipc ra,0x1 +80000154: f1008093 addi ra,ra,-240 # 80001060 +80000158: 00500293 li t0,5 +8000015c: 00600313 li t1,6 +80000160: 00534763 blt t1,t0,8000016e <_start+0x16e> +80000164: bbbbc137 lui sp,0xbbbbc +80000168: bbb10113 addi sp,sp,-1093 # bbbbbbbb <_end+0x3bbbab2b> +8000016c: 00000013 nop +80000170: 00000013 nop +80000174: 0062c563 blt t0,t1,8000017e <_start+0x17e> +80000178: 00000113 li sp,0 +8000017c: 00001097 auipc ra,0x1 +80000180: ef008093 addi ra,ra,-272 # 8000106c +80000184: 00500293 li t0,5 +80000188: 00600313 li t1,6 +8000018c: 00536763 bltu t1,t0,8000019a <_start+0x19a> +80000190: ccccd137 lui sp,0xccccd +80000194: ccc10113 addi sp,sp,-820 # cccccccc <_end+0x4cccbc3c> +80000198: 00000013 nop +8000019c: 00000013 nop +800001a0: 0062e563 bltu t0,t1,800001aa <_start+0x1aa> +800001a4: 00000113 li sp,0 +800001a8: 00001097 auipc ra,0x1 +800001ac: ed008093 addi ra,ra,-304 # 80001078 +800001b0: 00500293 li t0,5 +800001b4: 00600313 li t1,6 +800001b8: 0062d763 ble t1,t0,800001c6 <_start+0x1c6> +800001bc: dddde137 lui sp,0xdddde +800001c0: ddd10113 addi sp,sp,-547 # dddddddd <_end+0x5dddcd4d> +800001c4: 00000013 nop +800001c8: 00000013 nop +800001cc: 00535563 ble t0,t1,800001d6 <_start+0x1d6> +800001d0: 00000113 li sp,0 +800001d4: 00001097 auipc ra,0x1 +800001d8: eb008093 addi ra,ra,-336 # 80001084 +800001dc: 00500293 li t0,5 +800001e0: 00600313 li t1,6 +800001e4: 0062f763 bleu t1,t0,800001f2 <_start+0x1f2> +800001e8: eeeef137 lui sp,0xeeeef +800001ec: eee10113 addi sp,sp,-274 # eeeeeeee <_end+0x6eeede5e> +800001f0: 00000013 nop +800001f4: 00000013 nop +800001f8: 00537563 bleu t0,t1,80000202 <_start+0x202> +800001fc: 00000113 li sp,0 +80000200: 305f9073 csrw mtvec,t6 +80000204: 0300006f j 80000234 + +80000208 <_trap_handler>: +80000208: 34302f73 csrr t5,mbadaddr +8000020c: ffef0f13 addi t5,t5,-2 +80000210: 341f1073 csrw mepc,t5 +80000214: 34302f73 csrr t5,mbadaddr +80000218: 003f7f13 andi t5,t5,3 +8000021c: 01e0a023 sw t5,0(ra) +80000220: 34202f73 csrr t5,mcause +80000224: 01e0a223 sw t5,4(ra) +80000228: 0020a423 sw sp,8(ra) +8000022c: 00c08093 addi ra,ra,12 +80000230: 30200073 mret + +80000234 : +80000234: 00001517 auipc a0,0x1 +80000238: dcc50513 addi a0,a0,-564 # 80001000 +8000023c: 00001597 auipc a1,0x1 +80000240: e5458593 addi a1,a1,-428 # 80001090 <_end> +80000244: f0100637 lui a2,0xf0100 +80000248: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee9c> + +8000024c : +8000024c: 02b50663 beq a0,a1,80000278 +80000250: 00c52683 lw a3,12(a0) +80000254: 00d62023 sw a3,0(a2) +80000258: 00852683 lw a3,8(a0) +8000025c: 00d62023 sw a3,0(a2) +80000260: 00452683 lw a3,4(a0) +80000264: 00d62023 sw a3,0(a2) +80000268: 00052683 lw a3,0(a0) +8000026c: 00d62023 sw a3,0(a2) +80000270: 01050513 addi a0,a0,16 +80000274: fd9ff06f j 8000024c + +80000278 : +80000278: f0100537 lui a0,0xf0100 +8000027c: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee90> +80000280: 00052023 sw zero,0(a0) + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff +80001014: ffff 0xffff +80001016: ffff 0xffff + +80001018 : +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff + +80001048 : +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff + +80001054 : +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff + +80001060 : +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff + +8000106c : +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff + +80001078 : +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff + +80001084 : +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-MISALIGN_LDST-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-MISALIGN_LDST-01.elf.objdump new file mode 100644 index 0000000..cfb5c06 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-MISALIGN_LDST-01.elf.objdump @@ -0,0 +1,242 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-MISALIGN_LDST-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00000097 auipc ra,0x0 +80000004: 14408093 addi ra,ra,324 # 80000144 <_trap_handler> +80000008: 30509ff3 csrrw t6,mtvec,ra +8000000c: 00001197 auipc gp,0x1 +80000010: ff418193 addi gp,gp,-12 # 80001000 +80000014: 00001117 auipc sp,0x1 +80000018: ffc10113 addi sp,sp,-4 # 80001010 +8000001c: 00001097 auipc ra,0x1 +80000020: 00408093 addi ra,ra,4 # 80001020 +80000024: 00500293 li t0,5 +80000028: 00600313 li t1,6 +8000002c: 0001a203 lw tp,0(gp) +80000030: 00412023 sw tp,0(sp) +80000034: 0011a203 lw tp,1(gp) +80000038: 00412223 sw tp,4(sp) +8000003c: 0021a203 lw tp,2(gp) +80000040: 00412423 sw tp,8(sp) +80000044: 0031a203 lw tp,3(gp) +80000048: 00412623 sw tp,12(sp) +8000004c: 00001197 auipc gp,0x1 +80000050: fb818193 addi gp,gp,-72 # 80001004 +80000054: 00001117 auipc sp,0x1 +80000058: fe410113 addi sp,sp,-28 # 80001038 +8000005c: 00001097 auipc ra,0x1 +80000060: ffc08093 addi ra,ra,-4 # 80001058 +80000064: 00500293 li t0,5 +80000068: 00600313 li t1,6 +8000006c: 00019203 lh tp,0(gp) +80000070: 00412023 sw tp,0(sp) +80000074: 00119203 lh tp,1(gp) +80000078: 00412223 sw tp,4(sp) +8000007c: 00219203 lh tp,2(gp) +80000080: 00412423 sw tp,8(sp) +80000084: 00319203 lh tp,3(gp) +80000088: 00412623 sw tp,12(sp) +8000008c: 0001d203 lhu tp,0(gp) +80000090: 00412823 sw tp,16(sp) +80000094: 0011d203 lhu tp,1(gp) +80000098: 00412a23 sw tp,20(sp) +8000009c: 0021d203 lhu tp,2(gp) +800000a0: 00412c23 sw tp,24(sp) +800000a4: 0031d203 lhu tp,3(gp) +800000a8: 00412e23 sw tp,28(sp) +800000ac: 00001117 auipc sp,0x1 +800000b0: fcc10113 addi sp,sp,-52 # 80001078 +800000b4: 00001097 auipc ra,0x1 +800000b8: fd408093 addi ra,ra,-44 # 80001088 +800000bc: 00000313 li t1,0 +800000c0: 9999a2b7 lui t0,0x9999a +800000c4: 99928293 addi t0,t0,-1639 # 99999999 <_end+0x199988d9> +800000c8: 00512023 sw t0,0(sp) +800000cc: 00512223 sw t0,4(sp) +800000d0: 00512423 sw t0,8(sp) +800000d4: 00512623 sw t0,12(sp) +800000d8: 00612023 sw t1,0(sp) +800000dc: 00410113 addi sp,sp,4 +800000e0: 006120a3 sw t1,1(sp) +800000e4: 00410113 addi sp,sp,4 +800000e8: 00612123 sw t1,2(sp) +800000ec: 00410113 addi sp,sp,4 +800000f0: 006121a3 sw t1,3(sp) +800000f4: 00001117 auipc sp,0x1 +800000f8: fac10113 addi sp,sp,-84 # 800010a0 +800000fc: 00001097 auipc ra,0x1 +80000100: fb408093 addi ra,ra,-76 # 800010b0 +80000104: 00000313 li t1,0 +80000108: 9999a2b7 lui t0,0x9999a +8000010c: 99928293 addi t0,t0,-1639 # 99999999 <_end+0x199988d9> +80000110: 00512023 sw t0,0(sp) +80000114: 00512223 sw t0,4(sp) +80000118: 00512423 sw t0,8(sp) +8000011c: 00512623 sw t0,12(sp) +80000120: 00611023 sh t1,0(sp) +80000124: 00410113 addi sp,sp,4 +80000128: 006110a3 sh t1,1(sp) +8000012c: 00410113 addi sp,sp,4 +80000130: 00611123 sh t1,2(sp) +80000134: 00410113 addi sp,sp,4 +80000138: 006111a3 sh t1,3(sp) +8000013c: 305f9073 csrw mtvec,t6 +80000140: 02c0006f j 8000016c + +80000144 <_trap_handler>: +80000144: 34102f73 csrr t5,mepc +80000148: 004f0f13 addi t5,t5,4 +8000014c: 341f1073 csrw mepc,t5 +80000150: 34302f73 csrr t5,mbadaddr +80000154: 003f7f13 andi t5,t5,3 +80000158: 01e0a023 sw t5,0(ra) +8000015c: 34202f73 csrr t5,mcause +80000160: 01e0a223 sw t5,4(ra) +80000164: 00808093 addi ra,ra,8 +80000168: 30200073 mret + +8000016c : +8000016c: 00001517 auipc a0,0x1 +80000170: ea450513 addi a0,a0,-348 # 80001010 +80000174: 00001597 auipc a1,0x1 +80000178: f4c58593 addi a1,a1,-180 # 800010c0 <_end> +8000017c: f0100637 lui a2,0xf0100 +80000180: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee6c> + +80000184 : +80000184: 02b50663 beq a0,a1,800001b0 +80000188: 00c52683 lw a3,12(a0) +8000018c: 00d62023 sw a3,0(a2) +80000190: 00852683 lw a3,8(a0) +80000194: 00d62023 sw a3,0(a2) +80000198: 00452683 lw a3,4(a0) +8000019c: 00d62023 sw a3,0(a2) +800001a0: 00052683 lw a3,0(a0) +800001a4: 00d62023 sw a3,0(a2) +800001a8: 01050513 addi a0,a0,16 +800001ac: fd9ff06f j 80000184 + +800001b0 : +800001b0: f0100537 lui a0,0xf0100 +800001b4: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee60> +800001b8: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: b1c1 j 80000cc0 +80001002: 91a1 srli a1,a1,0x28 + +80001004 : +80001004: f202 fsw ft0,36(sp) +80001006: d2e2 sw s8,100(sp) + ... + +80001010 : +80001010: ffff 0xffff +80001012: ffff 0xffff +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff + +80001020 : +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff + +80001038 : +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff + +80001058 : +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff + +80001078 : +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff + +80001088 : +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff + +800010a0 : +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff + +800010b0 : +800010b0: ffff 0xffff +800010b2: ffff 0xffff +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-NOP-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-NOP-01.elf.objdump new file mode 100644 index 0000000..7b02fd1 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-NOP-01.elf.objdump @@ -0,0 +1,183 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-NOP-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 00200113 li sp,2 +8000000c: 00300193 li gp,3 +80000010: 00400213 li tp,4 +80000014: 00500293 li t0,5 +80000018: 00600313 li t1,6 +8000001c: 00700393 li t2,7 +80000020: 00800413 li s0,8 +80000024: 00900493 li s1,9 +80000028: 00a00513 li a0,10 +8000002c: 00b00593 li a1,11 +80000030: 00c00613 li a2,12 +80000034: 00d00693 li a3,13 +80000038: 00e00713 li a4,14 +8000003c: 00f00793 li a5,15 +80000040: 01000813 li a6,16 +80000044: 01100893 li a7,17 +80000048: 01200913 li s2,18 +8000004c: 01300993 li s3,19 +80000050: 01400a13 li s4,20 +80000054: 01500a93 li s5,21 +80000058: 01600b13 li s6,22 +8000005c: 01700b93 li s7,23 +80000060: 01800c13 li s8,24 +80000064: 01900c93 li s9,25 +80000068: 01a00d13 li s10,26 +8000006c: 01b00d93 li s11,27 +80000070: 01c00e13 li t3,28 +80000074: 01d00e93 li t4,29 +80000078: 01e00f13 li t5,30 +8000007c: 01f00f93 li t6,31 +80000080: 00000013 nop +80000084: 00000013 nop +80000088: 00000013 nop +8000008c: 00000013 nop +80000090: 00000013 nop +80000094: 00000013 nop +80000098: 0000a023 sw zero,0(ra) # 80001000 +8000009c: 0020a223 sw sp,4(ra) +800000a0: 0030a423 sw gp,8(ra) +800000a4: 0040a623 sw tp,12(ra) +800000a8: 0050a823 sw t0,16(ra) +800000ac: 0060aa23 sw t1,20(ra) +800000b0: 0070ac23 sw t2,24(ra) +800000b4: 0080ae23 sw s0,28(ra) +800000b8: 0290a023 sw s1,32(ra) +800000bc: 02a0a223 sw a0,36(ra) +800000c0: 02b0a423 sw a1,40(ra) +800000c4: 02c0a623 sw a2,44(ra) +800000c8: 02d0a823 sw a3,48(ra) +800000cc: 02e0aa23 sw a4,52(ra) +800000d0: 02f0ac23 sw a5,56(ra) +800000d4: 0300ae23 sw a6,60(ra) +800000d8: 0510a023 sw a7,64(ra) +800000dc: 0520a223 sw s2,68(ra) +800000e0: 0530a423 sw s3,72(ra) +800000e4: 0540a623 sw s4,76(ra) +800000e8: 0550a823 sw s5,80(ra) +800000ec: 0560aa23 sw s6,84(ra) +800000f0: 0570ac23 sw s7,88(ra) +800000f4: 0580ae23 sw s8,92(ra) +800000f8: 0790a023 sw s9,96(ra) +800000fc: 07a0a223 sw s10,100(ra) +80000100: 07b0a423 sw s11,104(ra) +80000104: 07c0a623 sw t3,108(ra) +80000108: 07d0a823 sw t4,112(ra) +8000010c: 07e0aa23 sw t5,116(ra) +80000110: 07f0ac23 sw t6,120(ra) +80000114: 00001197 auipc gp,0x1 +80000118: f6818193 addi gp,gp,-152 # 8000107c +8000011c: 00000417 auipc s0,0x0 +80000120: 00000013 nop +80000124: 00000013 nop +80000128: 00000013 nop +8000012c: 00000013 nop +80000130: 00000013 nop +80000134: 00000497 auipc s1,0x0 +80000138: 408484b3 sub s1,s1,s0 +8000013c: 0091a023 sw s1,0(gp) +80000140: 00001517 auipc a0,0x1 +80000144: ec050513 addi a0,a0,-320 # 80001000 +80000148: 00001597 auipc a1,0x1 +8000014c: f3858593 addi a1,a1,-200 # 80001080 <_end> +80000150: f0100637 lui a2,0xf0100 +80000154: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feeac> + +80000158 : +80000158: 02b50663 beq a0,a1,80000184 +8000015c: 00c52683 lw a3,12(a0) +80000160: 00d62023 sw a3,0(a2) +80000164: 00852683 lw a3,8(a0) +80000168: 00d62023 sw a3,0(a2) +8000016c: 00452683 lw a3,4(a0) +80000170: 00d62023 sw a3,0(a2) +80000174: 00052683 lw a3,0(a0) +80000178: 00d62023 sw a3,0(a2) +8000017c: 01050513 addi a0,a0,16 +80000180: fd9ff06f j 80000158 + +80000184 : +80000184: f0100537 lui a0,0xf0100 +80000188: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feea0> +8000018c: 00052023 sw zero,0(a0) +80000190: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff +80001078: ffff 0xffff +8000107a: ffff 0xffff + +8000107c : +8000107c: ffff 0xffff +8000107e: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-OR-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-OR-01.elf.objdump new file mode 100644 index 0000000..b8d87b9 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-OR-01.elf.objdump @@ -0,0 +1,350 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-OR-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 00001117 auipc sp,0x1 +8000000c: 02810113 addi sp,sp,40 # 80001030 +80000010: 0000a183 lw gp,0(ra) # 80001000 +80000014: 00000213 li tp,0 +80000018: 00100293 li t0,1 +8000001c: fff00313 li t1,-1 +80000020: 800003b7 lui t2,0x80000 +80000024: fff38393 addi t2,t2,-1 # 7fffffff <_end+0xffffef1f> +80000028: 80000437 lui s0,0x80000 +8000002c: 0041e233 or tp,gp,tp +80000030: 0051e2b3 or t0,gp,t0 +80000034: 0061e333 or t1,gp,t1 +80000038: 0071e3b3 or t2,gp,t2 +8000003c: 0081e433 or s0,gp,s0 +80000040: 00312023 sw gp,0(sp) +80000044: 00412223 sw tp,4(sp) +80000048: 00512423 sw t0,8(sp) +8000004c: 00612623 sw t1,12(sp) +80000050: 00712823 sw t2,16(sp) +80000054: 00812a23 sw s0,20(sp) +80000058: 00001097 auipc ra,0x1 +8000005c: fac08093 addi ra,ra,-84 # 80001004 +80000060: 00001117 auipc sp,0x1 +80000064: fe810113 addi sp,sp,-24 # 80001048 +80000068: 0000a403 lw s0,0(ra) +8000006c: 00000493 li s1,0 +80000070: 00100513 li a0,1 +80000074: fff00593 li a1,-1 +80000078: 80000637 lui a2,0x80000 +8000007c: fff60613 addi a2,a2,-1 # 7fffffff <_end+0xffffef1f> +80000080: 800006b7 lui a3,0x80000 +80000084: 009464b3 or s1,s0,s1 +80000088: 00a46533 or a0,s0,a0 +8000008c: 00b465b3 or a1,s0,a1 +80000090: 00c46633 or a2,s0,a2 +80000094: 00d466b3 or a3,s0,a3 +80000098: 00812023 sw s0,0(sp) +8000009c: 00912223 sw s1,4(sp) +800000a0: 00a12423 sw a0,8(sp) +800000a4: 00b12623 sw a1,12(sp) +800000a8: 00c12823 sw a2,16(sp) +800000ac: 00d12a23 sw a3,20(sp) +800000b0: 00001097 auipc ra,0x1 +800000b4: f5808093 addi ra,ra,-168 # 80001008 +800000b8: 00001117 auipc sp,0x1 +800000bc: fa810113 addi sp,sp,-88 # 80001060 +800000c0: 0000a683 lw a3,0(ra) +800000c4: 00000713 li a4,0 +800000c8: 00100793 li a5,1 +800000cc: fff00813 li a6,-1 +800000d0: 800008b7 lui a7,0x80000 +800000d4: fff88893 addi a7,a7,-1 # 7fffffff <_end+0xffffef1f> +800000d8: 80000937 lui s2,0x80000 +800000dc: 00e6e733 or a4,a3,a4 +800000e0: 00f6e7b3 or a5,a3,a5 +800000e4: 0106e833 or a6,a3,a6 +800000e8: 0116e8b3 or a7,a3,a7 +800000ec: 0126e933 or s2,a3,s2 +800000f0: 00d12023 sw a3,0(sp) +800000f4: 00e12223 sw a4,4(sp) +800000f8: 00f12423 sw a5,8(sp) +800000fc: 01012623 sw a6,12(sp) +80000100: 01112823 sw a7,16(sp) +80000104: 01212a23 sw s2,20(sp) +80000108: 00001097 auipc ra,0x1 +8000010c: f0408093 addi ra,ra,-252 # 8000100c +80000110: 00001117 auipc sp,0x1 +80000114: f6810113 addi sp,sp,-152 # 80001078 +80000118: 0000a903 lw s2,0(ra) +8000011c: 00000993 li s3,0 +80000120: 00100a13 li s4,1 +80000124: fff00a93 li s5,-1 +80000128: 80000b37 lui s6,0x80000 +8000012c: fffb0b13 addi s6,s6,-1 # 7fffffff <_end+0xffffef1f> +80000130: 80000bb7 lui s7,0x80000 +80000134: 013969b3 or s3,s2,s3 +80000138: 01496a33 or s4,s2,s4 +8000013c: 01596ab3 or s5,s2,s5 +80000140: 01696b33 or s6,s2,s6 +80000144: 01796bb3 or s7,s2,s7 +80000148: 01212023 sw s2,0(sp) +8000014c: 01312223 sw s3,4(sp) +80000150: 01412423 sw s4,8(sp) +80000154: 01512623 sw s5,12(sp) +80000158: 01612823 sw s6,16(sp) +8000015c: 01712a23 sw s7,20(sp) +80000160: 00001097 auipc ra,0x1 +80000164: eb008093 addi ra,ra,-336 # 80001010 +80000168: 00001117 auipc sp,0x1 +8000016c: f2810113 addi sp,sp,-216 # 80001090 +80000170: 0000ab83 lw s7,0(ra) +80000174: 00000c13 li s8,0 +80000178: 00100c93 li s9,1 +8000017c: fff00d13 li s10,-1 +80000180: 80000db7 lui s11,0x80000 +80000184: fffd8d93 addi s11,s11,-1 # 7fffffff <_end+0xffffef1f> +80000188: 80000e37 lui t3,0x80000 +8000018c: 018bec33 or s8,s7,s8 +80000190: 019becb3 or s9,s7,s9 +80000194: 01abed33 or s10,s7,s10 +80000198: 01bbedb3 or s11,s7,s11 +8000019c: 01cbee33 or t3,s7,t3 +800001a0: 01712023 sw s7,0(sp) +800001a4: 01812223 sw s8,4(sp) +800001a8: 01912423 sw s9,8(sp) +800001ac: 01a12623 sw s10,12(sp) +800001b0: 01b12823 sw s11,16(sp) +800001b4: 01c12a23 sw t3,20(sp) +800001b8: 00001c97 auipc s9,0x1 +800001bc: e5cc8c93 addi s9,s9,-420 # 80001014 +800001c0: 00001d17 auipc s10,0x1 +800001c4: ee8d0d13 addi s10,s10,-280 # 800010a8 +800001c8: 000cae03 lw t3,0(s9) +800001cc: 01000213 li tp,16 +800001d0: 02000293 li t0,32 +800001d4: 04000313 li t1,64 +800001d8: 08000393 li t2,128 +800001dc: 10000413 li s0,256 +800001e0: 80000493 li s1,-2048 +800001e4: 004e6eb3 or t4,t3,tp +800001e8: 005eef33 or t5,t4,t0 +800001ec: 006f6fb3 or t6,t5,t1 +800001f0: 007fe0b3 or ra,t6,t2 +800001f4: 0080e133 or sp,ra,s0 +800001f8: 009161b3 or gp,sp,s1 +800001fc: 004d2023 sw tp,0(s10) +80000200: 01cd2223 sw t3,4(s10) +80000204: 01dd2423 sw t4,8(s10) +80000208: 01ed2623 sw t5,12(s10) +8000020c: 01fd2823 sw t6,16(s10) +80000210: 001d2a23 sw ra,20(s10) +80000214: 002d2c23 sw sp,24(s10) +80000218: 003d2e23 sw gp,28(s10) +8000021c: 00001097 auipc ra,0x1 +80000220: dfc08093 addi ra,ra,-516 # 80001018 +80000224: 00001117 auipc sp,0x1 +80000228: ea410113 addi sp,sp,-348 # 800010c8 +8000022c: 0000ae03 lw t3,0(ra) +80000230: f7ff9db7 lui s11,0xf7ff9 +80000234: 818d8d93 addi s11,s11,-2024 # f7ff8818 <_end+0x77ff7738> +80000238: 01be6033 or zero,t3,s11 +8000023c: 00012023 sw zero,0(sp) +80000240: 00001097 auipc ra,0x1 +80000244: ddc08093 addi ra,ra,-548 # 8000101c +80000248: 00001117 auipc sp,0x1 +8000024c: e8410113 addi sp,sp,-380 # 800010cc +80000250: 0000ae03 lw t3,0(ra) +80000254: f7ff9db7 lui s11,0xf7ff9 +80000258: 818d8d93 addi s11,s11,-2024 # f7ff8818 <_end+0x77ff7738> +8000025c: 01be6033 or zero,t3,s11 +80000260: 000062b3 or t0,zero,zero +80000264: 00012023 sw zero,0(sp) +80000268: 00512223 sw t0,4(sp) +8000026c: 00001097 auipc ra,0x1 +80000270: db408093 addi ra,ra,-588 # 80001020 +80000274: 00001117 auipc sp,0x1 +80000278: e6010113 addi sp,sp,-416 # 800010d4 +8000027c: 0000a183 lw gp,0(ra) +80000280: 0001e233 or tp,gp,zero +80000284: 000262b3 or t0,tp,zero +80000288: 00506333 or t1,zero,t0 +8000028c: 00036733 or a4,t1,zero +80000290: 000767b3 or a5,a4,zero +80000294: 0007e833 or a6,a5,zero +80000298: 01006cb3 or s9,zero,a6 +8000029c: 01906d33 or s10,zero,s9 +800002a0: 000d6db3 or s11,s10,zero +800002a4: 00412023 sw tp,0(sp) +800002a8: 01a12223 sw s10,4(sp) +800002ac: 01b12423 sw s11,8(sp) +800002b0: 00001517 auipc a0,0x1 +800002b4: d8050513 addi a0,a0,-640 # 80001030 +800002b8: 00001597 auipc a1,0x1 +800002bc: e2858593 addi a1,a1,-472 # 800010e0 <_end> +800002c0: f0100637 lui a2,0xf0100 +800002c4: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee4c> + +800002c8 : +800002c8: 02b50663 beq a0,a1,800002f4 +800002cc: 00c52683 lw a3,12(a0) +800002d0: 00d62023 sw a3,0(a2) +800002d4: 00852683 lw a3,8(a0) +800002d8: 00d62023 sw a3,0(a2) +800002dc: 00452683 lw a3,4(a0) +800002e0: 00d62023 sw a3,0(a2) +800002e4: 00052683 lw a3,0(a0) +800002e8: 00d62023 sw a3,0(a2) +800002ec: 01050513 addi a0,a0,16 +800002f0: fd9ff06f j 800002c8 + +800002f4 : +800002f4: f0100537 lui a0,0xf0100 +800002f8: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee40> +800002fc: 00052023 sw zero,0(a0) +80000300: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: 0000 unimp + ... + +80001004 : +80001004: 0001 nop + ... + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: 7fff 0x7fff + +80001010 : +80001010: 0000 unimp +80001012: 8000 0x8000 + +80001014 : +80001014: 000d c.nop 3 + ... + +80001018 : +80001018: 5678 lw a4,108(a2) +8000101a: 1234 addi a3,sp,296 + +8000101c : +8000101c: ba98 fsd fa4,48(a3) +8000101e: fedc fsw fa5,60(a3) + +80001020 : +80001020: 5814 lw a3,48(s0) +80001022: 3692 fld fa3,288(sp) + ... + +80001030 : +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff + +80001048 : +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff + +80001060 : +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff + +80001078 : +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff + +80001090 : +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff + +800010a8 : +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff +800010c0: ffff 0xffff +800010c2: ffff 0xffff +800010c4: ffff 0xffff +800010c6: ffff 0xffff + +800010c8 : +800010c8: ffff 0xffff +800010ca: ffff 0xffff + +800010cc : +800010cc: ffff 0xffff +800010ce: ffff 0xffff +800010d0: ffff 0xffff +800010d2: ffff 0xffff + +800010d4 : +800010d4: ffff 0xffff +800010d6: ffff 0xffff +800010d8: ffff 0xffff +800010da: ffff 0xffff +800010dc: ffff 0xffff +800010de: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-ORI-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-ORI-01.elf.objdump new file mode 100644 index 0000000..ab49e01 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-ORI-01.elf.objdump @@ -0,0 +1,310 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-ORI-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 00001117 auipc sp,0x1 +8000000c: 02810113 addi sp,sp,40 # 80001030 +80000010: 0000a183 lw gp,0(ra) # 80001000 +80000014: 0011e213 ori tp,gp,1 +80000018: 7ff1e293 ori t0,gp,2047 +8000001c: fff1e313 ori t1,gp,-1 +80000020: 0001e393 ori t2,gp,0 +80000024: 8001e413 ori s0,gp,-2048 +80000028: 00312023 sw gp,0(sp) +8000002c: 00412223 sw tp,4(sp) +80000030: 00512423 sw t0,8(sp) +80000034: 00612623 sw t1,12(sp) +80000038: 00712823 sw t2,16(sp) +8000003c: 00812a23 sw s0,20(sp) +80000040: 00001097 auipc ra,0x1 +80000044: fc408093 addi ra,ra,-60 # 80001004 +80000048: 00001117 auipc sp,0x1 +8000004c: 00010113 mv sp,sp +80000050: 0000a403 lw s0,0(ra) +80000054: 00146493 ori s1,s0,1 +80000058: 7ff46513 ori a0,s0,2047 +8000005c: fff46593 ori a1,s0,-1 +80000060: 00046613 ori a2,s0,0 +80000064: 80046693 ori a3,s0,-2048 +80000068: 00812023 sw s0,0(sp) # 80001048 +8000006c: 00912223 sw s1,4(sp) +80000070: 00a12423 sw a0,8(sp) +80000074: 00b12623 sw a1,12(sp) +80000078: 00c12823 sw a2,16(sp) +8000007c: 00d12a23 sw a3,20(sp) +80000080: 00001097 auipc ra,0x1 +80000084: f8808093 addi ra,ra,-120 # 80001008 +80000088: 00001117 auipc sp,0x1 +8000008c: fd810113 addi sp,sp,-40 # 80001060 +80000090: 0000a683 lw a3,0(ra) +80000094: 0016e713 ori a4,a3,1 +80000098: 7ff6e793 ori a5,a3,2047 +8000009c: fff6e813 ori a6,a3,-1 +800000a0: 0006e893 ori a7,a3,0 +800000a4: 8006e913 ori s2,a3,-2048 +800000a8: 00d12023 sw a3,0(sp) +800000ac: 00e12223 sw a4,4(sp) +800000b0: 00f12423 sw a5,8(sp) +800000b4: 01012623 sw a6,12(sp) +800000b8: 01112823 sw a7,16(sp) +800000bc: 01212a23 sw s2,20(sp) +800000c0: 00001097 auipc ra,0x1 +800000c4: f4c08093 addi ra,ra,-180 # 8000100c +800000c8: 00001117 auipc sp,0x1 +800000cc: fb010113 addi sp,sp,-80 # 80001078 +800000d0: 0000a903 lw s2,0(ra) +800000d4: 00196993 ori s3,s2,1 +800000d8: 7ff96a13 ori s4,s2,2047 +800000dc: fff96a93 ori s5,s2,-1 +800000e0: 00096b13 ori s6,s2,0 +800000e4: 80096b93 ori s7,s2,-2048 +800000e8: 01212023 sw s2,0(sp) +800000ec: 01312223 sw s3,4(sp) +800000f0: 01412423 sw s4,8(sp) +800000f4: 01512623 sw s5,12(sp) +800000f8: 01612823 sw s6,16(sp) +800000fc: 01712a23 sw s7,20(sp) +80000100: 00001097 auipc ra,0x1 +80000104: f1008093 addi ra,ra,-240 # 80001010 +80000108: 00001117 auipc sp,0x1 +8000010c: f8810113 addi sp,sp,-120 # 80001090 +80000110: 0000ab83 lw s7,0(ra) +80000114: 001bec13 ori s8,s7,1 +80000118: 7ffbec93 ori s9,s7,2047 +8000011c: fffbed13 ori s10,s7,-1 +80000120: 000bed93 ori s11,s7,0 +80000124: 800bee13 ori t3,s7,-2048 +80000128: 01712023 sw s7,0(sp) +8000012c: 01812223 sw s8,4(sp) +80000130: 01912423 sw s9,8(sp) +80000134: 01a12623 sw s10,12(sp) +80000138: 01b12823 sw s11,16(sp) +8000013c: 01c12a23 sw t3,20(sp) +80000140: 00001d17 auipc s10,0x1 +80000144: ed4d0d13 addi s10,s10,-300 # 80001014 +80000148: 00001d97 auipc s11,0x1 +8000014c: f60d8d93 addi s11,s11,-160 # 800010a8 +80000150: 000d2e03 lw t3,0(s10) +80000154: 010e6e93 ori t4,t3,16 +80000158: 020eef13 ori t5,t4,32 +8000015c: 040f6f93 ori t6,t5,64 +80000160: 080fe093 ori ra,t6,128 +80000164: 1000e113 ori sp,ra,256 +80000168: 80016193 ori gp,sp,-2048 +8000016c: 01cda023 sw t3,0(s11) +80000170: 01dda223 sw t4,4(s11) +80000174: 01eda423 sw t5,8(s11) +80000178: 01fda623 sw t6,12(s11) +8000017c: 001da823 sw ra,16(s11) +80000180: 002daa23 sw sp,20(s11) +80000184: 003dac23 sw gp,24(s11) +80000188: 00001097 auipc ra,0x1 +8000018c: e9008093 addi ra,ra,-368 # 80001018 +80000190: 00001117 auipc sp,0x1 +80000194: f3410113 addi sp,sp,-204 # 800010c4 +80000198: 0000a283 lw t0,0(ra) +8000019c: 0012e013 ori zero,t0,1 +800001a0: 00012023 sw zero,0(sp) +800001a4: 00001097 auipc ra,0x1 +800001a8: e7808093 addi ra,ra,-392 # 8000101c +800001ac: 00001117 auipc sp,0x1 +800001b0: f1c10113 addi sp,sp,-228 # 800010c8 +800001b4: 0000a283 lw t0,0(ra) +800001b8: 0012e013 ori zero,t0,1 +800001bc: 00106293 ori t0,zero,1 +800001c0: 00012023 sw zero,0(sp) +800001c4: 00512223 sw t0,4(sp) +800001c8: 00001097 auipc ra,0x1 +800001cc: e5808093 addi ra,ra,-424 # 80001020 +800001d0: 00001117 auipc sp,0x1 +800001d4: f0010113 addi sp,sp,-256 # 800010d0 +800001d8: 0000a183 lw gp,0(ra) +800001dc: 0001e213 ori tp,gp,0 +800001e0: 00026293 ori t0,tp,0 +800001e4: 0002e313 ori t1,t0,0 +800001e8: 00036713 ori a4,t1,0 +800001ec: 00076793 ori a5,a4,0 +800001f0: 0007e813 ori a6,a5,0 +800001f4: 00086c93 ori s9,a6,0 +800001f8: 000ced13 ori s10,s9,0 +800001fc: 000d6d93 ori s11,s10,0 +80000200: 00312023 sw gp,0(sp) +80000204: 00412223 sw tp,4(sp) +80000208: 01a12423 sw s10,8(sp) +8000020c: 01b12623 sw s11,12(sp) +80000210: 00001517 auipc a0,0x1 +80000214: e2050513 addi a0,a0,-480 # 80001030 +80000218: 00001597 auipc a1,0x1 +8000021c: ec858593 addi a1,a1,-312 # 800010e0 <_end> +80000220: f0100637 lui a2,0xf0100 +80000224: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee4c> + +80000228 : +80000228: 02b50663 beq a0,a1,80000254 +8000022c: 00c52683 lw a3,12(a0) +80000230: 00d62023 sw a3,0(a2) +80000234: 00852683 lw a3,8(a0) +80000238: 00d62023 sw a3,0(a2) +8000023c: 00452683 lw a3,4(a0) +80000240: 00d62023 sw a3,0(a2) +80000244: 00052683 lw a3,0(a0) +80000248: 00d62023 sw a3,0(a2) +8000024c: 01050513 addi a0,a0,16 +80000250: fd9ff06f j 80000228 + +80000254 : +80000254: f0100537 lui a0,0xf0100 +80000258: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee40> +8000025c: 00052023 sw zero,0(a0) +80000260: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: 0000 unimp + ... + +80001004 : +80001004: 0001 nop + ... + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: 7fff 0x7fff + +80001010 : +80001010: 0000 unimp +80001012: 8000 0x8000 + +80001014 : +80001014: 000d c.nop 3 + ... + +80001018 : +80001018: 5678 lw a4,108(a2) +8000101a: 1234 addi a3,sp,296 + +8000101c : +8000101c: ba98 fsd fa4,48(a3) +8000101e: fedc fsw fa5,60(a3) + +80001020 : +80001020: 5814 lw a3,48(s0) +80001022: 3692 fld fa3,288(sp) + ... + +80001030 : +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff + +80001048 : +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff + +80001060 : +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff + +80001078 : +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff + +80001090 : +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff + +800010a8 : +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff +800010c0: ffff 0xffff +800010c2: ffff 0xffff + +800010c4 : +800010c4: ffff 0xffff +800010c6: ffff 0xffff + +800010c8 : +800010c8: ffff 0xffff +800010ca: ffff 0xffff +800010cc: ffff 0xffff +800010ce: ffff 0xffff + +800010d0 : +800010d0: ffff 0xffff +800010d2: ffff 0xffff +800010d4: ffff 0xffff +800010d6: ffff 0xffff +800010d8: ffff 0xffff +800010da: ffff 0xffff +800010dc: ffff 0xffff +800010de: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-RF_size-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-RF_size-01.elf.objdump new file mode 100644 index 0000000..f617c7d --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-RF_size-01.elf.objdump @@ -0,0 +1,218 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-RF_size-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001817 auipc a6,0x1 +80000004: 00080813 mv a6,a6 +80000008: 42727037 lui zero,0x42727 +8000000c: e6f00013 li zero,-401 +80000010: 563330b7 lui ra,0x56333 +80000014: 24908093 addi ra,ra,585 # 56333249 <_start-0x29cccdb7> +80000018: 2d562137 lui sp,0x2d562 +8000001c: 05210113 addi sp,sp,82 # 2d562052 <_start-0x52a9dfae> +80000020: 526971b7 lui gp,0x52697 +80000024: 36318193 addi gp,gp,867 # 52697363 <_start-0x2d968c9d> +80000028: 736b8237 lui tp,0x736b8 +8000002c: 92020213 addi tp,tp,-1760 # 736b7920 <_start-0xc9486e0> +80000030: 737462b7 lui t0,0x73746 +80000034: 57228293 addi t0,t0,1394 # 73746572 <_start-0xc8b9a8e> +80000038: 6e205337 lui t1,0x6e205 +8000003c: e6f30313 addi t1,t1,-401 # 6e204e6f <_start-0x11dfb191> +80000040: 4d6973b7 lui t2,0x4d697 +80000044: c6138393 addi t2,t2,-927 # 4d696c61 <_start-0x3296939f> +80000048: 6f646437 lui s0,0x6f646 +8000004c: 17340413 addi s0,s0,371 # 6f646173 <_start-0x109b9e8d> +80000050: 6b7944b7 lui s1,0x6b794 +80000054: 06348493 addi s1,s1,99 # 6b794063 <_start-0x1486bf9d> +80000058: 74657537 lui a0,0x74657 +8000005c: 27350513 addi a0,a0,627 # 74657273 <_start-0xb9a8d8d> +80000060: 286e75b7 lui a1,0x286e7 +80000064: f7358593 addi a1,a1,-141 # 286e6f73 <_start-0x5791908d> +80000068: 656b2637 lui a2,0x656b2 +8000006c: 04860613 addi a2,a2,72 # 656b2048 <_start-0x1a94dfb8> +80000070: 205266b7 lui a3,0x20526 +80000074: 16468693 addi a3,a3,356 # 20526164 <_start-0x5fad9e9c> +80000078: 6f6d3737 lui a4,0x6f6d3 +8000007c: 92c70713 addi a4,a4,-1748 # 6f6d292c <_start-0x1092d6d4> +80000080: 697037b7 lui a5,0x69703 +80000084: e6378793 addi a5,a5,-413 # 69702e63 <_start-0x168fd19d> +80000088: 00082023 sw zero,0(a6) # 80001000 +8000008c: 00182223 sw ra,4(a6) +80000090: 00282423 sw sp,8(a6) +80000094: 00382623 sw gp,12(a6) +80000098: 00482823 sw tp,16(a6) +8000009c: 00582a23 sw t0,20(a6) +800000a0: 00682c23 sw t1,24(a6) +800000a4: 00782e23 sw t2,28(a6) +800000a8: 02882023 sw s0,32(a6) +800000ac: 02982223 sw s1,36(a6) +800000b0: 02a82423 sw a0,40(a6) +800000b4: 02b82623 sw a1,44(a6) +800000b8: 02c82823 sw a2,48(a6) +800000bc: 02d82a23 sw a3,52(a6) +800000c0: 02e82c23 sw a4,56(a6) +800000c4: 02f82e23 sw a5,60(a6) +800000c8: 00001217 auipc tp,0x1 +800000cc: f7820213 addi tp,tp,-136 # 80001040 +800000d0: 636f6837 lui a6,0x636f6 +800000d4: 46180813 addi a6,a6,1121 # 636f6461 <_start-0x1c909b9f> +800000d8: 6a6578b7 lui a7,0x6a657 +800000dc: b4088893 addi a7,a7,-1216 # 6a656b40 <_start-0x159a94c0> +800000e0: 20287937 lui s2,0x20287 +800000e4: 86190913 addi s2,s2,-1951 # 20286861 <_start-0x5fd7979f> +800000e8: 616a69b7 lui s3,0x616a6 +800000ec: 56b98993 addi s3,s3,1387 # 616a656b <_start-0x1e959a95> +800000f0: 61766a37 lui s4,0x61766 +800000f4: 520a0a13 addi s4,s4,1312 # 61766520 <_start-0x1e899ae0> +800000f8: 2e205ab7 lui s5,0x2e205 +800000fc: c65a8a93 addi s5,s5,-923 # 2e204c65 <_start-0x51dfb39b> +80000100: 636f7b37 lui s6,0x636f7 +80000104: d29b0b13 addi s6,s6,-727 # 636f6d29 <_start-0x1c9092d7> +80000108: 73697bb7 lui s7,0x73697 +8000010c: 02eb8b93 addi s7,s7,46 # 7369702e <_start-0xc968fd2> +80000110: 66208c37 lui s8,0x66208 +80000114: 96fc0c13 addi s8,s8,-1681 # 6620796f <_start-0x19df8691> +80000118: 67652cb7 lui s9,0x67652 +8000011c: 069c8c93 addi s9,s9,105 # 67652069 <_start-0x189adf97> +80000120: 65737d37 lui s10,0x65737 +80000124: 361d0d13 addi s10,s10,865 # 65737361 <_start-0x1a8c8c9f> +80000128: 75732db7 lui s11,0x75732 +8000012c: 06dd8d93 addi s11,s11,109 # 7573206d <_start-0xa8cdf93> +80000130: 3a291e37 lui t3,0x3a291 +80000134: d0ae0e13 addi t3,t3,-758 # 3a290d0a <_start-0x45d6f2f6> +80000138: 68697eb7 lui t4,0x68697 +8000013c: 320e8e93 addi t4,t4,800 # 68697320 <_start-0x17968ce0> +80000140: 61642f37 lui t5,0x61642 +80000144: 074f0f13 addi t5,t5,116 # 61642074 <_start-0x1e9bdf8c> +80000148: 75207fb7 lui t6,0x75207 +8000014c: 265f8f93 addi t6,t6,613 # 75207265 <_start-0xadf8d9b> +80000150: 01022023 sw a6,0(tp) # 0 <_start-0x80000000> +80000154: 01122223 sw a7,4(tp) # 4 <_start-0x7ffffffc> +80000158: 01222423 sw s2,8(tp) # 8 <_start-0x7ffffff8> +8000015c: 01322623 sw s3,12(tp) # c <_start-0x7ffffff4> +80000160: 01422823 sw s4,16(tp) # 10 <_start-0x7ffffff0> +80000164: 01522a23 sw s5,20(tp) # 14 <_start-0x7fffffec> +80000168: 01622c23 sw s6,24(tp) # 18 <_start-0x7fffffe8> +8000016c: 01722e23 sw s7,28(tp) # 1c <_start-0x7fffffe4> +80000170: 03822023 sw s8,32(tp) # 20 <_start-0x7fffffe0> +80000174: 03922223 sw s9,36(tp) # 24 <_start-0x7fffffdc> +80000178: 03a22423 sw s10,40(tp) # 28 <_start-0x7fffffd8> +8000017c: 03b22623 sw s11,44(tp) # 2c <_start-0x7fffffd4> +80000180: 03c22823 sw t3,48(tp) # 30 <_start-0x7fffffd0> +80000184: 03d22a23 sw t4,52(tp) # 34 <_start-0x7fffffcc> +80000188: 03e22c23 sw t5,56(tp) # 38 <_start-0x7fffffc8> +8000018c: 03f22e23 sw t6,60(tp) # 3c <_start-0x7fffffc4> +80000190: 00001217 auipc tp,0x1 +80000194: ef020213 addi tp,tp,-272 # 80001080 +80000198: 00022023 sw zero,0(tp) # 0 <_start-0x80000000> +8000019c: 00122223 sw ra,4(tp) # 4 <_start-0x7ffffffc> +800001a0: 00222423 sw sp,8(tp) # 8 <_start-0x7ffffff8> +800001a4: 00322623 sw gp,12(tp) # c <_start-0x7ffffff4> +800001a8: 00001517 auipc a0,0x1 +800001ac: e5850513 addi a0,a0,-424 # 80001000 +800001b0: 00001597 auipc a1,0x1 +800001b4: ee058593 addi a1,a1,-288 # 80001090 <_end> +800001b8: f0100637 lui a2,0xf0100 +800001bc: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee9c> + +800001c0 : +800001c0: 02b50663 beq a0,a1,800001ec +800001c4: 00c52683 lw a3,12(a0) +800001c8: 00d62023 sw a3,0(a2) +800001cc: 00852683 lw a3,8(a0) +800001d0: 00d62023 sw a3,0(a2) +800001d4: 00452683 lw a3,4(a0) +800001d8: 00d62023 sw a3,0(a2) +800001dc: 00052683 lw a3,0(a0) +800001e0: 00d62023 sw a3,0(a2) +800001e4: 01050513 addi a0,a0,16 +800001e8: fd9ff06f j 800001c0 + +800001ec : +800001ec: f0100537 lui a0,0xf0100 +800001f0: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee90> +800001f4: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff + +80001040 : +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff + +80001080 : +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-RF_width-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-RF_width-01.elf.objdump new file mode 100644 index 0000000..5e12641 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-RF_width-01.elf.objdump @@ -0,0 +1,262 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-RF_width-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001817 auipc a6,0x1 +80000004: 00080813 mv a6,a6 +80000008: 00100013 li zero,1 +8000000c: 00100093 li ra,1 +80000010: 00100113 li sp,1 +80000014: 00100193 li gp,1 +80000018: 00100213 li tp,1 +8000001c: 00100293 li t0,1 +80000020: 00100313 li t1,1 +80000024: 00100393 li t2,1 +80000028: 00100413 li s0,1 +8000002c: 00100493 li s1,1 +80000030: 00100513 li a0,1 +80000034: 00100593 li a1,1 +80000038: 00100613 li a2,1 +8000003c: 00100693 li a3,1 +80000040: 00100713 li a4,1 +80000044: 00100793 li a5,1 +80000048: 01f09093 slli ra,ra,0x1f +8000004c: 0000c463 bltz ra,80000054 <_start+0x54> +80000050: 00000093 li ra,0 +80000054: 01f11113 slli sp,sp,0x1f +80000058: 00014463 bltz sp,80000060 <_start+0x60> +8000005c: 00000113 li sp,0 +80000060: 01f19193 slli gp,gp,0x1f +80000064: 0001c463 bltz gp,8000006c <_start+0x6c> +80000068: 00000193 li gp,0 +8000006c: 01f21213 slli tp,tp,0x1f +80000070: 00024463 bltz tp,80000078 <_start+0x78> +80000074: 00000213 li tp,0 +80000078: 01f29293 slli t0,t0,0x1f +8000007c: 0002c463 bltz t0,80000084 <_start+0x84> +80000080: 00000293 li t0,0 +80000084: 01f31313 slli t1,t1,0x1f +80000088: 00034463 bltz t1,80000090 <_start+0x90> +8000008c: 00000313 li t1,0 +80000090: 01f39393 slli t2,t2,0x1f +80000094: 0003c463 bltz t2,8000009c <_start+0x9c> +80000098: 00000393 li t2,0 +8000009c: 01f41413 slli s0,s0,0x1f +800000a0: 00044463 bltz s0,800000a8 <_start+0xa8> +800000a4: 00000413 li s0,0 +800000a8: 01f49493 slli s1,s1,0x1f +800000ac: 0004c463 bltz s1,800000b4 <_start+0xb4> +800000b0: 00000493 li s1,0 +800000b4: 01f51513 slli a0,a0,0x1f +800000b8: 00054463 bltz a0,800000c0 <_start+0xc0> +800000bc: 00000513 li a0,0 +800000c0: 01f59593 slli a1,a1,0x1f +800000c4: 0005c463 bltz a1,800000cc <_start+0xcc> +800000c8: 00000593 li a1,0 +800000cc: 01f61613 slli a2,a2,0x1f +800000d0: 00064463 bltz a2,800000d8 <_start+0xd8> +800000d4: 00000613 li a2,0 +800000d8: 01f69693 slli a3,a3,0x1f +800000dc: 0006c463 bltz a3,800000e4 <_start+0xe4> +800000e0: 00000693 li a3,0 +800000e4: 01f71713 slli a4,a4,0x1f +800000e8: 00074463 bltz a4,800000f0 <_start+0xf0> +800000ec: 00000713 li a4,0 +800000f0: 01f79793 slli a5,a5,0x1f +800000f4: 0007c463 bltz a5,800000fc <_start+0xfc> +800000f8: 00000793 li a5,0 +800000fc: 00082023 sw zero,0(a6) # 80001000 +80000100: 00182223 sw ra,4(a6) +80000104: 00282423 sw sp,8(a6) +80000108: 00382623 sw gp,12(a6) +8000010c: 00482823 sw tp,16(a6) +80000110: 00582a23 sw t0,20(a6) +80000114: 00682c23 sw t1,24(a6) +80000118: 00782e23 sw t2,28(a6) +8000011c: 02882023 sw s0,32(a6) +80000120: 02982223 sw s1,36(a6) +80000124: 02a82423 sw a0,40(a6) +80000128: 02b82623 sw a1,44(a6) +8000012c: 02c82823 sw a2,48(a6) +80000130: 02d82a23 sw a3,52(a6) +80000134: 02e82c23 sw a4,56(a6) +80000138: 02f82e23 sw a5,60(a6) +8000013c: 00001097 auipc ra,0x1 +80000140: f0408093 addi ra,ra,-252 # 80001040 +80000144: 00100813 li a6,1 +80000148: 00100893 li a7,1 +8000014c: 00100913 li s2,1 +80000150: 00100993 li s3,1 +80000154: 00100a13 li s4,1 +80000158: 00100a93 li s5,1 +8000015c: 00100b13 li s6,1 +80000160: 00100b93 li s7,1 +80000164: 00100c13 li s8,1 +80000168: 00100c93 li s9,1 +8000016c: 00100d13 li s10,1 +80000170: 00100d93 li s11,1 +80000174: 00100e13 li t3,1 +80000178: 00100e93 li t4,1 +8000017c: 00100f13 li t5,1 +80000180: 00100f93 li t6,1 +80000184: 01f81813 slli a6,a6,0x1f +80000188: 00084463 bltz a6,80000190 <_start+0x190> +8000018c: 00000813 li a6,0 +80000190: 01f89893 slli a7,a7,0x1f +80000194: 0008c463 bltz a7,8000019c <_start+0x19c> +80000198: 00000893 li a7,0 +8000019c: 01f91913 slli s2,s2,0x1f +800001a0: 00094463 bltz s2,800001a8 <_start+0x1a8> +800001a4: 00000913 li s2,0 +800001a8: 01f99993 slli s3,s3,0x1f +800001ac: 0009c463 bltz s3,800001b4 <_start+0x1b4> +800001b0: 00000993 li s3,0 +800001b4: 01fa1a13 slli s4,s4,0x1f +800001b8: 000a4463 bltz s4,800001c0 <_start+0x1c0> +800001bc: 00000a13 li s4,0 +800001c0: 01fa9a93 slli s5,s5,0x1f +800001c4: 000ac463 bltz s5,800001cc <_start+0x1cc> +800001c8: 00000a93 li s5,0 +800001cc: 01fb1b13 slli s6,s6,0x1f +800001d0: 000b4463 bltz s6,800001d8 <_start+0x1d8> +800001d4: 00000b13 li s6,0 +800001d8: 01fb9b93 slli s7,s7,0x1f +800001dc: 000bc463 bltz s7,800001e4 <_start+0x1e4> +800001e0: 00000b93 li s7,0 +800001e4: 01fc1c13 slli s8,s8,0x1f +800001e8: 000c4463 bltz s8,800001f0 <_start+0x1f0> +800001ec: 00000c13 li s8,0 +800001f0: 01fc9c93 slli s9,s9,0x1f +800001f4: 000cc463 bltz s9,800001fc <_start+0x1fc> +800001f8: 00000c93 li s9,0 +800001fc: 01fd1d13 slli s10,s10,0x1f +80000200: 000d4463 bltz s10,80000208 <_start+0x208> +80000204: 00000d13 li s10,0 +80000208: 01fd9d93 slli s11,s11,0x1f +8000020c: 000dc463 bltz s11,80000214 <_start+0x214> +80000210: 00000d93 li s11,0 +80000214: 01fe1e13 slli t3,t3,0x1f +80000218: 000e4463 bltz t3,80000220 <_start+0x220> +8000021c: 00000e13 li t3,0 +80000220: 01fe9e93 slli t4,t4,0x1f +80000224: 000ec463 bltz t4,8000022c <_start+0x22c> +80000228: 00000e93 li t4,0 +8000022c: 01ff1f13 slli t5,t5,0x1f +80000230: 000f4463 bltz t5,80000238 <_start+0x238> +80000234: 00000f13 li t5,0 +80000238: 01ff9f93 slli t6,t6,0x1f +8000023c: 000fc463 bltz t6,80000244 <_start+0x244> +80000240: 00000f93 li t6,0 +80000244: 0100a023 sw a6,0(ra) +80000248: 0110a223 sw a7,4(ra) +8000024c: 0120a423 sw s2,8(ra) +80000250: 0130a623 sw s3,12(ra) +80000254: 0140a823 sw s4,16(ra) +80000258: 0150aa23 sw s5,20(ra) +8000025c: 0160ac23 sw s6,24(ra) +80000260: 0170ae23 sw s7,28(ra) +80000264: 0380a023 sw s8,32(ra) +80000268: 0390a223 sw s9,36(ra) +8000026c: 03a0a423 sw s10,40(ra) +80000270: 03b0a623 sw s11,44(ra) +80000274: 03c0a823 sw t3,48(ra) +80000278: 03d0aa23 sw t4,52(ra) +8000027c: 03e0ac23 sw t5,56(ra) +80000280: 03f0ae23 sw t6,60(ra) +80000284: 00001517 auipc a0,0x1 +80000288: d7c50513 addi a0,a0,-644 # 80001000 +8000028c: 00001597 auipc a1,0x1 +80000290: df458593 addi a1,a1,-524 # 80001080 <_end> +80000294: f0100637 lui a2,0xf0100 +80000298: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feeac> + +8000029c : +8000029c: 02b50663 beq a0,a1,800002c8 +800002a0: 00c52683 lw a3,12(a0) +800002a4: 00d62023 sw a3,0(a2) +800002a8: 00852683 lw a3,8(a0) +800002ac: 00d62023 sw a3,0(a2) +800002b0: 00452683 lw a3,4(a0) +800002b4: 00d62023 sw a3,0(a2) +800002b8: 00052683 lw a3,0(a0) +800002bc: 00d62023 sw a3,0(a2) +800002c0: 01050513 addi a0,a0,16 +800002c4: fd9ff06f j 8000029c + +800002c8 : +800002c8: f0100537 lui a0,0xf0100 +800002cc: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feea0> +800002d0: 00052023 sw zero,0(a0) + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff + +80001040 : +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-RF_x0-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-RF_x0-01.elf.objdump new file mode 100644 index 0000000..2c7cd9e --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-RF_x0-01.elf.objdump @@ -0,0 +1,135 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-RF_x0-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001f97 auipc t6,0x1 +80000004: 010f8f93 addi t6,t6,16 # 80001010 +80000008: abcde037 lui zero,0xabcde +8000000c: 00100013 li zero,1 +80000010: 7f006013 ori zero,zero,2032 +80000014: 53f07013 andi zero,zero,1343 +80000018: 80304013 xori zero,zero,-2045 +8000001c: 00501013 slli zero,zero,0x5 +80000020: 40205013 srai zero,zero,0x2 +80000024: 00405013 srli zero,zero,0x4 +80000028: 000fa023 sw zero,0(t6) +8000002c: 00001f97 auipc t6,0x1 +80000030: fe8f8f93 addi t6,t6,-24 # 80001014 +80000034: 00100093 li ra,1 +80000038: 7f000113 li sp,2032 +8000003c: 53f00193 li gp,1343 +80000040: 80300213 li tp,-2045 +80000044: 00500293 li t0,5 +80000048: 00200313 li t1,2 +8000004c: 00400393 li t2,4 +80000050: 01800413 li s0,24 +80000054: abcde017 auipc zero,0xabcde +80000058: 00100033 add zero,zero,ra +8000005c: 00206033 or zero,zero,sp +80000060: 00307033 and zero,zero,gp +80000064: 00404033 xor zero,zero,tp +80000068: 00501033 sll zero,zero,t0 +8000006c: 40605033 sra zero,zero,t1 +80000070: 00705033 srl zero,zero,t2 +80000074: 40800033 neg zero,s0 +80000078: 000fa023 sw zero,0(t6) +8000007c: 00001f97 auipc t6,0x1 +80000080: f9cf8f93 addi t6,t6,-100 # 80001018 +80000084: 00100093 li ra,1 +80000088: 00200113 li sp,2 +8000008c: 0020a033 slt zero,ra,sp +80000090: 000fa023 sw zero,0(t6) +80000094: 0020b033 sltu zero,ra,sp +80000098: 000fa223 sw zero,4(t6) +8000009c: 0020a013 slti zero,ra,2 +800000a0: 000fa423 sw zero,8(t6) +800000a4: 0020b013 sltiu zero,ra,2 +800000a8: 000fa623 sw zero,12(t6) +800000ac: 00001f97 auipc t6,0x1 +800000b0: f7cf8f93 addi t6,t6,-132 # 80001028 +800000b4: 0040006f j 800000b8 <_start+0xb8> +800000b8: 000fa023 sw zero,0(t6) +800000bc: 00000097 auipc ra,0x0 +800000c0: 00c08093 addi ra,ra,12 # 800000c8 <_start+0xc8> +800000c4: 00008067 ret +800000c8: 000fa223 sw zero,4(t6) +800000cc: 00001097 auipc ra,0x1 +800000d0: f3408093 addi ra,ra,-204 # 80001000 +800000d4: 00001f97 auipc t6,0x1 +800000d8: f5cf8f93 addi t6,t6,-164 # 80001030 +800000dc: 0000a003 lw zero,0(ra) +800000e0: 000fa023 sw zero,0(t6) +800000e4: 00009003 lh zero,0(ra) +800000e8: 000fa223 sw zero,4(t6) +800000ec: 00008003 lb zero,0(ra) +800000f0: 000fa423 sw zero,8(t6) +800000f4: 0000c003 lbu zero,0(ra) +800000f8: 000fa623 sw zero,12(t6) +800000fc: 00001517 auipc a0,0x1 +80000100: f1450513 addi a0,a0,-236 # 80001010 +80000104: 00001597 auipc a1,0x1 +80000108: f3c58593 addi a1,a1,-196 # 80001040 <_end> +8000010c: f0100637 lui a2,0xf0100 +80000110: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feeec> + +80000114 : +80000114: 02b50663 beq a0,a1,80000140 +80000118: 00c52683 lw a3,12(a0) +8000011c: 00d62023 sw a3,0(a2) +80000120: 00852683 lw a3,8(a0) +80000124: 00d62023 sw a3,0(a2) +80000128: 00452683 lw a3,4(a0) +8000012c: 00d62023 sw a3,0(a2) +80000130: 00052683 lw a3,0(a0) +80000134: 00d62023 sw a3,0(a2) +80000138: 01050513 addi a0,a0,16 +8000013c: fd9ff06f j 80000114 + +80000140 : +80000140: f0100537 lui a0,0xf0100 +80000144: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feee0> +80000148: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: 42524e4f fnmadd.d ft8,ft4,ft5,fs0,rmm + ... + +80001010 : +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff + +80001018 : +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff + +80001030 : +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-SB-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-SB-01.elf.objdump new file mode 100644 index 0000000..4a710d9 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-SB-01.elf.objdump @@ -0,0 +1,225 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-SB-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 01008093 addi ra,ra,16 # 80001010 +80000008: aaaacfb7 lui t6,0xaaaac +8000000c: bbbf8f93 addi t6,t6,-1093 # aaaabbbb <_end+0x2aaaab6b> +80000010: 01f0a023 sw t6,0(ra) +80000014: 11f1f137 lui sp,0x11f1f +80000018: 22210113 addi sp,sp,546 # 11f1f222 <_start-0x6e0e0dde> +8000001c: 00208023 sb sp,0(ra) +80000020: 00001297 auipc t0,0x1 +80000024: ff528293 addi t0,t0,-11 # 80001015 +80000028: fe02afa3 sw zero,-1(t0) +8000002c: f3334cb7 lui s9,0xf3334 +80000030: 4f4c8c93 addi s9,s9,1268 # f33344f4 <_end+0x733334a4> +80000034: ff928fa3 sb s9,-1(t0) +80000038: 00001417 auipc s0,0x1 +8000003c: fdf40413 addi s0,s0,-33 # 80001017 +80000040: 000420a3 sw zero,1(s0) +80000044: 55f5ffb7 lui t6,0x55f5f +80000048: 666f8f93 addi t6,t6,1638 # 55f5f666 <_start-0x2a0a099a> +8000004c: 01f400a3 sb t6,1(s0) +80000050: 00001597 auipc a1,0x1 +80000054: 7cc58593 addi a1,a1,1996 # 8000181c <_end+0x7cc> +80000058: 8005a023 sw zero,-2048(a1) +8000005c: f7779637 lui a2,0xf7779 +80000060: 8f860613 addi a2,a2,-1800 # f77788f8 <_end+0x777778a8> +80000064: 80c58023 sb a2,-2048(a1) +80000068: 00000717 auipc a4,0x0 +8000006c: 7b970713 addi a4,a4,1977 # 80000821 +80000070: 7e072fa3 sw zero,2047(a4) +80000074: 990917b7 lui a5,0x99091 +80000078: aaa78793 addi a5,a5,-1366 # 99090aaa <_end+0x1908fa5a> +8000007c: 7ef70fa3 sb a5,2047(a4) +80000080: 00001897 auipc a7,0x1 +80000084: fa888893 addi a7,a7,-88 # 80001028 +80000088: 11111937 lui s2,0x11111 +8000008c: 10c90913 addi s2,s2,268 # 1111110c <_start-0x6eeeeef4> +80000090: 222229b7 lui s3,0x22222 +80000094: 2cc98993 addi s3,s3,716 # 222222cc <_start-0x5ddddd34> +80000098: 33333a37 lui s4,0x33333 +8000009c: 3bba0a13 addi s4,s4,955 # 333333bb <_start-0x4ccccc45> +800000a0: 44444ab7 lui s5,0x44444 +800000a4: 40ba8a93 addi s5,s5,1035 # 4444440b <_start-0x3bbbbbf5> +800000a8: 55555b37 lui s6,0x55555 +800000ac: 5eeb0b13 addi s6,s6,1518 # 555555ee <_start-0x2aaaaa12> +800000b0: 66666bb7 lui s7,0x66666 +800000b4: 60eb8b93 addi s7,s7,1550 # 6666660e <_start-0x199999f2> +800000b8: 77777c37 lui s8,0x77777 +800000bc: 70dc0c13 addi s8,s8,1805 # 7777770d <_start-0x88888f3> +800000c0: 88889cb7 lui s9,0x88889 +800000c4: 8ddc8c93 addi s9,s9,-1827 # 888888dd <_end+0x888788d> +800000c8: 9999ad37 lui s10,0x9999a +800000cc: 9f0d0d13 addi s10,s10,-1552 # 999999f0 <_end+0x199989a0> +800000d0: aaaabdb7 lui s11,0xaaaab +800000d4: a00d8d93 addi s11,s11,-1536 # aaaaaa00 <_end+0x2aaa99b0> +800000d8: bbbbce37 lui t3,0xbbbbc +800000dc: bffe0e13 addi t3,t3,-1025 # bbbbbbff <_end+0x3bbbabaf> +800000e0: ccccdeb7 lui t4,0xccccd +800000e4: c0fe8e93 addi t4,t4,-1009 # cccccc0f <_end+0x4cccbbbf> +800000e8: ff288e23 sb s2,-4(a7) +800000ec: ff388ea3 sb s3,-3(a7) +800000f0: ff488f23 sb s4,-2(a7) +800000f4: ff588fa3 sb s5,-1(a7) +800000f8: 01688023 sb s6,0(a7) +800000fc: 017880a3 sb s7,1(a7) +80000100: 01888123 sb s8,2(a7) +80000104: 019881a3 sb s9,3(a7) +80000108: 01a88223 sb s10,4(a7) +8000010c: 01b882a3 sb s11,5(a7) +80000110: 01c88323 sb t3,6(a7) +80000114: 01d883a3 sb t4,7(a7) +80000118: 00001b17 auipc s6,0x1 +8000011c: f18b0b13 addi s6,s6,-232 # 80001030 +80000120: 876540b7 lui ra,0x87654 +80000124: 32108093 addi ra,ra,801 # 87654321 <_end+0x76532d1> +80000128: 001b2023 sw ra,0(s6) +8000012c: 12345037 lui zero,0x12345 +80000130: 67800013 li zero,1656 +80000134: 000b0023 sb zero,0(s6) +80000138: 00001a97 auipc s5,0x1 +8000013c: ec8a8a93 addi s5,s5,-312 # 80001000 +80000140: 000aa083 lw ra,0(s5) +80000144: 0000a023 sw zero,0(ra) +80000148: 112239b7 lui s3,0x11223 +8000014c: 34498993 addi s3,s3,836 # 11223344 <_start-0x6eddccbc> +80000150: 000aab83 lw s7,0(s5) +80000154: 013b8023 sb s3,0(s7) +80000158: 00001b97 auipc s7,0x1 +8000015c: eacb8b93 addi s7,s7,-340 # 80001004 +80000160: 00001c17 auipc s8,0x1 +80000164: ed8c0c13 addi s8,s8,-296 # 80001038 +80000168: 000c2023 sw zero,0(s8) +8000016c: 000bac83 lw s9,0(s7) +80000170: 019c0023 sb s9,0(s8) +80000174: 00001d17 auipc s10,0x1 +80000178: ec8d0d13 addi s10,s10,-312 # 8000103c +8000017c: 000d2023 sw zero,0(s10) +80000180: 76543cb7 lui s9,0x76543 +80000184: 210c8c93 addi s9,s9,528 # 76543210 <_start-0x9abcdf0> +80000188: 019d0023 sb s9,0(s10) +8000018c: 00000c93 li s9,0 +80000190: 00001e17 auipc t3,0x1 +80000194: eb0e0e13 addi t3,t3,-336 # 80001040 +80000198: 000e2023 sw zero,0(t3) +8000019c: 89abddb7 lui s11,0x89abd +800001a0: defd8d93 addi s11,s11,-529 # 89abcdef <_end+0x9abbd9f> +800001a4: 01be0023 sb s11,0(t3) +800001a8: ffce0e13 addi t3,t3,-4 +800001ac: 00001e97 auipc t4,0x1 +800001b0: e98e8e93 addi t4,t4,-360 # 80001044 +800001b4: 000ea023 sw zero,0(t4) +800001b8: 000ea223 sw zero,4(t4) +800001bc: 14726db7 lui s11,0x14726 +800001c0: 836d8d93 addi s11,s11,-1994 # 14725836 <_start-0x6b8da7ca> +800001c4: 01be8023 sb s11,0(t4) +800001c8: 000eaf03 lw t5,0(t4) +800001cc: 01ee8223 sb t5,4(t4) +800001d0: 00001097 auipc ra,0x1 +800001d4: e7c08093 addi ra,ra,-388 # 8000104c +800001d8: 0000a023 sw zero,0(ra) +800001dc: 96385137 lui sp,0x96385 +800001e0: 20110113 addi sp,sp,513 # 96385201 <_end+0x163841b1> +800001e4: 258151b7 lui gp,0x25815 +800001e8: 96318193 addi gp,gp,-1693 # 25814963 <_start-0x5a7eb69d> +800001ec: 00208023 sb sp,0(ra) +800001f0: 00308023 sb gp,0(ra) +800001f4: 00001517 auipc a0,0x1 +800001f8: e1c50513 addi a0,a0,-484 # 80001010 +800001fc: 00001597 auipc a1,0x1 +80000200: e5458593 addi a1,a1,-428 # 80001050 <_end> +80000204: f0100637 lui a2,0xf0100 +80000208: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feedc> + +8000020c : +8000020c: 02b50663 beq a0,a1,80000238 +80000210: 00c52683 lw a3,12(a0) +80000214: 00d62023 sw a3,0(a2) +80000218: 00852683 lw a3,8(a0) +8000021c: 00d62023 sw a3,0(a2) +80000220: 00452683 lw a3,4(a0) +80000224: 00d62023 sw a3,0(a2) +80000228: 00052683 lw a3,0(a0) +8000022c: 00d62023 sw a3,0(a2) +80000230: 01050513 addi a0,a0,16 +80000234: fd9ff06f j 8000020c + +80000238 : +80000238: f0100537 lui a0,0xf0100 +8000023c: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feed0> +80000240: 00052023 sw zero,0(a0) + +Disassembly of section .data: + +80001000 : +80001000: 1034 addi a3,sp,40 +80001002: 8000 0x8000 + +80001004 : +80001004: def0 sw a2,124(a3) +80001006: 9abc 0x9abc + ... + +80001010 : +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff + +80001018 : +80001018: ffff 0xffff +8000101a: ffff 0xffff + +8000101c : +8000101c: ffff 0xffff +8000101e: ffff 0xffff + +80001020 : +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff + +80001030 : +80001030: ffff 0xffff +80001032: ffff 0xffff + +80001034 : +80001034: ffff 0xffff +80001036: ffff 0xffff + +80001038 : +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff + +80001040 : +80001040: ffff 0xffff +80001042: ffff 0xffff + +80001044 : +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff + +8000104c : +8000104c: ffff 0xffff +8000104e: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-SH-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-SH-01.elf.objdump new file mode 100644 index 0000000..9edde9b --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-SH-01.elf.objdump @@ -0,0 +1,208 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-SH-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 01008093 addi ra,ra,16 # 80001010 +80000008: aaaacfb7 lui t6,0xaaaac +8000000c: bbbf8f93 addi t6,t6,-1093 # aaaabbbb <_end+0x2aaaab6b> +80000010: 01f0a023 sw t6,0(ra) +80000014: 11f1f137 lui sp,0x11f1f +80000018: 22210113 addi sp,sp,546 # 11f1f222 <_start-0x6e0e0dde> +8000001c: 00209023 sh sp,0(ra) +80000020: 00001297 auipc t0,0x1 +80000024: ff528293 addi t0,t0,-11 # 80001015 +80000028: fe02afa3 sw zero,-1(t0) +8000002c: f3334cb7 lui s9,0xf3334 +80000030: 4f4c8c93 addi s9,s9,1268 # f33344f4 <_end+0x733334a4> +80000034: ff929fa3 sh s9,-1(t0) +80000038: 00001417 auipc s0,0x1 +8000003c: fdf40413 addi s0,s0,-33 # 80001017 +80000040: 000420a3 sw zero,1(s0) +80000044: 55f5ffb7 lui t6,0x55f5f +80000048: 666f8f93 addi t6,t6,1638 # 55f5f666 <_start-0x2a0a099a> +8000004c: 01f410a3 sh t6,1(s0) +80000050: 00001597 auipc a1,0x1 +80000054: 7cc58593 addi a1,a1,1996 # 8000181c <_end+0x7cc> +80000058: 8005a023 sw zero,-2048(a1) +8000005c: f7779637 lui a2,0xf7779 +80000060: 8f860613 addi a2,a2,-1800 # f77788f8 <_end+0x777778a8> +80000064: 80c59023 sh a2,-2048(a1) +80000068: 00000717 auipc a4,0x0 +8000006c: 7b970713 addi a4,a4,1977 # 80000821 +80000070: 7e072fa3 sw zero,2047(a4) +80000074: 990917b7 lui a5,0x99091 +80000078: aaa78793 addi a5,a5,-1366 # 99090aaa <_end+0x1908fa5a> +8000007c: 7ef71fa3 sh a5,2047(a4) +80000080: 00001897 auipc a7,0x1 +80000084: fa888893 addi a7,a7,-88 # 80001028 +80000088: 1111d937 lui s2,0x1111d +8000008c: c0c90913 addi s2,s2,-1012 # 1111cc0c <_start-0x6eee33f4> +80000090: 222219b7 lui s3,0x22221 +80000094: bbb98993 addi s3,s3,-1093 # 22220bbb <_start-0x5dddf445> +80000098: 33331a37 lui s4,0x33331 +8000009c: eeea0a13 addi s4,s4,-274 # 33330eee <_start-0x4cccf112> +800000a0: 4444eab7 lui s5,0x4444e +800000a4: d0da8a93 addi s5,s5,-755 # 4444dd0d <_start-0x3bbb22f3> +800000a8: 77770b37 lui s6,0x77770 +800000ac: 0f0b0b13 addi s6,s6,240 # 777700f0 <_start-0x888ff10> +800000b0: 66661bb7 lui s7,0x66661 +800000b4: fffb8b93 addi s7,s7,-1 # 66660fff <_start-0x1999f001> +800000b8: ff289e23 sh s2,-4(a7) +800000bc: ff389f23 sh s3,-2(a7) +800000c0: 01489023 sh s4,0(a7) +800000c4: 01589123 sh s5,2(a7) +800000c8: 01689223 sh s6,4(a7) +800000cc: 01789323 sh s7,6(a7) +800000d0: 00001b17 auipc s6,0x1 +800000d4: f60b0b13 addi s6,s6,-160 # 80001030 +800000d8: 876540b7 lui ra,0x87654 +800000dc: 32108093 addi ra,ra,801 # 87654321 <_end+0x76532d1> +800000e0: 001b2023 sw ra,0(s6) +800000e4: 12345037 lui zero,0x12345 +800000e8: 67800013 li zero,1656 +800000ec: 000b1023 sh zero,0(s6) +800000f0: 00001a97 auipc s5,0x1 +800000f4: f10a8a93 addi s5,s5,-240 # 80001000 +800000f8: 000aa083 lw ra,0(s5) +800000fc: 0000a023 sw zero,0(ra) +80000100: 112239b7 lui s3,0x11223 +80000104: 34498993 addi s3,s3,836 # 11223344 <_start-0x6eddccbc> +80000108: 000aab83 lw s7,0(s5) +8000010c: 013b9023 sh s3,0(s7) +80000110: 00001b97 auipc s7,0x1 +80000114: ef4b8b93 addi s7,s7,-268 # 80001004 +80000118: 00001c17 auipc s8,0x1 +8000011c: f20c0c13 addi s8,s8,-224 # 80001038 +80000120: 000c2023 sw zero,0(s8) +80000124: 000bac83 lw s9,0(s7) +80000128: 019c1023 sh s9,0(s8) +8000012c: 00001d17 auipc s10,0x1 +80000130: f10d0d13 addi s10,s10,-240 # 8000103c +80000134: 000d2023 sw zero,0(s10) +80000138: 76543cb7 lui s9,0x76543 +8000013c: 210c8c93 addi s9,s9,528 # 76543210 <_start-0x9abcdf0> +80000140: 019d1023 sh s9,0(s10) +80000144: 00000c93 li s9,0 +80000148: 00001e17 auipc t3,0x1 +8000014c: ef8e0e13 addi t3,t3,-264 # 80001040 +80000150: 000e2023 sw zero,0(t3) +80000154: 89abddb7 lui s11,0x89abd +80000158: defd8d93 addi s11,s11,-529 # 89abcdef <_end+0x9abbd9f> +8000015c: 01be1023 sh s11,0(t3) +80000160: ffce0e13 addi t3,t3,-4 +80000164: 00001e97 auipc t4,0x1 +80000168: ee0e8e93 addi t4,t4,-288 # 80001044 +8000016c: 000ea023 sw zero,0(t4) +80000170: 000ea223 sw zero,4(t4) +80000174: 14726db7 lui s11,0x14726 +80000178: 836d8d93 addi s11,s11,-1994 # 14725836 <_start-0x6b8da7ca> +8000017c: 01be9023 sh s11,0(t4) +80000180: 000eaf03 lw t5,0(t4) +80000184: 01ee9223 sh t5,4(t4) +80000188: 00001097 auipc ra,0x1 +8000018c: ec408093 addi ra,ra,-316 # 8000104c +80000190: 0000a023 sw zero,0(ra) +80000194: 96385137 lui sp,0x96385 +80000198: 20110113 addi sp,sp,513 # 96385201 <_end+0x163841b1> +8000019c: 258151b7 lui gp,0x25815 +800001a0: 96318193 addi gp,gp,-1693 # 25814963 <_start-0x5a7eb69d> +800001a4: 00209023 sh sp,0(ra) +800001a8: 00309023 sh gp,0(ra) +800001ac: 00001517 auipc a0,0x1 +800001b0: e6450513 addi a0,a0,-412 # 80001010 +800001b4: 00001597 auipc a1,0x1 +800001b8: e9c58593 addi a1,a1,-356 # 80001050 <_end> +800001bc: f0100637 lui a2,0xf0100 +800001c0: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feedc> + +800001c4 : +800001c4: 02b50663 beq a0,a1,800001f0 +800001c8: 00c52683 lw a3,12(a0) +800001cc: 00d62023 sw a3,0(a2) +800001d0: 00852683 lw a3,8(a0) +800001d4: 00d62023 sw a3,0(a2) +800001d8: 00452683 lw a3,4(a0) +800001dc: 00d62023 sw a3,0(a2) +800001e0: 00052683 lw a3,0(a0) +800001e4: 00d62023 sw a3,0(a2) +800001e8: 01050513 addi a0,a0,16 +800001ec: fd9ff06f j 800001c4 + +800001f0 : +800001f0: f0100537 lui a0,0xf0100 +800001f4: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feed0> +800001f8: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: 1034 addi a3,sp,40 +80001002: 8000 0x8000 + +80001004 : +80001004: def0 sw a2,124(a3) +80001006: 9abc 0x9abc + ... + +80001010 : +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff + +80001018 : +80001018: ffff 0xffff +8000101a: ffff 0xffff + +8000101c : +8000101c: ffff 0xffff +8000101e: ffff 0xffff + +80001020 : +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff + +80001030 : +80001030: ffff 0xffff +80001032: ffff 0xffff + +80001034 : +80001034: ffff 0xffff +80001036: ffff 0xffff + +80001038 : +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff + +80001040 : +80001040: ffff 0xffff +80001042: ffff 0xffff + +80001044 : +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff + +8000104c : +8000104c: ffff 0xffff +8000104e: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-SLL-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-SLL-01.elf.objdump new file mode 100644 index 0000000..a17b69c --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-SLL-01.elf.objdump @@ -0,0 +1,340 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-SLL-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 00001117 auipc sp,0x1 +8000000c: 02810113 addi sp,sp,40 # 80001030 +80000010: 0000a183 lw gp,0(ra) # 80001000 +80000014: 00100213 li tp,1 +80000018: 00f00293 li t0,15 +8000001c: 01f00313 li t1,31 +80000020: 00000393 li t2,0 +80000024: 01000413 li s0,16 +80000028: 00419233 sll tp,gp,tp +8000002c: 005192b3 sll t0,gp,t0 +80000030: 00619333 sll t1,gp,t1 +80000034: 007193b3 sll t2,gp,t2 +80000038: 00819433 sll s0,gp,s0 +8000003c: 00312023 sw gp,0(sp) +80000040: 00412223 sw tp,4(sp) +80000044: 00512423 sw t0,8(sp) +80000048: 00612623 sw t1,12(sp) +8000004c: 00712823 sw t2,16(sp) +80000050: 00812a23 sw s0,20(sp) +80000054: 00001097 auipc ra,0x1 +80000058: fb008093 addi ra,ra,-80 # 80001004 +8000005c: 00001117 auipc sp,0x1 +80000060: fec10113 addi sp,sp,-20 # 80001048 +80000064: 0000a403 lw s0,0(ra) +80000068: 00100493 li s1,1 +8000006c: 00f00513 li a0,15 +80000070: 01f00593 li a1,31 +80000074: 00000613 li a2,0 +80000078: 01000693 li a3,16 +8000007c: 009414b3 sll s1,s0,s1 +80000080: 00a41533 sll a0,s0,a0 +80000084: 00b415b3 sll a1,s0,a1 +80000088: 00c41633 sll a2,s0,a2 +8000008c: 00d416b3 sll a3,s0,a3 +80000090: 00812023 sw s0,0(sp) +80000094: 00912223 sw s1,4(sp) +80000098: 00a12423 sw a0,8(sp) +8000009c: 00b12623 sw a1,12(sp) +800000a0: 00c12823 sw a2,16(sp) +800000a4: 00d12a23 sw a3,20(sp) +800000a8: 00001097 auipc ra,0x1 +800000ac: f6008093 addi ra,ra,-160 # 80001008 +800000b0: 00001117 auipc sp,0x1 +800000b4: fb010113 addi sp,sp,-80 # 80001060 +800000b8: 0000a683 lw a3,0(ra) +800000bc: 00100713 li a4,1 +800000c0: 00f00793 li a5,15 +800000c4: 01f00813 li a6,31 +800000c8: 00000893 li a7,0 +800000cc: 01000913 li s2,16 +800000d0: 00e69733 sll a4,a3,a4 +800000d4: 00f697b3 sll a5,a3,a5 +800000d8: 01069833 sll a6,a3,a6 +800000dc: 011698b3 sll a7,a3,a7 +800000e0: 01269933 sll s2,a3,s2 +800000e4: 00d12023 sw a3,0(sp) +800000e8: 00e12223 sw a4,4(sp) +800000ec: 00f12423 sw a5,8(sp) +800000f0: 01012623 sw a6,12(sp) +800000f4: 01112823 sw a7,16(sp) +800000f8: 01212a23 sw s2,20(sp) +800000fc: 00001617 auipc a2,0x1 +80000100: f1060613 addi a2,a2,-240 # 8000100c +80000104: 00001697 auipc a3,0x1 +80000108: f7468693 addi a3,a3,-140 # 80001078 +8000010c: 00062903 lw s2,0(a2) +80000110: 00100993 li s3,1 +80000114: 00f00a13 li s4,15 +80000118: 01f00a93 li s5,31 +8000011c: 00000b13 li s6,0 +80000120: 01000b93 li s7,16 +80000124: 013919b3 sll s3,s2,s3 +80000128: 01491a33 sll s4,s2,s4 +8000012c: 01591ab3 sll s5,s2,s5 +80000130: 01691b33 sll s6,s2,s6 +80000134: 01791bb3 sll s7,s2,s7 +80000138: 0126a023 sw s2,0(a3) +8000013c: 0136a223 sw s3,4(a3) +80000140: 0146a423 sw s4,8(a3) +80000144: 0156a623 sw s5,12(a3) +80000148: 0166a823 sw s6,16(a3) +8000014c: 0176aa23 sw s7,20(a3) +80000150: 00001617 auipc a2,0x1 +80000154: ec060613 addi a2,a2,-320 # 80001010 +80000158: 00001697 auipc a3,0x1 +8000015c: f3868693 addi a3,a3,-200 # 80001090 +80000160: 00062b83 lw s7,0(a2) +80000164: 00100c13 li s8,1 +80000168: 00f00c93 li s9,15 +8000016c: 01f00d13 li s10,31 +80000170: 00000d93 li s11,0 +80000174: 01000e13 li t3,16 +80000178: 018b9c33 sll s8,s7,s8 +8000017c: 019b9cb3 sll s9,s7,s9 +80000180: 01ab9d33 sll s10,s7,s10 +80000184: 01bb9db3 sll s11,s7,s11 +80000188: 01cb9e33 sll t3,s7,t3 +8000018c: 0176a023 sw s7,0(a3) +80000190: 0186a223 sw s8,4(a3) +80000194: 0196a423 sw s9,8(a3) +80000198: 01a6a623 sw s10,12(a3) +8000019c: 01b6a823 sw s11,16(a3) +800001a0: 01c6aa23 sw t3,20(a3) +800001a4: 00001c97 auipc s9,0x1 +800001a8: e70c8c93 addi s9,s9,-400 # 80001014 +800001ac: 00001d17 auipc s10,0x1 +800001b0: efcd0d13 addi s10,s10,-260 # 800010a8 +800001b4: 000cae03 lw t3,0(s9) +800001b8: 00100d93 li s11,1 +800001bc: 01be1eb3 sll t4,t3,s11 +800001c0: 01be9f33 sll t5,t4,s11 +800001c4: 01bf1fb3 sll t6,t5,s11 +800001c8: 01bf90b3 sll ra,t6,s11 +800001cc: 01b09133 sll sp,ra,s11 +800001d0: 01b111b3 sll gp,sp,s11 +800001d4: 01cd2023 sw t3,0(s10) +800001d8: 01dd2223 sw t4,4(s10) +800001dc: 01ed2423 sw t5,8(s10) +800001e0: 01fd2623 sw t6,12(s10) +800001e4: 001d2823 sw ra,16(s10) +800001e8: 002d2a23 sw sp,20(s10) +800001ec: 003d2c23 sw gp,24(s10) +800001f0: 00001097 auipc ra,0x1 +800001f4: e2808093 addi ra,ra,-472 # 80001018 +800001f8: 00001117 auipc sp,0x1 +800001fc: ecc10113 addi sp,sp,-308 # 800010c4 +80000200: 0000a283 lw t0,0(ra) +80000204: 00100d93 li s11,1 +80000208: 01b29033 sll zero,t0,s11 +8000020c: 00012023 sw zero,0(sp) +80000210: 00001097 auipc ra,0x1 +80000214: e0c08093 addi ra,ra,-500 # 8000101c +80000218: 00001117 auipc sp,0x1 +8000021c: eb010113 addi sp,sp,-336 # 800010c8 +80000220: 0000a283 lw t0,0(ra) +80000224: 00100d93 li s11,1 +80000228: 01b29033 sll zero,t0,s11 +8000022c: 01b012b3 sll t0,zero,s11 +80000230: 00012023 sw zero,0(sp) +80000234: 00512223 sw t0,4(sp) +80000238: 00001097 auipc ra,0x1 +8000023c: de808093 addi ra,ra,-536 # 80001020 +80000240: 00001117 auipc sp,0x1 +80000244: e9010113 addi sp,sp,-368 # 800010d0 +80000248: 0000a183 lw gp,0(ra) +8000024c: 10000237 lui tp,0x10000 +80000250: fe020213 addi tp,tp,-32 # fffffe0 <_start-0x70000020> +80000254: 100002b7 lui t0,0x10000 +80000258: fe128293 addi t0,t0,-31 # fffffe1 <_start-0x7000001f> +8000025c: 10000337 lui t1,0x10000 +80000260: fef30313 addi t1,t1,-17 # fffffef <_start-0x70000011> +80000264: 100003b7 lui t2,0x10000 +80000268: fff38393 addi t2,t2,-1 # fffffff <_start-0x70000001> +8000026c: 00419233 sll tp,gp,tp +80000270: 005192b3 sll t0,gp,t0 +80000274: 00619333 sll t1,gp,t1 +80000278: 007193b3 sll t2,gp,t2 +8000027c: 00412023 sw tp,0(sp) +80000280: 00512223 sw t0,4(sp) +80000284: 00612423 sw t1,8(sp) +80000288: 00712623 sw t2,12(sp) +8000028c: 00001517 auipc a0,0x1 +80000290: da450513 addi a0,a0,-604 # 80001030 +80000294: 00001597 auipc a1,0x1 +80000298: e4c58593 addi a1,a1,-436 # 800010e0 <_end> +8000029c: f0100637 lui a2,0xf0100 +800002a0: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee4c> + +800002a4 : +800002a4: 02b50663 beq a0,a1,800002d0 +800002a8: 00c52683 lw a3,12(a0) +800002ac: 00d62023 sw a3,0(a2) +800002b0: 00852683 lw a3,8(a0) +800002b4: 00d62023 sw a3,0(a2) +800002b8: 00452683 lw a3,4(a0) +800002bc: 00d62023 sw a3,0(a2) +800002c0: 00052683 lw a3,0(a0) +800002c4: 00d62023 sw a3,0(a2) +800002c8: 01050513 addi a0,a0,16 +800002cc: fd9ff06f j 800002a4 + +800002d0 : +800002d0: f0100537 lui a0,0xf0100 +800002d4: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee40> +800002d8: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: 0000 unimp + ... + +80001004 : +80001004: 0001 nop + ... + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: 7fff 0x7fff + +80001010 : +80001010: 0000 unimp +80001012: 8000 0x8000 + +80001014 : +80001014: ef10 fsw fa2,24(a4) +80001016: abcd j 80001608 <_end+0x528> + +80001018 : +80001018: 5678 lw a4,108(a2) +8000101a: 1234 addi a3,sp,296 + +8000101c : +8000101c: ba98 fsd fa4,48(a3) +8000101e: fedc fsw fa5,60(a3) + +80001020 : +80001020: 4321 li t1,8 +80001022: 8765 srai a4,a4,0x19 + ... + +80001030 : +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff + +80001048 : +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff + +80001060 : +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff + +80001078 : +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff + +80001090 : +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff + +800010a8 : +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff +800010c0: ffff 0xffff +800010c2: ffff 0xffff + +800010c4 : +800010c4: ffff 0xffff +800010c6: ffff 0xffff + +800010c8 : +800010c8: ffff 0xffff +800010ca: ffff 0xffff +800010cc: ffff 0xffff +800010ce: ffff 0xffff + +800010d0 : +800010d0: ffff 0xffff +800010d2: ffff 0xffff +800010d4: ffff 0xffff +800010d6: ffff 0xffff +800010d8: ffff 0xffff +800010da: ffff 0xffff +800010dc: ffff 0xffff +800010de: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-SLLI-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-SLLI-01.elf.objdump new file mode 100644 index 0000000..6151dfd --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-SLLI-01.elf.objdump @@ -0,0 +1,276 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-SLLI-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 00001117 auipc sp,0x1 +8000000c: 01810113 addi sp,sp,24 # 80001020 +80000010: 0000a183 lw gp,0(ra) # 80001000 +80000014: 00119213 slli tp,gp,0x1 +80000018: 00f19293 slli t0,gp,0xf +8000001c: 01f19313 slli t1,gp,0x1f +80000020: 00019393 slli t2,gp,0x0 +80000024: 01019413 slli s0,gp,0x10 +80000028: 00312023 sw gp,0(sp) +8000002c: 00412223 sw tp,4(sp) +80000030: 00512423 sw t0,8(sp) +80000034: 00612623 sw t1,12(sp) +80000038: 00712823 sw t2,16(sp) +8000003c: 00812a23 sw s0,20(sp) +80000040: 00001097 auipc ra,0x1 +80000044: fc408093 addi ra,ra,-60 # 80001004 +80000048: 00001117 auipc sp,0x1 +8000004c: ff010113 addi sp,sp,-16 # 80001038 +80000050: 0000a403 lw s0,0(ra) +80000054: 00141493 slli s1,s0,0x1 +80000058: 00f41513 slli a0,s0,0xf +8000005c: 01f41593 slli a1,s0,0x1f +80000060: 00041613 slli a2,s0,0x0 +80000064: 01041693 slli a3,s0,0x10 +80000068: 00812023 sw s0,0(sp) +8000006c: 00912223 sw s1,4(sp) +80000070: 00a12423 sw a0,8(sp) +80000074: 00b12623 sw a1,12(sp) +80000078: 00c12823 sw a2,16(sp) +8000007c: 00d12a23 sw a3,20(sp) +80000080: 00001097 auipc ra,0x1 +80000084: f8808093 addi ra,ra,-120 # 80001008 +80000088: 00001117 auipc sp,0x1 +8000008c: fc810113 addi sp,sp,-56 # 80001050 +80000090: 0000a683 lw a3,0(ra) +80000094: 00169713 slli a4,a3,0x1 +80000098: 00f69793 slli a5,a3,0xf +8000009c: 01f69813 slli a6,a3,0x1f +800000a0: 00069893 slli a7,a3,0x0 +800000a4: 01069913 slli s2,a3,0x10 +800000a8: 00d12023 sw a3,0(sp) +800000ac: 00e12223 sw a4,4(sp) +800000b0: 00f12423 sw a5,8(sp) +800000b4: 01012623 sw a6,12(sp) +800000b8: 01112823 sw a7,16(sp) +800000bc: 01212a23 sw s2,20(sp) +800000c0: 00001617 auipc a2,0x1 +800000c4: f4c60613 addi a2,a2,-180 # 8000100c +800000c8: 00001697 auipc a3,0x1 +800000cc: fa068693 addi a3,a3,-96 # 80001068 +800000d0: 00062903 lw s2,0(a2) +800000d4: 00191993 slli s3,s2,0x1 +800000d8: 00f91a13 slli s4,s2,0xf +800000dc: 01f91a93 slli s5,s2,0x1f +800000e0: 00091b13 slli s6,s2,0x0 +800000e4: 01091b93 slli s7,s2,0x10 +800000e8: 0126a023 sw s2,0(a3) +800000ec: 0136a223 sw s3,4(a3) +800000f0: 0146a423 sw s4,8(a3) +800000f4: 0156a623 sw s5,12(a3) +800000f8: 0166a823 sw s6,16(a3) +800000fc: 0176aa23 sw s7,20(a3) +80000100: 00001617 auipc a2,0x1 +80000104: f1060613 addi a2,a2,-240 # 80001010 +80000108: 00001697 auipc a3,0x1 +8000010c: f7868693 addi a3,a3,-136 # 80001080 +80000110: 00062b83 lw s7,0(a2) +80000114: 001b9c13 slli s8,s7,0x1 +80000118: 00fb9c93 slli s9,s7,0xf +8000011c: 01fb9d13 slli s10,s7,0x1f +80000120: 000b9d93 slli s11,s7,0x0 +80000124: 010b9e13 slli t3,s7,0x10 +80000128: 0176a023 sw s7,0(a3) +8000012c: 0186a223 sw s8,4(a3) +80000130: 0196a423 sw s9,8(a3) +80000134: 01a6a623 sw s10,12(a3) +80000138: 01b6a823 sw s11,16(a3) +8000013c: 01c6aa23 sw t3,20(a3) +80000140: 00001d17 auipc s10,0x1 +80000144: ed4d0d13 addi s10,s10,-300 # 80001014 +80000148: 00001d97 auipc s11,0x1 +8000014c: f50d8d93 addi s11,s11,-176 # 80001098 +80000150: 000d2e03 lw t3,0(s10) +80000154: 001e1e93 slli t4,t3,0x1 +80000158: 001e9f13 slli t5,t4,0x1 +8000015c: 001f1f93 slli t6,t5,0x1 +80000160: 001f9093 slli ra,t6,0x1 +80000164: 00109113 slli sp,ra,0x1 +80000168: 00111193 slli gp,sp,0x1 +8000016c: 01cda023 sw t3,0(s11) +80000170: 01dda223 sw t4,4(s11) +80000174: 01eda423 sw t5,8(s11) +80000178: 01fda623 sw t6,12(s11) +8000017c: 001da823 sw ra,16(s11) +80000180: 002daa23 sw sp,20(s11) +80000184: 003dac23 sw gp,24(s11) +80000188: 00001097 auipc ra,0x1 +8000018c: e9008093 addi ra,ra,-368 # 80001018 +80000190: 00001117 auipc sp,0x1 +80000194: f2410113 addi sp,sp,-220 # 800010b4 +80000198: 0000a283 lw t0,0(ra) +8000019c: 00129013 slli zero,t0,0x1 +800001a0: 00012023 sw zero,0(sp) +800001a4: 00001097 auipc ra,0x1 +800001a8: e7808093 addi ra,ra,-392 # 8000101c +800001ac: 00001117 auipc sp,0x1 +800001b0: f0c10113 addi sp,sp,-244 # 800010b8 +800001b4: 0000a283 lw t0,0(ra) +800001b8: 00129013 slli zero,t0,0x1 +800001bc: 00101293 slli t0,zero,0x1 +800001c0: 00012023 sw zero,0(sp) +800001c4: 00512223 sw t0,4(sp) +800001c8: 00001517 auipc a0,0x1 +800001cc: e5850513 addi a0,a0,-424 # 80001020 +800001d0: 00001597 auipc a1,0x1 +800001d4: ef058593 addi a1,a1,-272 # 800010c0 <_end> +800001d8: f0100637 lui a2,0xf0100 +800001dc: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee6c> + +800001e0 : +800001e0: 02b50663 beq a0,a1,8000020c +800001e4: 00c52683 lw a3,12(a0) +800001e8: 00d62023 sw a3,0(a2) +800001ec: 00852683 lw a3,8(a0) +800001f0: 00d62023 sw a3,0(a2) +800001f4: 00452683 lw a3,4(a0) +800001f8: 00d62023 sw a3,0(a2) +800001fc: 00052683 lw a3,0(a0) +80000200: 00d62023 sw a3,0(a2) +80000204: 01050513 addi a0,a0,16 +80000208: fd9ff06f j 800001e0 + +8000020c : +8000020c: f0100537 lui a0,0xf0100 +80000210: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee60> +80000214: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: 0000 unimp + ... + +80001004 : +80001004: 0001 nop + ... + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: 7fff 0x7fff + +80001010 : +80001010: 0000 unimp +80001012: 8000 0x8000 + +80001014 : +80001014: ef10 fsw fa2,24(a4) +80001016: abcd j 80001608 <_end+0x548> + +80001018 : +80001018: 5678 lw a4,108(a2) +8000101a: 1234 addi a3,sp,296 + +8000101c : +8000101c: ba98 fsd fa4,48(a3) +8000101e: fedc fsw fa5,60(a3) + +80001020 : +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff + +80001038 : +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff + +80001068 : +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff + +80001080 : +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff + +80001098 : +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff + +800010b4 : +800010b4: ffff 0xffff +800010b6: ffff 0xffff + +800010b8 : +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-SLT-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-SLT-01.elf.objdump new file mode 100644 index 0000000..b5d2b59 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-SLT-01.elf.objdump @@ -0,0 +1,332 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-SLT-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 00001117 auipc sp,0x1 +8000000c: 01810113 addi sp,sp,24 # 80001020 +80000010: 0000a183 lw gp,0(ra) # 80001000 +80000014: 00100213 li tp,1 +80000018: 800002b7 lui t0,0x80000 +8000001c: fff28293 addi t0,t0,-1 # 7fffffff <_end+0xffffef1f> +80000020: fff00313 li t1,-1 +80000024: 00000393 li t2,0 +80000028: 80000437 lui s0,0x80000 +8000002c: 0041a233 slt tp,gp,tp +80000030: 0051a2b3 slt t0,gp,t0 +80000034: 0061a333 slt t1,gp,t1 +80000038: 0071a3b3 slt t2,gp,t2 +8000003c: 0081a433 slt s0,gp,s0 +80000040: 00312023 sw gp,0(sp) +80000044: 00412223 sw tp,4(sp) +80000048: 00512423 sw t0,8(sp) +8000004c: 00612623 sw t1,12(sp) +80000050: 00712823 sw t2,16(sp) +80000054: 00812a23 sw s0,20(sp) +80000058: 00001097 auipc ra,0x1 +8000005c: fac08093 addi ra,ra,-84 # 80001004 +80000060: 00001117 auipc sp,0x1 +80000064: fd810113 addi sp,sp,-40 # 80001038 +80000068: 0000a403 lw s0,0(ra) +8000006c: 00100493 li s1,1 +80000070: 80000537 lui a0,0x80000 +80000074: fff50513 addi a0,a0,-1 # 7fffffff <_end+0xffffef1f> +80000078: fff00593 li a1,-1 +8000007c: 00000613 li a2,0 +80000080: 800006b7 lui a3,0x80000 +80000084: 009424b3 slt s1,s0,s1 +80000088: 00a42533 slt a0,s0,a0 +8000008c: 00b425b3 slt a1,s0,a1 +80000090: 00c42633 slt a2,s0,a2 +80000094: 00d426b3 slt a3,s0,a3 +80000098: 00812023 sw s0,0(sp) +8000009c: 00912223 sw s1,4(sp) +800000a0: 00a12423 sw a0,8(sp) +800000a4: 00b12623 sw a1,12(sp) +800000a8: 00c12823 sw a2,16(sp) +800000ac: 00d12a23 sw a3,20(sp) +800000b0: 00001097 auipc ra,0x1 +800000b4: f5808093 addi ra,ra,-168 # 80001008 +800000b8: 00001117 auipc sp,0x1 +800000bc: f9810113 addi sp,sp,-104 # 80001050 +800000c0: 0000a683 lw a3,0(ra) +800000c4: 00100713 li a4,1 +800000c8: 800007b7 lui a5,0x80000 +800000cc: fff78793 addi a5,a5,-1 # 7fffffff <_end+0xffffef1f> +800000d0: fff00813 li a6,-1 +800000d4: 00000893 li a7,0 +800000d8: 80000937 lui s2,0x80000 +800000dc: 00e6a733 slt a4,a3,a4 +800000e0: 00f6a7b3 slt a5,a3,a5 +800000e4: 0106a833 slt a6,a3,a6 +800000e8: 0116a8b3 slt a7,a3,a7 +800000ec: 0126a933 slt s2,a3,s2 +800000f0: 00d12023 sw a3,0(sp) +800000f4: 00e12223 sw a4,4(sp) +800000f8: 00f12423 sw a5,8(sp) +800000fc: 01012623 sw a6,12(sp) +80000100: 01112823 sw a7,16(sp) +80000104: 01212a23 sw s2,20(sp) +80000108: 00001097 auipc ra,0x1 +8000010c: f0408093 addi ra,ra,-252 # 8000100c +80000110: 00001117 auipc sp,0x1 +80000114: f5810113 addi sp,sp,-168 # 80001068 +80000118: 0000a903 lw s2,0(ra) +8000011c: 00100993 li s3,1 +80000120: 80000a37 lui s4,0x80000 +80000124: fffa0a13 addi s4,s4,-1 # 7fffffff <_end+0xffffef1f> +80000128: fff00a93 li s5,-1 +8000012c: 00000b13 li s6,0 +80000130: 80000bb7 lui s7,0x80000 +80000134: 013929b3 slt s3,s2,s3 +80000138: 01492a33 slt s4,s2,s4 +8000013c: 01592ab3 slt s5,s2,s5 +80000140: 01692b33 slt s6,s2,s6 +80000144: 01792bb3 slt s7,s2,s7 +80000148: 01212023 sw s2,0(sp) +8000014c: 01312223 sw s3,4(sp) +80000150: 01412423 sw s4,8(sp) +80000154: 01512623 sw s5,12(sp) +80000158: 01612823 sw s6,16(sp) +8000015c: 01712a23 sw s7,20(sp) +80000160: 00001097 auipc ra,0x1 +80000164: eb008093 addi ra,ra,-336 # 80001010 +80000168: 00001117 auipc sp,0x1 +8000016c: f1810113 addi sp,sp,-232 # 80001080 +80000170: 0000ab83 lw s7,0(ra) +80000174: 00100c13 li s8,1 +80000178: 80000cb7 lui s9,0x80000 +8000017c: fffc8c93 addi s9,s9,-1 # 7fffffff <_end+0xffffef1f> +80000180: fff00d13 li s10,-1 +80000184: 00000d93 li s11,0 +80000188: 80000e37 lui t3,0x80000 +8000018c: 018bac33 slt s8,s7,s8 +80000190: 019bacb3 slt s9,s7,s9 +80000194: 01abad33 slt s10,s7,s10 +80000198: 01bbadb3 slt s11,s7,s11 +8000019c: 01cbae33 slt t3,s7,t3 +800001a0: 01712023 sw s7,0(sp) +800001a4: 01812223 sw s8,4(sp) +800001a8: 01912423 sw s9,8(sp) +800001ac: 01a12623 sw s10,12(sp) +800001b0: 01b12823 sw s11,16(sp) +800001b4: 01c12a23 sw t3,20(sp) +800001b8: 00001d17 auipc s10,0x1 +800001bc: e5cd0d13 addi s10,s10,-420 # 80001014 +800001c0: 00001d97 auipc s11,0x1 +800001c4: ed8d8d93 addi s11,s11,-296 # 80001098 +800001c8: 000d2083 lw ra,0(s10) +800001cc: 00100113 li sp,1 +800001d0: 0020a033 slt zero,ra,sp +800001d4: 000da023 sw zero,0(s11) +800001d8: 001da223 sw ra,4(s11) +800001dc: 002da423 sw sp,8(s11) +800001e0: 00001f97 auipc t6,0x1 +800001e4: ec4f8f93 addi t6,t6,-316 # 800010a4 +800001e8: 00100093 li ra,1 +800001ec: 7ff00113 li sp,2047 +800001f0: fff00193 li gp,-1 +800001f4: 00000213 li tp,0 +800001f8: 80000293 li t0,-2048 +800001fc: 00102333 sgtz t1,ra +80000200: 002023b3 sgtz t2,sp +80000204: 00302433 sgtz s0,gp +80000208: 004024b3 sgtz s1,tp +8000020c: 00502533 sgtz a0,t0 +80000210: 0000a5b3 sltz a1,ra +80000214: 00012633 sltz a2,sp +80000218: 0001a6b3 sltz a3,gp +8000021c: 00022733 sltz a4,tp +80000220: 0002a7b3 sltz a5,t0 +80000224: 006fa023 sw t1,0(t6) +80000228: 007fa223 sw t2,4(t6) +8000022c: 008fa423 sw s0,8(t6) +80000230: 009fa623 sw s1,12(t6) +80000234: 00afa823 sw a0,16(t6) +80000238: 00bfaa23 sw a1,20(t6) +8000023c: 00cfac23 sw a2,24(t6) +80000240: 00dfae23 sw a3,28(t6) +80000244: 02efa023 sw a4,32(t6) +80000248: 02ffa223 sw a5,36(t6) +8000024c: 00001f97 auipc t6,0x1 +80000250: e80f8f93 addi t6,t6,-384 # 800010cc +80000254: 00100193 li gp,1 +80000258: 003020b3 sgtz ra,gp +8000025c: 0030a133 slt sp,ra,gp +80000260: 00312e33 slt t3,sp,gp +80000264: 003e2eb3 slt t4,t3,gp +80000268: 003eaf33 slt t5,t4,gp +8000026c: 001fa023 sw ra,0(t6) +80000270: 002fa223 sw sp,4(t6) +80000274: 01cfa423 sw t3,8(t6) +80000278: 01dfa623 sw t4,12(t6) +8000027c: 01efa823 sw t5,16(t6) +80000280: 00001517 auipc a0,0x1 +80000284: da050513 addi a0,a0,-608 # 80001020 +80000288: 00001597 auipc a1,0x1 +8000028c: e5858593 addi a1,a1,-424 # 800010e0 <_end> +80000290: f0100637 lui a2,0xf0100 +80000294: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee4c> + +80000298 : +80000298: 02b50663 beq a0,a1,800002c4 +8000029c: 00c52683 lw a3,12(a0) +800002a0: 00d62023 sw a3,0(a2) +800002a4: 00852683 lw a3,8(a0) +800002a8: 00d62023 sw a3,0(a2) +800002ac: 00452683 lw a3,4(a0) +800002b0: 00d62023 sw a3,0(a2) +800002b4: 00052683 lw a3,0(a0) +800002b8: 00d62023 sw a3,0(a2) +800002bc: 01050513 addi a0,a0,16 +800002c0: fd9ff06f j 80000298 + +800002c4 : +800002c4: f0100537 lui a0,0xf0100 +800002c8: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee40> +800002cc: 00052023 sw zero,0(a0) +800002d0: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: 0000 unimp + ... + +80001004 : +80001004: 0001 nop + ... + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: 7fff 0x7fff + +80001010 : +80001010: 0000 unimp +80001012: 8000 0x8000 + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff + ... + +80001020 : +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff + +80001038 : +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff + +80001068 : +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff + +80001080 : +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff + +80001098 : +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff +800010a0: ffff 0xffff +800010a2: ffff 0xffff + +800010a4 : +800010a4: ffff 0xffff +800010a6: ffff 0xffff +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff +800010c0: ffff 0xffff +800010c2: ffff 0xffff +800010c4: ffff 0xffff +800010c6: ffff 0xffff +800010c8: ffff 0xffff +800010ca: ffff 0xffff + +800010cc : +800010cc: ffff 0xffff +800010ce: ffff 0xffff +800010d0: ffff 0xffff +800010d2: ffff 0xffff +800010d4: ffff 0xffff +800010d6: ffff 0xffff +800010d8: ffff 0xffff +800010da: ffff 0xffff +800010dc: ffff 0xffff +800010de: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-SLTI-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-SLTI-01.elf.objdump new file mode 100644 index 0000000..433f418 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-SLTI-01.elf.objdump @@ -0,0 +1,277 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-SLTI-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 00001117 auipc sp,0x1 +8000000c: 01810113 addi sp,sp,24 # 80001020 +80000010: 0000a183 lw gp,0(ra) # 80001000 +80000014: 0011a213 slti tp,gp,1 +80000018: 7ff1a293 slti t0,gp,2047 +8000001c: fff1a313 slti t1,gp,-1 +80000020: 0001a393 slti t2,gp,0 +80000024: 8001a413 slti s0,gp,-2048 +80000028: 00312023 sw gp,0(sp) +8000002c: 00412223 sw tp,4(sp) +80000030: 00512423 sw t0,8(sp) +80000034: 00612623 sw t1,12(sp) +80000038: 00712823 sw t2,16(sp) +8000003c: 00812a23 sw s0,20(sp) +80000040: 00001097 auipc ra,0x1 +80000044: fc408093 addi ra,ra,-60 # 80001004 +80000048: 00001117 auipc sp,0x1 +8000004c: ff010113 addi sp,sp,-16 # 80001038 +80000050: 0000a403 lw s0,0(ra) +80000054: 00142493 slti s1,s0,1 +80000058: 7ff42513 slti a0,s0,2047 +8000005c: fff42593 slti a1,s0,-1 +80000060: 00042613 slti a2,s0,0 +80000064: 80042693 slti a3,s0,-2048 +80000068: 00812023 sw s0,0(sp) +8000006c: 00912223 sw s1,4(sp) +80000070: 00a12423 sw a0,8(sp) +80000074: 00b12623 sw a1,12(sp) +80000078: 00c12823 sw a2,16(sp) +8000007c: 00d12a23 sw a3,20(sp) +80000080: 00001097 auipc ra,0x1 +80000084: f8808093 addi ra,ra,-120 # 80001008 +80000088: 00001117 auipc sp,0x1 +8000008c: fc810113 addi sp,sp,-56 # 80001050 +80000090: 0000a683 lw a3,0(ra) +80000094: 0016a713 slti a4,a3,1 +80000098: 7ff6a793 slti a5,a3,2047 +8000009c: fff6a813 slti a6,a3,-1 +800000a0: 0006a893 slti a7,a3,0 +800000a4: 8006a913 slti s2,a3,-2048 +800000a8: 00d12023 sw a3,0(sp) +800000ac: 00e12223 sw a4,4(sp) +800000b0: 00f12423 sw a5,8(sp) +800000b4: 01012623 sw a6,12(sp) +800000b8: 01112823 sw a7,16(sp) +800000bc: 01212a23 sw s2,20(sp) +800000c0: 00001097 auipc ra,0x1 +800000c4: f4c08093 addi ra,ra,-180 # 8000100c +800000c8: 00001117 auipc sp,0x1 +800000cc: fa010113 addi sp,sp,-96 # 80001068 +800000d0: 0000a903 lw s2,0(ra) +800000d4: 00192993 slti s3,s2,1 +800000d8: 7ff92a13 slti s4,s2,2047 +800000dc: fff92a93 slti s5,s2,-1 +800000e0: 00092b13 slti s6,s2,0 +800000e4: 80092b93 slti s7,s2,-2048 +800000e8: 01212023 sw s2,0(sp) +800000ec: 01312223 sw s3,4(sp) +800000f0: 01412423 sw s4,8(sp) +800000f4: 01512623 sw s5,12(sp) +800000f8: 01612823 sw s6,16(sp) +800000fc: 01712a23 sw s7,20(sp) +80000100: 00001097 auipc ra,0x1 +80000104: f1008093 addi ra,ra,-240 # 80001010 +80000108: 00001117 auipc sp,0x1 +8000010c: f7810113 addi sp,sp,-136 # 80001080 +80000110: 0000ab83 lw s7,0(ra) +80000114: 001bac13 slti s8,s7,1 +80000118: 7ffbac93 slti s9,s7,2047 +8000011c: fffbad13 slti s10,s7,-1 +80000120: 000bad93 slti s11,s7,0 +80000124: 800bae13 slti t3,s7,-2048 +80000128: 01712023 sw s7,0(sp) +8000012c: 01812223 sw s8,4(sp) +80000130: 01912423 sw s9,8(sp) +80000134: 01a12623 sw s10,12(sp) +80000138: 01b12823 sw s11,16(sp) +8000013c: 01c12a23 sw t3,20(sp) +80000140: 00001d17 auipc s10,0x1 +80000144: ed4d0d13 addi s10,s10,-300 # 80001014 +80000148: 00001d97 auipc s11,0x1 +8000014c: f50d8d93 addi s11,s11,-176 # 80001098 +80000150: 000d2083 lw ra,0(s10) +80000154: 0010a013 slti zero,ra,1 +80000158: 001da023 sw ra,0(s11) +8000015c: 000da223 sw zero,4(s11) +80000160: 00001f97 auipc t6,0x1 +80000164: f40f8f93 addi t6,t6,-192 # 800010a0 +80000168: 00102093 slti ra,zero,1 +8000016c: 7ff02113 slti sp,zero,2047 +80000170: fff02193 slti gp,zero,-1 +80000174: 00002213 slti tp,zero,0 +80000178: 80002293 slti t0,zero,-2048 +8000017c: 000fa023 sw zero,0(t6) +80000180: 001fa223 sw ra,4(t6) +80000184: 002fa423 sw sp,8(t6) +80000188: 003fa623 sw gp,12(t6) +8000018c: 004fa823 sw tp,16(t6) +80000190: 005faa23 sw t0,20(t6) +80000194: 00001f97 auipc t6,0x1 +80000198: f24f8f93 addi t6,t6,-220 # 800010b8 +8000019c: 00102093 slti ra,zero,1 +800001a0: 0010a113 slti sp,ra,1 +800001a4: 00112e13 slti t3,sp,1 +800001a8: 001e2e93 slti t4,t3,1 +800001ac: 001eaf13 slti t5,t4,1 +800001b0: 000fa023 sw zero,0(t6) +800001b4: 001fa223 sw ra,4(t6) +800001b8: 002fa423 sw sp,8(t6) +800001bc: 01cfa623 sw t3,12(t6) +800001c0: 01dfa823 sw t4,16(t6) +800001c4: 01efaa23 sw t5,20(t6) +800001c8: 00001517 auipc a0,0x1 +800001cc: e5850513 addi a0,a0,-424 # 80001020 +800001d0: 00001597 auipc a1,0x1 +800001d4: f0058593 addi a1,a1,-256 # 800010d0 <_end> +800001d8: f0100637 lui a2,0xf0100 +800001dc: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee5c> + +800001e0 : +800001e0: 02b50663 beq a0,a1,8000020c +800001e4: 00c52683 lw a3,12(a0) +800001e8: 00d62023 sw a3,0(a2) +800001ec: 00852683 lw a3,8(a0) +800001f0: 00d62023 sw a3,0(a2) +800001f4: 00452683 lw a3,4(a0) +800001f8: 00d62023 sw a3,0(a2) +800001fc: 00052683 lw a3,0(a0) +80000200: 00d62023 sw a3,0(a2) +80000204: 01050513 addi a0,a0,16 +80000208: fd9ff06f j 800001e0 + +8000020c : +8000020c: f0100537 lui a0,0xf0100 +80000210: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee50> +80000214: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: 0000 unimp + ... + +80001004 : +80001004: 0001 nop + ... + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: 7fff 0x7fff + +80001010 : +80001010: 0000 unimp +80001012: 8000 0x8000 + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff + ... + +80001020 : +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff + +80001038 : +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff + +80001068 : +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff + +80001080 : +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff + +80001098 : +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff + +800010a0 : +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff +800010b4: ffff 0xffff +800010b6: ffff 0xffff + +800010b8 : +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff +800010c0: ffff 0xffff +800010c2: ffff 0xffff +800010c4: ffff 0xffff +800010c6: ffff 0xffff +800010c8: ffff 0xffff +800010ca: ffff 0xffff +800010cc: ffff 0xffff +800010ce: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-SLTIU-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-SLTIU-01.elf.objdump new file mode 100644 index 0000000..32fc6f7 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-SLTIU-01.elf.objdump @@ -0,0 +1,276 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-SLTIU-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 00001117 auipc sp,0x1 +8000000c: 01810113 addi sp,sp,24 # 80001020 +80000010: 0000a183 lw gp,0(ra) # 80001000 +80000014: 0011b213 seqz tp,gp +80000018: 7ff1b293 sltiu t0,gp,2047 +8000001c: fff1b313 sltiu t1,gp,-1 +80000020: 0001b393 sltiu t2,gp,0 +80000024: 8001b413 sltiu s0,gp,-2048 +80000028: 00312023 sw gp,0(sp) +8000002c: 00412223 sw tp,4(sp) +80000030: 00512423 sw t0,8(sp) +80000034: 00612623 sw t1,12(sp) +80000038: 00712823 sw t2,16(sp) +8000003c: 00812a23 sw s0,20(sp) +80000040: 00001097 auipc ra,0x1 +80000044: fc408093 addi ra,ra,-60 # 80001004 +80000048: 00001117 auipc sp,0x1 +8000004c: ff010113 addi sp,sp,-16 # 80001038 +80000050: 0000a403 lw s0,0(ra) +80000054: 00143493 seqz s1,s0 +80000058: 7ff43513 sltiu a0,s0,2047 +8000005c: fff43593 sltiu a1,s0,-1 +80000060: 00043613 sltiu a2,s0,0 +80000064: 80043693 sltiu a3,s0,-2048 +80000068: 00812023 sw s0,0(sp) +8000006c: 00912223 sw s1,4(sp) +80000070: 00a12423 sw a0,8(sp) +80000074: 00b12623 sw a1,12(sp) +80000078: 00c12823 sw a2,16(sp) +8000007c: 00d12a23 sw a3,20(sp) +80000080: 00001097 auipc ra,0x1 +80000084: f8808093 addi ra,ra,-120 # 80001008 +80000088: 00001117 auipc sp,0x1 +8000008c: fc810113 addi sp,sp,-56 # 80001050 +80000090: 0000a683 lw a3,0(ra) +80000094: 0016b713 seqz a4,a3 +80000098: 7ff6b793 sltiu a5,a3,2047 +8000009c: fff6b813 sltiu a6,a3,-1 +800000a0: 0006b893 sltiu a7,a3,0 +800000a4: 8006b913 sltiu s2,a3,-2048 +800000a8: 00d12023 sw a3,0(sp) +800000ac: 00e12223 sw a4,4(sp) +800000b0: 00f12423 sw a5,8(sp) +800000b4: 01012623 sw a6,12(sp) +800000b8: 01112823 sw a7,16(sp) +800000bc: 01212a23 sw s2,20(sp) +800000c0: 00001097 auipc ra,0x1 +800000c4: f4c08093 addi ra,ra,-180 # 8000100c +800000c8: 00001117 auipc sp,0x1 +800000cc: fa010113 addi sp,sp,-96 # 80001068 +800000d0: 0000a903 lw s2,0(ra) +800000d4: 00193993 seqz s3,s2 +800000d8: 7ff93a13 sltiu s4,s2,2047 +800000dc: fff93a93 sltiu s5,s2,-1 +800000e0: 00093b13 sltiu s6,s2,0 +800000e4: 80093b93 sltiu s7,s2,-2048 +800000e8: 01212023 sw s2,0(sp) +800000ec: 01312223 sw s3,4(sp) +800000f0: 01412423 sw s4,8(sp) +800000f4: 01512623 sw s5,12(sp) +800000f8: 01612823 sw s6,16(sp) +800000fc: 01712a23 sw s7,20(sp) +80000100: 00001097 auipc ra,0x1 +80000104: f1008093 addi ra,ra,-240 # 80001010 +80000108: 00001117 auipc sp,0x1 +8000010c: f7810113 addi sp,sp,-136 # 80001080 +80000110: 0000ab83 lw s7,0(ra) +80000114: 001bbc13 seqz s8,s7 +80000118: 7ffbbc93 sltiu s9,s7,2047 +8000011c: fffbbd13 sltiu s10,s7,-1 +80000120: 000bbd93 sltiu s11,s7,0 +80000124: 800bbe13 sltiu t3,s7,-2048 +80000128: 01712023 sw s7,0(sp) +8000012c: 01812223 sw s8,4(sp) +80000130: 01912423 sw s9,8(sp) +80000134: 01a12623 sw s10,12(sp) +80000138: 01b12823 sw s11,16(sp) +8000013c: 01c12a23 sw t3,20(sp) +80000140: 00001d17 auipc s10,0x1 +80000144: ed4d0d13 addi s10,s10,-300 # 80001014 +80000148: 00001d97 auipc s11,0x1 +8000014c: f50d8d93 addi s11,s11,-176 # 80001098 +80000150: 000d2083 lw ra,0(s10) +80000154: fff0b013 sltiu zero,ra,-1 +80000158: 001da023 sw ra,0(s11) +8000015c: 000da223 sw zero,4(s11) +80000160: 00001f97 auipc t6,0x1 +80000164: f40f8f93 addi t6,t6,-192 # 800010a0 +80000168: 00103093 seqz ra,zero +8000016c: 7ff03113 sltiu sp,zero,2047 +80000170: fff03193 sltiu gp,zero,-1 +80000174: 00003213 sltiu tp,zero,0 +80000178: 80003293 sltiu t0,zero,-2048 +8000017c: 000fa023 sw zero,0(t6) +80000180: 001fa223 sw ra,4(t6) +80000184: 002fa423 sw sp,8(t6) +80000188: 003fa623 sw gp,12(t6) +8000018c: 004fa823 sw tp,16(t6) +80000190: 005faa23 sw t0,20(t6) +80000194: 00001f97 auipc t6,0x1 +80000198: f24f8f93 addi t6,t6,-220 # 800010b8 +8000019c: 00103093 seqz ra,zero +800001a0: 0010b113 seqz sp,ra +800001a4: 00113e13 seqz t3,sp +800001a8: 001e3e93 seqz t4,t3 +800001ac: 001ebf13 seqz t5,t4 +800001b0: 000fa023 sw zero,0(t6) +800001b4: 001fa223 sw ra,4(t6) +800001b8: 002fa423 sw sp,8(t6) +800001bc: 01cfa623 sw t3,12(t6) +800001c0: 01dfa823 sw t4,16(t6) +800001c4: 01efaa23 sw t5,20(t6) +800001c8: 00001517 auipc a0,0x1 +800001cc: e5850513 addi a0,a0,-424 # 80001020 +800001d0: 00001597 auipc a1,0x1 +800001d4: f0058593 addi a1,a1,-256 # 800010d0 <_end> +800001d8: f0100637 lui a2,0xf0100 +800001dc: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee5c> + +800001e0 : +800001e0: 02b50663 beq a0,a1,8000020c +800001e4: 00c52683 lw a3,12(a0) +800001e8: 00d62023 sw a3,0(a2) +800001ec: 00852683 lw a3,8(a0) +800001f0: 00d62023 sw a3,0(a2) +800001f4: 00452683 lw a3,4(a0) +800001f8: 00d62023 sw a3,0(a2) +800001fc: 00052683 lw a3,0(a0) +80000200: 00d62023 sw a3,0(a2) +80000204: 01050513 addi a0,a0,16 +80000208: fd9ff06f j 800001e0 + +8000020c : +8000020c: f0100537 lui a0,0xf0100 +80000210: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee50> +80000214: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: 0000 unimp + ... + +80001004 : +80001004: 0001 nop + ... + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: 7fff 0x7fff + +80001010 : +80001010: 0000 unimp +80001012: 8000 0x8000 + +80001014 : +80001014: 0001 nop + ... + +80001020 : +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff + +80001038 : +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff + +80001068 : +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff + +80001080 : +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff + +80001098 : +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff + +800010a0 : +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff +800010b4: ffff 0xffff +800010b6: ffff 0xffff + +800010b8 : +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff +800010c0: ffff 0xffff +800010c2: ffff 0xffff +800010c4: ffff 0xffff +800010c6: ffff 0xffff +800010c8: ffff 0xffff +800010ca: ffff 0xffff +800010cc: ffff 0xffff +800010ce: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-SLTU-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-SLTU-01.elf.objdump new file mode 100644 index 0000000..0362f0b --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-SLTU-01.elf.objdump @@ -0,0 +1,331 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-SLTU-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 00001117 auipc sp,0x1 +8000000c: 01810113 addi sp,sp,24 # 80001020 +80000010: 0000a183 lw gp,0(ra) # 80001000 +80000014: 00100213 li tp,1 +80000018: 800002b7 lui t0,0x80000 +8000001c: fff28293 addi t0,t0,-1 # 7fffffff <_end+0xffffef1f> +80000020: fff00313 li t1,-1 +80000024: 00000393 li t2,0 +80000028: 80000437 lui s0,0x80000 +8000002c: 0041b233 sltu tp,gp,tp +80000030: 0051b2b3 sltu t0,gp,t0 +80000034: 0061b333 sltu t1,gp,t1 +80000038: 0071b3b3 sltu t2,gp,t2 +8000003c: 0081b433 sltu s0,gp,s0 +80000040: 00312023 sw gp,0(sp) +80000044: 00412223 sw tp,4(sp) +80000048: 00512423 sw t0,8(sp) +8000004c: 00612623 sw t1,12(sp) +80000050: 00712823 sw t2,16(sp) +80000054: 00812a23 sw s0,20(sp) +80000058: 00001097 auipc ra,0x1 +8000005c: fac08093 addi ra,ra,-84 # 80001004 +80000060: 00001117 auipc sp,0x1 +80000064: fd810113 addi sp,sp,-40 # 80001038 +80000068: 0000a403 lw s0,0(ra) +8000006c: 00100493 li s1,1 +80000070: 80000537 lui a0,0x80000 +80000074: fff50513 addi a0,a0,-1 # 7fffffff <_end+0xffffef1f> +80000078: fff00593 li a1,-1 +8000007c: 00000613 li a2,0 +80000080: 800006b7 lui a3,0x80000 +80000084: 009434b3 sltu s1,s0,s1 +80000088: 00a43533 sltu a0,s0,a0 +8000008c: 00b435b3 sltu a1,s0,a1 +80000090: 00c43633 sltu a2,s0,a2 +80000094: 00d436b3 sltu a3,s0,a3 +80000098: 00812023 sw s0,0(sp) +8000009c: 00912223 sw s1,4(sp) +800000a0: 00a12423 sw a0,8(sp) +800000a4: 00b12623 sw a1,12(sp) +800000a8: 00c12823 sw a2,16(sp) +800000ac: 00d12a23 sw a3,20(sp) +800000b0: 00001097 auipc ra,0x1 +800000b4: f5808093 addi ra,ra,-168 # 80001008 +800000b8: 00001117 auipc sp,0x1 +800000bc: f9810113 addi sp,sp,-104 # 80001050 +800000c0: 0000a683 lw a3,0(ra) +800000c4: 00100713 li a4,1 +800000c8: 800007b7 lui a5,0x80000 +800000cc: fff78793 addi a5,a5,-1 # 7fffffff <_end+0xffffef1f> +800000d0: fff00813 li a6,-1 +800000d4: 00000893 li a7,0 +800000d8: 80000937 lui s2,0x80000 +800000dc: 00e6b733 sltu a4,a3,a4 +800000e0: 00f6b7b3 sltu a5,a3,a5 +800000e4: 0106b833 sltu a6,a3,a6 +800000e8: 0116b8b3 sltu a7,a3,a7 +800000ec: 0126b933 sltu s2,a3,s2 +800000f0: 00d12023 sw a3,0(sp) +800000f4: 00e12223 sw a4,4(sp) +800000f8: 00f12423 sw a5,8(sp) +800000fc: 01012623 sw a6,12(sp) +80000100: 01112823 sw a7,16(sp) +80000104: 01212a23 sw s2,20(sp) +80000108: 00001097 auipc ra,0x1 +8000010c: f0408093 addi ra,ra,-252 # 8000100c +80000110: 00001117 auipc sp,0x1 +80000114: f5810113 addi sp,sp,-168 # 80001068 +80000118: 0000a903 lw s2,0(ra) +8000011c: 00100993 li s3,1 +80000120: 80000a37 lui s4,0x80000 +80000124: fffa0a13 addi s4,s4,-1 # 7fffffff <_end+0xffffef1f> +80000128: fff00a93 li s5,-1 +8000012c: 00000b13 li s6,0 +80000130: 80000bb7 lui s7,0x80000 +80000134: 013939b3 sltu s3,s2,s3 +80000138: 01493a33 sltu s4,s2,s4 +8000013c: 01593ab3 sltu s5,s2,s5 +80000140: 01693b33 sltu s6,s2,s6 +80000144: 01793bb3 sltu s7,s2,s7 +80000148: 01212023 sw s2,0(sp) +8000014c: 01312223 sw s3,4(sp) +80000150: 01412423 sw s4,8(sp) +80000154: 01512623 sw s5,12(sp) +80000158: 01612823 sw s6,16(sp) +8000015c: 01712a23 sw s7,20(sp) +80000160: 00001097 auipc ra,0x1 +80000164: eb008093 addi ra,ra,-336 # 80001010 +80000168: 00001117 auipc sp,0x1 +8000016c: f1810113 addi sp,sp,-232 # 80001080 +80000170: 0000ab83 lw s7,0(ra) +80000174: 00100c13 li s8,1 +80000178: 80000cb7 lui s9,0x80000 +8000017c: fffc8c93 addi s9,s9,-1 # 7fffffff <_end+0xffffef1f> +80000180: fff00d13 li s10,-1 +80000184: 00000d93 li s11,0 +80000188: 80000e37 lui t3,0x80000 +8000018c: 018bbc33 sltu s8,s7,s8 +80000190: 019bbcb3 sltu s9,s7,s9 +80000194: 01abbd33 sltu s10,s7,s10 +80000198: 01bbbdb3 sltu s11,s7,s11 +8000019c: 01cbbe33 sltu t3,s7,t3 +800001a0: 01712023 sw s7,0(sp) +800001a4: 01812223 sw s8,4(sp) +800001a8: 01912423 sw s9,8(sp) +800001ac: 01a12623 sw s10,12(sp) +800001b0: 01b12823 sw s11,16(sp) +800001b4: 01c12a23 sw t3,20(sp) +800001b8: 00001d17 auipc s10,0x1 +800001bc: e5cd0d13 addi s10,s10,-420 # 80001014 +800001c0: 00001d97 auipc s11,0x1 +800001c4: ed8d8d93 addi s11,s11,-296 # 80001098 +800001c8: 000d2083 lw ra,0(s10) +800001cc: fff00113 li sp,-1 +800001d0: 0020b033 sltu zero,ra,sp +800001d4: 000da023 sw zero,0(s11) +800001d8: 001da223 sw ra,4(s11) +800001dc: 002da423 sw sp,8(s11) +800001e0: 00001f97 auipc t6,0x1 +800001e4: ec4f8f93 addi t6,t6,-316 # 800010a4 +800001e8: 00100093 li ra,1 +800001ec: 7ff00113 li sp,2047 +800001f0: fff00193 li gp,-1 +800001f4: 00000213 li tp,0 +800001f8: 80000293 li t0,-2048 +800001fc: 00103333 snez t1,ra +80000200: 002033b3 snez t2,sp +80000204: 00303433 snez s0,gp +80000208: 004034b3 snez s1,tp +8000020c: 00503533 snez a0,t0 +80000210: 0000b5b3 sltu a1,ra,zero +80000214: 00013633 sltu a2,sp,zero +80000218: 0001b6b3 sltu a3,gp,zero +8000021c: 00023733 sltu a4,tp,zero +80000220: 0002b7b3 sltu a5,t0,zero +80000224: 006fa023 sw t1,0(t6) +80000228: 007fa223 sw t2,4(t6) +8000022c: 008fa423 sw s0,8(t6) +80000230: 009fa623 sw s1,12(t6) +80000234: 00afa823 sw a0,16(t6) +80000238: 00bfaa23 sw a1,20(t6) +8000023c: 00cfac23 sw a2,24(t6) +80000240: 00dfae23 sw a3,28(t6) +80000244: 02efa023 sw a4,32(t6) +80000248: 02ffa223 sw a5,36(t6) +8000024c: 00001f97 auipc t6,0x1 +80000250: e80f8f93 addi t6,t6,-384 # 800010cc +80000254: 00100193 li gp,1 +80000258: 003030b3 snez ra,gp +8000025c: 0030b133 sltu sp,ra,gp +80000260: 00313e33 sltu t3,sp,gp +80000264: 003e3eb3 sltu t4,t3,gp +80000268: 003ebf33 sltu t5,t4,gp +8000026c: 001fa023 sw ra,0(t6) +80000270: 002fa223 sw sp,4(t6) +80000274: 01cfa423 sw t3,8(t6) +80000278: 01dfa623 sw t4,12(t6) +8000027c: 01efa823 sw t5,16(t6) +80000280: 00001517 auipc a0,0x1 +80000284: da050513 addi a0,a0,-608 # 80001020 +80000288: 00001597 auipc a1,0x1 +8000028c: e5858593 addi a1,a1,-424 # 800010e0 <_end> +80000290: f0100637 lui a2,0xf0100 +80000294: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee4c> + +80000298 : +80000298: 02b50663 beq a0,a1,800002c4 +8000029c: 00c52683 lw a3,12(a0) +800002a0: 00d62023 sw a3,0(a2) +800002a4: 00852683 lw a3,8(a0) +800002a8: 00d62023 sw a3,0(a2) +800002ac: 00452683 lw a3,4(a0) +800002b0: 00d62023 sw a3,0(a2) +800002b4: 00052683 lw a3,0(a0) +800002b8: 00d62023 sw a3,0(a2) +800002bc: 01050513 addi a0,a0,16 +800002c0: fd9ff06f j 80000298 + +800002c4 : +800002c4: f0100537 lui a0,0xf0100 +800002c8: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee40> +800002cc: 00052023 sw zero,0(a0) +800002d0: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: 0000 unimp + ... + +80001004 : +80001004: 0001 nop + ... + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: 7fff 0x7fff + +80001010 : +80001010: 0000 unimp +80001012: 8000 0x8000 + +80001014 : +80001014: 0001 nop + ... + +80001020 : +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff + +80001038 : +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff + +80001068 : +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff + +80001080 : +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff + +80001098 : +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff +800010a0: ffff 0xffff +800010a2: ffff 0xffff + +800010a4 : +800010a4: ffff 0xffff +800010a6: ffff 0xffff +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff +800010c0: ffff 0xffff +800010c2: ffff 0xffff +800010c4: ffff 0xffff +800010c6: ffff 0xffff +800010c8: ffff 0xffff +800010ca: ffff 0xffff + +800010cc : +800010cc: ffff 0xffff +800010ce: ffff 0xffff +800010d0: ffff 0xffff +800010d2: ffff 0xffff +800010d4: ffff 0xffff +800010d6: ffff 0xffff +800010d8: ffff 0xffff +800010da: ffff 0xffff +800010dc: ffff 0xffff +800010de: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-SRA-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-SRA-01.elf.objdump new file mode 100644 index 0000000..906c3ae --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-SRA-01.elf.objdump @@ -0,0 +1,340 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-SRA-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 00001117 auipc sp,0x1 +8000000c: 02810113 addi sp,sp,40 # 80001030 +80000010: 0000a183 lw gp,0(ra) # 80001000 +80000014: 00100213 li tp,1 +80000018: 00f00293 li t0,15 +8000001c: 01f00313 li t1,31 +80000020: 00000393 li t2,0 +80000024: 01000413 li s0,16 +80000028: 4041d233 sra tp,gp,tp +8000002c: 4051d2b3 sra t0,gp,t0 +80000030: 4061d333 sra t1,gp,t1 +80000034: 4071d3b3 sra t2,gp,t2 +80000038: 4081d433 sra s0,gp,s0 +8000003c: 00312023 sw gp,0(sp) +80000040: 00412223 sw tp,4(sp) +80000044: 00512423 sw t0,8(sp) +80000048: 00612623 sw t1,12(sp) +8000004c: 00712823 sw t2,16(sp) +80000050: 00812a23 sw s0,20(sp) +80000054: 00001097 auipc ra,0x1 +80000058: fb008093 addi ra,ra,-80 # 80001004 +8000005c: 00001117 auipc sp,0x1 +80000060: fec10113 addi sp,sp,-20 # 80001048 +80000064: 0000a403 lw s0,0(ra) +80000068: 00100493 li s1,1 +8000006c: 00f00513 li a0,15 +80000070: 01f00593 li a1,31 +80000074: 00000613 li a2,0 +80000078: 01000693 li a3,16 +8000007c: 409454b3 sra s1,s0,s1 +80000080: 40a45533 sra a0,s0,a0 +80000084: 40b455b3 sra a1,s0,a1 +80000088: 40c45633 sra a2,s0,a2 +8000008c: 40d456b3 sra a3,s0,a3 +80000090: 00812023 sw s0,0(sp) +80000094: 00912223 sw s1,4(sp) +80000098: 00a12423 sw a0,8(sp) +8000009c: 00b12623 sw a1,12(sp) +800000a0: 00c12823 sw a2,16(sp) +800000a4: 00d12a23 sw a3,20(sp) +800000a8: 00001097 auipc ra,0x1 +800000ac: f6008093 addi ra,ra,-160 # 80001008 +800000b0: 00001117 auipc sp,0x1 +800000b4: fb010113 addi sp,sp,-80 # 80001060 +800000b8: 0000a683 lw a3,0(ra) +800000bc: 00100713 li a4,1 +800000c0: 00f00793 li a5,15 +800000c4: 01f00813 li a6,31 +800000c8: 00000893 li a7,0 +800000cc: 01000913 li s2,16 +800000d0: 40e6d733 sra a4,a3,a4 +800000d4: 40f6d7b3 sra a5,a3,a5 +800000d8: 4106d833 sra a6,a3,a6 +800000dc: 4116d8b3 sra a7,a3,a7 +800000e0: 4126d933 sra s2,a3,s2 +800000e4: 00d12023 sw a3,0(sp) +800000e8: 00e12223 sw a4,4(sp) +800000ec: 00f12423 sw a5,8(sp) +800000f0: 01012623 sw a6,12(sp) +800000f4: 01112823 sw a7,16(sp) +800000f8: 01212a23 sw s2,20(sp) +800000fc: 00001617 auipc a2,0x1 +80000100: f1060613 addi a2,a2,-240 # 8000100c +80000104: 00001697 auipc a3,0x1 +80000108: f7468693 addi a3,a3,-140 # 80001078 +8000010c: 00062903 lw s2,0(a2) +80000110: 00100993 li s3,1 +80000114: 00f00a13 li s4,15 +80000118: 01f00a93 li s5,31 +8000011c: 00000b13 li s6,0 +80000120: 01000b93 li s7,16 +80000124: 413959b3 sra s3,s2,s3 +80000128: 41495a33 sra s4,s2,s4 +8000012c: 41595ab3 sra s5,s2,s5 +80000130: 41695b33 sra s6,s2,s6 +80000134: 41795bb3 sra s7,s2,s7 +80000138: 0126a023 sw s2,0(a3) +8000013c: 0136a223 sw s3,4(a3) +80000140: 0146a423 sw s4,8(a3) +80000144: 0156a623 sw s5,12(a3) +80000148: 0166a823 sw s6,16(a3) +8000014c: 0176aa23 sw s7,20(a3) +80000150: 00001617 auipc a2,0x1 +80000154: ec060613 addi a2,a2,-320 # 80001010 +80000158: 00001697 auipc a3,0x1 +8000015c: f3868693 addi a3,a3,-200 # 80001090 +80000160: 00062b83 lw s7,0(a2) +80000164: 00100c13 li s8,1 +80000168: 00f00c93 li s9,15 +8000016c: 01f00d13 li s10,31 +80000170: 00000d93 li s11,0 +80000174: 01000e13 li t3,16 +80000178: 418bdc33 sra s8,s7,s8 +8000017c: 419bdcb3 sra s9,s7,s9 +80000180: 41abdd33 sra s10,s7,s10 +80000184: 41bbddb3 sra s11,s7,s11 +80000188: 41cbde33 sra t3,s7,t3 +8000018c: 0176a023 sw s7,0(a3) +80000190: 0186a223 sw s8,4(a3) +80000194: 0196a423 sw s9,8(a3) +80000198: 01a6a623 sw s10,12(a3) +8000019c: 01b6a823 sw s11,16(a3) +800001a0: 01c6aa23 sw t3,20(a3) +800001a4: 00001c97 auipc s9,0x1 +800001a8: e70c8c93 addi s9,s9,-400 # 80001014 +800001ac: 00001d17 auipc s10,0x1 +800001b0: efcd0d13 addi s10,s10,-260 # 800010a8 +800001b4: 000cae03 lw t3,0(s9) +800001b8: 00100d93 li s11,1 +800001bc: 41be5eb3 sra t4,t3,s11 +800001c0: 41bedf33 sra t5,t4,s11 +800001c4: 41bf5fb3 sra t6,t5,s11 +800001c8: 41bfd0b3 sra ra,t6,s11 +800001cc: 41b0d133 sra sp,ra,s11 +800001d0: 41b151b3 sra gp,sp,s11 +800001d4: 01cd2023 sw t3,0(s10) +800001d8: 01dd2223 sw t4,4(s10) +800001dc: 01ed2423 sw t5,8(s10) +800001e0: 01fd2623 sw t6,12(s10) +800001e4: 001d2823 sw ra,16(s10) +800001e8: 002d2a23 sw sp,20(s10) +800001ec: 003d2c23 sw gp,24(s10) +800001f0: 00001097 auipc ra,0x1 +800001f4: e2808093 addi ra,ra,-472 # 80001018 +800001f8: 00001117 auipc sp,0x1 +800001fc: ecc10113 addi sp,sp,-308 # 800010c4 +80000200: 0000a283 lw t0,0(ra) +80000204: 00100d93 li s11,1 +80000208: 41b2d033 sra zero,t0,s11 +8000020c: 00012023 sw zero,0(sp) +80000210: 00001097 auipc ra,0x1 +80000214: e0c08093 addi ra,ra,-500 # 8000101c +80000218: 00001117 auipc sp,0x1 +8000021c: eb010113 addi sp,sp,-336 # 800010c8 +80000220: 0000a283 lw t0,0(ra) +80000224: 00100d93 li s11,1 +80000228: 41b2d033 sra zero,t0,s11 +8000022c: 41b052b3 sra t0,zero,s11 +80000230: 00012023 sw zero,0(sp) +80000234: 00512223 sw t0,4(sp) +80000238: 00001097 auipc ra,0x1 +8000023c: de808093 addi ra,ra,-536 # 80001020 +80000240: 00001117 auipc sp,0x1 +80000244: e9010113 addi sp,sp,-368 # 800010d0 +80000248: 0000a183 lw gp,0(ra) +8000024c: 10000237 lui tp,0x10000 +80000250: fe020213 addi tp,tp,-32 # fffffe0 <_start-0x70000020> +80000254: 100002b7 lui t0,0x10000 +80000258: fe128293 addi t0,t0,-31 # fffffe1 <_start-0x7000001f> +8000025c: 10000337 lui t1,0x10000 +80000260: fef30313 addi t1,t1,-17 # fffffef <_start-0x70000011> +80000264: 100003b7 lui t2,0x10000 +80000268: fff38393 addi t2,t2,-1 # fffffff <_start-0x70000001> +8000026c: 4041d233 sra tp,gp,tp +80000270: 4051d2b3 sra t0,gp,t0 +80000274: 4061d333 sra t1,gp,t1 +80000278: 4071d3b3 sra t2,gp,t2 +8000027c: 00412023 sw tp,0(sp) +80000280: 00512223 sw t0,4(sp) +80000284: 00612423 sw t1,8(sp) +80000288: 00712623 sw t2,12(sp) +8000028c: 00001517 auipc a0,0x1 +80000290: da450513 addi a0,a0,-604 # 80001030 +80000294: 00001597 auipc a1,0x1 +80000298: e4c58593 addi a1,a1,-436 # 800010e0 <_end> +8000029c: f0100637 lui a2,0xf0100 +800002a0: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee4c> + +800002a4 : +800002a4: 02b50663 beq a0,a1,800002d0 +800002a8: 00c52683 lw a3,12(a0) +800002ac: 00d62023 sw a3,0(a2) +800002b0: 00852683 lw a3,8(a0) +800002b4: 00d62023 sw a3,0(a2) +800002b8: 00452683 lw a3,4(a0) +800002bc: 00d62023 sw a3,0(a2) +800002c0: 00052683 lw a3,0(a0) +800002c4: 00d62023 sw a3,0(a2) +800002c8: 01050513 addi a0,a0,16 +800002cc: fd9ff06f j 800002a4 + +800002d0 : +800002d0: f0100537 lui a0,0xf0100 +800002d4: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee40> +800002d8: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: 0000 unimp + ... + +80001004 : +80001004: 0001 nop + ... + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: 7fff 0x7fff + +80001010 : +80001010: 0000 unimp +80001012: 8000 0x8000 + +80001014 : +80001014: ef10 fsw fa2,24(a4) +80001016: abcd j 80001608 <_end+0x528> + +80001018 : +80001018: 5678 lw a4,108(a2) +8000101a: 1234 addi a3,sp,296 + +8000101c : +8000101c: ba98 fsd fa4,48(a3) +8000101e: fedc fsw fa5,60(a3) + +80001020 : +80001020: 4321 li t1,8 +80001022: 8765 srai a4,a4,0x19 + ... + +80001030 : +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff + +80001048 : +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff + +80001060 : +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff + +80001078 : +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff + +80001090 : +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff + +800010a8 : +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff +800010c0: ffff 0xffff +800010c2: ffff 0xffff + +800010c4 : +800010c4: ffff 0xffff +800010c6: ffff 0xffff + +800010c8 : +800010c8: ffff 0xffff +800010ca: ffff 0xffff +800010cc: ffff 0xffff +800010ce: ffff 0xffff + +800010d0 : +800010d0: ffff 0xffff +800010d2: ffff 0xffff +800010d4: ffff 0xffff +800010d6: ffff 0xffff +800010d8: ffff 0xffff +800010da: ffff 0xffff +800010dc: ffff 0xffff +800010de: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-SRAI-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-SRAI-01.elf.objdump new file mode 100644 index 0000000..f8f9031 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-SRAI-01.elf.objdump @@ -0,0 +1,276 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-SRAI-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 00001117 auipc sp,0x1 +8000000c: 01810113 addi sp,sp,24 # 80001020 +80000010: 0000a183 lw gp,0(ra) # 80001000 +80000014: 4011d213 srai tp,gp,0x1 +80000018: 40f1d293 srai t0,gp,0xf +8000001c: 41f1d313 srai t1,gp,0x1f +80000020: 4001d393 srai t2,gp,0x0 +80000024: 4101d413 srai s0,gp,0x10 +80000028: 00312023 sw gp,0(sp) +8000002c: 00412223 sw tp,4(sp) +80000030: 00512423 sw t0,8(sp) +80000034: 00612623 sw t1,12(sp) +80000038: 00712823 sw t2,16(sp) +8000003c: 00812a23 sw s0,20(sp) +80000040: 00001097 auipc ra,0x1 +80000044: fc408093 addi ra,ra,-60 # 80001004 +80000048: 00001117 auipc sp,0x1 +8000004c: ff010113 addi sp,sp,-16 # 80001038 +80000050: 0000a403 lw s0,0(ra) +80000054: 40145493 srai s1,s0,0x1 +80000058: 40f45513 srai a0,s0,0xf +8000005c: 41f45593 srai a1,s0,0x1f +80000060: 40045613 srai a2,s0,0x0 +80000064: 41045693 srai a3,s0,0x10 +80000068: 00812023 sw s0,0(sp) +8000006c: 00912223 sw s1,4(sp) +80000070: 00a12423 sw a0,8(sp) +80000074: 00b12623 sw a1,12(sp) +80000078: 00c12823 sw a2,16(sp) +8000007c: 00d12a23 sw a3,20(sp) +80000080: 00001097 auipc ra,0x1 +80000084: f8808093 addi ra,ra,-120 # 80001008 +80000088: 00001117 auipc sp,0x1 +8000008c: fc810113 addi sp,sp,-56 # 80001050 +80000090: 0000a683 lw a3,0(ra) +80000094: 4016d713 srai a4,a3,0x1 +80000098: 40f6d793 srai a5,a3,0xf +8000009c: 41f6d813 srai a6,a3,0x1f +800000a0: 4006d893 srai a7,a3,0x0 +800000a4: 4106d913 srai s2,a3,0x10 +800000a8: 00d12023 sw a3,0(sp) +800000ac: 00e12223 sw a4,4(sp) +800000b0: 00f12423 sw a5,8(sp) +800000b4: 01012623 sw a6,12(sp) +800000b8: 01112823 sw a7,16(sp) +800000bc: 01212a23 sw s2,20(sp) +800000c0: 00001617 auipc a2,0x1 +800000c4: f4c60613 addi a2,a2,-180 # 8000100c +800000c8: 00001697 auipc a3,0x1 +800000cc: fa068693 addi a3,a3,-96 # 80001068 +800000d0: 00062903 lw s2,0(a2) +800000d4: 40195993 srai s3,s2,0x1 +800000d8: 40f95a13 srai s4,s2,0xf +800000dc: 41f95a93 srai s5,s2,0x1f +800000e0: 40095b13 srai s6,s2,0x0 +800000e4: 41095b93 srai s7,s2,0x10 +800000e8: 0126a023 sw s2,0(a3) +800000ec: 0136a223 sw s3,4(a3) +800000f0: 0146a423 sw s4,8(a3) +800000f4: 0156a623 sw s5,12(a3) +800000f8: 0166a823 sw s6,16(a3) +800000fc: 0176aa23 sw s7,20(a3) +80000100: 00001617 auipc a2,0x1 +80000104: f1060613 addi a2,a2,-240 # 80001010 +80000108: 00001697 auipc a3,0x1 +8000010c: f7868693 addi a3,a3,-136 # 80001080 +80000110: 00062b83 lw s7,0(a2) +80000114: 401bdc13 srai s8,s7,0x1 +80000118: 40fbdc93 srai s9,s7,0xf +8000011c: 41fbdd13 srai s10,s7,0x1f +80000120: 400bdd93 srai s11,s7,0x0 +80000124: 410bde13 srai t3,s7,0x10 +80000128: 0176a023 sw s7,0(a3) +8000012c: 0186a223 sw s8,4(a3) +80000130: 0196a423 sw s9,8(a3) +80000134: 01a6a623 sw s10,12(a3) +80000138: 01b6a823 sw s11,16(a3) +8000013c: 01c6aa23 sw t3,20(a3) +80000140: 00001d17 auipc s10,0x1 +80000144: ed4d0d13 addi s10,s10,-300 # 80001014 +80000148: 00001d97 auipc s11,0x1 +8000014c: f50d8d93 addi s11,s11,-176 # 80001098 +80000150: 000d2e03 lw t3,0(s10) +80000154: 401e5e93 srai t4,t3,0x1 +80000158: 401edf13 srai t5,t4,0x1 +8000015c: 401f5f93 srai t6,t5,0x1 +80000160: 401fd093 srai ra,t6,0x1 +80000164: 4010d113 srai sp,ra,0x1 +80000168: 40115193 srai gp,sp,0x1 +8000016c: 01cda023 sw t3,0(s11) +80000170: 01dda223 sw t4,4(s11) +80000174: 01eda423 sw t5,8(s11) +80000178: 01fda623 sw t6,12(s11) +8000017c: 001da823 sw ra,16(s11) +80000180: 002daa23 sw sp,20(s11) +80000184: 003dac23 sw gp,24(s11) +80000188: 00001097 auipc ra,0x1 +8000018c: e9008093 addi ra,ra,-368 # 80001018 +80000190: 00001117 auipc sp,0x1 +80000194: f2410113 addi sp,sp,-220 # 800010b4 +80000198: 0000a283 lw t0,0(ra) +8000019c: 4012d013 srai zero,t0,0x1 +800001a0: 00012023 sw zero,0(sp) +800001a4: 00001097 auipc ra,0x1 +800001a8: e7808093 addi ra,ra,-392 # 8000101c +800001ac: 00001117 auipc sp,0x1 +800001b0: f0c10113 addi sp,sp,-244 # 800010b8 +800001b4: 0000a283 lw t0,0(ra) +800001b8: 4012d013 srai zero,t0,0x1 +800001bc: 40105293 srai t0,zero,0x1 +800001c0: 00012023 sw zero,0(sp) +800001c4: 00512223 sw t0,4(sp) +800001c8: 00001517 auipc a0,0x1 +800001cc: e5850513 addi a0,a0,-424 # 80001020 +800001d0: 00001597 auipc a1,0x1 +800001d4: ef058593 addi a1,a1,-272 # 800010c0 <_end> +800001d8: f0100637 lui a2,0xf0100 +800001dc: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee6c> + +800001e0 : +800001e0: 02b50663 beq a0,a1,8000020c +800001e4: 00c52683 lw a3,12(a0) +800001e8: 00d62023 sw a3,0(a2) +800001ec: 00852683 lw a3,8(a0) +800001f0: 00d62023 sw a3,0(a2) +800001f4: 00452683 lw a3,4(a0) +800001f8: 00d62023 sw a3,0(a2) +800001fc: 00052683 lw a3,0(a0) +80000200: 00d62023 sw a3,0(a2) +80000204: 01050513 addi a0,a0,16 +80000208: fd9ff06f j 800001e0 + +8000020c : +8000020c: f0100537 lui a0,0xf0100 +80000210: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee60> +80000214: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: 0000 unimp + ... + +80001004 : +80001004: 0001 nop + ... + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: 7fff 0x7fff + +80001010 : +80001010: 0000 unimp +80001012: 8000 0x8000 + +80001014 : +80001014: ef10 fsw fa2,24(a4) +80001016: abcd j 80001608 <_end+0x548> + +80001018 : +80001018: 5678 lw a4,108(a2) +8000101a: 1234 addi a3,sp,296 + +8000101c : +8000101c: ba98 fsd fa4,48(a3) +8000101e: fedc fsw fa5,60(a3) + +80001020 : +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff + +80001038 : +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff + +80001068 : +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff + +80001080 : +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff + +80001098 : +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff + +800010b4 : +800010b4: ffff 0xffff +800010b6: ffff 0xffff + +800010b8 : +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-SRL-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-SRL-01.elf.objdump new file mode 100644 index 0000000..6115ebb --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-SRL-01.elf.objdump @@ -0,0 +1,340 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-SRL-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 00001117 auipc sp,0x1 +8000000c: 02810113 addi sp,sp,40 # 80001030 +80000010: 0000a183 lw gp,0(ra) # 80001000 +80000014: 00100213 li tp,1 +80000018: 00f00293 li t0,15 +8000001c: 01f00313 li t1,31 +80000020: 00000393 li t2,0 +80000024: 01000413 li s0,16 +80000028: 0041d233 srl tp,gp,tp +8000002c: 0051d2b3 srl t0,gp,t0 +80000030: 0061d333 srl t1,gp,t1 +80000034: 0071d3b3 srl t2,gp,t2 +80000038: 0081d433 srl s0,gp,s0 +8000003c: 00312023 sw gp,0(sp) +80000040: 00412223 sw tp,4(sp) +80000044: 00512423 sw t0,8(sp) +80000048: 00612623 sw t1,12(sp) +8000004c: 00712823 sw t2,16(sp) +80000050: 00812a23 sw s0,20(sp) +80000054: 00001097 auipc ra,0x1 +80000058: fb008093 addi ra,ra,-80 # 80001004 +8000005c: 00001117 auipc sp,0x1 +80000060: fec10113 addi sp,sp,-20 # 80001048 +80000064: 0000a403 lw s0,0(ra) +80000068: 00100493 li s1,1 +8000006c: 00f00513 li a0,15 +80000070: 01f00593 li a1,31 +80000074: 00000613 li a2,0 +80000078: 01000693 li a3,16 +8000007c: 009454b3 srl s1,s0,s1 +80000080: 00a45533 srl a0,s0,a0 +80000084: 00b455b3 srl a1,s0,a1 +80000088: 00c45633 srl a2,s0,a2 +8000008c: 00d456b3 srl a3,s0,a3 +80000090: 00812023 sw s0,0(sp) +80000094: 00912223 sw s1,4(sp) +80000098: 00a12423 sw a0,8(sp) +8000009c: 00b12623 sw a1,12(sp) +800000a0: 00c12823 sw a2,16(sp) +800000a4: 00d12a23 sw a3,20(sp) +800000a8: 00001097 auipc ra,0x1 +800000ac: f6008093 addi ra,ra,-160 # 80001008 +800000b0: 00001117 auipc sp,0x1 +800000b4: fb010113 addi sp,sp,-80 # 80001060 +800000b8: 0000a683 lw a3,0(ra) +800000bc: 00100713 li a4,1 +800000c0: 00f00793 li a5,15 +800000c4: 01f00813 li a6,31 +800000c8: 00000893 li a7,0 +800000cc: 01000913 li s2,16 +800000d0: 00e6d733 srl a4,a3,a4 +800000d4: 00f6d7b3 srl a5,a3,a5 +800000d8: 0106d833 srl a6,a3,a6 +800000dc: 0116d8b3 srl a7,a3,a7 +800000e0: 0126d933 srl s2,a3,s2 +800000e4: 00d12023 sw a3,0(sp) +800000e8: 00e12223 sw a4,4(sp) +800000ec: 00f12423 sw a5,8(sp) +800000f0: 01012623 sw a6,12(sp) +800000f4: 01112823 sw a7,16(sp) +800000f8: 01212a23 sw s2,20(sp) +800000fc: 00001617 auipc a2,0x1 +80000100: f1060613 addi a2,a2,-240 # 8000100c +80000104: 00001697 auipc a3,0x1 +80000108: f7468693 addi a3,a3,-140 # 80001078 +8000010c: 00062903 lw s2,0(a2) +80000110: 00100993 li s3,1 +80000114: 00f00a13 li s4,15 +80000118: 01f00a93 li s5,31 +8000011c: 00000b13 li s6,0 +80000120: 01000b93 li s7,16 +80000124: 013959b3 srl s3,s2,s3 +80000128: 01495a33 srl s4,s2,s4 +8000012c: 01595ab3 srl s5,s2,s5 +80000130: 01695b33 srl s6,s2,s6 +80000134: 01795bb3 srl s7,s2,s7 +80000138: 0126a023 sw s2,0(a3) +8000013c: 0136a223 sw s3,4(a3) +80000140: 0146a423 sw s4,8(a3) +80000144: 0156a623 sw s5,12(a3) +80000148: 0166a823 sw s6,16(a3) +8000014c: 0176aa23 sw s7,20(a3) +80000150: 00001617 auipc a2,0x1 +80000154: ec060613 addi a2,a2,-320 # 80001010 +80000158: 00001697 auipc a3,0x1 +8000015c: f3868693 addi a3,a3,-200 # 80001090 +80000160: 00062b83 lw s7,0(a2) +80000164: 00100c13 li s8,1 +80000168: 00f00c93 li s9,15 +8000016c: 01f00d13 li s10,31 +80000170: 00000d93 li s11,0 +80000174: 01000e13 li t3,16 +80000178: 018bdc33 srl s8,s7,s8 +8000017c: 019bdcb3 srl s9,s7,s9 +80000180: 01abdd33 srl s10,s7,s10 +80000184: 01bbddb3 srl s11,s7,s11 +80000188: 01cbde33 srl t3,s7,t3 +8000018c: 0176a023 sw s7,0(a3) +80000190: 0186a223 sw s8,4(a3) +80000194: 0196a423 sw s9,8(a3) +80000198: 01a6a623 sw s10,12(a3) +8000019c: 01b6a823 sw s11,16(a3) +800001a0: 01c6aa23 sw t3,20(a3) +800001a4: 00001c97 auipc s9,0x1 +800001a8: e70c8c93 addi s9,s9,-400 # 80001014 +800001ac: 00001d17 auipc s10,0x1 +800001b0: efcd0d13 addi s10,s10,-260 # 800010a8 +800001b4: 000cae03 lw t3,0(s9) +800001b8: 00100d93 li s11,1 +800001bc: 01be5eb3 srl t4,t3,s11 +800001c0: 01bedf33 srl t5,t4,s11 +800001c4: 01bf5fb3 srl t6,t5,s11 +800001c8: 01bfd0b3 srl ra,t6,s11 +800001cc: 01b0d133 srl sp,ra,s11 +800001d0: 01b151b3 srl gp,sp,s11 +800001d4: 01cd2023 sw t3,0(s10) +800001d8: 01dd2223 sw t4,4(s10) +800001dc: 01ed2423 sw t5,8(s10) +800001e0: 01fd2623 sw t6,12(s10) +800001e4: 001d2823 sw ra,16(s10) +800001e8: 002d2a23 sw sp,20(s10) +800001ec: 003d2c23 sw gp,24(s10) +800001f0: 00001097 auipc ra,0x1 +800001f4: e2808093 addi ra,ra,-472 # 80001018 +800001f8: 00001117 auipc sp,0x1 +800001fc: ecc10113 addi sp,sp,-308 # 800010c4 +80000200: 0000a283 lw t0,0(ra) +80000204: 00100d93 li s11,1 +80000208: 01b2d033 srl zero,t0,s11 +8000020c: 00012023 sw zero,0(sp) +80000210: 00001097 auipc ra,0x1 +80000214: e0c08093 addi ra,ra,-500 # 8000101c +80000218: 00001117 auipc sp,0x1 +8000021c: eb010113 addi sp,sp,-336 # 800010c8 +80000220: 0000a283 lw t0,0(ra) +80000224: 00100d93 li s11,1 +80000228: 01b2d033 srl zero,t0,s11 +8000022c: 01b052b3 srl t0,zero,s11 +80000230: 00012023 sw zero,0(sp) +80000234: 00512223 sw t0,4(sp) +80000238: 00001097 auipc ra,0x1 +8000023c: de808093 addi ra,ra,-536 # 80001020 +80000240: 00001117 auipc sp,0x1 +80000244: e9010113 addi sp,sp,-368 # 800010d0 +80000248: 0000a183 lw gp,0(ra) +8000024c: 10000237 lui tp,0x10000 +80000250: fe020213 addi tp,tp,-32 # fffffe0 <_start-0x70000020> +80000254: 100002b7 lui t0,0x10000 +80000258: fe128293 addi t0,t0,-31 # fffffe1 <_start-0x7000001f> +8000025c: 10000337 lui t1,0x10000 +80000260: fef30313 addi t1,t1,-17 # fffffef <_start-0x70000011> +80000264: 100003b7 lui t2,0x10000 +80000268: fff38393 addi t2,t2,-1 # fffffff <_start-0x70000001> +8000026c: 0041d233 srl tp,gp,tp +80000270: 0051d2b3 srl t0,gp,t0 +80000274: 0061d333 srl t1,gp,t1 +80000278: 0071d3b3 srl t2,gp,t2 +8000027c: 00412023 sw tp,0(sp) +80000280: 00512223 sw t0,4(sp) +80000284: 00612423 sw t1,8(sp) +80000288: 00712623 sw t2,12(sp) +8000028c: 00001517 auipc a0,0x1 +80000290: da450513 addi a0,a0,-604 # 80001030 +80000294: 00001597 auipc a1,0x1 +80000298: e4c58593 addi a1,a1,-436 # 800010e0 <_end> +8000029c: f0100637 lui a2,0xf0100 +800002a0: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee4c> + +800002a4 : +800002a4: 02b50663 beq a0,a1,800002d0 +800002a8: 00c52683 lw a3,12(a0) +800002ac: 00d62023 sw a3,0(a2) +800002b0: 00852683 lw a3,8(a0) +800002b4: 00d62023 sw a3,0(a2) +800002b8: 00452683 lw a3,4(a0) +800002bc: 00d62023 sw a3,0(a2) +800002c0: 00052683 lw a3,0(a0) +800002c4: 00d62023 sw a3,0(a2) +800002c8: 01050513 addi a0,a0,16 +800002cc: fd9ff06f j 800002a4 + +800002d0 : +800002d0: f0100537 lui a0,0xf0100 +800002d4: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee40> +800002d8: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: 0000 unimp + ... + +80001004 : +80001004: 0001 nop + ... + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: 7fff 0x7fff + +80001010 : +80001010: 0000 unimp +80001012: 8000 0x8000 + +80001014 : +80001014: ef10 fsw fa2,24(a4) +80001016: abcd j 80001608 <_end+0x528> + +80001018 : +80001018: 5678 lw a4,108(a2) +8000101a: 1234 addi a3,sp,296 + +8000101c : +8000101c: ba98 fsd fa4,48(a3) +8000101e: fedc fsw fa5,60(a3) + +80001020 : +80001020: 4321 li t1,8 +80001022: 8765 srai a4,a4,0x19 + ... + +80001030 : +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff + +80001048 : +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff + +80001060 : +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff + +80001078 : +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff + +80001090 : +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff + +800010a8 : +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff +800010c0: ffff 0xffff +800010c2: ffff 0xffff + +800010c4 : +800010c4: ffff 0xffff +800010c6: ffff 0xffff + +800010c8 : +800010c8: ffff 0xffff +800010ca: ffff 0xffff +800010cc: ffff 0xffff +800010ce: ffff 0xffff + +800010d0 : +800010d0: ffff 0xffff +800010d2: ffff 0xffff +800010d4: ffff 0xffff +800010d6: ffff 0xffff +800010d8: ffff 0xffff +800010da: ffff 0xffff +800010dc: ffff 0xffff +800010de: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-SRLI-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-SRLI-01.elf.objdump new file mode 100644 index 0000000..d277adc --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-SRLI-01.elf.objdump @@ -0,0 +1,276 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-SRLI-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 00001117 auipc sp,0x1 +8000000c: 01810113 addi sp,sp,24 # 80001020 +80000010: 0000a183 lw gp,0(ra) # 80001000 +80000014: 0011d213 srli tp,gp,0x1 +80000018: 00f1d293 srli t0,gp,0xf +8000001c: 01f1d313 srli t1,gp,0x1f +80000020: 0001d393 srli t2,gp,0x0 +80000024: 0101d413 srli s0,gp,0x10 +80000028: 00312023 sw gp,0(sp) +8000002c: 00412223 sw tp,4(sp) +80000030: 00512423 sw t0,8(sp) +80000034: 00612623 sw t1,12(sp) +80000038: 00712823 sw t2,16(sp) +8000003c: 00812a23 sw s0,20(sp) +80000040: 00001097 auipc ra,0x1 +80000044: fc408093 addi ra,ra,-60 # 80001004 +80000048: 00001117 auipc sp,0x1 +8000004c: ff010113 addi sp,sp,-16 # 80001038 +80000050: 0000a403 lw s0,0(ra) +80000054: 00145493 srli s1,s0,0x1 +80000058: 00f45513 srli a0,s0,0xf +8000005c: 01f45593 srli a1,s0,0x1f +80000060: 00045613 srli a2,s0,0x0 +80000064: 01045693 srli a3,s0,0x10 +80000068: 00812023 sw s0,0(sp) +8000006c: 00912223 sw s1,4(sp) +80000070: 00a12423 sw a0,8(sp) +80000074: 00b12623 sw a1,12(sp) +80000078: 00c12823 sw a2,16(sp) +8000007c: 00d12a23 sw a3,20(sp) +80000080: 00001097 auipc ra,0x1 +80000084: f8808093 addi ra,ra,-120 # 80001008 +80000088: 00001117 auipc sp,0x1 +8000008c: fc810113 addi sp,sp,-56 # 80001050 +80000090: 0000a683 lw a3,0(ra) +80000094: 0016d713 srli a4,a3,0x1 +80000098: 00f6d793 srli a5,a3,0xf +8000009c: 01f6d813 srli a6,a3,0x1f +800000a0: 0006d893 srli a7,a3,0x0 +800000a4: 0106d913 srli s2,a3,0x10 +800000a8: 00d12023 sw a3,0(sp) +800000ac: 00e12223 sw a4,4(sp) +800000b0: 00f12423 sw a5,8(sp) +800000b4: 01012623 sw a6,12(sp) +800000b8: 01112823 sw a7,16(sp) +800000bc: 01212a23 sw s2,20(sp) +800000c0: 00001617 auipc a2,0x1 +800000c4: f4c60613 addi a2,a2,-180 # 8000100c +800000c8: 00001697 auipc a3,0x1 +800000cc: fa068693 addi a3,a3,-96 # 80001068 +800000d0: 00062903 lw s2,0(a2) +800000d4: 00195993 srli s3,s2,0x1 +800000d8: 00f95a13 srli s4,s2,0xf +800000dc: 01f95a93 srli s5,s2,0x1f +800000e0: 00095b13 srli s6,s2,0x0 +800000e4: 01095b93 srli s7,s2,0x10 +800000e8: 0126a023 sw s2,0(a3) +800000ec: 0136a223 sw s3,4(a3) +800000f0: 0146a423 sw s4,8(a3) +800000f4: 0156a623 sw s5,12(a3) +800000f8: 0166a823 sw s6,16(a3) +800000fc: 0176aa23 sw s7,20(a3) +80000100: 00001617 auipc a2,0x1 +80000104: f1060613 addi a2,a2,-240 # 80001010 +80000108: 00001697 auipc a3,0x1 +8000010c: f7868693 addi a3,a3,-136 # 80001080 +80000110: 00062b83 lw s7,0(a2) +80000114: 001bdc13 srli s8,s7,0x1 +80000118: 00fbdc93 srli s9,s7,0xf +8000011c: 01fbdd13 srli s10,s7,0x1f +80000120: 000bdd93 srli s11,s7,0x0 +80000124: 010bde13 srli t3,s7,0x10 +80000128: 0176a023 sw s7,0(a3) +8000012c: 0186a223 sw s8,4(a3) +80000130: 0196a423 sw s9,8(a3) +80000134: 01a6a623 sw s10,12(a3) +80000138: 01b6a823 sw s11,16(a3) +8000013c: 01c6aa23 sw t3,20(a3) +80000140: 00001d17 auipc s10,0x1 +80000144: ed4d0d13 addi s10,s10,-300 # 80001014 +80000148: 00001d97 auipc s11,0x1 +8000014c: f50d8d93 addi s11,s11,-176 # 80001098 +80000150: 000d2e03 lw t3,0(s10) +80000154: 001e5e93 srli t4,t3,0x1 +80000158: 001edf13 srli t5,t4,0x1 +8000015c: 001f5f93 srli t6,t5,0x1 +80000160: 001fd093 srli ra,t6,0x1 +80000164: 0010d113 srli sp,ra,0x1 +80000168: 00115193 srli gp,sp,0x1 +8000016c: 01cda023 sw t3,0(s11) +80000170: 01dda223 sw t4,4(s11) +80000174: 01eda423 sw t5,8(s11) +80000178: 01fda623 sw t6,12(s11) +8000017c: 001da823 sw ra,16(s11) +80000180: 002daa23 sw sp,20(s11) +80000184: 003dac23 sw gp,24(s11) +80000188: 00001097 auipc ra,0x1 +8000018c: e9008093 addi ra,ra,-368 # 80001018 +80000190: 00001117 auipc sp,0x1 +80000194: f2410113 addi sp,sp,-220 # 800010b4 +80000198: 0000a283 lw t0,0(ra) +8000019c: 0012d013 srli zero,t0,0x1 +800001a0: 00012023 sw zero,0(sp) +800001a4: 00001097 auipc ra,0x1 +800001a8: e7808093 addi ra,ra,-392 # 8000101c +800001ac: 00001117 auipc sp,0x1 +800001b0: f0c10113 addi sp,sp,-244 # 800010b8 +800001b4: 0000a283 lw t0,0(ra) +800001b8: 0012d013 srli zero,t0,0x1 +800001bc: 00105293 srli t0,zero,0x1 +800001c0: 00012023 sw zero,0(sp) +800001c4: 00512223 sw t0,4(sp) +800001c8: 00001517 auipc a0,0x1 +800001cc: e5850513 addi a0,a0,-424 # 80001020 +800001d0: 00001597 auipc a1,0x1 +800001d4: ef058593 addi a1,a1,-272 # 800010c0 <_end> +800001d8: f0100637 lui a2,0xf0100 +800001dc: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee6c> + +800001e0 : +800001e0: 02b50663 beq a0,a1,8000020c +800001e4: 00c52683 lw a3,12(a0) +800001e8: 00d62023 sw a3,0(a2) +800001ec: 00852683 lw a3,8(a0) +800001f0: 00d62023 sw a3,0(a2) +800001f4: 00452683 lw a3,4(a0) +800001f8: 00d62023 sw a3,0(a2) +800001fc: 00052683 lw a3,0(a0) +80000200: 00d62023 sw a3,0(a2) +80000204: 01050513 addi a0,a0,16 +80000208: fd9ff06f j 800001e0 + +8000020c : +8000020c: f0100537 lui a0,0xf0100 +80000210: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee60> +80000214: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: 0000 unimp + ... + +80001004 : +80001004: 0001 nop + ... + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: 7fff 0x7fff + +80001010 : +80001010: 0000 unimp +80001012: 8000 0x8000 + +80001014 : +80001014: ef10 fsw fa2,24(a4) +80001016: abcd j 80001608 <_end+0x548> + +80001018 : +80001018: 5678 lw a4,108(a2) +8000101a: 1234 addi a3,sp,296 + +8000101c : +8000101c: ba98 fsd fa4,48(a3) +8000101e: fedc fsw fa5,60(a3) + +80001020 : +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff + +80001038 : +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff + +80001068 : +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff + +80001080 : +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff + +80001098 : +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff + +800010b4 : +800010b4: ffff 0xffff +800010b6: ffff 0xffff + +800010b8 : +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-SUB-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-SUB-01.elf.objdump new file mode 100644 index 0000000..d5fd16f --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-SUB-01.elf.objdump @@ -0,0 +1,344 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-SUB-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 00001117 auipc sp,0x1 +8000000c: 02810113 addi sp,sp,40 # 80001030 +80000010: 0000a183 lw gp,0(ra) # 80001000 +80000014: 00000213 li tp,0 +80000018: 00100293 li t0,1 +8000001c: fff00313 li t1,-1 +80000020: 800003b7 lui t2,0x80000 +80000024: fff38393 addi t2,t2,-1 # 7fffffff <_end+0xffffef1f> +80000028: 80000437 lui s0,0x80000 +8000002c: 40418233 sub tp,gp,tp +80000030: 405182b3 sub t0,gp,t0 +80000034: 40618333 sub t1,gp,t1 +80000038: 407183b3 sub t2,gp,t2 +8000003c: 40818433 sub s0,gp,s0 +80000040: 00312023 sw gp,0(sp) +80000044: 00412223 sw tp,4(sp) +80000048: 00512423 sw t0,8(sp) +8000004c: 00612623 sw t1,12(sp) +80000050: 00712823 sw t2,16(sp) +80000054: 00812a23 sw s0,20(sp) +80000058: 00001097 auipc ra,0x1 +8000005c: fac08093 addi ra,ra,-84 # 80001004 +80000060: 00001117 auipc sp,0x1 +80000064: fe810113 addi sp,sp,-24 # 80001048 +80000068: 0000a403 lw s0,0(ra) +8000006c: 00000493 li s1,0 +80000070: 00100513 li a0,1 +80000074: fff00593 li a1,-1 +80000078: 80000637 lui a2,0x80000 +8000007c: fff60613 addi a2,a2,-1 # 7fffffff <_end+0xffffef1f> +80000080: 800006b7 lui a3,0x80000 +80000084: 409404b3 sub s1,s0,s1 +80000088: 40a40533 sub a0,s0,a0 +8000008c: 40b405b3 sub a1,s0,a1 +80000090: 40c40633 sub a2,s0,a2 +80000094: 40d406b3 sub a3,s0,a3 +80000098: 00812023 sw s0,0(sp) +8000009c: 00912223 sw s1,4(sp) +800000a0: 00a12423 sw a0,8(sp) +800000a4: 00b12623 sw a1,12(sp) +800000a8: 00c12823 sw a2,16(sp) +800000ac: 00d12a23 sw a3,20(sp) +800000b0: 00001097 auipc ra,0x1 +800000b4: f5808093 addi ra,ra,-168 # 80001008 +800000b8: 00001117 auipc sp,0x1 +800000bc: fa810113 addi sp,sp,-88 # 80001060 +800000c0: 0000a683 lw a3,0(ra) +800000c4: 00000713 li a4,0 +800000c8: 00100793 li a5,1 +800000cc: fff00813 li a6,-1 +800000d0: 800008b7 lui a7,0x80000 +800000d4: fff88893 addi a7,a7,-1 # 7fffffff <_end+0xffffef1f> +800000d8: 80000937 lui s2,0x80000 +800000dc: 40e68733 sub a4,a3,a4 +800000e0: 40f687b3 sub a5,a3,a5 +800000e4: 41068833 sub a6,a3,a6 +800000e8: 411688b3 sub a7,a3,a7 +800000ec: 41268933 sub s2,a3,s2 +800000f0: 00d12023 sw a3,0(sp) +800000f4: 00e12223 sw a4,4(sp) +800000f8: 00f12423 sw a5,8(sp) +800000fc: 01012623 sw a6,12(sp) +80000100: 01112823 sw a7,16(sp) +80000104: 01212a23 sw s2,20(sp) +80000108: 00001097 auipc ra,0x1 +8000010c: f0408093 addi ra,ra,-252 # 8000100c +80000110: 00001117 auipc sp,0x1 +80000114: f6810113 addi sp,sp,-152 # 80001078 +80000118: 0000a903 lw s2,0(ra) +8000011c: 00000993 li s3,0 +80000120: 00100a13 li s4,1 +80000124: fff00a93 li s5,-1 +80000128: 80000b37 lui s6,0x80000 +8000012c: fffb0b13 addi s6,s6,-1 # 7fffffff <_end+0xffffef1f> +80000130: 80000bb7 lui s7,0x80000 +80000134: 413909b3 sub s3,s2,s3 +80000138: 41490a33 sub s4,s2,s4 +8000013c: 41590ab3 sub s5,s2,s5 +80000140: 41690b33 sub s6,s2,s6 +80000144: 41790bb3 sub s7,s2,s7 +80000148: 01212023 sw s2,0(sp) +8000014c: 01312223 sw s3,4(sp) +80000150: 01412423 sw s4,8(sp) +80000154: 01512623 sw s5,12(sp) +80000158: 01612823 sw s6,16(sp) +8000015c: 01712a23 sw s7,20(sp) +80000160: 00001097 auipc ra,0x1 +80000164: eb008093 addi ra,ra,-336 # 80001010 +80000168: 00001117 auipc sp,0x1 +8000016c: f2810113 addi sp,sp,-216 # 80001090 +80000170: 0000ab83 lw s7,0(ra) +80000174: 00000c13 li s8,0 +80000178: 00100c93 li s9,1 +8000017c: fff00d13 li s10,-1 +80000180: 80000db7 lui s11,0x80000 +80000184: fffd8d93 addi s11,s11,-1 # 7fffffff <_end+0xffffef1f> +80000188: 80000e37 lui t3,0x80000 +8000018c: 418b8c33 sub s8,s7,s8 +80000190: 419b8cb3 sub s9,s7,s9 +80000194: 41ab8d33 sub s10,s7,s10 +80000198: 41bb8db3 sub s11,s7,s11 +8000019c: 41cb8e33 sub t3,s7,t3 +800001a0: 01712023 sw s7,0(sp) +800001a4: 01812223 sw s8,4(sp) +800001a8: 01912423 sw s9,8(sp) +800001ac: 01a12623 sw s10,12(sp) +800001b0: 01b12823 sw s11,16(sp) +800001b4: 01c12a23 sw t3,20(sp) +800001b8: 00001c97 auipc s9,0x1 +800001bc: e5cc8c93 addi s9,s9,-420 # 80001014 +800001c0: 00001d17 auipc s10,0x1 +800001c4: ee8d0d13 addi s10,s10,-280 # 800010a8 +800001c8: 000cae03 lw t3,0(s9) +800001cc: 00100d93 li s11,1 +800001d0: 41be0eb3 sub t4,t3,s11 +800001d4: 41be8f33 sub t5,t4,s11 +800001d8: 41bf0fb3 sub t6,t5,s11 +800001dc: 41bf80b3 sub ra,t6,s11 +800001e0: 41b08133 sub sp,ra,s11 +800001e4: 41b101b3 sub gp,sp,s11 +800001e8: 01bd2023 sw s11,0(s10) +800001ec: 01cd2223 sw t3,4(s10) +800001f0: 01dd2423 sw t4,8(s10) +800001f4: 01ed2623 sw t5,12(s10) +800001f8: 01fd2823 sw t6,16(s10) +800001fc: 001d2a23 sw ra,20(s10) +80000200: 002d2c23 sw sp,24(s10) +80000204: 003d2e23 sw gp,28(s10) +80000208: 00001097 auipc ra,0x1 +8000020c: e1008093 addi ra,ra,-496 # 80001018 +80000210: 00001117 auipc sp,0x1 +80000214: eb810113 addi sp,sp,-328 # 800010c8 +80000218: 0000ae03 lw t3,0(ra) +8000021c: f7ff9db7 lui s11,0xf7ff9 +80000220: 818d8d93 addi s11,s11,-2024 # f7ff8818 <_end+0x77ff7738> +80000224: 41be0033 sub zero,t3,s11 +80000228: 00012023 sw zero,0(sp) +8000022c: 00001097 auipc ra,0x1 +80000230: df008093 addi ra,ra,-528 # 8000101c +80000234: 00001117 auipc sp,0x1 +80000238: e9810113 addi sp,sp,-360 # 800010cc +8000023c: 0000ae03 lw t3,0(ra) +80000240: f7ff9db7 lui s11,0xf7ff9 +80000244: 818d8d93 addi s11,s11,-2024 # f7ff8818 <_end+0x77ff7738> +80000248: 41be0033 sub zero,t3,s11 +8000024c: 400002b3 neg t0,zero +80000250: 00012023 sw zero,0(sp) +80000254: 00512223 sw t0,4(sp) +80000258: 00001097 auipc ra,0x1 +8000025c: dc808093 addi ra,ra,-568 # 80001020 +80000260: 00001117 auipc sp,0x1 +80000264: e7410113 addi sp,sp,-396 # 800010d4 +80000268: 0000a183 lw gp,0(ra) +8000026c: 40018233 sub tp,gp,zero +80000270: 400202b3 sub t0,tp,zero +80000274: 40500333 neg t1,t0 +80000278: 40030733 sub a4,t1,zero +8000027c: 400707b3 sub a5,a4,zero +80000280: 40078833 sub a6,a5,zero +80000284: 41000cb3 neg s9,a6 +80000288: 41900d33 neg s10,s9 +8000028c: 400d0db3 sub s11,s10,zero +80000290: 00412023 sw tp,0(sp) +80000294: 01a12223 sw s10,4(sp) +80000298: 01b12423 sw s11,8(sp) +8000029c: 00001517 auipc a0,0x1 +800002a0: d9450513 addi a0,a0,-620 # 80001030 +800002a4: 00001597 auipc a1,0x1 +800002a8: e3c58593 addi a1,a1,-452 # 800010e0 <_end> +800002ac: f0100637 lui a2,0xf0100 +800002b0: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee4c> + +800002b4 : +800002b4: 02b50663 beq a0,a1,800002e0 +800002b8: 00c52683 lw a3,12(a0) +800002bc: 00d62023 sw a3,0(a2) +800002c0: 00852683 lw a3,8(a0) +800002c4: 00d62023 sw a3,0(a2) +800002c8: 00452683 lw a3,4(a0) +800002cc: 00d62023 sw a3,0(a2) +800002d0: 00052683 lw a3,0(a0) +800002d4: 00d62023 sw a3,0(a2) +800002d8: 01050513 addi a0,a0,16 +800002dc: fd9ff06f j 800002b4 + +800002e0 : +800002e0: f0100537 lui a0,0xf0100 +800002e4: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee40> +800002e8: 00052023 sw zero,0(a0) + ... + +Disassembly of section .data: + +80001000 : +80001000: 0000 unimp + ... + +80001004 : +80001004: 0001 nop + ... + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: 7fff 0x7fff + +80001010 : +80001010: 0000 unimp +80001012: 8000 0x8000 + +80001014 : +80001014: abcd j 80001606 <_end+0x526> + ... + +80001018 : +80001018: 5678 lw a4,108(a2) +8000101a: 1234 addi a3,sp,296 + +8000101c : +8000101c: ba98 fsd fa4,48(a3) +8000101e: fedc fsw fa5,60(a3) + +80001020 : +80001020: 5814 lw a3,48(s0) +80001022: 3692 fld fa3,288(sp) + ... + +80001030 : +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff + +80001048 : +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff + +80001060 : +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff + +80001078 : +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff + +80001090 : +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff + +800010a8 : +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff +800010c0: ffff 0xffff +800010c2: ffff 0xffff +800010c4: ffff 0xffff +800010c6: ffff 0xffff + +800010c8 : +800010c8: ffff 0xffff +800010ca: ffff 0xffff + +800010cc : +800010cc: ffff 0xffff +800010ce: ffff 0xffff +800010d0: ffff 0xffff +800010d2: ffff 0xffff + +800010d4 : +800010d4: ffff 0xffff +800010d6: ffff 0xffff +800010d8: ffff 0xffff +800010da: ffff 0xffff +800010dc: ffff 0xffff +800010de: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-SW-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-SW-01.elf.objdump new file mode 100644 index 0000000..83179d7 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-SW-01.elf.objdump @@ -0,0 +1,182 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-SW-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 01008093 addi ra,ra,16 # 80001010 +80000008: 11f1f137 lui sp,0x11f1f +8000000c: 22210113 addi sp,sp,546 # 11f1f222 <_start-0x6e0e0dde> +80000010: 0020a023 sw sp,0(ra) +80000014: 00001297 auipc t0,0x1 +80000018: 00128293 addi t0,t0,1 # 80001015 +8000001c: f3334cb7 lui s9,0xf3334 +80000020: 4f4c8c93 addi s9,s9,1268 # f33344f4 <_end+0x733334a4> +80000024: ff92afa3 sw s9,-1(t0) +80000028: 00001417 auipc s0,0x1 +8000002c: fef40413 addi s0,s0,-17 # 80001017 +80000030: 55f5ffb7 lui t6,0x55f5f +80000034: 666f8f93 addi t6,t6,1638 # 55f5f666 <_start-0x2a0a099a> +80000038: 01f420a3 sw t6,1(s0) +8000003c: 00001597 auipc a1,0x1 +80000040: 7e058593 addi a1,a1,2016 # 8000181c <_end+0x7cc> +80000044: f7779637 lui a2,0xf7779 +80000048: 8f860613 addi a2,a2,-1800 # f77788f8 <_end+0x777778a8> +8000004c: 80c5a023 sw a2,-2048(a1) +80000050: 00000717 auipc a4,0x0 +80000054: 7d170713 addi a4,a4,2001 # 80000821 +80000058: 990917b7 lui a5,0x99091 +8000005c: aaa78793 addi a5,a5,-1366 # 99090aaa <_end+0x1908fa5a> +80000060: 7ef72fa3 sw a5,2047(a4) +80000064: 00001897 auipc a7,0x1 +80000068: fc488893 addi a7,a7,-60 # 80001028 +8000006c: 0bbbd937 lui s2,0xbbbd +80000070: c0c90913 addi s2,s2,-1012 # bbbcc0c <_start-0x744433f4> +80000074: dd0d19b7 lui s3,0xdd0d1 +80000078: eee98993 addi s3,s3,-274 # dd0d0eee <_end+0x5d0cfe9e> +8000007c: 0fff0a37 lui s4,0xfff0 +80000080: 0f0a0a13 addi s4,s4,240 # fff00f0 <_start-0x7000ff10> +80000084: ff28ae23 sw s2,-4(a7) +80000088: 0138a023 sw s3,0(a7) +8000008c: 0148a223 sw s4,4(a7) +80000090: 00001b17 auipc s6,0x1 +80000094: fa0b0b13 addi s6,s6,-96 # 80001030 +80000098: 12345037 lui zero,0x12345 +8000009c: 67800013 li zero,1656 +800000a0: 000b2023 sw zero,0(s6) +800000a4: 00001a97 auipc s5,0x1 +800000a8: f5ca8a93 addi s5,s5,-164 # 80001000 +800000ac: 112239b7 lui s3,0x11223 +800000b0: 34498993 addi s3,s3,836 # 11223344 <_start-0x6eddccbc> +800000b4: 000aab83 lw s7,0(s5) +800000b8: 013ba023 sw s3,0(s7) +800000bc: 00001b97 auipc s7,0x1 +800000c0: f48b8b93 addi s7,s7,-184 # 80001004 +800000c4: 00001c17 auipc s8,0x1 +800000c8: f74c0c13 addi s8,s8,-140 # 80001038 +800000cc: 000bac83 lw s9,0(s7) +800000d0: 019c2023 sw s9,0(s8) +800000d4: 00001d17 auipc s10,0x1 +800000d8: f68d0d13 addi s10,s10,-152 # 8000103c +800000dc: 76543cb7 lui s9,0x76543 +800000e0: 210c8c93 addi s9,s9,528 # 76543210 <_start-0x9abcdf0> +800000e4: 019d2023 sw s9,0(s10) +800000e8: 00000c93 li s9,0 +800000ec: 00001e17 auipc t3,0x1 +800000f0: f54e0e13 addi t3,t3,-172 # 80001040 +800000f4: 89abddb7 lui s11,0x89abd +800000f8: defd8d93 addi s11,s11,-529 # 89abcdef <_end+0x9abbd9f> +800000fc: 01be2023 sw s11,0(t3) +80000100: ffce0e13 addi t3,t3,-4 +80000104: 00001e97 auipc t4,0x1 +80000108: f40e8e93 addi t4,t4,-192 # 80001044 +8000010c: 14726db7 lui s11,0x14726 +80000110: 836d8d93 addi s11,s11,-1994 # 14725836 <_start-0x6b8da7ca> +80000114: 01bea023 sw s11,0(t4) +80000118: 000eaf03 lw t5,0(t4) +8000011c: 01eea223 sw t5,4(t4) +80000120: 00001097 auipc ra,0x1 +80000124: f2c08093 addi ra,ra,-212 # 8000104c +80000128: 96385137 lui sp,0x96385 +8000012c: 20110113 addi sp,sp,513 # 96385201 <_end+0x163841b1> +80000130: 258151b7 lui gp,0x25815 +80000134: 96318193 addi gp,gp,-1693 # 25814963 <_start-0x5a7eb69d> +80000138: 0020a023 sw sp,0(ra) +8000013c: 0030a023 sw gp,0(ra) +80000140: 00001517 auipc a0,0x1 +80000144: ed050513 addi a0,a0,-304 # 80001010 +80000148: 00001597 auipc a1,0x1 +8000014c: f0858593 addi a1,a1,-248 # 80001050 <_end> +80000150: f0100637 lui a2,0xf0100 +80000154: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700feedc> + +80000158 : +80000158: 02b50663 beq a0,a1,80000184 +8000015c: 00c52683 lw a3,12(a0) +80000160: 00d62023 sw a3,0(a2) +80000164: 00852683 lw a3,8(a0) +80000168: 00d62023 sw a3,0(a2) +8000016c: 00452683 lw a3,4(a0) +80000170: 00d62023 sw a3,0(a2) +80000174: 00052683 lw a3,0(a0) +80000178: 00d62023 sw a3,0(a2) +8000017c: 01050513 addi a0,a0,16 +80000180: fd9ff06f j 80000158 + +80000184 : +80000184: f0100537 lui a0,0xf0100 +80000188: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700feed0> +8000018c: 00052023 sw zero,0(a0) +80000190: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: 1034 addi a3,sp,40 +80001002: 8000 0x8000 + +80001004 : +80001004: def0 sw a2,124(a3) +80001006: 9abc 0x9abc + ... + +80001010 : +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff + +80001018 : +80001018: ffff 0xffff +8000101a: ffff 0xffff + +8000101c : +8000101c: ffff 0xffff +8000101e: ffff 0xffff + +80001020 : +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff + +80001030 : +80001030: ffff 0xffff +80001032: ffff 0xffff + +80001034 : +80001034: ffff 0xffff +80001036: ffff 0xffff + +80001038 : +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff + +80001040 : +80001040: ffff 0xffff +80001042: ffff 0xffff + +80001044 : +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff + +8000104c : +8000104c: ffff 0xffff +8000104e: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-XOR-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-XOR-01.elf.objdump new file mode 100644 index 0000000..aa98446 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-XOR-01.elf.objdump @@ -0,0 +1,350 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-XOR-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 00001117 auipc sp,0x1 +8000000c: 02810113 addi sp,sp,40 # 80001030 +80000010: 0000a183 lw gp,0(ra) # 80001000 +80000014: 00000213 li tp,0 +80000018: 00100293 li t0,1 +8000001c: fff00313 li t1,-1 +80000020: 800003b7 lui t2,0x80000 +80000024: fff38393 addi t2,t2,-1 # 7fffffff <_end+0xffffef1f> +80000028: 80000437 lui s0,0x80000 +8000002c: 0041c233 xor tp,gp,tp +80000030: 0051c2b3 xor t0,gp,t0 +80000034: 0061c333 xor t1,gp,t1 +80000038: 0071c3b3 xor t2,gp,t2 +8000003c: 0081c433 xor s0,gp,s0 +80000040: 00312023 sw gp,0(sp) +80000044: 00412223 sw tp,4(sp) +80000048: 00512423 sw t0,8(sp) +8000004c: 00612623 sw t1,12(sp) +80000050: 00712823 sw t2,16(sp) +80000054: 00812a23 sw s0,20(sp) +80000058: 00001097 auipc ra,0x1 +8000005c: fac08093 addi ra,ra,-84 # 80001004 +80000060: 00001117 auipc sp,0x1 +80000064: fe810113 addi sp,sp,-24 # 80001048 +80000068: 0000a403 lw s0,0(ra) +8000006c: 00000493 li s1,0 +80000070: 00100513 li a0,1 +80000074: fff00593 li a1,-1 +80000078: 80000637 lui a2,0x80000 +8000007c: fff60613 addi a2,a2,-1 # 7fffffff <_end+0xffffef1f> +80000080: 800006b7 lui a3,0x80000 +80000084: 009444b3 xor s1,s0,s1 +80000088: 00a44533 xor a0,s0,a0 +8000008c: 00b445b3 xor a1,s0,a1 +80000090: 00c44633 xor a2,s0,a2 +80000094: 00d446b3 xor a3,s0,a3 +80000098: 00812023 sw s0,0(sp) +8000009c: 00912223 sw s1,4(sp) +800000a0: 00a12423 sw a0,8(sp) +800000a4: 00b12623 sw a1,12(sp) +800000a8: 00c12823 sw a2,16(sp) +800000ac: 00d12a23 sw a3,20(sp) +800000b0: 00001097 auipc ra,0x1 +800000b4: f5808093 addi ra,ra,-168 # 80001008 +800000b8: 00001117 auipc sp,0x1 +800000bc: fa810113 addi sp,sp,-88 # 80001060 +800000c0: 0000a683 lw a3,0(ra) +800000c4: 00000713 li a4,0 +800000c8: 00100793 li a5,1 +800000cc: fff00813 li a6,-1 +800000d0: 800008b7 lui a7,0x80000 +800000d4: fff88893 addi a7,a7,-1 # 7fffffff <_end+0xffffef1f> +800000d8: 80000937 lui s2,0x80000 +800000dc: 00e6c733 xor a4,a3,a4 +800000e0: 00f6c7b3 xor a5,a3,a5 +800000e4: 0106c833 xor a6,a3,a6 +800000e8: 0116c8b3 xor a7,a3,a7 +800000ec: 0126c933 xor s2,a3,s2 +800000f0: 00d12023 sw a3,0(sp) +800000f4: 00e12223 sw a4,4(sp) +800000f8: 00f12423 sw a5,8(sp) +800000fc: 01012623 sw a6,12(sp) +80000100: 01112823 sw a7,16(sp) +80000104: 01212a23 sw s2,20(sp) +80000108: 00001097 auipc ra,0x1 +8000010c: f0408093 addi ra,ra,-252 # 8000100c +80000110: 00001117 auipc sp,0x1 +80000114: f6810113 addi sp,sp,-152 # 80001078 +80000118: 0000a903 lw s2,0(ra) +8000011c: 00000993 li s3,0 +80000120: 00100a13 li s4,1 +80000124: fff00a93 li s5,-1 +80000128: 80000b37 lui s6,0x80000 +8000012c: fffb0b13 addi s6,s6,-1 # 7fffffff <_end+0xffffef1f> +80000130: 80000bb7 lui s7,0x80000 +80000134: 013949b3 xor s3,s2,s3 +80000138: 01494a33 xor s4,s2,s4 +8000013c: 01594ab3 xor s5,s2,s5 +80000140: 01694b33 xor s6,s2,s6 +80000144: 01794bb3 xor s7,s2,s7 +80000148: 01212023 sw s2,0(sp) +8000014c: 01312223 sw s3,4(sp) +80000150: 01412423 sw s4,8(sp) +80000154: 01512623 sw s5,12(sp) +80000158: 01612823 sw s6,16(sp) +8000015c: 01712a23 sw s7,20(sp) +80000160: 00001097 auipc ra,0x1 +80000164: eb008093 addi ra,ra,-336 # 80001010 +80000168: 00001117 auipc sp,0x1 +8000016c: f2810113 addi sp,sp,-216 # 80001090 +80000170: 0000ab83 lw s7,0(ra) +80000174: 00000c13 li s8,0 +80000178: 00100c93 li s9,1 +8000017c: fff00d13 li s10,-1 +80000180: 80000db7 lui s11,0x80000 +80000184: fffd8d93 addi s11,s11,-1 # 7fffffff <_end+0xffffef1f> +80000188: 80000e37 lui t3,0x80000 +8000018c: 018bcc33 xor s8,s7,s8 +80000190: 019bccb3 xor s9,s7,s9 +80000194: 01abcd33 xor s10,s7,s10 +80000198: 01bbcdb3 xor s11,s7,s11 +8000019c: 01cbce33 xor t3,s7,t3 +800001a0: 01712023 sw s7,0(sp) +800001a4: 01812223 sw s8,4(sp) +800001a8: 01912423 sw s9,8(sp) +800001ac: 01a12623 sw s10,12(sp) +800001b0: 01b12823 sw s11,16(sp) +800001b4: 01c12a23 sw t3,20(sp) +800001b8: 00001c97 auipc s9,0x1 +800001bc: e5cc8c93 addi s9,s9,-420 # 80001014 +800001c0: 00001d17 auipc s10,0x1 +800001c4: ee8d0d13 addi s10,s10,-280 # 800010a8 +800001c8: 000cae03 lw t3,0(s9) +800001cc: 07f00213 li tp,127 +800001d0: 03f00293 li t0,63 +800001d4: 01f00313 li t1,31 +800001d8: 00f00393 li t2,15 +800001dc: 00700413 li s0,7 +800001e0: 00300493 li s1,3 +800001e4: 004e4eb3 xor t4,t3,tp +800001e8: 005ecf33 xor t5,t4,t0 +800001ec: 006f4fb3 xor t6,t5,t1 +800001f0: 007fc0b3 xor ra,t6,t2 +800001f4: 0080c133 xor sp,ra,s0 +800001f8: 009141b3 xor gp,sp,s1 +800001fc: 004d2023 sw tp,0(s10) +80000200: 01cd2223 sw t3,4(s10) +80000204: 01dd2423 sw t4,8(s10) +80000208: 01ed2623 sw t5,12(s10) +8000020c: 01fd2823 sw t6,16(s10) +80000210: 001d2a23 sw ra,20(s10) +80000214: 002d2c23 sw sp,24(s10) +80000218: 003d2e23 sw gp,28(s10) +8000021c: 00001097 auipc ra,0x1 +80000220: dfc08093 addi ra,ra,-516 # 80001018 +80000224: 00001117 auipc sp,0x1 +80000228: ea410113 addi sp,sp,-348 # 800010c8 +8000022c: 0000ae03 lw t3,0(ra) +80000230: f7ff9db7 lui s11,0xf7ff9 +80000234: 818d8d93 addi s11,s11,-2024 # f7ff8818 <_end+0x77ff7738> +80000238: 01be4033 xor zero,t3,s11 +8000023c: 00012023 sw zero,0(sp) +80000240: 00001097 auipc ra,0x1 +80000244: ddc08093 addi ra,ra,-548 # 8000101c +80000248: 00001117 auipc sp,0x1 +8000024c: e8410113 addi sp,sp,-380 # 800010cc +80000250: 0000ae03 lw t3,0(ra) +80000254: f7ff9db7 lui s11,0xf7ff9 +80000258: 818d8d93 addi s11,s11,-2024 # f7ff8818 <_end+0x77ff7738> +8000025c: 01be4033 xor zero,t3,s11 +80000260: 000042b3 xor t0,zero,zero +80000264: 00012023 sw zero,0(sp) +80000268: 00512223 sw t0,4(sp) +8000026c: 00001097 auipc ra,0x1 +80000270: db408093 addi ra,ra,-588 # 80001020 +80000274: 00001117 auipc sp,0x1 +80000278: e6010113 addi sp,sp,-416 # 800010d4 +8000027c: 0000a183 lw gp,0(ra) +80000280: 0001c233 xor tp,gp,zero +80000284: 000242b3 xor t0,tp,zero +80000288: 00504333 xor t1,zero,t0 +8000028c: 00034733 xor a4,t1,zero +80000290: 000747b3 xor a5,a4,zero +80000294: 0007c833 xor a6,a5,zero +80000298: 01004cb3 xor s9,zero,a6 +8000029c: 01904d33 xor s10,zero,s9 +800002a0: 000d4db3 xor s11,s10,zero +800002a4: 00412023 sw tp,0(sp) +800002a8: 01a12223 sw s10,4(sp) +800002ac: 01b12423 sw s11,8(sp) +800002b0: 00001517 auipc a0,0x1 +800002b4: d8050513 addi a0,a0,-640 # 80001030 +800002b8: 00001597 auipc a1,0x1 +800002bc: e2858593 addi a1,a1,-472 # 800010e0 <_end> +800002c0: f0100637 lui a2,0xf0100 +800002c4: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee4c> + +800002c8 : +800002c8: 02b50663 beq a0,a1,800002f4 +800002cc: 00c52683 lw a3,12(a0) +800002d0: 00d62023 sw a3,0(a2) +800002d4: 00852683 lw a3,8(a0) +800002d8: 00d62023 sw a3,0(a2) +800002dc: 00452683 lw a3,4(a0) +800002e0: 00d62023 sw a3,0(a2) +800002e4: 00052683 lw a3,0(a0) +800002e8: 00d62023 sw a3,0(a2) +800002ec: 01050513 addi a0,a0,16 +800002f0: fd9ff06f j 800002c8 + +800002f4 : +800002f4: f0100537 lui a0,0xf0100 +800002f8: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee40> +800002fc: 00052023 sw zero,0(a0) +80000300: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: 0000 unimp + ... + +80001004 : +80001004: 0001 nop + ... + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: 7fff 0x7fff + +80001010 : +80001010: 0000 unimp +80001012: 8000 0x8000 + +80001014 : +80001014: ffff 0xffff +80001016: abcd j 80001608 <_end+0x528> + +80001018 : +80001018: 5678 lw a4,108(a2) +8000101a: 1234 addi a3,sp,296 + +8000101c : +8000101c: ba98 fsd fa4,48(a3) +8000101e: fedc fsw fa5,60(a3) + +80001020 : +80001020: 5814 lw a3,48(s0) +80001022: 3692 fld fa3,288(sp) + ... + +80001030 : +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff + +80001048 : +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff + +80001060 : +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff + +80001078 : +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff + +80001090 : +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff + +800010a8 : +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff +800010c0: ffff 0xffff +800010c2: ffff 0xffff +800010c4: ffff 0xffff +800010c6: ffff 0xffff + +800010c8 : +800010c8: ffff 0xffff +800010ca: ffff 0xffff + +800010cc : +800010cc: ffff 0xffff +800010ce: ffff 0xffff +800010d0: ffff 0xffff +800010d2: ffff 0xffff + +800010d4 : +800010d4: ffff 0xffff +800010d6: ffff 0xffff +800010d8: ffff 0xffff +800010da: ffff 0xffff +800010dc: ffff 0xffff +800010de: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/I-XORI-01.elf.objdump b/VexRiscv/src/test/resources/asm/I-XORI-01.elf.objdump new file mode 100644 index 0000000..f969f99 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/I-XORI-01.elf.objdump @@ -0,0 +1,310 @@ + +/home/spinalvm/hdl/riscv-compliance/work//I-XORI-01.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001097 auipc ra,0x1 +80000004: 00008093 mv ra,ra +80000008: 00001117 auipc sp,0x1 +8000000c: 02810113 addi sp,sp,40 # 80001030 +80000010: 0000a183 lw gp,0(ra) # 80001000 +80000014: 0011c213 xori tp,gp,1 +80000018: 7ff1c293 xori t0,gp,2047 +8000001c: fff1c313 not t1,gp +80000020: 0001c393 xori t2,gp,0 +80000024: 8001c413 xori s0,gp,-2048 +80000028: 00312023 sw gp,0(sp) +8000002c: 00412223 sw tp,4(sp) +80000030: 00512423 sw t0,8(sp) +80000034: 00612623 sw t1,12(sp) +80000038: 00712823 sw t2,16(sp) +8000003c: 00812a23 sw s0,20(sp) +80000040: 00001097 auipc ra,0x1 +80000044: fc408093 addi ra,ra,-60 # 80001004 +80000048: 00001117 auipc sp,0x1 +8000004c: 00010113 mv sp,sp +80000050: 0000a403 lw s0,0(ra) +80000054: 00144493 xori s1,s0,1 +80000058: 7ff44513 xori a0,s0,2047 +8000005c: fff44593 not a1,s0 +80000060: 00044613 xori a2,s0,0 +80000064: 80044693 xori a3,s0,-2048 +80000068: 00812023 sw s0,0(sp) # 80001048 +8000006c: 00912223 sw s1,4(sp) +80000070: 00a12423 sw a0,8(sp) +80000074: 00b12623 sw a1,12(sp) +80000078: 00c12823 sw a2,16(sp) +8000007c: 00d12a23 sw a3,20(sp) +80000080: 00001097 auipc ra,0x1 +80000084: f8808093 addi ra,ra,-120 # 80001008 +80000088: 00001117 auipc sp,0x1 +8000008c: fd810113 addi sp,sp,-40 # 80001060 +80000090: 0000a683 lw a3,0(ra) +80000094: 0016c713 xori a4,a3,1 +80000098: 7ff6c793 xori a5,a3,2047 +8000009c: fff6c813 not a6,a3 +800000a0: 0006c893 xori a7,a3,0 +800000a4: 8006c913 xori s2,a3,-2048 +800000a8: 00d12023 sw a3,0(sp) +800000ac: 00e12223 sw a4,4(sp) +800000b0: 00f12423 sw a5,8(sp) +800000b4: 01012623 sw a6,12(sp) +800000b8: 01112823 sw a7,16(sp) +800000bc: 01212a23 sw s2,20(sp) +800000c0: 00001097 auipc ra,0x1 +800000c4: f4c08093 addi ra,ra,-180 # 8000100c +800000c8: 00001117 auipc sp,0x1 +800000cc: fb010113 addi sp,sp,-80 # 80001078 +800000d0: 0000a903 lw s2,0(ra) +800000d4: 00194993 xori s3,s2,1 +800000d8: 7ff94a13 xori s4,s2,2047 +800000dc: fff94a93 not s5,s2 +800000e0: 00094b13 xori s6,s2,0 +800000e4: 80094b93 xori s7,s2,-2048 +800000e8: 01212023 sw s2,0(sp) +800000ec: 01312223 sw s3,4(sp) +800000f0: 01412423 sw s4,8(sp) +800000f4: 01512623 sw s5,12(sp) +800000f8: 01612823 sw s6,16(sp) +800000fc: 01712a23 sw s7,20(sp) +80000100: 00001097 auipc ra,0x1 +80000104: f1008093 addi ra,ra,-240 # 80001010 +80000108: 00001117 auipc sp,0x1 +8000010c: f8810113 addi sp,sp,-120 # 80001090 +80000110: 0000ab83 lw s7,0(ra) +80000114: 001bcc13 xori s8,s7,1 +80000118: 7ffbcc93 xori s9,s7,2047 +8000011c: fffbcd13 not s10,s7 +80000120: 000bcd93 xori s11,s7,0 +80000124: 800bce13 xori t3,s7,-2048 +80000128: 01712023 sw s7,0(sp) +8000012c: 01812223 sw s8,4(sp) +80000130: 01912423 sw s9,8(sp) +80000134: 01a12623 sw s10,12(sp) +80000138: 01b12823 sw s11,16(sp) +8000013c: 01c12a23 sw t3,20(sp) +80000140: 00001d17 auipc s10,0x1 +80000144: ed4d0d13 addi s10,s10,-300 # 80001014 +80000148: 00001d97 auipc s11,0x1 +8000014c: f60d8d93 addi s11,s11,-160 # 800010a8 +80000150: 000d2e03 lw t3,0(s10) +80000154: 07fe4e93 xori t4,t3,127 +80000158: 03fecf13 xori t5,t4,63 +8000015c: 01ff4f93 xori t6,t5,31 +80000160: 00ffc093 xori ra,t6,15 +80000164: 0070c113 xori sp,ra,7 +80000168: 00314193 xori gp,sp,3 +8000016c: 01cda023 sw t3,0(s11) +80000170: 01dda223 sw t4,4(s11) +80000174: 01eda423 sw t5,8(s11) +80000178: 01fda623 sw t6,12(s11) +8000017c: 001da823 sw ra,16(s11) +80000180: 002daa23 sw sp,20(s11) +80000184: 003dac23 sw gp,24(s11) +80000188: 00001097 auipc ra,0x1 +8000018c: e9008093 addi ra,ra,-368 # 80001018 +80000190: 00001117 auipc sp,0x1 +80000194: f3410113 addi sp,sp,-204 # 800010c4 +80000198: 0000a283 lw t0,0(ra) +8000019c: 0012c013 xori zero,t0,1 +800001a0: 00012023 sw zero,0(sp) +800001a4: 00001097 auipc ra,0x1 +800001a8: e7808093 addi ra,ra,-392 # 8000101c +800001ac: 00001117 auipc sp,0x1 +800001b0: f1c10113 addi sp,sp,-228 # 800010c8 +800001b4: 0000a283 lw t0,0(ra) +800001b8: 0012c013 xori zero,t0,1 +800001bc: 00104293 xori t0,zero,1 +800001c0: 00012023 sw zero,0(sp) +800001c4: 00512223 sw t0,4(sp) +800001c8: 00001097 auipc ra,0x1 +800001cc: e5808093 addi ra,ra,-424 # 80001020 +800001d0: 00001117 auipc sp,0x1 +800001d4: f0010113 addi sp,sp,-256 # 800010d0 +800001d8: 0000a183 lw gp,0(ra) +800001dc: 0001c213 xori tp,gp,0 +800001e0: 00024293 xori t0,tp,0 +800001e4: 0002c313 xori t1,t0,0 +800001e8: 00034713 xori a4,t1,0 +800001ec: 00074793 xori a5,a4,0 +800001f0: 0007c813 xori a6,a5,0 +800001f4: 00084c93 xori s9,a6,0 +800001f8: 000ccd13 xori s10,s9,0 +800001fc: 000d4d93 xori s11,s10,0 +80000200: 00312023 sw gp,0(sp) +80000204: 00412223 sw tp,4(sp) +80000208: 01a12423 sw s10,8(sp) +8000020c: 01b12623 sw s11,12(sp) +80000210: 00001517 auipc a0,0x1 +80000214: e2050513 addi a0,a0,-480 # 80001030 +80000218: 00001597 auipc a1,0x1 +8000021c: ec858593 addi a1,a1,-312 # 800010e0 <_end> +80000220: f0100637 lui a2,0xf0100 +80000224: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee4c> + +80000228 : +80000228: 02b50663 beq a0,a1,80000254 +8000022c: 00c52683 lw a3,12(a0) +80000230: 00d62023 sw a3,0(a2) +80000234: 00852683 lw a3,8(a0) +80000238: 00d62023 sw a3,0(a2) +8000023c: 00452683 lw a3,4(a0) +80000240: 00d62023 sw a3,0(a2) +80000244: 00052683 lw a3,0(a0) +80000248: 00d62023 sw a3,0(a2) +8000024c: 01050513 addi a0,a0,16 +80000250: fd9ff06f j 80000228 + +80000254 : +80000254: f0100537 lui a0,0xf0100 +80000258: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee40> +8000025c: 00052023 sw zero,0(a0) +80000260: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: 0000 unimp + ... + +80001004 : +80001004: 0001 nop + ... + +80001008 : +80001008: ffff 0xffff +8000100a: ffff 0xffff + +8000100c : +8000100c: ffff 0xffff +8000100e: 7fff 0x7fff + +80001010 : +80001010: 0000 unimp +80001012: 8000 0x8000 + +80001014 : +80001014: ffff 0xffff +80001016: abcd j 80001608 <_end+0x528> + +80001018 : +80001018: 5678 lw a4,108(a2) +8000101a: 1234 addi a3,sp,296 + +8000101c : +8000101c: ba98 fsd fa4,48(a3) +8000101e: fedc fsw fa5,60(a3) + +80001020 : +80001020: 5814 lw a3,48(s0) +80001022: 3692 fld fa3,288(sp) + ... + +80001030 : +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff + +80001048 : +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff + +80001060 : +80001060: ffff 0xffff +80001062: ffff 0xffff +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff + +80001078 : +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff +8000108c: ffff 0xffff +8000108e: ffff 0xffff + +80001090 : +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff + +800010a8 : +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff +800010c0: ffff 0xffff +800010c2: ffff 0xffff + +800010c4 : +800010c4: ffff 0xffff +800010c6: ffff 0xffff + +800010c8 : +800010c8: ffff 0xffff +800010ca: ffff 0xffff +800010cc: ffff 0xffff +800010ce: ffff 0xffff + +800010d0 : +800010d0: ffff 0xffff +800010d2: ffff 0xffff +800010d4: ffff 0xffff +800010d6: ffff 0xffff +800010d8: ffff 0xffff +800010da: ffff 0xffff +800010dc: ffff 0xffff +800010de: ffff 0xffff diff --git a/VexRiscv/src/test/resources/asm/MUL.elf.objdump b/VexRiscv/src/test/resources/asm/MUL.elf.objdump new file mode 100644 index 0000000..50d73f1 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/MUL.elf.objdump @@ -0,0 +1,276 @@ + +/home/spinalvm/hdl/riscv-compliance/work//MUL.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001117 auipc sp,0x1 +80000004: 00010113 mv sp,sp +80000008: 00000913 li s2,0 +8000000c: 00000893 li a7,0 +80000010: 031908b3 mul a7,s2,a7 +80000014: 01112023 sw a7,0(sp) # 80001000 +80000018: 00000a13 li s4,0 +8000001c: 00100993 li s3,1 +80000020: 033a09b3 mul s3,s4,s3 +80000024: 01312223 sw s3,4(sp) +80000028: 00000b13 li s6,0 +8000002c: fff00a93 li s5,-1 +80000030: 035b0ab3 mul s5,s6,s5 +80000034: 01512423 sw s5,8(sp) +80000038: 00000c13 li s8,0 +8000003c: 80000bb7 lui s7,0x80000 +80000040: fffb8b93 addi s7,s7,-1 # 7fffffff <_end+0xffffef2f> +80000044: 037c0bb3 mul s7,s8,s7 +80000048: 01712623 sw s7,12(sp) +8000004c: 00000d13 li s10,0 +80000050: 80000cb7 lui s9,0x80000 +80000054: 039d0cb3 mul s9,s10,s9 +80000058: 01912823 sw s9,16(sp) +8000005c: 00001117 auipc sp,0x1 +80000060: fb810113 addi sp,sp,-72 # 80001014 +80000064: 00100e13 li t3,1 +80000068: 00000d93 li s11,0 +8000006c: 03be0db3 mul s11,t3,s11 +80000070: 01b12023 sw s11,0(sp) +80000074: 00100f13 li t5,1 +80000078: 00100e93 li t4,1 +8000007c: 03df0eb3 mul t4,t5,t4 +80000080: 01d12223 sw t4,4(sp) +80000084: 00100193 li gp,1 +80000088: fff00f93 li t6,-1 +8000008c: 03f18fb3 mul t6,gp,t6 +80000090: 01f12423 sw t6,8(sp) +80000094: 00100413 li s0,1 +80000098: 80000237 lui tp,0x80000 +8000009c: fff20213 addi tp,tp,-1 # 7fffffff <_end+0xffffef2f> +800000a0: 02440233 mul tp,s0,tp +800000a4: 00412623 sw tp,12(sp) +800000a8: 00100593 li a1,1 +800000ac: 800004b7 lui s1,0x80000 +800000b0: 029584b3 mul s1,a1,s1 +800000b4: 00912823 sw s1,16(sp) +800000b8: 00001117 auipc sp,0x1 +800000bc: f7010113 addi sp,sp,-144 # 80001028 +800000c0: fff00693 li a3,-1 +800000c4: 00000613 li a2,0 +800000c8: 02c68633 mul a2,a3,a2 +800000cc: 00c12023 sw a2,0(sp) +800000d0: fff00793 li a5,-1 +800000d4: 00100713 li a4,1 +800000d8: 02e78733 mul a4,a5,a4 +800000dc: 00e12223 sw a4,4(sp) +800000e0: fff00893 li a7,-1 +800000e4: fff00813 li a6,-1 +800000e8: 03088833 mul a6,a7,a6 +800000ec: 01012423 sw a6,8(sp) +800000f0: fff00993 li s3,-1 +800000f4: 80000937 lui s2,0x80000 +800000f8: fff90913 addi s2,s2,-1 # 7fffffff <_end+0xffffef2f> +800000fc: 03298933 mul s2,s3,s2 +80000100: 01212623 sw s2,12(sp) +80000104: fff00a93 li s5,-1 +80000108: 80000a37 lui s4,0x80000 +8000010c: 034a8a33 mul s4,s5,s4 +80000110: 01412823 sw s4,16(sp) +80000114: 00001117 auipc sp,0x1 +80000118: f2810113 addi sp,sp,-216 # 8000103c +8000011c: 80000bb7 lui s7,0x80000 +80000120: fffb8b93 addi s7,s7,-1 # 7fffffff <_end+0xffffef2f> +80000124: 00000b13 li s6,0 +80000128: 036b8b33 mul s6,s7,s6 +8000012c: 01612023 sw s6,0(sp) +80000130: 80000cb7 lui s9,0x80000 +80000134: fffc8c93 addi s9,s9,-1 # 7fffffff <_end+0xffffef2f> +80000138: 00100c13 li s8,1 +8000013c: 038c8c33 mul s8,s9,s8 +80000140: 01812223 sw s8,4(sp) +80000144: 80000db7 lui s11,0x80000 +80000148: fffd8d93 addi s11,s11,-1 # 7fffffff <_end+0xffffef2f> +8000014c: fff00d13 li s10,-1 +80000150: 03ad8d33 mul s10,s11,s10 +80000154: 01a12423 sw s10,8(sp) +80000158: 80000eb7 lui t4,0x80000 +8000015c: fffe8e93 addi t4,t4,-1 # 7fffffff <_end+0xffffef2f> +80000160: 80000e37 lui t3,0x80000 +80000164: fffe0e13 addi t3,t3,-1 # 7fffffff <_end+0xffffef2f> +80000168: 03ce8e33 mul t3,t4,t3 +8000016c: 01c12623 sw t3,12(sp) +80000170: 80000fb7 lui t6,0x80000 +80000174: ffff8f93 addi t6,t6,-1 # 7fffffff <_end+0xffffef2f> +80000178: 80000f37 lui t5,0x80000 +8000017c: 03ef8f33 mul t5,t6,t5 +80000180: 01e12823 sw t5,16(sp) +80000184: 00001117 auipc sp,0x1 +80000188: ecc10113 addi sp,sp,-308 # 80001050 +8000018c: 80000237 lui tp,0x80000 +80000190: 00000193 li gp,0 +80000194: 023201b3 mul gp,tp,gp +80000198: 00312023 sw gp,0(sp) +8000019c: 800004b7 lui s1,0x80000 +800001a0: 00100413 li s0,1 +800001a4: 02848433 mul s0,s1,s0 +800001a8: 00812223 sw s0,4(sp) +800001ac: 80000637 lui a2,0x80000 +800001b0: fff00593 li a1,-1 +800001b4: 02b605b3 mul a1,a2,a1 +800001b8: 00b12423 sw a1,8(sp) +800001bc: 80000737 lui a4,0x80000 +800001c0: 800006b7 lui a3,0x80000 +800001c4: fff68693 addi a3,a3,-1 # 7fffffff <_end+0xffffef2f> +800001c8: 02d706b3 mul a3,a4,a3 +800001cc: 00d12623 sw a3,12(sp) +800001d0: 80000837 lui a6,0x80000 +800001d4: 800007b7 lui a5,0x80000 +800001d8: 02f807b3 mul a5,a6,a5 +800001dc: 00f12823 sw a5,16(sp) +800001e0: 00001517 auipc a0,0x1 +800001e4: e2050513 addi a0,a0,-480 # 80001000 +800001e8: 00001597 auipc a1,0x1 +800001ec: ee858593 addi a1,a1,-280 # 800010d0 <_end> +800001f0: f0100637 lui a2,0xf0100 +800001f4: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee5c> + +800001f8 : +800001f8: 02b50663 beq a0,a1,80000224 +800001fc: 00c52683 lw a3,12(a0) +80000200: 00d62023 sw a3,0(a2) +80000204: 00852683 lw a3,8(a0) +80000208: 00d62023 sw a3,0(a2) +8000020c: 00452683 lw a3,4(a0) +80000210: 00d62023 sw a3,0(a2) +80000214: 00052683 lw a3,0(a0) +80000218: 00d62023 sw a3,0(a2) +8000021c: 01050513 addi a0,a0,16 +80000220: fd9ff06f j 800001f8 + +80000224 : +80000224: f0100537 lui a0,0xf0100 +80000228: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee50> +8000022c: 00052023 sw zero,0(a0) +80000230: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff + +80001064 : +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff + +80001078 : +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff + +8000108c : +8000108c: ffff 0xffff +8000108e: ffff 0xffff +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff + +800010a0 : +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff + +800010b4 : +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff +800010c0: ffff 0xffff +800010c2: ffff 0xffff +800010c4: ffff 0xffff +800010c6: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/MULH.elf.objdump b/VexRiscv/src/test/resources/asm/MULH.elf.objdump new file mode 100644 index 0000000..8550c72 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/MULH.elf.objdump @@ -0,0 +1,276 @@ + +/home/spinalvm/hdl/riscv-compliance/work//MULH.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001117 auipc sp,0x1 +80000004: 00010113 mv sp,sp +80000008: 00000913 li s2,0 +8000000c: 00000893 li a7,0 +80000010: 031918b3 mulh a7,s2,a7 +80000014: 01112023 sw a7,0(sp) # 80001000 +80000018: 00000a13 li s4,0 +8000001c: 00100993 li s3,1 +80000020: 033a19b3 mulh s3,s4,s3 +80000024: 01312223 sw s3,4(sp) +80000028: 00000b13 li s6,0 +8000002c: fff00a93 li s5,-1 +80000030: 035b1ab3 mulh s5,s6,s5 +80000034: 01512423 sw s5,8(sp) +80000038: 00000c13 li s8,0 +8000003c: 80000bb7 lui s7,0x80000 +80000040: fffb8b93 addi s7,s7,-1 # 7fffffff <_end+0xffffef2f> +80000044: 037c1bb3 mulh s7,s8,s7 +80000048: 01712623 sw s7,12(sp) +8000004c: 00000d13 li s10,0 +80000050: 80000cb7 lui s9,0x80000 +80000054: 039d1cb3 mulh s9,s10,s9 +80000058: 01912823 sw s9,16(sp) +8000005c: 00001117 auipc sp,0x1 +80000060: fb810113 addi sp,sp,-72 # 80001014 +80000064: 00100e13 li t3,1 +80000068: 00000d93 li s11,0 +8000006c: 03be1db3 mulh s11,t3,s11 +80000070: 01b12023 sw s11,0(sp) +80000074: 00100f13 li t5,1 +80000078: 00100e93 li t4,1 +8000007c: 03df1eb3 mulh t4,t5,t4 +80000080: 01d12223 sw t4,4(sp) +80000084: 00100193 li gp,1 +80000088: fff00f93 li t6,-1 +8000008c: 03f19fb3 mulh t6,gp,t6 +80000090: 01f12423 sw t6,8(sp) +80000094: 00100413 li s0,1 +80000098: 80000237 lui tp,0x80000 +8000009c: fff20213 addi tp,tp,-1 # 7fffffff <_end+0xffffef2f> +800000a0: 02441233 mulh tp,s0,tp +800000a4: 00412623 sw tp,12(sp) +800000a8: 00100593 li a1,1 +800000ac: 800004b7 lui s1,0x80000 +800000b0: 029594b3 mulh s1,a1,s1 +800000b4: 00912823 sw s1,16(sp) +800000b8: 00001117 auipc sp,0x1 +800000bc: f7010113 addi sp,sp,-144 # 80001028 +800000c0: fff00693 li a3,-1 +800000c4: 00000613 li a2,0 +800000c8: 02c69633 mulh a2,a3,a2 +800000cc: 00c12023 sw a2,0(sp) +800000d0: fff00793 li a5,-1 +800000d4: 00100713 li a4,1 +800000d8: 02e79733 mulh a4,a5,a4 +800000dc: 00e12223 sw a4,4(sp) +800000e0: fff00893 li a7,-1 +800000e4: fff00813 li a6,-1 +800000e8: 03089833 mulh a6,a7,a6 +800000ec: 01012423 sw a6,8(sp) +800000f0: fff00993 li s3,-1 +800000f4: 80000937 lui s2,0x80000 +800000f8: fff90913 addi s2,s2,-1 # 7fffffff <_end+0xffffef2f> +800000fc: 03299933 mulh s2,s3,s2 +80000100: 01212623 sw s2,12(sp) +80000104: fff00a93 li s5,-1 +80000108: 80000a37 lui s4,0x80000 +8000010c: 034a9a33 mulh s4,s5,s4 +80000110: 01412823 sw s4,16(sp) +80000114: 00001117 auipc sp,0x1 +80000118: f2810113 addi sp,sp,-216 # 8000103c +8000011c: 80000bb7 lui s7,0x80000 +80000120: fffb8b93 addi s7,s7,-1 # 7fffffff <_end+0xffffef2f> +80000124: 00000b13 li s6,0 +80000128: 036b9b33 mulh s6,s7,s6 +8000012c: 01612023 sw s6,0(sp) +80000130: 80000cb7 lui s9,0x80000 +80000134: fffc8c93 addi s9,s9,-1 # 7fffffff <_end+0xffffef2f> +80000138: 00100c13 li s8,1 +8000013c: 038c9c33 mulh s8,s9,s8 +80000140: 01812223 sw s8,4(sp) +80000144: 80000db7 lui s11,0x80000 +80000148: fffd8d93 addi s11,s11,-1 # 7fffffff <_end+0xffffef2f> +8000014c: fff00d13 li s10,-1 +80000150: 03ad9d33 mulh s10,s11,s10 +80000154: 01a12423 sw s10,8(sp) +80000158: 80000eb7 lui t4,0x80000 +8000015c: fffe8e93 addi t4,t4,-1 # 7fffffff <_end+0xffffef2f> +80000160: 80000e37 lui t3,0x80000 +80000164: fffe0e13 addi t3,t3,-1 # 7fffffff <_end+0xffffef2f> +80000168: 03ce9e33 mulh t3,t4,t3 +8000016c: 01c12623 sw t3,12(sp) +80000170: 80000fb7 lui t6,0x80000 +80000174: ffff8f93 addi t6,t6,-1 # 7fffffff <_end+0xffffef2f> +80000178: 80000f37 lui t5,0x80000 +8000017c: 03ef9f33 mulh t5,t6,t5 +80000180: 01e12823 sw t5,16(sp) +80000184: 00001117 auipc sp,0x1 +80000188: ecc10113 addi sp,sp,-308 # 80001050 +8000018c: 80000237 lui tp,0x80000 +80000190: 00000193 li gp,0 +80000194: 023211b3 mulh gp,tp,gp +80000198: 00312023 sw gp,0(sp) +8000019c: 800004b7 lui s1,0x80000 +800001a0: 00100413 li s0,1 +800001a4: 02849433 mulh s0,s1,s0 +800001a8: 00812223 sw s0,4(sp) +800001ac: 80000637 lui a2,0x80000 +800001b0: fff00593 li a1,-1 +800001b4: 02b615b3 mulh a1,a2,a1 +800001b8: 00b12423 sw a1,8(sp) +800001bc: 80000737 lui a4,0x80000 +800001c0: 800006b7 lui a3,0x80000 +800001c4: fff68693 addi a3,a3,-1 # 7fffffff <_end+0xffffef2f> +800001c8: 02d716b3 mulh a3,a4,a3 +800001cc: 00d12623 sw a3,12(sp) +800001d0: 80000837 lui a6,0x80000 +800001d4: 800007b7 lui a5,0x80000 +800001d8: 02f817b3 mulh a5,a6,a5 +800001dc: 00f12823 sw a5,16(sp) +800001e0: 00001517 auipc a0,0x1 +800001e4: e2050513 addi a0,a0,-480 # 80001000 +800001e8: 00001597 auipc a1,0x1 +800001ec: ee858593 addi a1,a1,-280 # 800010d0 <_end> +800001f0: f0100637 lui a2,0xf0100 +800001f4: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee5c> + +800001f8 : +800001f8: 02b50663 beq a0,a1,80000224 +800001fc: 00c52683 lw a3,12(a0) +80000200: 00d62023 sw a3,0(a2) +80000204: 00852683 lw a3,8(a0) +80000208: 00d62023 sw a3,0(a2) +8000020c: 00452683 lw a3,4(a0) +80000210: 00d62023 sw a3,0(a2) +80000214: 00052683 lw a3,0(a0) +80000218: 00d62023 sw a3,0(a2) +8000021c: 01050513 addi a0,a0,16 +80000220: fd9ff06f j 800001f8 + +80000224 : +80000224: f0100537 lui a0,0xf0100 +80000228: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee50> +8000022c: 00052023 sw zero,0(a0) +80000230: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff + +80001064 : +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff + +80001078 : +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff + +8000108c : +8000108c: ffff 0xffff +8000108e: ffff 0xffff +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff + +800010a0 : +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff + +800010b4 : +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff +800010c0: ffff 0xffff +800010c2: ffff 0xffff +800010c4: ffff 0xffff +800010c6: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/MULHSU.elf.objdump b/VexRiscv/src/test/resources/asm/MULHSU.elf.objdump new file mode 100644 index 0000000..6a5b81f --- /dev/null +++ b/VexRiscv/src/test/resources/asm/MULHSU.elf.objdump @@ -0,0 +1,276 @@ + +/home/spinalvm/hdl/riscv-compliance/work//MULHSU.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001117 auipc sp,0x1 +80000004: 00010113 mv sp,sp +80000008: 00000913 li s2,0 +8000000c: 00000893 li a7,0 +80000010: 031928b3 mulhsu a7,s2,a7 +80000014: 01112023 sw a7,0(sp) # 80001000 +80000018: 00000a13 li s4,0 +8000001c: 00100993 li s3,1 +80000020: 033a29b3 mulhsu s3,s4,s3 +80000024: 01312223 sw s3,4(sp) +80000028: 00000b13 li s6,0 +8000002c: fff00a93 li s5,-1 +80000030: 035b2ab3 mulhsu s5,s6,s5 +80000034: 01512423 sw s5,8(sp) +80000038: 00000c13 li s8,0 +8000003c: 80000bb7 lui s7,0x80000 +80000040: fffb8b93 addi s7,s7,-1 # 7fffffff <_end+0xffffef2f> +80000044: 037c2bb3 mulhsu s7,s8,s7 +80000048: 01712623 sw s7,12(sp) +8000004c: 00000d13 li s10,0 +80000050: 80000cb7 lui s9,0x80000 +80000054: 039d2cb3 mulhsu s9,s10,s9 +80000058: 01912823 sw s9,16(sp) +8000005c: 00001117 auipc sp,0x1 +80000060: fb810113 addi sp,sp,-72 # 80001014 +80000064: 00100e13 li t3,1 +80000068: 00000d93 li s11,0 +8000006c: 03be2db3 mulhsu s11,t3,s11 +80000070: 01b12023 sw s11,0(sp) +80000074: 00100f13 li t5,1 +80000078: 00100e93 li t4,1 +8000007c: 03df2eb3 mulhsu t4,t5,t4 +80000080: 01d12223 sw t4,4(sp) +80000084: 00100193 li gp,1 +80000088: fff00f93 li t6,-1 +8000008c: 03f1afb3 mulhsu t6,gp,t6 +80000090: 01f12423 sw t6,8(sp) +80000094: 00100413 li s0,1 +80000098: 80000237 lui tp,0x80000 +8000009c: fff20213 addi tp,tp,-1 # 7fffffff <_end+0xffffef2f> +800000a0: 02442233 mulhsu tp,s0,tp +800000a4: 00412623 sw tp,12(sp) +800000a8: 00100593 li a1,1 +800000ac: 800004b7 lui s1,0x80000 +800000b0: 0295a4b3 mulhsu s1,a1,s1 +800000b4: 00912823 sw s1,16(sp) +800000b8: 00001117 auipc sp,0x1 +800000bc: f7010113 addi sp,sp,-144 # 80001028 +800000c0: fff00693 li a3,-1 +800000c4: 00000613 li a2,0 +800000c8: 02c6a633 mulhsu a2,a3,a2 +800000cc: 00c12023 sw a2,0(sp) +800000d0: fff00793 li a5,-1 +800000d4: 00100713 li a4,1 +800000d8: 02e7a733 mulhsu a4,a5,a4 +800000dc: 00e12223 sw a4,4(sp) +800000e0: fff00893 li a7,-1 +800000e4: fff00813 li a6,-1 +800000e8: 0308a833 mulhsu a6,a7,a6 +800000ec: 01012423 sw a6,8(sp) +800000f0: fff00993 li s3,-1 +800000f4: 80000937 lui s2,0x80000 +800000f8: fff90913 addi s2,s2,-1 # 7fffffff <_end+0xffffef2f> +800000fc: 0329a933 mulhsu s2,s3,s2 +80000100: 01212623 sw s2,12(sp) +80000104: fff00a93 li s5,-1 +80000108: 80000a37 lui s4,0x80000 +8000010c: 034aaa33 mulhsu s4,s5,s4 +80000110: 01412823 sw s4,16(sp) +80000114: 00001117 auipc sp,0x1 +80000118: f2810113 addi sp,sp,-216 # 8000103c +8000011c: 80000bb7 lui s7,0x80000 +80000120: fffb8b93 addi s7,s7,-1 # 7fffffff <_end+0xffffef2f> +80000124: 00000b13 li s6,0 +80000128: 036bab33 mulhsu s6,s7,s6 +8000012c: 01612023 sw s6,0(sp) +80000130: 80000cb7 lui s9,0x80000 +80000134: fffc8c93 addi s9,s9,-1 # 7fffffff <_end+0xffffef2f> +80000138: 00100c13 li s8,1 +8000013c: 038cac33 mulhsu s8,s9,s8 +80000140: 01812223 sw s8,4(sp) +80000144: 80000db7 lui s11,0x80000 +80000148: fffd8d93 addi s11,s11,-1 # 7fffffff <_end+0xffffef2f> +8000014c: fff00d13 li s10,-1 +80000150: 03adad33 mulhsu s10,s11,s10 +80000154: 01a12423 sw s10,8(sp) +80000158: 80000eb7 lui t4,0x80000 +8000015c: fffe8e93 addi t4,t4,-1 # 7fffffff <_end+0xffffef2f> +80000160: 80000e37 lui t3,0x80000 +80000164: fffe0e13 addi t3,t3,-1 # 7fffffff <_end+0xffffef2f> +80000168: 03ceae33 mulhsu t3,t4,t3 +8000016c: 01c12623 sw t3,12(sp) +80000170: 80000fb7 lui t6,0x80000 +80000174: ffff8f93 addi t6,t6,-1 # 7fffffff <_end+0xffffef2f> +80000178: 80000f37 lui t5,0x80000 +8000017c: 03efaf33 mulhsu t5,t6,t5 +80000180: 01e12823 sw t5,16(sp) +80000184: 00001117 auipc sp,0x1 +80000188: ecc10113 addi sp,sp,-308 # 80001050 +8000018c: 80000237 lui tp,0x80000 +80000190: 00000193 li gp,0 +80000194: 023221b3 mulhsu gp,tp,gp +80000198: 00312023 sw gp,0(sp) +8000019c: 800004b7 lui s1,0x80000 +800001a0: 00100413 li s0,1 +800001a4: 0284a433 mulhsu s0,s1,s0 +800001a8: 00812223 sw s0,4(sp) +800001ac: 80000637 lui a2,0x80000 +800001b0: fff00593 li a1,-1 +800001b4: 02b625b3 mulhsu a1,a2,a1 +800001b8: 00b12423 sw a1,8(sp) +800001bc: 80000737 lui a4,0x80000 +800001c0: 800006b7 lui a3,0x80000 +800001c4: fff68693 addi a3,a3,-1 # 7fffffff <_end+0xffffef2f> +800001c8: 02d726b3 mulhsu a3,a4,a3 +800001cc: 00d12623 sw a3,12(sp) +800001d0: 80000837 lui a6,0x80000 +800001d4: 800007b7 lui a5,0x80000 +800001d8: 02f827b3 mulhsu a5,a6,a5 +800001dc: 00f12823 sw a5,16(sp) +800001e0: 00001517 auipc a0,0x1 +800001e4: e2050513 addi a0,a0,-480 # 80001000 +800001e8: 00001597 auipc a1,0x1 +800001ec: ee858593 addi a1,a1,-280 # 800010d0 <_end> +800001f0: f0100637 lui a2,0xf0100 +800001f4: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee5c> + +800001f8 : +800001f8: 02b50663 beq a0,a1,80000224 +800001fc: 00c52683 lw a3,12(a0) +80000200: 00d62023 sw a3,0(a2) +80000204: 00852683 lw a3,8(a0) +80000208: 00d62023 sw a3,0(a2) +8000020c: 00452683 lw a3,4(a0) +80000210: 00d62023 sw a3,0(a2) +80000214: 00052683 lw a3,0(a0) +80000218: 00d62023 sw a3,0(a2) +8000021c: 01050513 addi a0,a0,16 +80000220: fd9ff06f j 800001f8 + +80000224 : +80000224: f0100537 lui a0,0xf0100 +80000228: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee50> +8000022c: 00052023 sw zero,0(a0) +80000230: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff + +80001064 : +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff + +80001078 : +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff + +8000108c : +8000108c: ffff 0xffff +8000108e: ffff 0xffff +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff + +800010a0 : +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff + +800010b4 : +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff +800010c0: ffff 0xffff +800010c2: ffff 0xffff +800010c4: ffff 0xffff +800010c6: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/MULHU.elf.objdump b/VexRiscv/src/test/resources/asm/MULHU.elf.objdump new file mode 100644 index 0000000..053db86 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/MULHU.elf.objdump @@ -0,0 +1,276 @@ + +/home/spinalvm/hdl/riscv-compliance/work//MULHU.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001117 auipc sp,0x1 +80000004: 00010113 mv sp,sp +80000008: 00000913 li s2,0 +8000000c: 00000893 li a7,0 +80000010: 031938b3 mulhu a7,s2,a7 +80000014: 01112023 sw a7,0(sp) # 80001000 +80000018: 00000a13 li s4,0 +8000001c: 00100993 li s3,1 +80000020: 033a39b3 mulhu s3,s4,s3 +80000024: 01312223 sw s3,4(sp) +80000028: 00000b13 li s6,0 +8000002c: fff00a93 li s5,-1 +80000030: 035b3ab3 mulhu s5,s6,s5 +80000034: 01512423 sw s5,8(sp) +80000038: 00000c13 li s8,0 +8000003c: 80000bb7 lui s7,0x80000 +80000040: fffb8b93 addi s7,s7,-1 # 7fffffff <_end+0xffffef2f> +80000044: 037c3bb3 mulhu s7,s8,s7 +80000048: 01712623 sw s7,12(sp) +8000004c: 00000d13 li s10,0 +80000050: 80000cb7 lui s9,0x80000 +80000054: 039d3cb3 mulhu s9,s10,s9 +80000058: 01912823 sw s9,16(sp) +8000005c: 00001117 auipc sp,0x1 +80000060: fb810113 addi sp,sp,-72 # 80001014 +80000064: 00100e13 li t3,1 +80000068: 00000d93 li s11,0 +8000006c: 03be3db3 mulhu s11,t3,s11 +80000070: 01b12023 sw s11,0(sp) +80000074: 00100f13 li t5,1 +80000078: 00100e93 li t4,1 +8000007c: 03df3eb3 mulhu t4,t5,t4 +80000080: 01d12223 sw t4,4(sp) +80000084: 00100193 li gp,1 +80000088: fff00f93 li t6,-1 +8000008c: 03f1bfb3 mulhu t6,gp,t6 +80000090: 01f12423 sw t6,8(sp) +80000094: 00100413 li s0,1 +80000098: 80000237 lui tp,0x80000 +8000009c: fff20213 addi tp,tp,-1 # 7fffffff <_end+0xffffef2f> +800000a0: 02443233 mulhu tp,s0,tp +800000a4: 00412623 sw tp,12(sp) +800000a8: 00100593 li a1,1 +800000ac: 800004b7 lui s1,0x80000 +800000b0: 0295b4b3 mulhu s1,a1,s1 +800000b4: 00912823 sw s1,16(sp) +800000b8: 00001117 auipc sp,0x1 +800000bc: f7010113 addi sp,sp,-144 # 80001028 +800000c0: fff00693 li a3,-1 +800000c4: 00000613 li a2,0 +800000c8: 02c6b633 mulhu a2,a3,a2 +800000cc: 00c12023 sw a2,0(sp) +800000d0: fff00793 li a5,-1 +800000d4: 00100713 li a4,1 +800000d8: 02e7b733 mulhu a4,a5,a4 +800000dc: 00e12223 sw a4,4(sp) +800000e0: fff00893 li a7,-1 +800000e4: fff00813 li a6,-1 +800000e8: 0308b833 mulhu a6,a7,a6 +800000ec: 01012423 sw a6,8(sp) +800000f0: fff00993 li s3,-1 +800000f4: 80000937 lui s2,0x80000 +800000f8: fff90913 addi s2,s2,-1 # 7fffffff <_end+0xffffef2f> +800000fc: 0329b933 mulhu s2,s3,s2 +80000100: 01212623 sw s2,12(sp) +80000104: fff00a93 li s5,-1 +80000108: 80000a37 lui s4,0x80000 +8000010c: 034aba33 mulhu s4,s5,s4 +80000110: 01412823 sw s4,16(sp) +80000114: 00001117 auipc sp,0x1 +80000118: f2810113 addi sp,sp,-216 # 8000103c +8000011c: 80000bb7 lui s7,0x80000 +80000120: fffb8b93 addi s7,s7,-1 # 7fffffff <_end+0xffffef2f> +80000124: 00000b13 li s6,0 +80000128: 036bbb33 mulhu s6,s7,s6 +8000012c: 01612023 sw s6,0(sp) +80000130: 80000cb7 lui s9,0x80000 +80000134: fffc8c93 addi s9,s9,-1 # 7fffffff <_end+0xffffef2f> +80000138: 00100c13 li s8,1 +8000013c: 038cbc33 mulhu s8,s9,s8 +80000140: 01812223 sw s8,4(sp) +80000144: 80000db7 lui s11,0x80000 +80000148: fffd8d93 addi s11,s11,-1 # 7fffffff <_end+0xffffef2f> +8000014c: fff00d13 li s10,-1 +80000150: 03adbd33 mulhu s10,s11,s10 +80000154: 01a12423 sw s10,8(sp) +80000158: 80000eb7 lui t4,0x80000 +8000015c: fffe8e93 addi t4,t4,-1 # 7fffffff <_end+0xffffef2f> +80000160: 80000e37 lui t3,0x80000 +80000164: fffe0e13 addi t3,t3,-1 # 7fffffff <_end+0xffffef2f> +80000168: 03cebe33 mulhu t3,t4,t3 +8000016c: 01c12623 sw t3,12(sp) +80000170: 80000fb7 lui t6,0x80000 +80000174: ffff8f93 addi t6,t6,-1 # 7fffffff <_end+0xffffef2f> +80000178: 80000f37 lui t5,0x80000 +8000017c: 03efbf33 mulhu t5,t6,t5 +80000180: 01e12823 sw t5,16(sp) +80000184: 00001117 auipc sp,0x1 +80000188: ecc10113 addi sp,sp,-308 # 80001050 +8000018c: 80000237 lui tp,0x80000 +80000190: 00000193 li gp,0 +80000194: 023231b3 mulhu gp,tp,gp +80000198: 00312023 sw gp,0(sp) +8000019c: 800004b7 lui s1,0x80000 +800001a0: 00100413 li s0,1 +800001a4: 0284b433 mulhu s0,s1,s0 +800001a8: 00812223 sw s0,4(sp) +800001ac: 80000637 lui a2,0x80000 +800001b0: fff00593 li a1,-1 +800001b4: 02b635b3 mulhu a1,a2,a1 +800001b8: 00b12423 sw a1,8(sp) +800001bc: 80000737 lui a4,0x80000 +800001c0: 800006b7 lui a3,0x80000 +800001c4: fff68693 addi a3,a3,-1 # 7fffffff <_end+0xffffef2f> +800001c8: 02d736b3 mulhu a3,a4,a3 +800001cc: 00d12623 sw a3,12(sp) +800001d0: 80000837 lui a6,0x80000 +800001d4: 800007b7 lui a5,0x80000 +800001d8: 02f837b3 mulhu a5,a6,a5 +800001dc: 00f12823 sw a5,16(sp) +800001e0: 00001517 auipc a0,0x1 +800001e4: e2050513 addi a0,a0,-480 # 80001000 +800001e8: 00001597 auipc a1,0x1 +800001ec: ee858593 addi a1,a1,-280 # 800010d0 <_end> +800001f0: f0100637 lui a2,0xf0100 +800001f4: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee5c> + +800001f8 : +800001f8: 02b50663 beq a0,a1,80000224 +800001fc: 00c52683 lw a3,12(a0) +80000200: 00d62023 sw a3,0(a2) +80000204: 00852683 lw a3,8(a0) +80000208: 00d62023 sw a3,0(a2) +8000020c: 00452683 lw a3,4(a0) +80000210: 00d62023 sw a3,0(a2) +80000214: 00052683 lw a3,0(a0) +80000218: 00d62023 sw a3,0(a2) +8000021c: 01050513 addi a0,a0,16 +80000220: fd9ff06f j 800001f8 + +80000224 : +80000224: f0100537 lui a0,0xf0100 +80000228: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee50> +8000022c: 00052023 sw zero,0(a0) +80000230: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff + +80001064 : +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff + +80001078 : +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff + +8000108c : +8000108c: ffff 0xffff +8000108e: ffff 0xffff +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff + +800010a0 : +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff + +800010b4 : +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff +800010c0: ffff 0xffff +800010c2: ffff 0xffff +800010c4: ffff 0xffff +800010c6: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/MULW.elf.objdump b/VexRiscv/src/test/resources/asm/MULW.elf.objdump new file mode 100644 index 0000000..c891aa6 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/MULW.elf.objdump @@ -0,0 +1,460 @@ + +/home/spinalvm/hdl/riscv-compliance/work//MULW.elf: file format elf64-littleriscv + + +Disassembly of section .text.init: + +0000000080000000 <_start>: + 80000000: 04c0006f j 8000004c + +0000000080000004 : + 80000004: 34202f73 csrr t5,mcause + 80000008: 00800f93 li t6,8 + 8000000c: 03ff0a63 beq t5,t6,80000040 + 80000010: 00900f93 li t6,9 + 80000014: 03ff0663 beq t5,t6,80000040 + 80000018: 00b00f93 li t6,11 + 8000001c: 03ff0263 beq t5,t6,80000040 + 80000020: 80000f17 auipc t5,0x80000 + 80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> + 80000028: 000f0463 beqz t5,80000030 + 8000002c: 000f0067 jr t5 + 80000030: 34202f73 csrr t5,mcause + 80000034: 000f5463 bgez t5,8000003c + 80000038: 0040006f j 8000003c + +000000008000003c : + 8000003c: 5391e193 ori gp,gp,1337 + +0000000080000040 : + 80000040: 00001f17 auipc t5,0x1 + 80000044: fc3f2023 sw gp,-64(t5) # 80001000 + 80000048: ff9ff06f j 80000040 + +000000008000004c : + 8000004c: f1402573 csrr a0,mhartid + 80000050: 00051063 bnez a0,80000050 + 80000054: 00000297 auipc t0,0x0 + 80000058: 01028293 addi t0,t0,16 # 80000064 + 8000005c: 30529073 csrw mtvec,t0 + 80000060: 18005073 csrwi satp,0 + 80000064: 00000297 auipc t0,0x0 + 80000068: 01c28293 addi t0,t0,28 # 80000080 + 8000006c: 30529073 csrw mtvec,t0 + 80000070: fff00293 li t0,-1 + 80000074: 3b029073 csrw pmpaddr0,t0 + 80000078: 01f00293 li t0,31 + 8000007c: 3a029073 csrw pmpcfg0,t0 + 80000080: 00000297 auipc t0,0x0 + 80000084: 01828293 addi t0,t0,24 # 80000098 + 80000088: 30529073 csrw mtvec,t0 + 8000008c: 30205073 csrwi medeleg,0 + 80000090: 30305073 csrwi mideleg,0 + 80000094: 30405073 csrwi mie,0 + 80000098: 00000193 li gp,0 + 8000009c: 00000297 auipc t0,0x0 + 800000a0: f6828293 addi t0,t0,-152 # 80000004 + 800000a4: 30529073 csrw mtvec,t0 + 800000a8: 00100513 li a0,1 + 800000ac: 01f51513 slli a0,a0,0x1f + 800000b0: 00055863 bgez a0,800000c0 + 800000b4: 00000013 nop + 800000b8: 00100193 li gp,1 + 800000bc: 00000073 ecall + 800000c0: 80000297 auipc t0,0x80000 + 800000c4: f4028293 addi t0,t0,-192 # 0 <_start-0x80000000> + 800000c8: 00028e63 beqz t0,800000e4 + 800000cc: 10529073 csrw stvec,t0 + 800000d0: 0000b2b7 lui t0,0xb + 800000d4: 1092829b addiw t0,t0,265 + 800000d8: 30229073 csrw medeleg,t0 + 800000dc: 30202373 csrr t1,medeleg + 800000e0: f4629ee3 bne t0,t1,8000003c + 800000e4: 30005073 csrwi mstatus,0 + 800000e8: 00002537 lui a0,0x2 + 800000ec: 8005051b addiw a0,a0,-2048 + 800000f0: 30052073 csrs mstatus,a0 + 800000f4: 00000297 auipc t0,0x0 + 800000f8: 01428293 addi t0,t0,20 # 80000108 + 800000fc: 34129073 csrw mepc,t0 + 80000100: f1402573 csrr a0,mhartid + 80000104: 30200073 mret + +0000000080000108 : + 80000108: 00002117 auipc sp,0x2 + 8000010c: ef810113 addi sp,sp,-264 # 80002000 + 80000110: 00000213 li tp,0 + 80000114: 00000193 li gp,0 + 80000118: 023201bb mulw gp,tp,gp + 8000011c: 00312023 sw gp,0(sp) + 80000120: 00000493 li s1,0 + 80000124: 00100413 li s0,1 + 80000128: 0284843b mulw s0,s1,s0 + 8000012c: 00812423 sw s0,8(sp) + 80000130: 00000613 li a2,0 + 80000134: fff00593 li a1,-1 + 80000138: 02b605bb mulw a1,a2,a1 + 8000013c: 00b12823 sw a1,16(sp) + 80000140: 00000713 li a4,0 + 80000144: fff0069b addiw a3,zero,-1 + 80000148: 03f69693 slli a3,a3,0x3f + 8000014c: fff68693 addi a3,a3,-1 + 80000150: 02d706bb mulw a3,a4,a3 + 80000154: 00d12c23 sw a3,24(sp) + 80000158: 00000813 li a6,0 + 8000015c: fff0079b addiw a5,zero,-1 + 80000160: 03f79793 slli a5,a5,0x3f + 80000164: 02f807bb mulw a5,a6,a5 + 80000168: 02f12023 sw a5,32(sp) + 8000016c: 00002117 auipc sp,0x2 + 80000170: ebc10113 addi sp,sp,-324 # 80002028 + 80000174: 00100913 li s2,1 + 80000178: 00000893 li a7,0 + 8000017c: 031908bb mulw a7,s2,a7 + 80000180: 01112023 sw a7,0(sp) + 80000184: 00100a13 li s4,1 + 80000188: 00100993 li s3,1 + 8000018c: 033a09bb mulw s3,s4,s3 + 80000190: 01312423 sw s3,8(sp) + 80000194: 00100b13 li s6,1 + 80000198: fff00a93 li s5,-1 + 8000019c: 035b0abb mulw s5,s6,s5 + 800001a0: 01512823 sw s5,16(sp) + 800001a4: 00100c13 li s8,1 + 800001a8: fff00b9b addiw s7,zero,-1 + 800001ac: 03fb9b93 slli s7,s7,0x3f + 800001b0: fffb8b93 addi s7,s7,-1 + 800001b4: 037c0bbb mulw s7,s8,s7 + 800001b8: 01712c23 sw s7,24(sp) + 800001bc: 00100d13 li s10,1 + 800001c0: fff00c9b addiw s9,zero,-1 + 800001c4: 03fc9c93 slli s9,s9,0x3f + 800001c8: 039d0cbb mulw s9,s10,s9 + 800001cc: 03912023 sw s9,32(sp) + 800001d0: 00002117 auipc sp,0x2 + 800001d4: e8010113 addi sp,sp,-384 # 80002050 + 800001d8: fff00e13 li t3,-1 + 800001dc: 00000d93 li s11,0 + 800001e0: 03be0dbb mulw s11,t3,s11 + 800001e4: 01b12023 sw s11,0(sp) + 800001e8: fff00f13 li t5,-1 + 800001ec: 00100e93 li t4,1 + 800001f0: 03df0ebb mulw t4,t5,t4 + 800001f4: 01d12423 sw t4,8(sp) + 800001f8: fff00193 li gp,-1 + 800001fc: fff00f93 li t6,-1 + 80000200: 03f18fbb mulw t6,gp,t6 + 80000204: 01f12823 sw t6,16(sp) + 80000208: fff00413 li s0,-1 + 8000020c: fff0021b addiw tp,zero,-1 + 80000210: 03f21213 slli tp,tp,0x3f + 80000214: fff20213 addi tp,tp,-1 + 80000218: 0244023b mulw tp,s0,tp + 8000021c: 00412c23 sw tp,24(sp) + 80000220: fff00593 li a1,-1 + 80000224: fff0049b addiw s1,zero,-1 + 80000228: 03f49493 slli s1,s1,0x3f + 8000022c: 029584bb mulw s1,a1,s1 + 80000230: 02912023 sw s1,32(sp) + 80000234: 00002117 auipc sp,0x2 + 80000238: e4410113 addi sp,sp,-444 # 80002078 + 8000023c: fff0069b addiw a3,zero,-1 + 80000240: 03f69693 slli a3,a3,0x3f + 80000244: fff68693 addi a3,a3,-1 + 80000248: 00000613 li a2,0 + 8000024c: 02c6863b mulw a2,a3,a2 + 80000250: 00c12023 sw a2,0(sp) + 80000254: fff0079b addiw a5,zero,-1 + 80000258: 03f79793 slli a5,a5,0x3f + 8000025c: fff78793 addi a5,a5,-1 + 80000260: 00100713 li a4,1 + 80000264: 02e7873b mulw a4,a5,a4 + 80000268: 00e12423 sw a4,8(sp) + 8000026c: fff0089b addiw a7,zero,-1 + 80000270: 03f89893 slli a7,a7,0x3f + 80000274: fff88893 addi a7,a7,-1 + 80000278: fff00813 li a6,-1 + 8000027c: 0308883b mulw a6,a7,a6 + 80000280: 01012823 sw a6,16(sp) + 80000284: fff0099b addiw s3,zero,-1 + 80000288: 03f99993 slli s3,s3,0x3f + 8000028c: fff98993 addi s3,s3,-1 + 80000290: fff0091b addiw s2,zero,-1 + 80000294: 03f91913 slli s2,s2,0x3f + 80000298: fff90913 addi s2,s2,-1 + 8000029c: 0329893b mulw s2,s3,s2 + 800002a0: 01212c23 sw s2,24(sp) + 800002a4: fff00a9b addiw s5,zero,-1 + 800002a8: 03fa9a93 slli s5,s5,0x3f + 800002ac: fffa8a93 addi s5,s5,-1 + 800002b0: fff00a1b addiw s4,zero,-1 + 800002b4: 03fa1a13 slli s4,s4,0x3f + 800002b8: 034a8a3b mulw s4,s5,s4 + 800002bc: 03412023 sw s4,32(sp) + 800002c0: 00002117 auipc sp,0x2 + 800002c4: de010113 addi sp,sp,-544 # 800020a0 + 800002c8: fff00b9b addiw s7,zero,-1 + 800002cc: 03fb9b93 slli s7,s7,0x3f + 800002d0: 00000b13 li s6,0 + 800002d4: 036b8b3b mulw s6,s7,s6 + 800002d8: 01612023 sw s6,0(sp) + 800002dc: fff00c9b addiw s9,zero,-1 + 800002e0: 03fc9c93 slli s9,s9,0x3f + 800002e4: 00100c13 li s8,1 + 800002e8: 038c8c3b mulw s8,s9,s8 + 800002ec: 01812423 sw s8,8(sp) + 800002f0: fff00d9b addiw s11,zero,-1 + 800002f4: 03fd9d93 slli s11,s11,0x3f + 800002f8: fff00d13 li s10,-1 + 800002fc: 03ad8d3b mulw s10,s11,s10 + 80000300: 01a12823 sw s10,16(sp) + 80000304: fff00e9b addiw t4,zero,-1 + 80000308: 03fe9e93 slli t4,t4,0x3f + 8000030c: fff00e1b addiw t3,zero,-1 + 80000310: 03fe1e13 slli t3,t3,0x3f + 80000314: fffe0e13 addi t3,t3,-1 + 80000318: 03ce8e3b mulw t3,t4,t3 + 8000031c: 01c12c23 sw t3,24(sp) + 80000320: fff00f9b addiw t6,zero,-1 + 80000324: 03ff9f93 slli t6,t6,0x3f + 80000328: fff00f1b addiw t5,zero,-1 + 8000032c: 03ff1f13 slli t5,t5,0x3f + 80000330: 03ef8f3b mulw t5,t6,t5 + 80000334: 03e12023 sw t5,32(sp) + 80000338: 00000013 nop + 8000033c: 00100193 li gp,1 + 80000340: 00000073 ecall + +0000000080000344 : + 80000344: c0001073 unimp + ... + +Disassembly of section .tohost: + +0000000080001000 : + ... + +0000000080001100 : + ... + +Disassembly of section .data: + +0000000080002000 : + 80002000: ffff 0xffff + 80002002: ffff 0xffff + 80002004: 0000 unimp + 80002006: 0000 unimp + 80002008: ffff 0xffff + 8000200a: ffff 0xffff + 8000200c: 0000 unimp + 8000200e: 0000 unimp + 80002010: ffff 0xffff + 80002012: ffff 0xffff + 80002014: 0000 unimp + 80002016: 0000 unimp + 80002018: ffff 0xffff + 8000201a: ffff 0xffff + 8000201c: 0000 unimp + 8000201e: 0000 unimp + 80002020: ffff 0xffff + 80002022: ffff 0xffff + 80002024: 0000 unimp + ... + +0000000080002028 : + 80002028: ffff 0xffff + 8000202a: ffff 0xffff + 8000202c: 0000 unimp + 8000202e: 0000 unimp + 80002030: ffff 0xffff + 80002032: ffff 0xffff + 80002034: 0000 unimp + 80002036: 0000 unimp + 80002038: ffff 0xffff + 8000203a: ffff 0xffff + 8000203c: 0000 unimp + 8000203e: 0000 unimp + 80002040: ffff 0xffff + 80002042: ffff 0xffff + 80002044: 0000 unimp + 80002046: 0000 unimp + 80002048: ffff 0xffff + 8000204a: ffff 0xffff + 8000204c: 0000 unimp + ... + +0000000080002050 : + 80002050: ffff 0xffff + 80002052: ffff 0xffff + 80002054: 0000 unimp + 80002056: 0000 unimp + 80002058: ffff 0xffff + 8000205a: ffff 0xffff + 8000205c: 0000 unimp + 8000205e: 0000 unimp + 80002060: ffff 0xffff + 80002062: ffff 0xffff + 80002064: 0000 unimp + 80002066: 0000 unimp + 80002068: ffff 0xffff + 8000206a: ffff 0xffff + 8000206c: 0000 unimp + 8000206e: 0000 unimp + 80002070: ffff 0xffff + 80002072: ffff 0xffff + 80002074: 0000 unimp + ... + +0000000080002078 : + 80002078: ffff 0xffff + 8000207a: ffff 0xffff + 8000207c: 0000 unimp + 8000207e: 0000 unimp + 80002080: ffff 0xffff + 80002082: ffff 0xffff + 80002084: 0000 unimp + 80002086: 0000 unimp + 80002088: ffff 0xffff + 8000208a: ffff 0xffff + 8000208c: 0000 unimp + 8000208e: 0000 unimp + 80002090: ffff 0xffff + 80002092: ffff 0xffff + 80002094: 0000 unimp + 80002096: 0000 unimp + 80002098: ffff 0xffff + 8000209a: ffff 0xffff + 8000209c: 0000 unimp + ... + +00000000800020a0 : + 800020a0: ffff 0xffff + 800020a2: ffff 0xffff + 800020a4: 0000 unimp + 800020a6: 0000 unimp + 800020a8: ffff 0xffff + 800020aa: ffff 0xffff + 800020ac: 0000 unimp + 800020ae: 0000 unimp + 800020b0: ffff 0xffff + 800020b2: ffff 0xffff + 800020b4: 0000 unimp + 800020b6: 0000 unimp + 800020b8: ffff 0xffff + 800020ba: ffff 0xffff + 800020bc: 0000 unimp + 800020be: 0000 unimp + 800020c0: ffff 0xffff + 800020c2: ffff 0xffff + 800020c4: 0000 unimp + ... + +00000000800020c8 : + 800020c8: ffff 0xffff + 800020ca: ffff 0xffff + 800020cc: 0000 unimp + 800020ce: 0000 unimp + 800020d0: ffff 0xffff + 800020d2: ffff 0xffff + 800020d4: 0000 unimp + 800020d6: 0000 unimp + 800020d8: ffff 0xffff + 800020da: ffff 0xffff + 800020dc: 0000 unimp + 800020de: 0000 unimp + 800020e0: ffff 0xffff + 800020e2: ffff 0xffff + 800020e4: 0000 unimp + 800020e6: 0000 unimp + 800020e8: ffff 0xffff + 800020ea: ffff 0xffff + 800020ec: 0000 unimp + ... + +00000000800020f0 : + 800020f0: ffff 0xffff + 800020f2: ffff 0xffff + 800020f4: 0000 unimp + 800020f6: 0000 unimp + 800020f8: ffff 0xffff + 800020fa: ffff 0xffff + 800020fc: 0000 unimp + 800020fe: 0000 unimp + 80002100: ffff 0xffff + 80002102: ffff 0xffff + 80002104: 0000 unimp + 80002106: 0000 unimp + 80002108: ffff 0xffff + 8000210a: ffff 0xffff + 8000210c: 0000 unimp + 8000210e: 0000 unimp + 80002110: ffff 0xffff + 80002112: ffff 0xffff + 80002114: 0000 unimp + ... + +0000000080002118 : + 80002118: ffff 0xffff + 8000211a: ffff 0xffff + 8000211c: 0000 unimp + 8000211e: 0000 unimp + 80002120: ffff 0xffff + 80002122: ffff 0xffff + 80002124: 0000 unimp + 80002126: 0000 unimp + 80002128: ffff 0xffff + 8000212a: ffff 0xffff + 8000212c: 0000 unimp + 8000212e: 0000 unimp + 80002130: ffff 0xffff + 80002132: ffff 0xffff + 80002134: 0000 unimp + 80002136: 0000 unimp + 80002138: ffff 0xffff + 8000213a: ffff 0xffff + 8000213c: 0000 unimp + ... + +0000000080002140 : + 80002140: ffff 0xffff + 80002142: ffff 0xffff + 80002144: 0000 unimp + 80002146: 0000 unimp + 80002148: ffff 0xffff + 8000214a: ffff 0xffff + 8000214c: 0000 unimp + 8000214e: 0000 unimp + 80002150: ffff 0xffff + 80002152: ffff 0xffff + 80002154: 0000 unimp + 80002156: 0000 unimp + 80002158: ffff 0xffff + 8000215a: ffff 0xffff + 8000215c: 0000 unimp + 8000215e: 0000 unimp + 80002160: ffff 0xffff + 80002162: ffff 0xffff + 80002164: 0000 unimp + ... + +0000000080002168 : + 80002168: ffff 0xffff + 8000216a: ffff 0xffff + 8000216c: 0000 unimp + 8000216e: 0000 unimp + 80002170: ffff 0xffff + 80002172: ffff 0xffff + 80002174: 0000 unimp + 80002176: 0000 unimp + 80002178: ffff 0xffff + 8000217a: ffff 0xffff + 8000217c: 0000 unimp + 8000217e: 0000 unimp + 80002180: ffff 0xffff + 80002182: ffff 0xffff + 80002184: 0000 unimp + 80002186: 0000 unimp + 80002188: ffff 0xffff + 8000218a: ffff 0xffff + 8000218c: 0000 unimp + ... diff --git a/VexRiscv/src/test/resources/asm/REM.elf.objdump b/VexRiscv/src/test/resources/asm/REM.elf.objdump new file mode 100644 index 0000000..e8940c1 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/REM.elf.objdump @@ -0,0 +1,276 @@ + +/home/spinalvm/hdl/riscv-compliance/work//REM.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001117 auipc sp,0x1 +80000004: 00010113 mv sp,sp +80000008: 00000913 li s2,0 +8000000c: 00000893 li a7,0 +80000010: 031968b3 rem a7,s2,a7 +80000014: 01112023 sw a7,0(sp) # 80001000 +80000018: 00000a13 li s4,0 +8000001c: 00100993 li s3,1 +80000020: 033a69b3 rem s3,s4,s3 +80000024: 01312223 sw s3,4(sp) +80000028: 00000b13 li s6,0 +8000002c: fff00a93 li s5,-1 +80000030: 035b6ab3 rem s5,s6,s5 +80000034: 01512423 sw s5,8(sp) +80000038: 00000c13 li s8,0 +8000003c: 80000bb7 lui s7,0x80000 +80000040: fffb8b93 addi s7,s7,-1 # 7fffffff <_end+0xffffef2f> +80000044: 037c6bb3 rem s7,s8,s7 +80000048: 01712623 sw s7,12(sp) +8000004c: 00000d13 li s10,0 +80000050: 80000cb7 lui s9,0x80000 +80000054: 039d6cb3 rem s9,s10,s9 +80000058: 01912823 sw s9,16(sp) +8000005c: 00001117 auipc sp,0x1 +80000060: fb810113 addi sp,sp,-72 # 80001014 +80000064: 00100e13 li t3,1 +80000068: 00000d93 li s11,0 +8000006c: 03be6db3 rem s11,t3,s11 +80000070: 01b12023 sw s11,0(sp) +80000074: 00100f13 li t5,1 +80000078: 00100e93 li t4,1 +8000007c: 03df6eb3 rem t4,t5,t4 +80000080: 01d12223 sw t4,4(sp) +80000084: 00100193 li gp,1 +80000088: fff00f93 li t6,-1 +8000008c: 03f1efb3 rem t6,gp,t6 +80000090: 01f12423 sw t6,8(sp) +80000094: 00100413 li s0,1 +80000098: 80000237 lui tp,0x80000 +8000009c: fff20213 addi tp,tp,-1 # 7fffffff <_end+0xffffef2f> +800000a0: 02446233 rem tp,s0,tp +800000a4: 00412623 sw tp,12(sp) +800000a8: 00100593 li a1,1 +800000ac: 800004b7 lui s1,0x80000 +800000b0: 0295e4b3 rem s1,a1,s1 +800000b4: 00912823 sw s1,16(sp) +800000b8: 00001117 auipc sp,0x1 +800000bc: f7010113 addi sp,sp,-144 # 80001028 +800000c0: fff00693 li a3,-1 +800000c4: 00000613 li a2,0 +800000c8: 02c6e633 rem a2,a3,a2 +800000cc: 00c12023 sw a2,0(sp) +800000d0: fff00793 li a5,-1 +800000d4: 00100713 li a4,1 +800000d8: 02e7e733 rem a4,a5,a4 +800000dc: 00e12223 sw a4,4(sp) +800000e0: fff00893 li a7,-1 +800000e4: fff00813 li a6,-1 +800000e8: 0308e833 rem a6,a7,a6 +800000ec: 01012423 sw a6,8(sp) +800000f0: fff00993 li s3,-1 +800000f4: 80000937 lui s2,0x80000 +800000f8: fff90913 addi s2,s2,-1 # 7fffffff <_end+0xffffef2f> +800000fc: 0329e933 rem s2,s3,s2 +80000100: 01212623 sw s2,12(sp) +80000104: fff00a93 li s5,-1 +80000108: 80000a37 lui s4,0x80000 +8000010c: 034aea33 rem s4,s5,s4 +80000110: 01412823 sw s4,16(sp) +80000114: 00001117 auipc sp,0x1 +80000118: f2810113 addi sp,sp,-216 # 8000103c +8000011c: 80000bb7 lui s7,0x80000 +80000120: fffb8b93 addi s7,s7,-1 # 7fffffff <_end+0xffffef2f> +80000124: 00000b13 li s6,0 +80000128: 036beb33 rem s6,s7,s6 +8000012c: 01612023 sw s6,0(sp) +80000130: 80000cb7 lui s9,0x80000 +80000134: fffc8c93 addi s9,s9,-1 # 7fffffff <_end+0xffffef2f> +80000138: 00100c13 li s8,1 +8000013c: 038cec33 rem s8,s9,s8 +80000140: 01812223 sw s8,4(sp) +80000144: 80000db7 lui s11,0x80000 +80000148: fffd8d93 addi s11,s11,-1 # 7fffffff <_end+0xffffef2f> +8000014c: fff00d13 li s10,-1 +80000150: 03aded33 rem s10,s11,s10 +80000154: 01a12423 sw s10,8(sp) +80000158: 80000eb7 lui t4,0x80000 +8000015c: fffe8e93 addi t4,t4,-1 # 7fffffff <_end+0xffffef2f> +80000160: 80000e37 lui t3,0x80000 +80000164: fffe0e13 addi t3,t3,-1 # 7fffffff <_end+0xffffef2f> +80000168: 03ceee33 rem t3,t4,t3 +8000016c: 01c12623 sw t3,12(sp) +80000170: 80000fb7 lui t6,0x80000 +80000174: ffff8f93 addi t6,t6,-1 # 7fffffff <_end+0xffffef2f> +80000178: 80000f37 lui t5,0x80000 +8000017c: 03efef33 rem t5,t6,t5 +80000180: 01e12823 sw t5,16(sp) +80000184: 00001117 auipc sp,0x1 +80000188: ecc10113 addi sp,sp,-308 # 80001050 +8000018c: 80000237 lui tp,0x80000 +80000190: 00000193 li gp,0 +80000194: 023261b3 rem gp,tp,gp +80000198: 00312023 sw gp,0(sp) +8000019c: 800004b7 lui s1,0x80000 +800001a0: 00100413 li s0,1 +800001a4: 0284e433 rem s0,s1,s0 +800001a8: 00812223 sw s0,4(sp) +800001ac: 80000637 lui a2,0x80000 +800001b0: fff00593 li a1,-1 +800001b4: 02b665b3 rem a1,a2,a1 +800001b8: 00b12423 sw a1,8(sp) +800001bc: 80000737 lui a4,0x80000 +800001c0: 800006b7 lui a3,0x80000 +800001c4: fff68693 addi a3,a3,-1 # 7fffffff <_end+0xffffef2f> +800001c8: 02d766b3 rem a3,a4,a3 +800001cc: 00d12623 sw a3,12(sp) +800001d0: 80000837 lui a6,0x80000 +800001d4: 800007b7 lui a5,0x80000 +800001d8: 02f867b3 rem a5,a6,a5 +800001dc: 00f12823 sw a5,16(sp) +800001e0: 00001517 auipc a0,0x1 +800001e4: e2050513 addi a0,a0,-480 # 80001000 +800001e8: 00001597 auipc a1,0x1 +800001ec: ee858593 addi a1,a1,-280 # 800010d0 <_end> +800001f0: f0100637 lui a2,0xf0100 +800001f4: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee5c> + +800001f8 : +800001f8: 02b50663 beq a0,a1,80000224 +800001fc: 00c52683 lw a3,12(a0) +80000200: 00d62023 sw a3,0(a2) +80000204: 00852683 lw a3,8(a0) +80000208: 00d62023 sw a3,0(a2) +8000020c: 00452683 lw a3,4(a0) +80000210: 00d62023 sw a3,0(a2) +80000214: 00052683 lw a3,0(a0) +80000218: 00d62023 sw a3,0(a2) +8000021c: 01050513 addi a0,a0,16 +80000220: fd9ff06f j 800001f8 + +80000224 : +80000224: f0100537 lui a0,0xf0100 +80000228: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee50> +8000022c: 00052023 sw zero,0(a0) +80000230: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff + +80001064 : +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff + +80001078 : +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff + +8000108c : +8000108c: ffff 0xffff +8000108e: ffff 0xffff +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff + +800010a0 : +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff + +800010b4 : +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff +800010c0: ffff 0xffff +800010c2: ffff 0xffff +800010c4: ffff 0xffff +800010c6: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/REMU.elf.objdump b/VexRiscv/src/test/resources/asm/REMU.elf.objdump new file mode 100644 index 0000000..da57519 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/REMU.elf.objdump @@ -0,0 +1,276 @@ + +/home/spinalvm/hdl/riscv-compliance/work//REMU.elf: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 00001117 auipc sp,0x1 +80000004: 00010113 mv sp,sp +80000008: 00000913 li s2,0 +8000000c: 00000893 li a7,0 +80000010: 031978b3 remu a7,s2,a7 +80000014: 01112023 sw a7,0(sp) # 80001000 +80000018: 00000a13 li s4,0 +8000001c: 00100993 li s3,1 +80000020: 033a79b3 remu s3,s4,s3 +80000024: 01312223 sw s3,4(sp) +80000028: 00000b13 li s6,0 +8000002c: fff00a93 li s5,-1 +80000030: 035b7ab3 remu s5,s6,s5 +80000034: 01512423 sw s5,8(sp) +80000038: 00000c13 li s8,0 +8000003c: 80000bb7 lui s7,0x80000 +80000040: fffb8b93 addi s7,s7,-1 # 7fffffff <_end+0xffffef2f> +80000044: 037c7bb3 remu s7,s8,s7 +80000048: 01712623 sw s7,12(sp) +8000004c: 00000d13 li s10,0 +80000050: 80000cb7 lui s9,0x80000 +80000054: 039d7cb3 remu s9,s10,s9 +80000058: 01912823 sw s9,16(sp) +8000005c: 00001117 auipc sp,0x1 +80000060: fb810113 addi sp,sp,-72 # 80001014 +80000064: 00100e13 li t3,1 +80000068: 00000d93 li s11,0 +8000006c: 03be7db3 remu s11,t3,s11 +80000070: 01b12023 sw s11,0(sp) +80000074: 00100f13 li t5,1 +80000078: 00100e93 li t4,1 +8000007c: 03df7eb3 remu t4,t5,t4 +80000080: 01d12223 sw t4,4(sp) +80000084: 00100193 li gp,1 +80000088: fff00f93 li t6,-1 +8000008c: 03f1ffb3 remu t6,gp,t6 +80000090: 01f12423 sw t6,8(sp) +80000094: 00100413 li s0,1 +80000098: 80000237 lui tp,0x80000 +8000009c: fff20213 addi tp,tp,-1 # 7fffffff <_end+0xffffef2f> +800000a0: 02447233 remu tp,s0,tp +800000a4: 00412623 sw tp,12(sp) +800000a8: 00100593 li a1,1 +800000ac: 800004b7 lui s1,0x80000 +800000b0: 0295f4b3 remu s1,a1,s1 +800000b4: 00912823 sw s1,16(sp) +800000b8: 00001117 auipc sp,0x1 +800000bc: f7010113 addi sp,sp,-144 # 80001028 +800000c0: fff00693 li a3,-1 +800000c4: 00000613 li a2,0 +800000c8: 02c6f633 remu a2,a3,a2 +800000cc: 00c12023 sw a2,0(sp) +800000d0: fff00793 li a5,-1 +800000d4: 00100713 li a4,1 +800000d8: 02e7f733 remu a4,a5,a4 +800000dc: 00e12223 sw a4,4(sp) +800000e0: fff00893 li a7,-1 +800000e4: fff00813 li a6,-1 +800000e8: 0308f833 remu a6,a7,a6 +800000ec: 01012423 sw a6,8(sp) +800000f0: fff00993 li s3,-1 +800000f4: 80000937 lui s2,0x80000 +800000f8: fff90913 addi s2,s2,-1 # 7fffffff <_end+0xffffef2f> +800000fc: 0329f933 remu s2,s3,s2 +80000100: 01212623 sw s2,12(sp) +80000104: fff00a93 li s5,-1 +80000108: 80000a37 lui s4,0x80000 +8000010c: 034afa33 remu s4,s5,s4 +80000110: 01412823 sw s4,16(sp) +80000114: 00001117 auipc sp,0x1 +80000118: f2810113 addi sp,sp,-216 # 8000103c +8000011c: 80000bb7 lui s7,0x80000 +80000120: fffb8b93 addi s7,s7,-1 # 7fffffff <_end+0xffffef2f> +80000124: 00000b13 li s6,0 +80000128: 036bfb33 remu s6,s7,s6 +8000012c: 01612023 sw s6,0(sp) +80000130: 80000cb7 lui s9,0x80000 +80000134: fffc8c93 addi s9,s9,-1 # 7fffffff <_end+0xffffef2f> +80000138: 00100c13 li s8,1 +8000013c: 038cfc33 remu s8,s9,s8 +80000140: 01812223 sw s8,4(sp) +80000144: 80000db7 lui s11,0x80000 +80000148: fffd8d93 addi s11,s11,-1 # 7fffffff <_end+0xffffef2f> +8000014c: fff00d13 li s10,-1 +80000150: 03adfd33 remu s10,s11,s10 +80000154: 01a12423 sw s10,8(sp) +80000158: 80000eb7 lui t4,0x80000 +8000015c: fffe8e93 addi t4,t4,-1 # 7fffffff <_end+0xffffef2f> +80000160: 80000e37 lui t3,0x80000 +80000164: fffe0e13 addi t3,t3,-1 # 7fffffff <_end+0xffffef2f> +80000168: 03cefe33 remu t3,t4,t3 +8000016c: 01c12623 sw t3,12(sp) +80000170: 80000fb7 lui t6,0x80000 +80000174: ffff8f93 addi t6,t6,-1 # 7fffffff <_end+0xffffef2f> +80000178: 80000f37 lui t5,0x80000 +8000017c: 03efff33 remu t5,t6,t5 +80000180: 01e12823 sw t5,16(sp) +80000184: 00001117 auipc sp,0x1 +80000188: ecc10113 addi sp,sp,-308 # 80001050 +8000018c: 80000237 lui tp,0x80000 +80000190: 00000193 li gp,0 +80000194: 023271b3 remu gp,tp,gp +80000198: 00312023 sw gp,0(sp) +8000019c: 800004b7 lui s1,0x80000 +800001a0: 00100413 li s0,1 +800001a4: 0284f433 remu s0,s1,s0 +800001a8: 00812223 sw s0,4(sp) +800001ac: 80000637 lui a2,0x80000 +800001b0: fff00593 li a1,-1 +800001b4: 02b675b3 remu a1,a2,a1 +800001b8: 00b12423 sw a1,8(sp) +800001bc: 80000737 lui a4,0x80000 +800001c0: 800006b7 lui a3,0x80000 +800001c4: fff68693 addi a3,a3,-1 # 7fffffff <_end+0xffffef2f> +800001c8: 02d776b3 remu a3,a4,a3 +800001cc: 00d12623 sw a3,12(sp) +800001d0: 80000837 lui a6,0x80000 +800001d4: 800007b7 lui a5,0x80000 +800001d8: 02f877b3 remu a5,a6,a5 +800001dc: 00f12823 sw a5,16(sp) +800001e0: 00001517 auipc a0,0x1 +800001e4: e2050513 addi a0,a0,-480 # 80001000 +800001e8: 00001597 auipc a1,0x1 +800001ec: ee858593 addi a1,a1,-280 # 800010d0 <_end> +800001f0: f0100637 lui a2,0xf0100 +800001f4: f2c60613 addi a2,a2,-212 # f00fff2c <_end+0x700fee5c> + +800001f8 : +800001f8: 02b50663 beq a0,a1,80000224 +800001fc: 00c52683 lw a3,12(a0) +80000200: 00d62023 sw a3,0(a2) +80000204: 00852683 lw a3,8(a0) +80000208: 00d62023 sw a3,0(a2) +8000020c: 00452683 lw a3,4(a0) +80000210: 00d62023 sw a3,0(a2) +80000214: 00052683 lw a3,0(a0) +80000218: 00d62023 sw a3,0(a2) +8000021c: 01050513 addi a0,a0,16 +80000220: fd9ff06f j 800001f8 + +80000224 : +80000224: f0100537 lui a0,0xf0100 +80000228: f2050513 addi a0,a0,-224 # f00fff20 <_end+0x700fee50> +8000022c: 00052023 sw zero,0(a0) +80000230: 0000 unimp + ... + +Disassembly of section .data: + +80001000 : +80001000: ffff 0xffff +80001002: ffff 0xffff +80001004: ffff 0xffff +80001006: ffff 0xffff +80001008: ffff 0xffff +8000100a: ffff 0xffff +8000100c: ffff 0xffff +8000100e: ffff 0xffff +80001010: ffff 0xffff +80001012: ffff 0xffff + +80001014 : +80001014: ffff 0xffff +80001016: ffff 0xffff +80001018: ffff 0xffff +8000101a: ffff 0xffff +8000101c: ffff 0xffff +8000101e: ffff 0xffff +80001020: ffff 0xffff +80001022: ffff 0xffff +80001024: ffff 0xffff +80001026: ffff 0xffff + +80001028 : +80001028: ffff 0xffff +8000102a: ffff 0xffff +8000102c: ffff 0xffff +8000102e: ffff 0xffff +80001030: ffff 0xffff +80001032: ffff 0xffff +80001034: ffff 0xffff +80001036: ffff 0xffff +80001038: ffff 0xffff +8000103a: ffff 0xffff + +8000103c : +8000103c: ffff 0xffff +8000103e: ffff 0xffff +80001040: ffff 0xffff +80001042: ffff 0xffff +80001044: ffff 0xffff +80001046: ffff 0xffff +80001048: ffff 0xffff +8000104a: ffff 0xffff +8000104c: ffff 0xffff +8000104e: ffff 0xffff + +80001050 : +80001050: ffff 0xffff +80001052: ffff 0xffff +80001054: ffff 0xffff +80001056: ffff 0xffff +80001058: ffff 0xffff +8000105a: ffff 0xffff +8000105c: ffff 0xffff +8000105e: ffff 0xffff +80001060: ffff 0xffff +80001062: ffff 0xffff + +80001064 : +80001064: ffff 0xffff +80001066: ffff 0xffff +80001068: ffff 0xffff +8000106a: ffff 0xffff +8000106c: ffff 0xffff +8000106e: ffff 0xffff +80001070: ffff 0xffff +80001072: ffff 0xffff +80001074: ffff 0xffff +80001076: ffff 0xffff + +80001078 : +80001078: ffff 0xffff +8000107a: ffff 0xffff +8000107c: ffff 0xffff +8000107e: ffff 0xffff +80001080: ffff 0xffff +80001082: ffff 0xffff +80001084: ffff 0xffff +80001086: ffff 0xffff +80001088: ffff 0xffff +8000108a: ffff 0xffff + +8000108c : +8000108c: ffff 0xffff +8000108e: ffff 0xffff +80001090: ffff 0xffff +80001092: ffff 0xffff +80001094: ffff 0xffff +80001096: ffff 0xffff +80001098: ffff 0xffff +8000109a: ffff 0xffff +8000109c: ffff 0xffff +8000109e: ffff 0xffff + +800010a0 : +800010a0: ffff 0xffff +800010a2: ffff 0xffff +800010a4: ffff 0xffff +800010a6: ffff 0xffff +800010a8: ffff 0xffff +800010aa: ffff 0xffff +800010ac: ffff 0xffff +800010ae: ffff 0xffff +800010b0: ffff 0xffff +800010b2: ffff 0xffff + +800010b4 : +800010b4: ffff 0xffff +800010b6: ffff 0xffff +800010b8: ffff 0xffff +800010ba: ffff 0xffff +800010bc: ffff 0xffff +800010be: ffff 0xffff +800010c0: ffff 0xffff +800010c2: ffff 0xffff +800010c4: ffff 0xffff +800010c6: ffff 0xffff + ... diff --git a/VexRiscv/src/test/resources/asm/REMUW.elf.objdump b/VexRiscv/src/test/resources/asm/REMUW.elf.objdump new file mode 100644 index 0000000..deb88a2 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/REMUW.elf.objdump @@ -0,0 +1,460 @@ + +/home/spinalvm/hdl/riscv-compliance/work//REMUW.elf: file format elf64-littleriscv + + +Disassembly of section .text.init: + +0000000080000000 <_start>: + 80000000: 04c0006f j 8000004c + +0000000080000004 : + 80000004: 34202f73 csrr t5,mcause + 80000008: 00800f93 li t6,8 + 8000000c: 03ff0a63 beq t5,t6,80000040 + 80000010: 00900f93 li t6,9 + 80000014: 03ff0663 beq t5,t6,80000040 + 80000018: 00b00f93 li t6,11 + 8000001c: 03ff0263 beq t5,t6,80000040 + 80000020: 80000f17 auipc t5,0x80000 + 80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> + 80000028: 000f0463 beqz t5,80000030 + 8000002c: 000f0067 jr t5 + 80000030: 34202f73 csrr t5,mcause + 80000034: 000f5463 bgez t5,8000003c + 80000038: 0040006f j 8000003c + +000000008000003c : + 8000003c: 5391e193 ori gp,gp,1337 + +0000000080000040 : + 80000040: 00001f17 auipc t5,0x1 + 80000044: fc3f2023 sw gp,-64(t5) # 80001000 + 80000048: ff9ff06f j 80000040 + +000000008000004c : + 8000004c: f1402573 csrr a0,mhartid + 80000050: 00051063 bnez a0,80000050 + 80000054: 00000297 auipc t0,0x0 + 80000058: 01028293 addi t0,t0,16 # 80000064 + 8000005c: 30529073 csrw mtvec,t0 + 80000060: 18005073 csrwi satp,0 + 80000064: 00000297 auipc t0,0x0 + 80000068: 01c28293 addi t0,t0,28 # 80000080 + 8000006c: 30529073 csrw mtvec,t0 + 80000070: fff00293 li t0,-1 + 80000074: 3b029073 csrw pmpaddr0,t0 + 80000078: 01f00293 li t0,31 + 8000007c: 3a029073 csrw pmpcfg0,t0 + 80000080: 00000297 auipc t0,0x0 + 80000084: 01828293 addi t0,t0,24 # 80000098 + 80000088: 30529073 csrw mtvec,t0 + 8000008c: 30205073 csrwi medeleg,0 + 80000090: 30305073 csrwi mideleg,0 + 80000094: 30405073 csrwi mie,0 + 80000098: 00000193 li gp,0 + 8000009c: 00000297 auipc t0,0x0 + 800000a0: f6828293 addi t0,t0,-152 # 80000004 + 800000a4: 30529073 csrw mtvec,t0 + 800000a8: 00100513 li a0,1 + 800000ac: 01f51513 slli a0,a0,0x1f + 800000b0: 00055863 bgez a0,800000c0 + 800000b4: 00000013 nop + 800000b8: 00100193 li gp,1 + 800000bc: 00000073 ecall + 800000c0: 80000297 auipc t0,0x80000 + 800000c4: f4028293 addi t0,t0,-192 # 0 <_start-0x80000000> + 800000c8: 00028e63 beqz t0,800000e4 + 800000cc: 10529073 csrw stvec,t0 + 800000d0: 0000b2b7 lui t0,0xb + 800000d4: 1092829b addiw t0,t0,265 + 800000d8: 30229073 csrw medeleg,t0 + 800000dc: 30202373 csrr t1,medeleg + 800000e0: f4629ee3 bne t0,t1,8000003c + 800000e4: 30005073 csrwi mstatus,0 + 800000e8: 00002537 lui a0,0x2 + 800000ec: 8005051b addiw a0,a0,-2048 + 800000f0: 30052073 csrs mstatus,a0 + 800000f4: 00000297 auipc t0,0x0 + 800000f8: 01428293 addi t0,t0,20 # 80000108 + 800000fc: 34129073 csrw mepc,t0 + 80000100: f1402573 csrr a0,mhartid + 80000104: 30200073 mret + +0000000080000108 : + 80000108: 00002117 auipc sp,0x2 + 8000010c: ef810113 addi sp,sp,-264 # 80002000 + 80000110: 00000213 li tp,0 + 80000114: 00000193 li gp,0 + 80000118: 023271bb remuw gp,tp,gp + 8000011c: 00312023 sw gp,0(sp) + 80000120: 00000493 li s1,0 + 80000124: 00100413 li s0,1 + 80000128: 0284f43b remuw s0,s1,s0 + 8000012c: 00812423 sw s0,8(sp) + 80000130: 00000613 li a2,0 + 80000134: fff00593 li a1,-1 + 80000138: 02b675bb remuw a1,a2,a1 + 8000013c: 00b12823 sw a1,16(sp) + 80000140: 00000713 li a4,0 + 80000144: fff0069b addiw a3,zero,-1 + 80000148: 03f69693 slli a3,a3,0x3f + 8000014c: fff68693 addi a3,a3,-1 + 80000150: 02d776bb remuw a3,a4,a3 + 80000154: 00d12c23 sw a3,24(sp) + 80000158: 00000813 li a6,0 + 8000015c: fff0079b addiw a5,zero,-1 + 80000160: 03f79793 slli a5,a5,0x3f + 80000164: 02f877bb remuw a5,a6,a5 + 80000168: 02f12023 sw a5,32(sp) + 8000016c: 00002117 auipc sp,0x2 + 80000170: ebc10113 addi sp,sp,-324 # 80002028 + 80000174: 00100913 li s2,1 + 80000178: 00000893 li a7,0 + 8000017c: 031978bb remuw a7,s2,a7 + 80000180: 01112023 sw a7,0(sp) + 80000184: 00100a13 li s4,1 + 80000188: 00100993 li s3,1 + 8000018c: 033a79bb remuw s3,s4,s3 + 80000190: 01312423 sw s3,8(sp) + 80000194: 00100b13 li s6,1 + 80000198: fff00a93 li s5,-1 + 8000019c: 035b7abb remuw s5,s6,s5 + 800001a0: 01512823 sw s5,16(sp) + 800001a4: 00100c13 li s8,1 + 800001a8: fff00b9b addiw s7,zero,-1 + 800001ac: 03fb9b93 slli s7,s7,0x3f + 800001b0: fffb8b93 addi s7,s7,-1 + 800001b4: 037c7bbb remuw s7,s8,s7 + 800001b8: 01712c23 sw s7,24(sp) + 800001bc: 00100d13 li s10,1 + 800001c0: fff00c9b addiw s9,zero,-1 + 800001c4: 03fc9c93 slli s9,s9,0x3f + 800001c8: 039d7cbb remuw s9,s10,s9 + 800001cc: 03912023 sw s9,32(sp) + 800001d0: 00002117 auipc sp,0x2 + 800001d4: e8010113 addi sp,sp,-384 # 80002050 + 800001d8: fff00e13 li t3,-1 + 800001dc: 00000d93 li s11,0 + 800001e0: 03be7dbb remuw s11,t3,s11 + 800001e4: 01b12023 sw s11,0(sp) + 800001e8: fff00f13 li t5,-1 + 800001ec: 00100e93 li t4,1 + 800001f0: 03df7ebb remuw t4,t5,t4 + 800001f4: 01d12423 sw t4,8(sp) + 800001f8: fff00193 li gp,-1 + 800001fc: fff00f93 li t6,-1 + 80000200: 03f1ffbb remuw t6,gp,t6 + 80000204: 01f12823 sw t6,16(sp) + 80000208: fff00413 li s0,-1 + 8000020c: fff0021b addiw tp,zero,-1 + 80000210: 03f21213 slli tp,tp,0x3f + 80000214: fff20213 addi tp,tp,-1 + 80000218: 0244723b remuw tp,s0,tp + 8000021c: 00412c23 sw tp,24(sp) + 80000220: fff00593 li a1,-1 + 80000224: fff0049b addiw s1,zero,-1 + 80000228: 03f49493 slli s1,s1,0x3f + 8000022c: 0295f4bb remuw s1,a1,s1 + 80000230: 02912023 sw s1,32(sp) + 80000234: 00002117 auipc sp,0x2 + 80000238: e4410113 addi sp,sp,-444 # 80002078 + 8000023c: fff0069b addiw a3,zero,-1 + 80000240: 03f69693 slli a3,a3,0x3f + 80000244: fff68693 addi a3,a3,-1 + 80000248: 00000613 li a2,0 + 8000024c: 02c6f63b remuw a2,a3,a2 + 80000250: 00c12023 sw a2,0(sp) + 80000254: fff0079b addiw a5,zero,-1 + 80000258: 03f79793 slli a5,a5,0x3f + 8000025c: fff78793 addi a5,a5,-1 + 80000260: 00100713 li a4,1 + 80000264: 02e7f73b remuw a4,a5,a4 + 80000268: 00e12423 sw a4,8(sp) + 8000026c: fff0089b addiw a7,zero,-1 + 80000270: 03f89893 slli a7,a7,0x3f + 80000274: fff88893 addi a7,a7,-1 + 80000278: fff00813 li a6,-1 + 8000027c: 0308f83b remuw a6,a7,a6 + 80000280: 01012823 sw a6,16(sp) + 80000284: fff0099b addiw s3,zero,-1 + 80000288: 03f99993 slli s3,s3,0x3f + 8000028c: fff98993 addi s3,s3,-1 + 80000290: fff0091b addiw s2,zero,-1 + 80000294: 03f91913 slli s2,s2,0x3f + 80000298: fff90913 addi s2,s2,-1 + 8000029c: 0329f93b remuw s2,s3,s2 + 800002a0: 01212c23 sw s2,24(sp) + 800002a4: fff00a9b addiw s5,zero,-1 + 800002a8: 03fa9a93 slli s5,s5,0x3f + 800002ac: fffa8a93 addi s5,s5,-1 + 800002b0: fff00a1b addiw s4,zero,-1 + 800002b4: 03fa1a13 slli s4,s4,0x3f + 800002b8: 034afa3b remuw s4,s5,s4 + 800002bc: 03412023 sw s4,32(sp) + 800002c0: 00002117 auipc sp,0x2 + 800002c4: de010113 addi sp,sp,-544 # 800020a0 + 800002c8: fff00b9b addiw s7,zero,-1 + 800002cc: 03fb9b93 slli s7,s7,0x3f + 800002d0: 00000b13 li s6,0 + 800002d4: 036bfb3b remuw s6,s7,s6 + 800002d8: 01612023 sw s6,0(sp) + 800002dc: fff00c9b addiw s9,zero,-1 + 800002e0: 03fc9c93 slli s9,s9,0x3f + 800002e4: 00100c13 li s8,1 + 800002e8: 038cfc3b remuw s8,s9,s8 + 800002ec: 01812423 sw s8,8(sp) + 800002f0: fff00d9b addiw s11,zero,-1 + 800002f4: 03fd9d93 slli s11,s11,0x3f + 800002f8: fff00d13 li s10,-1 + 800002fc: 03adfd3b remuw s10,s11,s10 + 80000300: 01a12823 sw s10,16(sp) + 80000304: fff00e9b addiw t4,zero,-1 + 80000308: 03fe9e93 slli t4,t4,0x3f + 8000030c: fff00e1b addiw t3,zero,-1 + 80000310: 03fe1e13 slli t3,t3,0x3f + 80000314: fffe0e13 addi t3,t3,-1 + 80000318: 03cefe3b remuw t3,t4,t3 + 8000031c: 01c12c23 sw t3,24(sp) + 80000320: fff00f9b addiw t6,zero,-1 + 80000324: 03ff9f93 slli t6,t6,0x3f + 80000328: fff00f1b addiw t5,zero,-1 + 8000032c: 03ff1f13 slli t5,t5,0x3f + 80000330: 03efff3b remuw t5,t6,t5 + 80000334: 03e12023 sw t5,32(sp) + 80000338: 00000013 nop + 8000033c: 00100193 li gp,1 + 80000340: 00000073 ecall + +0000000080000344 : + 80000344: c0001073 unimp + ... + +Disassembly of section .tohost: + +0000000080001000 : + ... + +0000000080001100 : + ... + +Disassembly of section .data: + +0000000080002000 : + 80002000: ffff 0xffff + 80002002: ffff 0xffff + 80002004: 0000 unimp + 80002006: 0000 unimp + 80002008: ffff 0xffff + 8000200a: ffff 0xffff + 8000200c: 0000 unimp + 8000200e: 0000 unimp + 80002010: ffff 0xffff + 80002012: ffff 0xffff + 80002014: 0000 unimp + 80002016: 0000 unimp + 80002018: ffff 0xffff + 8000201a: ffff 0xffff + 8000201c: 0000 unimp + 8000201e: 0000 unimp + 80002020: ffff 0xffff + 80002022: ffff 0xffff + 80002024: 0000 unimp + ... + +0000000080002028 : + 80002028: ffff 0xffff + 8000202a: ffff 0xffff + 8000202c: 0000 unimp + 8000202e: 0000 unimp + 80002030: ffff 0xffff + 80002032: ffff 0xffff + 80002034: 0000 unimp + 80002036: 0000 unimp + 80002038: ffff 0xffff + 8000203a: ffff 0xffff + 8000203c: 0000 unimp + 8000203e: 0000 unimp + 80002040: ffff 0xffff + 80002042: ffff 0xffff + 80002044: 0000 unimp + 80002046: 0000 unimp + 80002048: ffff 0xffff + 8000204a: ffff 0xffff + 8000204c: 0000 unimp + ... + +0000000080002050 : + 80002050: ffff 0xffff + 80002052: ffff 0xffff + 80002054: 0000 unimp + 80002056: 0000 unimp + 80002058: ffff 0xffff + 8000205a: ffff 0xffff + 8000205c: 0000 unimp + 8000205e: 0000 unimp + 80002060: ffff 0xffff + 80002062: ffff 0xffff + 80002064: 0000 unimp + 80002066: 0000 unimp + 80002068: ffff 0xffff + 8000206a: ffff 0xffff + 8000206c: 0000 unimp + 8000206e: 0000 unimp + 80002070: ffff 0xffff + 80002072: ffff 0xffff + 80002074: 0000 unimp + ... + +0000000080002078 : + 80002078: ffff 0xffff + 8000207a: ffff 0xffff + 8000207c: 0000 unimp + 8000207e: 0000 unimp + 80002080: ffff 0xffff + 80002082: ffff 0xffff + 80002084: 0000 unimp + 80002086: 0000 unimp + 80002088: ffff 0xffff + 8000208a: ffff 0xffff + 8000208c: 0000 unimp + 8000208e: 0000 unimp + 80002090: ffff 0xffff + 80002092: ffff 0xffff + 80002094: 0000 unimp + 80002096: 0000 unimp + 80002098: ffff 0xffff + 8000209a: ffff 0xffff + 8000209c: 0000 unimp + ... + +00000000800020a0 : + 800020a0: ffff 0xffff + 800020a2: ffff 0xffff + 800020a4: 0000 unimp + 800020a6: 0000 unimp + 800020a8: ffff 0xffff + 800020aa: ffff 0xffff + 800020ac: 0000 unimp + 800020ae: 0000 unimp + 800020b0: ffff 0xffff + 800020b2: ffff 0xffff + 800020b4: 0000 unimp + 800020b6: 0000 unimp + 800020b8: ffff 0xffff + 800020ba: ffff 0xffff + 800020bc: 0000 unimp + 800020be: 0000 unimp + 800020c0: ffff 0xffff + 800020c2: ffff 0xffff + 800020c4: 0000 unimp + ... + +00000000800020c8 : + 800020c8: ffff 0xffff + 800020ca: ffff 0xffff + 800020cc: 0000 unimp + 800020ce: 0000 unimp + 800020d0: ffff 0xffff + 800020d2: ffff 0xffff + 800020d4: 0000 unimp + 800020d6: 0000 unimp + 800020d8: ffff 0xffff + 800020da: ffff 0xffff + 800020dc: 0000 unimp + 800020de: 0000 unimp + 800020e0: ffff 0xffff + 800020e2: ffff 0xffff + 800020e4: 0000 unimp + 800020e6: 0000 unimp + 800020e8: ffff 0xffff + 800020ea: ffff 0xffff + 800020ec: 0000 unimp + ... + +00000000800020f0 : + 800020f0: ffff 0xffff + 800020f2: ffff 0xffff + 800020f4: 0000 unimp + 800020f6: 0000 unimp + 800020f8: ffff 0xffff + 800020fa: ffff 0xffff + 800020fc: 0000 unimp + 800020fe: 0000 unimp + 80002100: ffff 0xffff + 80002102: ffff 0xffff + 80002104: 0000 unimp + 80002106: 0000 unimp + 80002108: ffff 0xffff + 8000210a: ffff 0xffff + 8000210c: 0000 unimp + 8000210e: 0000 unimp + 80002110: ffff 0xffff + 80002112: ffff 0xffff + 80002114: 0000 unimp + ... + +0000000080002118 : + 80002118: ffff 0xffff + 8000211a: ffff 0xffff + 8000211c: 0000 unimp + 8000211e: 0000 unimp + 80002120: ffff 0xffff + 80002122: ffff 0xffff + 80002124: 0000 unimp + 80002126: 0000 unimp + 80002128: ffff 0xffff + 8000212a: ffff 0xffff + 8000212c: 0000 unimp + 8000212e: 0000 unimp + 80002130: ffff 0xffff + 80002132: ffff 0xffff + 80002134: 0000 unimp + 80002136: 0000 unimp + 80002138: ffff 0xffff + 8000213a: ffff 0xffff + 8000213c: 0000 unimp + ... + +0000000080002140 : + 80002140: ffff 0xffff + 80002142: ffff 0xffff + 80002144: 0000 unimp + 80002146: 0000 unimp + 80002148: ffff 0xffff + 8000214a: ffff 0xffff + 8000214c: 0000 unimp + 8000214e: 0000 unimp + 80002150: ffff 0xffff + 80002152: ffff 0xffff + 80002154: 0000 unimp + 80002156: 0000 unimp + 80002158: ffff 0xffff + 8000215a: ffff 0xffff + 8000215c: 0000 unimp + 8000215e: 0000 unimp + 80002160: ffff 0xffff + 80002162: ffff 0xffff + 80002164: 0000 unimp + ... + +0000000080002168 : + 80002168: ffff 0xffff + 8000216a: ffff 0xffff + 8000216c: 0000 unimp + 8000216e: 0000 unimp + 80002170: ffff 0xffff + 80002172: ffff 0xffff + 80002174: 0000 unimp + 80002176: 0000 unimp + 80002178: ffff 0xffff + 8000217a: ffff 0xffff + 8000217c: 0000 unimp + 8000217e: 0000 unimp + 80002180: ffff 0xffff + 80002182: ffff 0xffff + 80002184: 0000 unimp + 80002186: 0000 unimp + 80002188: ffff 0xffff + 8000218a: ffff 0xffff + 8000218c: 0000 unimp + ... diff --git a/VexRiscv/src/test/resources/asm/REMW.elf.objdump b/VexRiscv/src/test/resources/asm/REMW.elf.objdump new file mode 100644 index 0000000..b5d64c5 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/REMW.elf.objdump @@ -0,0 +1,460 @@ + +/home/spinalvm/hdl/riscv-compliance/work//REMW.elf: file format elf64-littleriscv + + +Disassembly of section .text.init: + +0000000080000000 <_start>: + 80000000: 04c0006f j 8000004c + +0000000080000004 : + 80000004: 34202f73 csrr t5,mcause + 80000008: 00800f93 li t6,8 + 8000000c: 03ff0a63 beq t5,t6,80000040 + 80000010: 00900f93 li t6,9 + 80000014: 03ff0663 beq t5,t6,80000040 + 80000018: 00b00f93 li t6,11 + 8000001c: 03ff0263 beq t5,t6,80000040 + 80000020: 80000f17 auipc t5,0x80000 + 80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> + 80000028: 000f0463 beqz t5,80000030 + 8000002c: 000f0067 jr t5 + 80000030: 34202f73 csrr t5,mcause + 80000034: 000f5463 bgez t5,8000003c + 80000038: 0040006f j 8000003c + +000000008000003c : + 8000003c: 5391e193 ori gp,gp,1337 + +0000000080000040 : + 80000040: 00001f17 auipc t5,0x1 + 80000044: fc3f2023 sw gp,-64(t5) # 80001000 + 80000048: ff9ff06f j 80000040 + +000000008000004c : + 8000004c: f1402573 csrr a0,mhartid + 80000050: 00051063 bnez a0,80000050 + 80000054: 00000297 auipc t0,0x0 + 80000058: 01028293 addi t0,t0,16 # 80000064 + 8000005c: 30529073 csrw mtvec,t0 + 80000060: 18005073 csrwi satp,0 + 80000064: 00000297 auipc t0,0x0 + 80000068: 01c28293 addi t0,t0,28 # 80000080 + 8000006c: 30529073 csrw mtvec,t0 + 80000070: fff00293 li t0,-1 + 80000074: 3b029073 csrw pmpaddr0,t0 + 80000078: 01f00293 li t0,31 + 8000007c: 3a029073 csrw pmpcfg0,t0 + 80000080: 00000297 auipc t0,0x0 + 80000084: 01828293 addi t0,t0,24 # 80000098 + 80000088: 30529073 csrw mtvec,t0 + 8000008c: 30205073 csrwi medeleg,0 + 80000090: 30305073 csrwi mideleg,0 + 80000094: 30405073 csrwi mie,0 + 80000098: 00000193 li gp,0 + 8000009c: 00000297 auipc t0,0x0 + 800000a0: f6828293 addi t0,t0,-152 # 80000004 + 800000a4: 30529073 csrw mtvec,t0 + 800000a8: 00100513 li a0,1 + 800000ac: 01f51513 slli a0,a0,0x1f + 800000b0: 00055863 bgez a0,800000c0 + 800000b4: 00000013 nop + 800000b8: 00100193 li gp,1 + 800000bc: 00000073 ecall + 800000c0: 80000297 auipc t0,0x80000 + 800000c4: f4028293 addi t0,t0,-192 # 0 <_start-0x80000000> + 800000c8: 00028e63 beqz t0,800000e4 + 800000cc: 10529073 csrw stvec,t0 + 800000d0: 0000b2b7 lui t0,0xb + 800000d4: 1092829b addiw t0,t0,265 + 800000d8: 30229073 csrw medeleg,t0 + 800000dc: 30202373 csrr t1,medeleg + 800000e0: f4629ee3 bne t0,t1,8000003c + 800000e4: 30005073 csrwi mstatus,0 + 800000e8: 00002537 lui a0,0x2 + 800000ec: 8005051b addiw a0,a0,-2048 + 800000f0: 30052073 csrs mstatus,a0 + 800000f4: 00000297 auipc t0,0x0 + 800000f8: 01428293 addi t0,t0,20 # 80000108 + 800000fc: 34129073 csrw mepc,t0 + 80000100: f1402573 csrr a0,mhartid + 80000104: 30200073 mret + +0000000080000108 : + 80000108: 00002117 auipc sp,0x2 + 8000010c: ef810113 addi sp,sp,-264 # 80002000 + 80000110: 00000213 li tp,0 + 80000114: 00000193 li gp,0 + 80000118: 023261bb remw gp,tp,gp + 8000011c: 00312023 sw gp,0(sp) + 80000120: 00000493 li s1,0 + 80000124: 00100413 li s0,1 + 80000128: 0284e43b remw s0,s1,s0 + 8000012c: 00812423 sw s0,8(sp) + 80000130: 00000613 li a2,0 + 80000134: fff00593 li a1,-1 + 80000138: 02b665bb remw a1,a2,a1 + 8000013c: 00b12823 sw a1,16(sp) + 80000140: 00000713 li a4,0 + 80000144: fff0069b addiw a3,zero,-1 + 80000148: 03f69693 slli a3,a3,0x3f + 8000014c: fff68693 addi a3,a3,-1 + 80000150: 02d766bb remw a3,a4,a3 + 80000154: 00d12c23 sw a3,24(sp) + 80000158: 00000813 li a6,0 + 8000015c: fff0079b addiw a5,zero,-1 + 80000160: 03f79793 slli a5,a5,0x3f + 80000164: 02f867bb remw a5,a6,a5 + 80000168: 02f12023 sw a5,32(sp) + 8000016c: 00002117 auipc sp,0x2 + 80000170: ebc10113 addi sp,sp,-324 # 80002028 + 80000174: 00100913 li s2,1 + 80000178: 00000893 li a7,0 + 8000017c: 031968bb remw a7,s2,a7 + 80000180: 01112023 sw a7,0(sp) + 80000184: 00100a13 li s4,1 + 80000188: 00100993 li s3,1 + 8000018c: 033a69bb remw s3,s4,s3 + 80000190: 01312423 sw s3,8(sp) + 80000194: 00100b13 li s6,1 + 80000198: fff00a93 li s5,-1 + 8000019c: 035b6abb remw s5,s6,s5 + 800001a0: 01512823 sw s5,16(sp) + 800001a4: 00100c13 li s8,1 + 800001a8: fff00b9b addiw s7,zero,-1 + 800001ac: 03fb9b93 slli s7,s7,0x3f + 800001b0: fffb8b93 addi s7,s7,-1 + 800001b4: 037c6bbb remw s7,s8,s7 + 800001b8: 01712c23 sw s7,24(sp) + 800001bc: 00100d13 li s10,1 + 800001c0: fff00c9b addiw s9,zero,-1 + 800001c4: 03fc9c93 slli s9,s9,0x3f + 800001c8: 039d6cbb remw s9,s10,s9 + 800001cc: 03912023 sw s9,32(sp) + 800001d0: 00002117 auipc sp,0x2 + 800001d4: e8010113 addi sp,sp,-384 # 80002050 + 800001d8: fff00e13 li t3,-1 + 800001dc: 00000d93 li s11,0 + 800001e0: 03be6dbb remw s11,t3,s11 + 800001e4: 01b12023 sw s11,0(sp) + 800001e8: fff00f13 li t5,-1 + 800001ec: 00100e93 li t4,1 + 800001f0: 03df6ebb remw t4,t5,t4 + 800001f4: 01d12423 sw t4,8(sp) + 800001f8: fff00193 li gp,-1 + 800001fc: fff00f93 li t6,-1 + 80000200: 03f1efbb remw t6,gp,t6 + 80000204: 01f12823 sw t6,16(sp) + 80000208: fff00413 li s0,-1 + 8000020c: fff0021b addiw tp,zero,-1 + 80000210: 03f21213 slli tp,tp,0x3f + 80000214: fff20213 addi tp,tp,-1 + 80000218: 0244623b remw tp,s0,tp + 8000021c: 00412c23 sw tp,24(sp) + 80000220: fff00593 li a1,-1 + 80000224: fff0049b addiw s1,zero,-1 + 80000228: 03f49493 slli s1,s1,0x3f + 8000022c: 0295e4bb remw s1,a1,s1 + 80000230: 02912023 sw s1,32(sp) + 80000234: 00002117 auipc sp,0x2 + 80000238: e4410113 addi sp,sp,-444 # 80002078 + 8000023c: fff0069b addiw a3,zero,-1 + 80000240: 03f69693 slli a3,a3,0x3f + 80000244: fff68693 addi a3,a3,-1 + 80000248: 00000613 li a2,0 + 8000024c: 02c6e63b remw a2,a3,a2 + 80000250: 00c12023 sw a2,0(sp) + 80000254: fff0079b addiw a5,zero,-1 + 80000258: 03f79793 slli a5,a5,0x3f + 8000025c: fff78793 addi a5,a5,-1 + 80000260: 00100713 li a4,1 + 80000264: 02e7e73b remw a4,a5,a4 + 80000268: 00e12423 sw a4,8(sp) + 8000026c: fff0089b addiw a7,zero,-1 + 80000270: 03f89893 slli a7,a7,0x3f + 80000274: fff88893 addi a7,a7,-1 + 80000278: fff00813 li a6,-1 + 8000027c: 0308e83b remw a6,a7,a6 + 80000280: 01012823 sw a6,16(sp) + 80000284: fff0099b addiw s3,zero,-1 + 80000288: 03f99993 slli s3,s3,0x3f + 8000028c: fff98993 addi s3,s3,-1 + 80000290: fff0091b addiw s2,zero,-1 + 80000294: 03f91913 slli s2,s2,0x3f + 80000298: fff90913 addi s2,s2,-1 + 8000029c: 0329e93b remw s2,s3,s2 + 800002a0: 01212c23 sw s2,24(sp) + 800002a4: fff00a9b addiw s5,zero,-1 + 800002a8: 03fa9a93 slli s5,s5,0x3f + 800002ac: fffa8a93 addi s5,s5,-1 + 800002b0: fff00a1b addiw s4,zero,-1 + 800002b4: 03fa1a13 slli s4,s4,0x3f + 800002b8: 034aea3b remw s4,s5,s4 + 800002bc: 03412023 sw s4,32(sp) + 800002c0: 00002117 auipc sp,0x2 + 800002c4: de010113 addi sp,sp,-544 # 800020a0 + 800002c8: fff00b9b addiw s7,zero,-1 + 800002cc: 03fb9b93 slli s7,s7,0x3f + 800002d0: 00000b13 li s6,0 + 800002d4: 036beb3b remw s6,s7,s6 + 800002d8: 01612023 sw s6,0(sp) + 800002dc: fff00c9b addiw s9,zero,-1 + 800002e0: 03fc9c93 slli s9,s9,0x3f + 800002e4: 00100c13 li s8,1 + 800002e8: 038cec3b remw s8,s9,s8 + 800002ec: 01812423 sw s8,8(sp) + 800002f0: fff00d9b addiw s11,zero,-1 + 800002f4: 03fd9d93 slli s11,s11,0x3f + 800002f8: fff00d13 li s10,-1 + 800002fc: 03aded3b remw s10,s11,s10 + 80000300: 01a12823 sw s10,16(sp) + 80000304: fff00e9b addiw t4,zero,-1 + 80000308: 03fe9e93 slli t4,t4,0x3f + 8000030c: fff00e1b addiw t3,zero,-1 + 80000310: 03fe1e13 slli t3,t3,0x3f + 80000314: fffe0e13 addi t3,t3,-1 + 80000318: 03ceee3b remw t3,t4,t3 + 8000031c: 01c12c23 sw t3,24(sp) + 80000320: fff00f9b addiw t6,zero,-1 + 80000324: 03ff9f93 slli t6,t6,0x3f + 80000328: fff00f1b addiw t5,zero,-1 + 8000032c: 03ff1f13 slli t5,t5,0x3f + 80000330: 03efef3b remw t5,t6,t5 + 80000334: 03e12023 sw t5,32(sp) + 80000338: 00000013 nop + 8000033c: 00100193 li gp,1 + 80000340: 00000073 ecall + +0000000080000344 : + 80000344: c0001073 unimp + ... + +Disassembly of section .tohost: + +0000000080001000 : + ... + +0000000080001100 : + ... + +Disassembly of section .data: + +0000000080002000 : + 80002000: ffff 0xffff + 80002002: ffff 0xffff + 80002004: 0000 unimp + 80002006: 0000 unimp + 80002008: ffff 0xffff + 8000200a: ffff 0xffff + 8000200c: 0000 unimp + 8000200e: 0000 unimp + 80002010: ffff 0xffff + 80002012: ffff 0xffff + 80002014: 0000 unimp + 80002016: 0000 unimp + 80002018: ffff 0xffff + 8000201a: ffff 0xffff + 8000201c: 0000 unimp + 8000201e: 0000 unimp + 80002020: ffff 0xffff + 80002022: ffff 0xffff + 80002024: 0000 unimp + ... + +0000000080002028 : + 80002028: ffff 0xffff + 8000202a: ffff 0xffff + 8000202c: 0000 unimp + 8000202e: 0000 unimp + 80002030: ffff 0xffff + 80002032: ffff 0xffff + 80002034: 0000 unimp + 80002036: 0000 unimp + 80002038: ffff 0xffff + 8000203a: ffff 0xffff + 8000203c: 0000 unimp + 8000203e: 0000 unimp + 80002040: ffff 0xffff + 80002042: ffff 0xffff + 80002044: 0000 unimp + 80002046: 0000 unimp + 80002048: ffff 0xffff + 8000204a: ffff 0xffff + 8000204c: 0000 unimp + ... + +0000000080002050 : + 80002050: ffff 0xffff + 80002052: ffff 0xffff + 80002054: 0000 unimp + 80002056: 0000 unimp + 80002058: ffff 0xffff + 8000205a: ffff 0xffff + 8000205c: 0000 unimp + 8000205e: 0000 unimp + 80002060: ffff 0xffff + 80002062: ffff 0xffff + 80002064: 0000 unimp + 80002066: 0000 unimp + 80002068: ffff 0xffff + 8000206a: ffff 0xffff + 8000206c: 0000 unimp + 8000206e: 0000 unimp + 80002070: ffff 0xffff + 80002072: ffff 0xffff + 80002074: 0000 unimp + ... + +0000000080002078 : + 80002078: ffff 0xffff + 8000207a: ffff 0xffff + 8000207c: 0000 unimp + 8000207e: 0000 unimp + 80002080: ffff 0xffff + 80002082: ffff 0xffff + 80002084: 0000 unimp + 80002086: 0000 unimp + 80002088: ffff 0xffff + 8000208a: ffff 0xffff + 8000208c: 0000 unimp + 8000208e: 0000 unimp + 80002090: ffff 0xffff + 80002092: ffff 0xffff + 80002094: 0000 unimp + 80002096: 0000 unimp + 80002098: ffff 0xffff + 8000209a: ffff 0xffff + 8000209c: 0000 unimp + ... + +00000000800020a0 : + 800020a0: ffff 0xffff + 800020a2: ffff 0xffff + 800020a4: 0000 unimp + 800020a6: 0000 unimp + 800020a8: ffff 0xffff + 800020aa: ffff 0xffff + 800020ac: 0000 unimp + 800020ae: 0000 unimp + 800020b0: ffff 0xffff + 800020b2: ffff 0xffff + 800020b4: 0000 unimp + 800020b6: 0000 unimp + 800020b8: ffff 0xffff + 800020ba: ffff 0xffff + 800020bc: 0000 unimp + 800020be: 0000 unimp + 800020c0: ffff 0xffff + 800020c2: ffff 0xffff + 800020c4: 0000 unimp + ... + +00000000800020c8 : + 800020c8: ffff 0xffff + 800020ca: ffff 0xffff + 800020cc: 0000 unimp + 800020ce: 0000 unimp + 800020d0: ffff 0xffff + 800020d2: ffff 0xffff + 800020d4: 0000 unimp + 800020d6: 0000 unimp + 800020d8: ffff 0xffff + 800020da: ffff 0xffff + 800020dc: 0000 unimp + 800020de: 0000 unimp + 800020e0: ffff 0xffff + 800020e2: ffff 0xffff + 800020e4: 0000 unimp + 800020e6: 0000 unimp + 800020e8: ffff 0xffff + 800020ea: ffff 0xffff + 800020ec: 0000 unimp + ... + +00000000800020f0 : + 800020f0: ffff 0xffff + 800020f2: ffff 0xffff + 800020f4: 0000 unimp + 800020f6: 0000 unimp + 800020f8: ffff 0xffff + 800020fa: ffff 0xffff + 800020fc: 0000 unimp + 800020fe: 0000 unimp + 80002100: ffff 0xffff + 80002102: ffff 0xffff + 80002104: 0000 unimp + 80002106: 0000 unimp + 80002108: ffff 0xffff + 8000210a: ffff 0xffff + 8000210c: 0000 unimp + 8000210e: 0000 unimp + 80002110: ffff 0xffff + 80002112: ffff 0xffff + 80002114: 0000 unimp + ... + +0000000080002118 : + 80002118: ffff 0xffff + 8000211a: ffff 0xffff + 8000211c: 0000 unimp + 8000211e: 0000 unimp + 80002120: ffff 0xffff + 80002122: ffff 0xffff + 80002124: 0000 unimp + 80002126: 0000 unimp + 80002128: ffff 0xffff + 8000212a: ffff 0xffff + 8000212c: 0000 unimp + 8000212e: 0000 unimp + 80002130: ffff 0xffff + 80002132: ffff 0xffff + 80002134: 0000 unimp + 80002136: 0000 unimp + 80002138: ffff 0xffff + 8000213a: ffff 0xffff + 8000213c: 0000 unimp + ... + +0000000080002140 : + 80002140: ffff 0xffff + 80002142: ffff 0xffff + 80002144: 0000 unimp + 80002146: 0000 unimp + 80002148: ffff 0xffff + 8000214a: ffff 0xffff + 8000214c: 0000 unimp + 8000214e: 0000 unimp + 80002150: ffff 0xffff + 80002152: ffff 0xffff + 80002154: 0000 unimp + 80002156: 0000 unimp + 80002158: ffff 0xffff + 8000215a: ffff 0xffff + 8000215c: 0000 unimp + 8000215e: 0000 unimp + 80002160: ffff 0xffff + 80002162: ffff 0xffff + 80002164: 0000 unimp + ... + +0000000080002168 : + 80002168: ffff 0xffff + 8000216a: ffff 0xffff + 8000216c: 0000 unimp + 8000216e: 0000 unimp + 80002170: ffff 0xffff + 80002172: ffff 0xffff + 80002174: 0000 unimp + 80002176: 0000 unimp + 80002178: ffff 0xffff + 8000217a: ffff 0xffff + 8000217c: 0000 unimp + 8000217e: 0000 unimp + 80002180: ffff 0xffff + 80002182: ffff 0xffff + 80002184: 0000 unimp + 80002186: 0000 unimp + 80002188: ffff 0xffff + 8000218a: ffff 0xffff + 8000218c: 0000 unimp + ... diff --git a/VexRiscv/src/test/resources/asm/dhrystoneO3.asm b/VexRiscv/src/test/resources/asm/dhrystoneO3.asm new file mode 100644 index 0000000..e2a193d --- /dev/null +++ b/VexRiscv/src/test/resources/asm/dhrystoneO3.asm @@ -0,0 +1,3836 @@ + +build/dhrystone.elf: file format elf32-littleriscv + + +Disassembly of section .vector: + +80000000 : +.global crtStart +.global main +.global irqCallback + +crtStart: + j crtInit +80000000: 0b00006f j 800000b0 + nop +80000004: 00000013 nop + nop +80000008: 00000013 nop + nop +8000000c: 00000013 nop + nop +80000010: 00000013 nop + nop +80000014: 00000013 nop + nop +80000018: 00000013 nop + nop +8000001c: 00000013 nop + +80000020 : + +.global trap_entry +trap_entry: + sw x1, - 1*4(sp) +80000020: fe112e23 sw ra,-4(sp) + sw x5, - 2*4(sp) +80000024: fe512c23 sw t0,-8(sp) + sw x6, - 3*4(sp) +80000028: fe612a23 sw t1,-12(sp) + sw x7, - 4*4(sp) +8000002c: fe712823 sw t2,-16(sp) + sw x10, - 5*4(sp) +80000030: fea12623 sw a0,-20(sp) + sw x11, - 6*4(sp) +80000034: feb12423 sw a1,-24(sp) + sw x12, - 7*4(sp) +80000038: fec12223 sw a2,-28(sp) + sw x13, - 8*4(sp) +8000003c: fed12023 sw a3,-32(sp) + sw x14, - 9*4(sp) +80000040: fce12e23 sw a4,-36(sp) + sw x15, -10*4(sp) +80000044: fcf12c23 sw a5,-40(sp) + sw x16, -11*4(sp) +80000048: fd012a23 sw a6,-44(sp) + sw x17, -12*4(sp) +8000004c: fd112823 sw a7,-48(sp) + sw x28, -13*4(sp) +80000050: fdc12623 sw t3,-52(sp) + sw x29, -14*4(sp) +80000054: fdd12423 sw t4,-56(sp) + sw x30, -15*4(sp) +80000058: fde12223 sw t5,-60(sp) + sw x31, -16*4(sp) +8000005c: fdf12023 sw t6,-64(sp) + addi sp,sp,-16*4 +80000060: fc010113 addi sp,sp,-64 + call irqCallback +80000064: 0b4000ef jal ra,80000118 + lw x1 , 15*4(sp) +80000068: 03c12083 lw ra,60(sp) + lw x5, 14*4(sp) +8000006c: 03812283 lw t0,56(sp) + lw x6, 13*4(sp) +80000070: 03412303 lw t1,52(sp) + lw x7, 12*4(sp) +80000074: 03012383 lw t2,48(sp) + lw x10, 11*4(sp) +80000078: 02c12503 lw a0,44(sp) + lw x11, 10*4(sp) +8000007c: 02812583 lw a1,40(sp) + lw x12, 9*4(sp) +80000080: 02412603 lw a2,36(sp) + lw x13, 8*4(sp) +80000084: 02012683 lw a3,32(sp) + lw x14, 7*4(sp) +80000088: 01c12703 lw a4,28(sp) + lw x15, 6*4(sp) +8000008c: 01812783 lw a5,24(sp) + lw x16, 5*4(sp) +80000090: 01412803 lw a6,20(sp) + lw x17, 4*4(sp) +80000094: 01012883 lw a7,16(sp) + lw x28, 3*4(sp) +80000098: 00c12e03 lw t3,12(sp) + lw x29, 2*4(sp) +8000009c: 00812e83 lw t4,8(sp) + lw x30, 1*4(sp) +800000a0: 00412f03 lw t5,4(sp) + lw x31, 0*4(sp) +800000a4: 00012f83 lw t6,0(sp) + addi sp,sp,16*4 +800000a8: 04010113 addi sp,sp,64 + mret +800000ac: 30200073 mret + +800000b0 : + + +crtInit: + .option push + .option norelax + la gp, __global_pointer$ +800000b0: 00004197 auipc gp,0x4 +800000b4: 03018193 addi gp,gp,48 # 800040e0 <__global_pointer$> + .option pop + la sp, _stack_start +800000b8: 00007117 auipc sp,0x7 +800000bc: 85810113 addi sp,sp,-1960 # 80006910 <_stack_start> + +800000c0 : + +bss_init: + la a0, _bss_start +800000c0: 81c18513 addi a0,gp,-2020 # 800038fc + la a1, _bss_end +800000c4: 00006597 auipc a1,0x6 +800000c8: 44058593 addi a1,a1,1088 # 80006504 <_bss_end> + +800000cc : +bss_loop: + beq a0,a1,bss_done +800000cc: 00b50863 beq a0,a1,800000dc + sw zero,0(a0) +800000d0: 00052023 sw zero,0(a0) + add a0,a0,4 +800000d4: 00450513 addi a0,a0,4 + j bss_loop +800000d8: ff5ff06f j 800000cc + +800000dc : +bss_done: + +ctors_init: + la a0, _ctors_start +800000dc: 00004517 auipc a0,0x4 +800000e0: 80050513 addi a0,a0,-2048 # 800038dc <_ctors_end> + addi sp,sp,-4 +800000e4: ffc10113 addi sp,sp,-4 + +800000e8 : +ctors_loop: + la a1, _ctors_end +800000e8: 00003597 auipc a1,0x3 +800000ec: 7f458593 addi a1,a1,2036 # 800038dc <_ctors_end> + beq a0,a1,ctors_done +800000f0: 00b50e63 beq a0,a1,8000010c + lw a3,0(a0) +800000f4: 00052683 lw a3,0(a0) + add a0,a0,4 +800000f8: 00450513 addi a0,a0,4 + sw a0,0(sp) +800000fc: 00a12023 sw a0,0(sp) + jalr a3 +80000100: 000680e7 jalr a3 + lw a0,0(sp) +80000104: 00012503 lw a0,0(sp) + j ctors_loop +80000108: fe1ff06f j 800000e8 + +8000010c : +ctors_done: + addi sp,sp,4 +8000010c: 00410113 addi sp,sp,4 + //li a0, 0x880 //880 enable timer + external interrupts + //csrw mie,a0 + //li a0, 0x1808 //1808 enable interrupts + //csrw mstatus,a0 + + call main +80000110: 7f1020ef jal ra,80003100 + +80000114 : +infinitLoop: + j infinitLoop +80000114: 0000006f j 80000114 + +Disassembly of section .memory: + +80000118 : +} + + +void irqCallback(int irq){ + +} +80000118: 00008067 ret + +8000011c : + One_Fifty Int_Loc; + Enumeration Enum_Loc; + + Int_Loc = *Int_Par_Ref + 10; + do /* executed once */ + if (Ch_1_Glob == 'A') +8000011c: 8351c703 lbu a4,-1995(gp) # 80003915 +80000120: 04100793 li a5,65 +80000124: 00f70463 beq a4,a5,8000012c + Int_Loc -= 1; + *Int_Par_Ref = Int_Loc - Int_Glob; + Enum_Loc = Ident_1; + } /* if */ + while (Enum_Loc != Ident_1); /* true */ +} /* Proc_2 */ +80000128: 00008067 ret + Int_Loc -= 1; +8000012c: 00052783 lw a5,0(a0) + *Int_Par_Ref = Int_Loc - Int_Glob; +80000130: 83c1a703 lw a4,-1988(gp) # 8000391c + Int_Loc -= 1; +80000134: 00978793 addi a5,a5,9 + *Int_Par_Ref = Int_Loc - Int_Glob; +80000138: 40e787b3 sub a5,a5,a4 +8000013c: 00f52023 sw a5,0(a0) +} /* Proc_2 */ +80000140: 00008067 ret + +80000144 : + /* Ptr_Ref_Par becomes Ptr_Glob */ + +Rec_Pointer *Ptr_Ref_Par; + +{ + if (Ptr_Glob != Null) +80000144: 8441a603 lw a2,-1980(gp) # 80003924 +80000148: 00060863 beqz a2,80000158 + /* then, executed */ + *Ptr_Ref_Par = Ptr_Glob->Ptr_Comp; +8000014c: 00062703 lw a4,0(a2) +80000150: 00e52023 sw a4,0(a0) +80000154: 8441a603 lw a2,-1980(gp) # 80003924 + Proc_7 (10, Int_Glob, &Ptr_Glob->variant.var_1.Int_Comp); +80000158: 83c1a583 lw a1,-1988(gp) # 8000391c +8000015c: 00c60613 addi a2,a2,12 +80000160: 00a00513 li a0,10 +80000164: 0fd0006f j 80000a60 + +80000168 : +{ +80000168: ff010113 addi sp,sp,-16 +8000016c: 01212023 sw s2,0(sp) + structassign (*Ptr_Val_Par->Ptr_Comp, *Ptr_Glob); +80000170: 8441a783 lw a5,-1980(gp) # 80003924 +{ +80000174: 00812423 sw s0,8(sp) + REG Rec_Pointer Next_Record = Ptr_Val_Par->Ptr_Comp; +80000178: 00052403 lw s0,0(a0) + structassign (*Ptr_Val_Par->Ptr_Comp, *Ptr_Glob); +8000017c: 0007a703 lw a4,0(a5) +{ +80000180: 00912223 sw s1,4(sp) + structassign (*Ptr_Val_Par->Ptr_Comp, *Ptr_Glob); +80000184: 0047ae83 lw t4,4(a5) +80000188: 0087ae03 lw t3,8(a5) +8000018c: 0107a303 lw t1,16(a5) +80000190: 0147a883 lw a7,20(a5) +80000194: 0187a803 lw a6,24(a5) +80000198: 0207a583 lw a1,32(a5) +8000019c: 0247a603 lw a2,36(a5) +800001a0: 0287a683 lw a3,40(a5) +{ +800001a4: 00112623 sw ra,12(sp) +800001a8: 00050493 mv s1,a0 + structassign (*Ptr_Val_Par->Ptr_Comp, *Ptr_Glob); +800001ac: 01c7a503 lw a0,28(a5) +800001b0: 02c7a783 lw a5,44(a5) +800001b4: 00e42023 sw a4,0(s0) + Next_Record->Ptr_Comp = Ptr_Val_Par->Ptr_Comp; +800001b8: 0004a703 lw a4,0(s1) + structassign (*Ptr_Val_Par->Ptr_Comp, *Ptr_Glob); +800001bc: 00a42e23 sw a0,28(s0) +800001c0: 02f42623 sw a5,44(s0) +800001c4: 01d42223 sw t4,4(s0) + Ptr_Val_Par->variant.var_1.Int_Comp = 5; +800001c8: 00500793 li a5,5 + structassign (*Ptr_Val_Par->Ptr_Comp, *Ptr_Glob); +800001cc: 01c42423 sw t3,8(s0) +800001d0: 00642823 sw t1,16(s0) +800001d4: 01142a23 sw a7,20(s0) +800001d8: 01042c23 sw a6,24(s0) +800001dc: 02b42023 sw a1,32(s0) +800001e0: 02c42223 sw a2,36(s0) +800001e4: 02d42423 sw a3,40(s0) + Ptr_Val_Par->variant.var_1.Int_Comp = 5; +800001e8: 00f4a623 sw a5,12(s1) + = Ptr_Val_Par->variant.var_1.Int_Comp; +800001ec: 00f42623 sw a5,12(s0) + Next_Record->Ptr_Comp = Ptr_Val_Par->Ptr_Comp; +800001f0: 00e42023 sw a4,0(s0) + Proc_3 (&Next_Record->Ptr_Comp); +800001f4: 00040513 mv a0,s0 +800001f8: f4dff0ef jal ra,80000144 + if (Next_Record->Discr == Ident_1) +800001fc: 00442783 lw a5,4(s0) +80000200: 08078063 beqz a5,80000280 + structassign (*Ptr_Val_Par, *Ptr_Val_Par->Ptr_Comp); +80000204: 0004a783 lw a5,0(s1) +} /* Proc_1 */ +80000208: 00c12083 lw ra,12(sp) +8000020c: 00812403 lw s0,8(sp) + structassign (*Ptr_Val_Par, *Ptr_Val_Par->Ptr_Comp); +80000210: 0007af83 lw t6,0(a5) +80000214: 0047af03 lw t5,4(a5) +80000218: 0087ae83 lw t4,8(a5) +8000021c: 00c7ae03 lw t3,12(a5) +80000220: 0107a303 lw t1,16(a5) +80000224: 0147a883 lw a7,20(a5) +80000228: 0187a803 lw a6,24(a5) +8000022c: 01c7a583 lw a1,28(a5) +80000230: 0207a603 lw a2,32(a5) +80000234: 0247a683 lw a3,36(a5) +80000238: 0287a703 lw a4,40(a5) +8000023c: 02c7a783 lw a5,44(a5) +80000240: 01f4a023 sw t6,0(s1) +80000244: 01e4a223 sw t5,4(s1) +80000248: 01d4a423 sw t4,8(s1) +8000024c: 01c4a623 sw t3,12(s1) +80000250: 0064a823 sw t1,16(s1) +80000254: 0114aa23 sw a7,20(s1) +80000258: 0104ac23 sw a6,24(s1) +8000025c: 00b4ae23 sw a1,28(s1) +80000260: 02c4a023 sw a2,32(s1) +80000264: 02d4a223 sw a3,36(s1) +80000268: 02e4a423 sw a4,40(s1) +8000026c: 02f4a623 sw a5,44(s1) +} /* Proc_1 */ +80000270: 00012903 lw s2,0(sp) +80000274: 00412483 lw s1,4(sp) +80000278: 01010113 addi sp,sp,16 +8000027c: 00008067 ret + Proc_6 (Ptr_Val_Par->variant.var_1.Enum_Comp, +80000280: 0084a503 lw a0,8(s1) + Next_Record->variant.var_1.Int_Comp = 6; +80000284: 00600793 li a5,6 + Proc_6 (Ptr_Val_Par->variant.var_1.Enum_Comp, +80000288: 00840593 addi a1,s0,8 + Next_Record->variant.var_1.Int_Comp = 6; +8000028c: 00f42623 sw a5,12(s0) + Proc_6 (Ptr_Val_Par->variant.var_1.Enum_Comp, +80000290: 10d000ef jal ra,80000b9c + Next_Record->Ptr_Comp = Ptr_Glob->Ptr_Comp; +80000294: 8441a783 lw a5,-1980(gp) # 80003924 + Proc_7 (Next_Record->variant.var_1.Int_Comp, 10, +80000298: 00c42503 lw a0,12(s0) +8000029c: 00c40613 addi a2,s0,12 + Next_Record->Ptr_Comp = Ptr_Glob->Ptr_Comp; +800002a0: 0007a783 lw a5,0(a5) +} /* Proc_1 */ +800002a4: 00c12083 lw ra,12(sp) +800002a8: 00412483 lw s1,4(sp) + Next_Record->Ptr_Comp = Ptr_Glob->Ptr_Comp; +800002ac: 00f42023 sw a5,0(s0) +} /* Proc_1 */ +800002b0: 00812403 lw s0,8(sp) +800002b4: 00012903 lw s2,0(sp) + Proc_7 (Next_Record->variant.var_1.Int_Comp, 10, +800002b8: 00a00593 li a1,10 +} /* Proc_1 */ +800002bc: 01010113 addi sp,sp,16 + Proc_7 (Next_Record->variant.var_1.Int_Comp, 10, +800002c0: 7a00006f j 80000a60 + +800002c4 : +/*******/ + /* executed once */ +{ + Boolean Bool_Loc; + + Bool_Loc = Ch_1_Glob == 'A'; +800002c4: 8351c783 lbu a5,-1995(gp) # 80003915 + Bool_Glob = Bool_Loc | Bool_Glob; +800002c8: 8381a683 lw a3,-1992(gp) # 80003918 + Bool_Loc = Ch_1_Glob == 'A'; +800002cc: fbf78793 addi a5,a5,-65 +800002d0: 0017b793 seqz a5,a5 + Bool_Glob = Bool_Loc | Bool_Glob; +800002d4: 00d7e7b3 or a5,a5,a3 +800002d8: 82f1ac23 sw a5,-1992(gp) # 80003918 + Ch_2_Glob = 'B'; +800002dc: 04200713 li a4,66 +800002e0: 82e18a23 sb a4,-1996(gp) # 80003914 +} /* Proc_4 */ +800002e4: 00008067 ret + +800002e8 : + +Proc_5 () /* without parameters */ +/*******/ + /* executed once */ +{ + Ch_1_Glob = 'A'; +800002e8: 04100713 li a4,65 +800002ec: 82e18aa3 sb a4,-1995(gp) # 80003915 + Bool_Glob = false; +800002f0: 8201ac23 sw zero,-1992(gp) # 80003918 +} /* Proc_5 */ +800002f4: 00008067 ret + +800002f8 : +{ +800002f8: f6010113 addi sp,sp,-160 + Next_Ptr_Glob = (Rec_Pointer) malloc (sizeof (Rec_Type)); +800002fc: 03000513 li a0,48 +{ +80000300: 08112e23 sw ra,156(sp) +80000304: 08812c23 sw s0,152(sp) +80000308: 07812c23 sw s8,120(sp) +8000030c: 07b12623 sw s11,108(sp) +80000310: 08912a23 sw s1,148(sp) +80000314: 09212823 sw s2,144(sp) +80000318: 09312623 sw s3,140(sp) +8000031c: 09412423 sw s4,136(sp) +80000320: 09512223 sw s5,132(sp) +80000324: 09612023 sw s6,128(sp) +80000328: 07712e23 sw s7,124(sp) +8000032c: 07912a23 sw s9,116(sp) +80000330: 07a12823 sw s10,112(sp) + Next_Ptr_Glob = (Rec_Pointer) malloc (sizeof (Rec_Type)); +80000334: 1f5000ef jal ra,80000d28 +80000338: 84a1a023 sw a0,-1984(gp) # 80003920 + Ptr_Glob = (Rec_Pointer) malloc (sizeof (Rec_Type)); +8000033c: 03000513 li a0,48 +80000340: 1e9000ef jal ra,80000d28 + Ptr_Glob->Ptr_Comp = Next_Ptr_Glob; +80000344: 8401a783 lw a5,-1984(gp) # 80003920 + Ptr_Glob = (Rec_Pointer) malloc (sizeof (Rec_Type)); +80000348: 84a1a223 sw a0,-1980(gp) # 80003924 + Ptr_Glob->Ptr_Comp = Next_Ptr_Glob; +8000034c: 00f52023 sw a5,0(a0) + Ptr_Glob->variant.var_1.Enum_Comp = Ident_3; +80000350: 00200793 li a5,2 +80000354: 00f52423 sw a5,8(a0) + strcpy (Ptr_Glob->variant.var_1.Str_Comp, +80000358: 800035b7 lui a1,0x80003 + Ptr_Glob->variant.var_1.Int_Comp = 40; +8000035c: 02800793 li a5,40 +80000360: 00f52623 sw a5,12(a0) + strcpy (Ptr_Glob->variant.var_1.Str_Comp, +80000364: 01f00613 li a2,31 +80000368: 12458593 addi a1,a1,292 # 80003124 <_stack_start+0xffffc814> + Ptr_Glob->Discr = Ident_1; +8000036c: 00052223 sw zero,4(a0) + strcpy (Ptr_Glob->variant.var_1.Str_Comp, +80000370: 01050513 addi a0,a0,16 +80000374: 34d000ef jal ra,80000ec0 + strcpy (Str_1_Loc, "DHRYSTONE PROGRAM, 1'ST STRING"); +80000378: 80003737 lui a4,0x80003 +8000037c: 68c70793 addi a5,a4,1676 # 8000368c <_stack_start+0xffffcd7c> +80000380: 68c72e03 lw t3,1676(a4) +80000384: 0047a303 lw t1,4(a5) +80000388: 0087a883 lw a7,8(a5) +8000038c: 00c7a803 lw a6,12(a5) +80000390: 0107a583 lw a1,16(a5) +80000394: 0147a603 lw a2,20(a5) +80000398: 0187a683 lw a3,24(a5) +8000039c: 01c7d703 lhu a4,28(a5) +800003a0: 01e7c783 lbu a5,30(a5) + Arr_2_Glob [8][7] = 10; +800003a4: 80004db7 lui s11,0x80004 + strcpy (Str_1_Loc, "DHRYSTONE PROGRAM, 1'ST STRING"); +800003a8: 02e11e23 sh a4,60(sp) +800003ac: 02f10f23 sb a5,62(sp) + Arr_2_Glob [8][7] = 10; +800003b0: 9f4d8713 addi a4,s11,-1548 # 800039f4 <_stack_start+0xffffd0e4> +800003b4: 00a00793 li a5,10 + printf ("\n"); +800003b8: 00a00513 li a0,10 + Arr_2_Glob [8][7] = 10; +800003bc: 64f72e23 sw a5,1628(a4) + strcpy (Str_1_Loc, "DHRYSTONE PROGRAM, 1'ST STRING"); +800003c0: 03c12023 sw t3,32(sp) +800003c4: 02612223 sw t1,36(sp) +800003c8: 03112423 sw a7,40(sp) +800003cc: 03012623 sw a6,44(sp) +800003d0: 02b12823 sw a1,48(sp) +800003d4: 02c12a23 sw a2,52(sp) +800003d8: 02d12c23 sw a3,56(sp) + printf ("\n"); +800003dc: 2cd000ef jal ra,80000ea8 + printf ("Dhrystone Benchmark, Version 2.1 (Language: C)\n"); +800003e0: 80003537 lui a0,0x80003 +800003e4: 14450513 addi a0,a0,324 # 80003144 <_stack_start+0xffffc834> +800003e8: 27d000ef jal ra,80000e64 + printf ("\n"); +800003ec: 00a00513 li a0,10 +800003f0: 2b9000ef jal ra,80000ea8 + if (Reg) +800003f4: 8301a783 lw a5,-2000(gp) # 80003910 +800003f8: 62078063 beqz a5,80000a18 + printf ("Program compiled with 'register' attribute\n"); +800003fc: 80003537 lui a0,0x80003 +80000400: 17450513 addi a0,a0,372 # 80003174 <_stack_start+0xffffc864> +80000404: 261000ef jal ra,80000e64 + printf ("\n"); +80000408: 00a00513 li a0,10 +8000040c: 29d000ef jal ra,80000ea8 + printf ("Please give the number of runs through the benchmark: "); +80000410: 80003537 lui a0,0x80003 +80000414: 1d050513 addi a0,a0,464 # 800031d0 <_stack_start+0xffffc8c0> +80000418: 139000ef jal ra,80000d50 + printf ("\n"); +8000041c: 00a00513 li a0,10 +80000420: 289000ef jal ra,80000ea8 + printf ("Execution starts, %d runs through Dhrystone\n", Number_Of_Runs); +80000424: 80003537 lui a0,0x80003 +80000428: 0c800593 li a1,200 +8000042c: 20850513 addi a0,a0,520 # 80003208 <_stack_start+0xffffc8f8> +80000430: 121000ef jal ra,80000d50 + Begin_Time = clock(); +80000434: 281000ef jal ra,80000eb4 +80000438: 80003437 lui s0,0x80003 + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 2'ND STRING"); +8000043c: 6ac42783 lw a5,1708(s0) # 800036ac <_stack_start+0xffffcd9c> + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 3'RD STRING"); +80000440: 80003d37 lui s10,0x80003 +80000444: 6ccd2b83 lw s7,1740(s10) # 800036cc <_stack_start+0xffffcdbc> + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 2'ND STRING"); +80000448: 00f12423 sw a5,8(sp) + Begin_Time = clock(); +8000044c: 82a1a623 sw a0,-2004(gp) # 8000390c + for (Run_Index = 1; Run_Index <= Number_Of_Runs; ++Run_Index) +80000450: 00100993 li s3,1 +80000454: 6ac40413 addi s0,s0,1708 + Int_1_Loc = 2; +80000458: 00200493 li s1,2 + Proc_5(); +8000045c: e8dff0ef jal ra,800002e8 + Proc_4(); +80000460: e65ff0ef jal ra,800002c4 + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 2'ND STRING"); +80000464: 01e44783 lbu a5,30(s0) +80000468: 01442603 lw a2,20(s0) +8000046c: 00442e03 lw t3,4(s0) +80000470: 00842303 lw t1,8(s0) +80000474: 00c42883 lw a7,12(s0) +80000478: 01042803 lw a6,16(s0) +8000047c: 01842683 lw a3,24(s0) +80000480: 01c45703 lhu a4,28(s0) +80000484: 00812e83 lw t4,8(sp) +80000488: 04f10f23 sb a5,94(sp) + Bool_Glob = ! Func_2 (Str_1_Loc, Str_2_Loc); +8000048c: 04010593 addi a1,sp,64 + Enum_Loc = Ident_2; +80000490: 00100793 li a5,1 + Bool_Glob = ! Func_2 (Str_1_Loc, Str_2_Loc); +80000494: 02010513 addi a0,sp,32 + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 2'ND STRING"); +80000498: 04c12a23 sw a2,84(sp) + Enum_Loc = Ident_2; +8000049c: 00f12e23 sw a5,28(sp) + Int_1_Loc = 2; +800004a0: 00912a23 sw s1,20(sp) + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 2'ND STRING"); +800004a4: 05d12023 sw t4,64(sp) +800004a8: 05c12223 sw t3,68(sp) +800004ac: 04612423 sw t1,72(sp) +800004b0: 05112623 sw a7,76(sp) +800004b4: 05012823 sw a6,80(sp) +800004b8: 04d12c23 sw a3,88(sp) +800004bc: 04e11e23 sh a4,92(sp) + Bool_Glob = ! Func_2 (Str_1_Loc, Str_2_Loc); +800004c0: 670000ef jal ra,80000b30 + while (Int_1_Loc < Int_2_Loc) /* loop body executed once */ +800004c4: 01412603 lw a2,20(sp) + Bool_Glob = ! Func_2 (Str_1_Loc, Str_2_Loc); +800004c8: 00153513 seqz a0,a0 +800004cc: 82a1ac23 sw a0,-1992(gp) # 80003918 + while (Int_1_Loc < Int_2_Loc) /* loop body executed once */ +800004d0: 02c4ca63 blt s1,a2,80000504 + Int_3_Loc = 5 * Int_1_Loc - Int_2_Loc; +800004d4: 00261793 slli a5,a2,0x2 +800004d8: 00c787b3 add a5,a5,a2 +800004dc: ffd78793 addi a5,a5,-3 + Proc_7 (Int_1_Loc, Int_2_Loc, &Int_3_Loc); +800004e0: 00060513 mv a0,a2 +800004e4: 00300593 li a1,3 +800004e8: 01810613 addi a2,sp,24 + Int_3_Loc = 5 * Int_1_Loc - Int_2_Loc; +800004ec: 00f12c23 sw a5,24(sp) + Proc_7 (Int_1_Loc, Int_2_Loc, &Int_3_Loc); +800004f0: 570000ef jal ra,80000a60 + Int_1_Loc += 1; +800004f4: 01412603 lw a2,20(sp) +800004f8: 00160613 addi a2,a2,1 +800004fc: 00c12a23 sw a2,20(sp) + while (Int_1_Loc < Int_2_Loc) /* loop body executed once */ +80000500: fcc4dae3 ble a2,s1,800004d4 + Proc_8 (Arr_1_Glob, Arr_2_Glob, Int_1_Loc, Int_3_Loc); +80000504: 01812683 lw a3,24(sp) +80000508: 84c18513 addi a0,gp,-1972 # 8000392c +8000050c: 9f4d8593 addi a1,s11,-1548 +80000510: 560000ef jal ra,80000a70 + Proc_1 (Ptr_Glob); +80000514: 8441a503 lw a0,-1980(gp) # 80003924 + for (Ch_Index = 'A'; Ch_Index <= Ch_2_Glob; ++Ch_Index) +80000518: 04100a93 li s5,65 + Int_2_Loc = 3; +8000051c: 00300a13 li s4,3 + Proc_1 (Ptr_Glob); +80000520: c49ff0ef jal ra,80000168 + for (Ch_Index = 'A'; Ch_Index <= Ch_2_Glob; ++Ch_Index) +80000524: 8341c703 lbu a4,-1996(gp) # 80003914 +80000528: 04000793 li a5,64 + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 3'RD STRING"); +8000052c: 6ccd0c93 addi s9,s10,1740 + for (Ch_Index = 'A'; Ch_Index <= Ch_2_Glob; ++Ch_Index) +80000530: 02e7f463 bleu a4,a5,80000558 + if (Enum_Loc == Func_1 (Ch_Index, 'C')) +80000534: 000a8513 mv a0,s5 +80000538: 04300593 li a1,67 +8000053c: 5d4000ef jal ra,80000b10 +80000540: 01c12783 lw a5,28(sp) + for (Ch_Index = 'A'; Ch_Index <= Ch_2_Glob; ++Ch_Index) +80000544: 001a8713 addi a4,s5,1 + if (Enum_Loc == Func_1 (Ch_Index, 'C')) +80000548: 46f50263 beq a0,a5,800009ac + for (Ch_Index = 'A'; Ch_Index <= Ch_2_Glob; ++Ch_Index) +8000054c: 8341c783 lbu a5,-1996(gp) # 80003914 +80000550: 0ff77a93 andi s5,a4,255 +80000554: ff57f0e3 bleu s5,a5,80000534 + Int_2_Loc = Int_2_Loc * Int_1_Loc; +80000558: 01412583 lw a1,20(sp) +8000055c: 000a0513 mv a0,s4 + for (Run_Index = 1; Run_Index <= Number_Of_Runs; ++Run_Index) +80000560: 00198993 addi s3,s3,1 + Int_2_Loc = Int_2_Loc * Int_1_Loc; +80000564: 279020ef jal ra,80002fdc <__mulsi3> + Int_1_Loc = Int_2_Loc / Int_3_Loc; +80000568: 01812a83 lw s5,24(sp) + Int_2_Loc = Int_2_Loc * Int_1_Loc; +8000056c: 00a12623 sw a0,12(sp) + Int_1_Loc = Int_2_Loc / Int_3_Loc; +80000570: 000a8593 mv a1,s5 +80000574: 28d020ef jal ra,80003000 <__divsi3> +80000578: 00050a13 mv s4,a0 + Proc_2 (&Int_1_Loc); +8000057c: 01410513 addi a0,sp,20 + Int_1_Loc = Int_2_Loc / Int_3_Loc; +80000580: 01412a23 sw s4,20(sp) + Proc_2 (&Int_1_Loc); +80000584: b99ff0ef jal ra,8000011c + for (Run_Index = 1; Run_Index <= Number_Of_Runs; ++Run_Index) +80000588: 0c900793 li a5,201 +8000058c: ecf998e3 bne s3,a5,8000045c + End_Time = clock(); +80000590: 125000ef jal ra,80000eb4 +80000594: 82a1a423 sw a0,-2008(gp) # 80003908 + printf ("Execution ends\n"); +80000598: 80003537 lui a0,0x80003 +8000059c: 23850513 addi a0,a0,568 # 80003238 <_stack_start+0xffffc928> +800005a0: 0c5000ef jal ra,80000e64 + printf ("\n"); +800005a4: 00a00513 li a0,10 +800005a8: 101000ef jal ra,80000ea8 + printf ("Final values of the variables used in the benchmark:\n"); +800005ac: 80003537 lui a0,0x80003 +800005b0: 24850513 addi a0,a0,584 # 80003248 <_stack_start+0xffffc938> +800005b4: 0b1000ef jal ra,80000e64 + printf ("\n"); +800005b8: 00a00513 li a0,10 +800005bc: 0ed000ef jal ra,80000ea8 + printf ("Int_Glob: %d\n", Int_Glob); +800005c0: 83c1a583 lw a1,-1988(gp) # 8000391c +800005c4: 80003537 lui a0,0x80003 +800005c8: 28050513 addi a0,a0,640 # 80003280 <_stack_start+0xffffc970> + printf (" should be: %d\n", 5); +800005cc: 80003437 lui s0,0x80003 + printf ("Int_Glob: %d\n", Int_Glob); +800005d0: 780000ef jal ra,80000d50 + printf (" should be: %d\n", 5); +800005d4: 00500593 li a1,5 +800005d8: 29c40513 addi a0,s0,668 # 8000329c <_stack_start+0xffffc98c> +800005dc: 774000ef jal ra,80000d50 + printf ("Bool_Glob: %d\n", Bool_Glob); +800005e0: 8381a583 lw a1,-1992(gp) # 80003918 +800005e4: 80003537 lui a0,0x80003 +800005e8: 2b850513 addi a0,a0,696 # 800032b8 <_stack_start+0xffffc9a8> +800005ec: 764000ef jal ra,80000d50 + printf (" should be: %d\n", 1); +800005f0: 00100593 li a1,1 +800005f4: 29c40513 addi a0,s0,668 +800005f8: 758000ef jal ra,80000d50 + printf ("Ch_1_Glob: %c\n", Ch_1_Glob); +800005fc: 8351c583 lbu a1,-1995(gp) # 80003915 +80000600: 80003537 lui a0,0x80003 +80000604: 2d450513 addi a0,a0,724 # 800032d4 <_stack_start+0xffffc9c4> +80000608: 748000ef jal ra,80000d50 + printf (" should be: %c\n", 'A'); +8000060c: 800034b7 lui s1,0x80003 +80000610: 04100593 li a1,65 +80000614: 2f048513 addi a0,s1,752 # 800032f0 <_stack_start+0xffffc9e0> +80000618: 738000ef jal ra,80000d50 + printf ("Ch_2_Glob: %c\n", Ch_2_Glob); +8000061c: 8341c583 lbu a1,-1996(gp) # 80003914 +80000620: 80003537 lui a0,0x80003 +80000624: 30c50513 addi a0,a0,780 # 8000330c <_stack_start+0xffffc9fc> +80000628: 728000ef jal ra,80000d50 + printf (" should be: %c\n", 'B'); +8000062c: 04200593 li a1,66 +80000630: 2f048513 addi a0,s1,752 +80000634: 71c000ef jal ra,80000d50 + printf ("Arr_1_Glob[8]: %d\n", Arr_1_Glob[8]); +80000638: 84c18793 addi a5,gp,-1972 # 8000392c +8000063c: 0207a583 lw a1,32(a5) +80000640: 80003537 lui a0,0x80003 +80000644: 32850513 addi a0,a0,808 # 80003328 <_stack_start+0xffffca18> +80000648: 708000ef jal ra,80000d50 + printf (" should be: %d\n", 7); +8000064c: 00700593 li a1,7 +80000650: 29c40513 addi a0,s0,668 +80000654: 6fc000ef jal ra,80000d50 + printf ("Arr_2_Glob[8][7]: %d\n", Arr_2_Glob[8][7]); +80000658: 800047b7 lui a5,0x80004 +8000065c: 9f478793 addi a5,a5,-1548 # 800039f4 <_stack_start+0xffffd0e4> +80000660: 65c7a583 lw a1,1628(a5) +80000664: 80003537 lui a0,0x80003 +80000668: 34450513 addi a0,a0,836 # 80003344 <_stack_start+0xffffca34> +8000066c: 6e4000ef jal ra,80000d50 + printf (" should be: Number_Of_Runs + 10\n"); +80000670: 80003537 lui a0,0x80003 +80000674: 36050513 addi a0,a0,864 # 80003360 <_stack_start+0xffffca50> +80000678: 7ec000ef jal ra,80000e64 + printf ("Ptr_Glob->\n"); +8000067c: 80003537 lui a0,0x80003 +80000680: 38c50513 addi a0,a0,908 # 8000338c <_stack_start+0xffffca7c> +80000684: 7e0000ef jal ra,80000e64 + printf (" Ptr_Comp: %d\n", (int) Ptr_Glob->Ptr_Comp); +80000688: 8441a783 lw a5,-1980(gp) # 80003924 +8000068c: 80003db7 lui s11,0x80003 +80000690: 398d8513 addi a0,s11,920 # 80003398 <_stack_start+0xffffca88> +80000694: 0007a583 lw a1,0(a5) + printf (" Discr: %d\n", Ptr_Glob->Discr); +80000698: 80003cb7 lui s9,0x80003 + printf (" Enum_Comp: %d\n", Ptr_Glob->variant.var_1.Enum_Comp); +8000069c: 80003bb7 lui s7,0x80003 + printf (" Ptr_Comp: %d\n", (int) Ptr_Glob->Ptr_Comp); +800006a0: 6b0000ef jal ra,80000d50 + printf (" should be: (implementation-dependent)\n"); +800006a4: 80003537 lui a0,0x80003 +800006a8: 3b450513 addi a0,a0,948 # 800033b4 <_stack_start+0xffffcaa4> +800006ac: 7b8000ef jal ra,80000e64 + printf (" Discr: %d\n", Ptr_Glob->Discr); +800006b0: 8441a783 lw a5,-1980(gp) # 80003924 +800006b4: 3e4c8513 addi a0,s9,996 # 800033e4 <_stack_start+0xffffcad4> + printf (" Int_Comp: %d\n", Ptr_Glob->variant.var_1.Int_Comp); +800006b8: 80003b37 lui s6,0x80003 + printf (" Discr: %d\n", Ptr_Glob->Discr); +800006bc: 0047a583 lw a1,4(a5) + printf (" Str_Comp: %s\n", Ptr_Glob->variant.var_1.Str_Comp); +800006c0: 800039b7 lui s3,0x80003 + printf (" should be: DHRYSTONE PROGRAM, SOME STRING\n"); +800006c4: 80003937 lui s2,0x80003 + printf (" Discr: %d\n", Ptr_Glob->Discr); +800006c8: 688000ef jal ra,80000d50 + printf (" should be: %d\n", 0); +800006cc: 00000593 li a1,0 +800006d0: 29c40513 addi a0,s0,668 +800006d4: 67c000ef jal ra,80000d50 + printf (" Enum_Comp: %d\n", Ptr_Glob->variant.var_1.Enum_Comp); +800006d8: 8441a783 lw a5,-1980(gp) # 80003924 +800006dc: 400b8513 addi a0,s7,1024 # 80003400 <_stack_start+0xffffcaf0> +800006e0: 0087a583 lw a1,8(a5) +800006e4: 66c000ef jal ra,80000d50 + printf (" should be: %d\n", 2); +800006e8: 00200593 li a1,2 +800006ec: 29c40513 addi a0,s0,668 +800006f0: 660000ef jal ra,80000d50 + printf (" Int_Comp: %d\n", Ptr_Glob->variant.var_1.Int_Comp); +800006f4: 8441a783 lw a5,-1980(gp) # 80003924 +800006f8: 41cb0513 addi a0,s6,1052 # 8000341c <_stack_start+0xffffcb0c> +800006fc: 00c7a583 lw a1,12(a5) +80000700: 650000ef jal ra,80000d50 + printf (" should be: %d\n", 17); +80000704: 01100593 li a1,17 +80000708: 29c40513 addi a0,s0,668 +8000070c: 644000ef jal ra,80000d50 + printf (" Str_Comp: %s\n", Ptr_Glob->variant.var_1.Str_Comp); +80000710: 8441a583 lw a1,-1980(gp) # 80003924 +80000714: 43898513 addi a0,s3,1080 # 80003438 <_stack_start+0xffffcb28> +80000718: 01058593 addi a1,a1,16 +8000071c: 634000ef jal ra,80000d50 + printf (" should be: DHRYSTONE PROGRAM, SOME STRING\n"); +80000720: 45490513 addi a0,s2,1108 # 80003454 <_stack_start+0xffffcb44> +80000724: 740000ef jal ra,80000e64 + printf ("Next_Ptr_Glob->\n"); +80000728: 80003537 lui a0,0x80003 +8000072c: 48850513 addi a0,a0,1160 # 80003488 <_stack_start+0xffffcb78> +80000730: 734000ef jal ra,80000e64 + printf (" Ptr_Comp: %d\n", (int) Next_Ptr_Glob->Ptr_Comp); +80000734: 8401a783 lw a5,-1984(gp) # 80003920 +80000738: 398d8513 addi a0,s11,920 +8000073c: 0007a583 lw a1,0(a5) +80000740: 610000ef jal ra,80000d50 + printf (" should be: (implementation-dependent), same as above\n"); +80000744: 80003537 lui a0,0x80003 +80000748: 49850513 addi a0,a0,1176 # 80003498 <_stack_start+0xffffcb88> +8000074c: 718000ef jal ra,80000e64 + printf (" Discr: %d\n", Next_Ptr_Glob->Discr); +80000750: 8401a783 lw a5,-1984(gp) # 80003920 +80000754: 3e4c8513 addi a0,s9,996 +80000758: 0047a583 lw a1,4(a5) +8000075c: 5f4000ef jal ra,80000d50 + printf (" should be: %d\n", 0); +80000760: 00000593 li a1,0 +80000764: 29c40513 addi a0,s0,668 +80000768: 5e8000ef jal ra,80000d50 + printf (" Enum_Comp: %d\n", Next_Ptr_Glob->variant.var_1.Enum_Comp); +8000076c: 8401a783 lw a5,-1984(gp) # 80003920 +80000770: 400b8513 addi a0,s7,1024 +80000774: 0087a583 lw a1,8(a5) +80000778: 5d8000ef jal ra,80000d50 + printf (" should be: %d\n", 1); +8000077c: 00100593 li a1,1 +80000780: 29c40513 addi a0,s0,668 +80000784: 5cc000ef jal ra,80000d50 + printf (" Int_Comp: %d\n", Next_Ptr_Glob->variant.var_1.Int_Comp); +80000788: 8401a783 lw a5,-1984(gp) # 80003920 +8000078c: 41cb0513 addi a0,s6,1052 +80000790: 00c7a583 lw a1,12(a5) +80000794: 5bc000ef jal ra,80000d50 + printf (" should be: %d\n", 18); +80000798: 01200593 li a1,18 +8000079c: 29c40513 addi a0,s0,668 +800007a0: 5b0000ef jal ra,80000d50 + printf (" Str_Comp: %s\n", +800007a4: 8401a583 lw a1,-1984(gp) # 80003920 +800007a8: 43898513 addi a0,s3,1080 +800007ac: 01058593 addi a1,a1,16 +800007b0: 5a0000ef jal ra,80000d50 + printf (" should be: DHRYSTONE PROGRAM, SOME STRING\n"); +800007b4: 45490513 addi a0,s2,1108 +800007b8: 6ac000ef jal ra,80000e64 + printf ("Int_1_Loc: %d\n", Int_1_Loc); +800007bc: 01412583 lw a1,20(sp) +800007c0: 80003537 lui a0,0x80003 +800007c4: 4d850513 addi a0,a0,1240 # 800034d8 <_stack_start+0xffffcbc8> +800007c8: 588000ef jal ra,80000d50 + printf (" should be: %d\n", 5); +800007cc: 00500593 li a1,5 +800007d0: 29c40513 addi a0,s0,668 +800007d4: 57c000ef jal ra,80000d50 + Int_2_Loc = 7 * (Int_2_Loc - Int_3_Loc) - Int_1_Loc; +800007d8: 00c12783 lw a5,12(sp) + printf ("Int_2_Loc: %d\n", Int_2_Loc); +800007dc: 80003537 lui a0,0x80003 +800007e0: 4f450513 addi a0,a0,1268 # 800034f4 <_stack_start+0xffffcbe4> + Int_2_Loc = 7 * (Int_2_Loc - Int_3_Loc) - Int_1_Loc; +800007e4: 41578ab3 sub s5,a5,s5 +800007e8: 003a9793 slli a5,s5,0x3 +800007ec: 41578ab3 sub s5,a5,s5 + printf ("Int_2_Loc: %d\n", Int_2_Loc); +800007f0: 414a85b3 sub a1,s5,s4 +800007f4: 55c000ef jal ra,80000d50 + printf (" should be: %d\n", 13); +800007f8: 00d00593 li a1,13 +800007fc: 29c40513 addi a0,s0,668 +80000800: 550000ef jal ra,80000d50 + printf ("Int_3_Loc: %d\n", Int_3_Loc); +80000804: 01812583 lw a1,24(sp) +80000808: 80003537 lui a0,0x80003 +8000080c: 51050513 addi a0,a0,1296 # 80003510 <_stack_start+0xffffcc00> +80000810: 540000ef jal ra,80000d50 + printf (" should be: %d\n", 7); +80000814: 00700593 li a1,7 +80000818: 29c40513 addi a0,s0,668 +8000081c: 534000ef jal ra,80000d50 + printf ("Enum_Loc: %d\n", Enum_Loc); +80000820: 01c12583 lw a1,28(sp) +80000824: 80003537 lui a0,0x80003 +80000828: 52c50513 addi a0,a0,1324 # 8000352c <_stack_start+0xffffcc1c> +8000082c: 524000ef jal ra,80000d50 + printf (" should be: %d\n", 1); +80000830: 00100593 li a1,1 +80000834: 29c40513 addi a0,s0,668 +80000838: 518000ef jal ra,80000d50 + printf ("Str_1_Loc: %s\n", Str_1_Loc); +8000083c: 80003537 lui a0,0x80003 +80000840: 02010593 addi a1,sp,32 +80000844: 54850513 addi a0,a0,1352 # 80003548 <_stack_start+0xffffcc38> +80000848: 508000ef jal ra,80000d50 + printf (" should be: DHRYSTONE PROGRAM, 1'ST STRING\n"); +8000084c: 80003537 lui a0,0x80003 +80000850: 56450513 addi a0,a0,1380 # 80003564 <_stack_start+0xffffcc54> +80000854: 610000ef jal ra,80000e64 + printf ("Str_2_Loc: %s\n", Str_2_Loc); +80000858: 80003537 lui a0,0x80003 +8000085c: 04010593 addi a1,sp,64 +80000860: 59850513 addi a0,a0,1432 # 80003598 <_stack_start+0xffffcc88> +80000864: 4ec000ef jal ra,80000d50 + printf (" should be: DHRYSTONE PROGRAM, 2'ND STRING\n"); +80000868: 80003537 lui a0,0x80003 +8000086c: 5b450513 addi a0,a0,1460 # 800035b4 <_stack_start+0xffffcca4> +80000870: 5f4000ef jal ra,80000e64 + printf ("\n"); +80000874: 00a00513 li a0,10 +80000878: 630000ef jal ra,80000ea8 + User_Time = End_Time - Begin_Time; +8000087c: 82c1a703 lw a4,-2004(gp) # 8000390c +80000880: 8281a583 lw a1,-2008(gp) # 80003908 + if (User_Time < Too_Small_Time) +80000884: 1f300793 li a5,499 + User_Time = End_Time - Begin_Time; +80000888: 40e585b3 sub a1,a1,a4 +8000088c: 82b1a223 sw a1,-2012(gp) # 80003904 + if (User_Time < Too_Small_Time) +80000890: 1ab7d063 ble a1,a5,80000a30 + printf ("Clock cycles=%d \n", User_Time); +80000894: 80003537 lui a0,0x80003 +80000898: 64050513 addi a0,a0,1600 # 80003640 <_stack_start+0xffffcd30> +8000089c: 4b4000ef jal ra,80000d50 + Microseconds = (float) User_Time * Mic_secs_Per_Second +800008a0: 8241a503 lw a0,-2012(gp) # 80003904 +800008a4: 370020ef jal ra,80002c14 <__floatsisf> +800008a8: 00050413 mv s0,a0 +800008ac: 48c020ef jal ra,80002d38 <__extendsfdf2> +800008b0: 800047b7 lui a5,0x80004 +800008b4: 8e07a603 lw a2,-1824(a5) # 800038e0 <_stack_start+0xffffcfd0> +800008b8: 8e47a683 lw a3,-1820(a5) +800008bc: 078010ef jal ra,80001934 <__muldf3> + / ((float) CORE_HZ * ((float) Number_Of_Runs)); +800008c0: 800047b7 lui a5,0x80004 +800008c4: 8e87a603 lw a2,-1816(a5) # 800038e8 <_stack_start+0xffffcfd8> +800008c8: 8ec7a683 lw a3,-1812(a5) +800008cc: 059000ef jal ra,80001124 <__divdf3> +800008d0: 574020ef jal ra,80002e44 <__truncdfsf2> +800008d4: 82a1a023 sw a0,-2016(gp) # 80003900 + / (float) User_Time; +800008d8: 800047b7 lui a5,0x80004 +800008dc: 8f07a503 lw a0,-1808(a5) # 800038f0 <_stack_start+0xffffcfe0> +800008e0: 00040593 mv a1,s0 +800008e4: 740010ef jal ra,80002024 <__divsf3> + Dhrystones_Per_Second = ((float) CORE_HZ * (float) Number_Of_Runs) +800008e8: 80a1ae23 sw a0,-2020(gp) # 800038fc + printf ("DMIPS per Mhz: "); +800008ec: 80003537 lui a0,0x80003 +800008f0: 65450513 addi a0,a0,1620 # 80003654 <_stack_start+0xffffcd44> +800008f4: 45c000ef jal ra,80000d50 + float dmips = (1e6f/1757.0f) * Number_Of_Runs / User_Time; +800008f8: 8241a503 lw a0,-2012(gp) # 80003904 +800008fc: 318020ef jal ra,80002c14 <__floatsisf> +80000900: 800047b7 lui a5,0x80004 +80000904: 00050593 mv a1,a0 +80000908: 8f47a503 lw a0,-1804(a5) # 800038f4 <_stack_start+0xffffcfe4> +8000090c: 718010ef jal ra,80002024 <__divsf3> +80000910: 00050413 mv s0,a0 + int dmipsNatural = dmips; +80000914: 290020ef jal ra,80002ba4 <__fixsfsi> +80000918: 00050493 mv s1,a0 + int dmipsReal = (dmips - dmipsNatural)*100.0f; +8000091c: 2f8020ef jal ra,80002c14 <__floatsisf> +80000920: 00050593 mv a1,a0 +80000924: 00040513 mv a0,s0 +80000928: 605010ef jal ra,8000272c <__subsf3> +8000092c: 800047b7 lui a5,0x80004 +80000930: 8f87a583 lw a1,-1800(a5) # 800038f8 <_stack_start+0xffffcfe8> +80000934: 289010ef jal ra,800023bc <__mulsf3> +80000938: 26c020ef jal ra,80002ba4 <__fixsfsi> +8000093c: 00050413 mv s0,a0 + printf ("%d.", dmipsNatural); +80000940: 80003537 lui a0,0x80003 +80000944: 00048593 mv a1,s1 +80000948: 68450513 addi a0,a0,1668 # 80003684 <_stack_start+0xffffcd74> +8000094c: 404000ef jal ra,80000d50 + if(dmipsReal < 10) printf("0"); +80000950: 00900793 li a5,9 +80000954: 1087d063 ble s0,a5,80000a54 + printf ("%d", dmipsReal); +80000958: 80003537 lui a0,0x80003 +8000095c: 00040593 mv a1,s0 +80000960: 68850513 addi a0,a0,1672 # 80003688 <_stack_start+0xffffcd78> +80000964: 3ec000ef jal ra,80000d50 + printf ("\n"); +80000968: 00a00513 li a0,10 +8000096c: 53c000ef jal ra,80000ea8 +} +80000970: 09c12083 lw ra,156(sp) +80000974: 09812403 lw s0,152(sp) +80000978: 09412483 lw s1,148(sp) +8000097c: 09012903 lw s2,144(sp) +80000980: 08c12983 lw s3,140(sp) +80000984: 08812a03 lw s4,136(sp) +80000988: 08412a83 lw s5,132(sp) +8000098c: 08012b03 lw s6,128(sp) +80000990: 07c12b83 lw s7,124(sp) +80000994: 07812c03 lw s8,120(sp) +80000998: 07412c83 lw s9,116(sp) +8000099c: 07012d03 lw s10,112(sp) +800009a0: 06c12d83 lw s11,108(sp) +800009a4: 0a010113 addi sp,sp,160 +800009a8: 00008067 ret + Proc_6 (Ident_1, &Enum_Loc); +800009ac: 01c10593 addi a1,sp,28 +800009b0: 00000513 li a0,0 +800009b4: 1e8000ef jal ra,80000b9c + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 3'RD STRING"); +800009b8: 004cae03 lw t3,4(s9) +800009bc: 008ca303 lw t1,8(s9) +800009c0: 00cca883 lw a7,12(s9) +800009c4: 010ca803 lw a6,16(s9) +800009c8: 014ca503 lw a0,20(s9) +800009cc: 018ca583 lw a1,24(s9) +800009d0: 01ccd603 lhu a2,28(s9) +800009d4: 01ecc703 lbu a4,30(s9) + for (Ch_Index = 'A'; Ch_Index <= Ch_2_Glob; ++Ch_Index) +800009d8: 8341c783 lbu a5,-1996(gp) # 80003914 +800009dc: 001a8a93 addi s5,s5,1 + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 3'RD STRING"); +800009e0: 05712023 sw s7,64(sp) +800009e4: 05c12223 sw t3,68(sp) +800009e8: 04612423 sw t1,72(sp) +800009ec: 05112623 sw a7,76(sp) +800009f0: 05012823 sw a6,80(sp) +800009f4: 04a12a23 sw a0,84(sp) +800009f8: 04b12c23 sw a1,88(sp) +800009fc: 04c11e23 sh a2,92(sp) +80000a00: 04e10f23 sb a4,94(sp) + Int_Glob = Run_Index; +80000a04: 8331ae23 sw s3,-1988(gp) # 8000391c + for (Ch_Index = 'A'; Ch_Index <= Ch_2_Glob; ++Ch_Index) +80000a08: 0ffafa93 andi s5,s5,255 +80000a0c: 00098a13 mv s4,s3 +80000a10: b357f2e3 bleu s5,a5,80000534 +80000a14: b45ff06f j 80000558 + printf ("Program compiled without 'register' attribute\n"); +80000a18: 80003537 lui a0,0x80003 +80000a1c: 1a050513 addi a0,a0,416 # 800031a0 <_stack_start+0xffffc890> +80000a20: 444000ef jal ra,80000e64 + printf ("\n"); +80000a24: 00a00513 li a0,10 +80000a28: 480000ef jal ra,80000ea8 +80000a2c: 9e5ff06f j 80000410 + printf ("Measured time too small to obtain meaningful results\n"); +80000a30: 80003537 lui a0,0x80003 +80000a34: 5e850513 addi a0,a0,1512 # 800035e8 <_stack_start+0xffffccd8> +80000a38: 42c000ef jal ra,80000e64 + printf ("Please increase number of runs\n"); +80000a3c: 80003537 lui a0,0x80003 +80000a40: 62050513 addi a0,a0,1568 # 80003620 <_stack_start+0xffffcd10> +80000a44: 420000ef jal ra,80000e64 + printf ("\n"); +80000a48: 00a00513 li a0,10 +80000a4c: 45c000ef jal ra,80000ea8 +80000a50: f21ff06f j 80000970 + if(dmipsReal < 10) printf("0"); +80000a54: 03000513 li a0,48 +80000a58: 450000ef jal ra,80000ea8 +80000a5c: efdff06f j 80000958 + +80000a60 : +One_Fifty Int_2_Par_Val; +One_Fifty *Int_Par_Ref; +{ + One_Fifty Int_Loc; + + Int_Loc = Int_1_Par_Val + 2; +80000a60: 00250513 addi a0,a0,2 + *Int_Par_Ref = Int_2_Par_Val + Int_Loc; +80000a64: 00b505b3 add a1,a0,a1 +80000a68: 00b62023 sw a1,0(a2) +} /* Proc_7 */ +80000a6c: 00008067 ret + +80000a70 : + /* Int_Par_Val_2 == 7 */ +Arr_1_Dim Arr_1_Par_Ref; +Arr_2_Dim Arr_2_Par_Ref; +int Int_1_Par_Val; +int Int_2_Par_Val; +{ +80000a70: fe010113 addi sp,sp,-32 +80000a74: 01312623 sw s3,12(sp) + REG One_Fifty Int_Index; + REG One_Fifty Int_Loc; + + Int_Loc = Int_1_Par_Val + 5; +80000a78: 00560993 addi s3,a2,5 +{ +80000a7c: 00912a23 sw s1,20(sp) + Arr_1_Par_Ref [Int_Loc] = Int_2_Par_Val; +80000a80: 00299493 slli s1,s3,0x2 +{ +80000a84: 00812c23 sw s0,24(sp) +80000a88: 01212823 sw s2,16(sp) +80000a8c: 00112e23 sw ra,28(sp) + Arr_1_Par_Ref [Int_Loc] = Int_2_Par_Val; +80000a90: 009504b3 add s1,a0,s1 +{ +80000a94: 00060913 mv s2,a2 +80000a98: 00058413 mv s0,a1 + Arr_1_Par_Ref [Int_Loc+1] = Arr_1_Par_Ref [Int_Loc]; + Arr_1_Par_Ref [Int_Loc+30] = Int_Loc; +80000a9c: 0734ac23 sw s3,120(s1) + Arr_1_Par_Ref [Int_Loc] = Int_2_Par_Val; +80000aa0: 00d4a023 sw a3,0(s1) + Arr_1_Par_Ref [Int_Loc+1] = Arr_1_Par_Ref [Int_Loc]; +80000aa4: 00d4a223 sw a3,4(s1) + for (Int_Index = Int_Loc; Int_Index <= Int_Loc+1; ++Int_Index) + Arr_2_Par_Ref [Int_Loc] [Int_Index] = Int_Loc; +80000aa8: 00098513 mv a0,s3 +80000aac: 0c800593 li a1,200 +80000ab0: 52c020ef jal ra,80002fdc <__mulsi3> +80000ab4: 00291913 slli s2,s2,0x2 +80000ab8: 012507b3 add a5,a0,s2 +80000abc: 00f407b3 add a5,s0,a5 + Arr_2_Par_Ref [Int_Loc] [Int_Loc-1] += 1; +80000ac0: 0107a703 lw a4,16(a5) + Arr_2_Par_Ref [Int_Loc] [Int_Index] = Int_Loc; +80000ac4: 0137aa23 sw s3,20(a5) +80000ac8: 0137ac23 sw s3,24(a5) + Arr_2_Par_Ref [Int_Loc] [Int_Loc-1] += 1; +80000acc: 00170713 addi a4,a4,1 +80000ad0: 00e7a823 sw a4,16(a5) + Arr_2_Par_Ref [Int_Loc+20] [Int_Loc] = Arr_1_Par_Ref [Int_Loc]; +80000ad4: 0004a783 lw a5,0(s1) +80000ad8: 00a40433 add s0,s0,a0 +80000adc: 01240433 add s0,s0,s2 +80000ae0: 00001637 lui a2,0x1 +80000ae4: 00860433 add s0,a2,s0 +80000ae8: faf42a23 sw a5,-76(s0) + Int_Glob = 5; +} /* Proc_8 */ +80000aec: 01c12083 lw ra,28(sp) +80000af0: 01812403 lw s0,24(sp) + Int_Glob = 5; +80000af4: 00500713 li a4,5 +80000af8: 82e1ae23 sw a4,-1988(gp) # 8000391c +} /* Proc_8 */ +80000afc: 01412483 lw s1,20(sp) +80000b00: 01012903 lw s2,16(sp) +80000b04: 00c12983 lw s3,12(sp) +80000b08: 02010113 addi sp,sp,32 +80000b0c: 00008067 ret + +80000b10 : + /* second call: Ch_1_Par_Val == 'A', Ch_2_Par_Val == 'C' */ + /* third call: Ch_1_Par_Val == 'B', Ch_2_Par_Val == 'C' */ + +Capital_Letter Ch_1_Par_Val; +Capital_Letter Ch_2_Par_Val; +{ +80000b10: 0ff57513 andi a0,a0,255 +80000b14: 0ff5f593 andi a1,a1,255 + Capital_Letter Ch_1_Loc; + Capital_Letter Ch_2_Loc; + + Ch_1_Loc = Ch_1_Par_Val; + Ch_2_Loc = Ch_1_Loc; + if (Ch_2_Loc != Ch_2_Par_Val) +80000b18: 00b50663 beq a0,a1,80000b24 + /* then, executed */ + return (Ident_1); +80000b1c: 00000513 li a0,0 + else /* not executed */ + { + Ch_1_Glob = Ch_1_Loc; + return (Ident_2); + } +} /* Func_1 */ +80000b20: 00008067 ret + Ch_1_Glob = Ch_1_Loc; +80000b24: 82a18aa3 sb a0,-1995(gp) # 80003915 + return (Ident_2); +80000b28: 00100513 li a0,1 +80000b2c: 00008067 ret + +80000b30 : + /* Str_1_Par_Ref == "DHRYSTONE PROGRAM, 1'ST STRING" */ + /* Str_2_Par_Ref == "DHRYSTONE PROGRAM, 2'ND STRING" */ + +Str_30 Str_1_Par_Ref; +Str_30 Str_2_Par_Ref; +{ +80000b30: ff010113 addi sp,sp,-16 +80000b34: 00812423 sw s0,8(sp) +80000b38: 00912223 sw s1,4(sp) +80000b3c: 00112623 sw ra,12(sp) +80000b40: 00050413 mv s0,a0 +80000b44: 00058493 mv s1,a1 + REG One_Thirty Int_Loc; + Capital_Letter Ch_Loc; + + Int_Loc = 2; + while (Int_Loc <= 2) /* loop body executed once */ + if (Func_1 (Str_1_Par_Ref[Int_Loc], +80000b48: 0034c583 lbu a1,3(s1) +80000b4c: 00244503 lbu a0,2(s0) +80000b50: fc1ff0ef jal ra,80000b10 +80000b54: fe051ae3 bnez a0,80000b48 + if (Ch_Loc == 'R') + /* then, not executed */ + return (true); + else /* executed */ + { + if (strcmp (Str_1_Par_Ref, Str_2_Par_Ref) > 0) +80000b58: 00048593 mv a1,s1 +80000b5c: 00040513 mv a0,s0 +80000b60: 448000ef jal ra,80000fa8 + Int_Loc += 7; + Int_Glob = Int_Loc; + return (true); + } + else /* executed */ + return (false); +80000b64: 00000793 li a5,0 + if (strcmp (Str_1_Par_Ref, Str_2_Par_Ref) > 0) +80000b68: 00a05863 blez a0,80000b78 + Int_Glob = Int_Loc; +80000b6c: 00a00713 li a4,10 +80000b70: 82e1ae23 sw a4,-1988(gp) # 8000391c + return (true); +80000b74: 00100793 li a5,1 + } /* if Ch_Loc */ +} /* Func_2 */ +80000b78: 00c12083 lw ra,12(sp) +80000b7c: 00812403 lw s0,8(sp) +80000b80: 00412483 lw s1,4(sp) +80000b84: 00078513 mv a0,a5 +80000b88: 01010113 addi sp,sp,16 +80000b8c: 00008067 ret + +80000b90 : +Enumeration Enum_Par_Val; +{ + Enumeration Enum_Loc; + + Enum_Loc = Enum_Par_Val; + if (Enum_Loc == Ident_3) +80000b90: ffe50513 addi a0,a0,-2 + /* then, executed */ + return (true); + else /* not executed */ + return (false); +} /* Func_3 */ +80000b94: 00153513 seqz a0,a0 +80000b98: 00008067 ret + +80000b9c : +{ +80000b9c: ff010113 addi sp,sp,-16 +80000ba0: 00812423 sw s0,8(sp) +80000ba4: 00912223 sw s1,4(sp) +80000ba8: 00112623 sw ra,12(sp) +80000bac: 00050413 mv s0,a0 +80000bb0: 00058493 mv s1,a1 + if (! Func_3 (Enum_Val_Par)) +80000bb4: fddff0ef jal ra,80000b90 +80000bb8: 02050e63 beqz a0,80000bf4 + *Enum_Ref_Par = Enum_Val_Par; +80000bbc: 0084a023 sw s0,0(s1) + switch (Enum_Val_Par) +80000bc0: 00100793 li a5,1 +80000bc4: 04f40063 beq s0,a5,80000c04 +80000bc8: 04040463 beqz s0,80000c10 +80000bcc: 00200713 li a4,2 +80000bd0: 04e40c63 beq s0,a4,80000c28 +80000bd4: 00400793 li a5,4 +80000bd8: 00f41463 bne s0,a5,80000be0 + *Enum_Ref_Par = Ident_3; +80000bdc: 00e4a023 sw a4,0(s1) +} /* Proc_6 */ +80000be0: 00c12083 lw ra,12(sp) +80000be4: 00812403 lw s0,8(sp) +80000be8: 00412483 lw s1,4(sp) +80000bec: 01010113 addi sp,sp,16 +80000bf0: 00008067 ret + *Enum_Ref_Par = Ident_4; +80000bf4: 00300793 li a5,3 +80000bf8: 00f4a023 sw a5,0(s1) + switch (Enum_Val_Par) +80000bfc: 00100793 li a5,1 +80000c00: fcf414e3 bne s0,a5,80000bc8 + if (Int_Glob > 100) +80000c04: 83c1a703 lw a4,-1988(gp) # 8000391c +80000c08: 06400793 li a5,100 +80000c0c: 02e7da63 ble a4,a5,80000c40 +} /* Proc_6 */ +80000c10: 00c12083 lw ra,12(sp) +80000c14: 00812403 lw s0,8(sp) + *Enum_Ref_Par = Ident_1; +80000c18: 0004a023 sw zero,0(s1) +} /* Proc_6 */ +80000c1c: 00412483 lw s1,4(sp) +80000c20: 01010113 addi sp,sp,16 +80000c24: 00008067 ret +80000c28: 00c12083 lw ra,12(sp) +80000c2c: 00812403 lw s0,8(sp) + *Enum_Ref_Par = Ident_2; +80000c30: 00f4a023 sw a5,0(s1) +} /* Proc_6 */ +80000c34: 00412483 lw s1,4(sp) +80000c38: 01010113 addi sp,sp,16 +80000c3c: 00008067 ret +80000c40: 00c12083 lw ra,12(sp) +80000c44: 00812403 lw s0,8(sp) + else *Enum_Ref_Par = Ident_4; +80000c48: 00300793 li a5,3 +80000c4c: 00f4a023 sw a5,0(s1) +} /* Proc_6 */ +80000c50: 00412483 lw s1,4(sp) +80000c54: 01010113 addi sp,sp,16 +80000c58: 00008067 ret + +80000c5c : +{ + putchar(c); +} + +static void printf_s(char *p) +{ +80000c5c: ff010113 addi sp,sp,-16 +80000c60: 00812423 sw s0,8(sp) +80000c64: 00112623 sw ra,12(sp) +80000c68: 00050413 mv s0,a0 + while (*p) +80000c6c: 00054503 lbu a0,0(a0) +80000c70: 00050a63 beqz a0,80000c84 + putchar(*(p++)); +80000c74: 00140413 addi s0,s0,1 +80000c78: 230000ef jal ra,80000ea8 + while (*p) +80000c7c: 00044503 lbu a0,0(s0) +80000c80: fe051ae3 bnez a0,80000c74 +} +80000c84: 00c12083 lw ra,12(sp) +80000c88: 00812403 lw s0,8(sp) +80000c8c: 01010113 addi sp,sp,16 +80000c90: 00008067 ret + +80000c94 : + putchar(c); +80000c94: 2140006f j 80000ea8 + +80000c98 : + +static void printf_d(int val) +{ +80000c98: fd010113 addi sp,sp,-48 +80000c9c: 02912223 sw s1,36(sp) +80000ca0: 02112623 sw ra,44(sp) +80000ca4: 02812423 sw s0,40(sp) +80000ca8: 03212023 sw s2,32(sp) +80000cac: 00050493 mv s1,a0 + char buffer[32]; + char *p = buffer; + if (val < 0) { +80000cb0: 06054463 bltz a0,80000d18 +{ +80000cb4: 00010913 mv s2,sp +80000cb8: 00090413 mv s0,s2 +80000cbc: 0240006f j 80000ce0 + printf_c('-'); + val = -val; + } + while (val || p == buffer) { + *(p++) = '0' + val % 10; +80000cc0: 3c4020ef jal ra,80003084 <__modsi3> +80000cc4: 03050793 addi a5,a0,48 +80000cc8: 00140413 addi s0,s0,1 + val = val / 10; +80000ccc: 00048513 mv a0,s1 +80000cd0: 00a00593 li a1,10 + *(p++) = '0' + val % 10; +80000cd4: fef40fa3 sb a5,-1(s0) + val = val / 10; +80000cd8: 328020ef jal ra,80003000 <__divsi3> +80000cdc: 00050493 mv s1,a0 + *(p++) = '0' + val % 10; +80000ce0: 00a00593 li a1,10 +80000ce4: 00048513 mv a0,s1 + while (val || p == buffer) { +80000ce8: fc049ce3 bnez s1,80000cc0 +80000cec: fd240ae3 beq s0,s2,80000cc0 + } + while (p != buffer) + printf_c(*(--p)); +80000cf0: fff40413 addi s0,s0,-1 +80000cf4: 00044503 lbu a0,0(s0) +80000cf8: f9dff0ef jal ra,80000c94 + while (p != buffer) +80000cfc: ff241ae3 bne s0,s2,80000cf0 +} +80000d00: 02c12083 lw ra,44(sp) +80000d04: 02812403 lw s0,40(sp) +80000d08: 02412483 lw s1,36(sp) +80000d0c: 02012903 lw s2,32(sp) +80000d10: 03010113 addi sp,sp,48 +80000d14: 00008067 ret + printf_c('-'); +80000d18: 02d00513 li a0,45 +80000d1c: f79ff0ef jal ra,80000c94 + val = -val; +80000d20: 409004b3 neg s1,s1 +80000d24: f91ff06f j 80000cb4 + +80000d28 : + char *p = heap_memory + heap_memory_used; +80000d28: 8481a703 lw a4,-1976(gp) # 80003928 + heap_memory_used += size; +80000d2c: 00a707b3 add a5,a4,a0 + char *p = heap_memory + heap_memory_used; +80000d30: 80006537 lui a0,0x80006 + heap_memory_used += size; +80000d34: 84f1a423 sw a5,-1976(gp) # 80003928 + char *p = heap_memory + heap_memory_used; +80000d38: 10450513 addi a0,a0,260 # 80006104 <_stack_start+0xfffff7f4> + if (heap_memory_used > 1024) +80000d3c: 40000693 li a3,1024 + char *p = heap_memory + heap_memory_used; +80000d40: 00e50533 add a0,a0,a4 + if (heap_memory_used > 1024) +80000d44: 00f6d463 ble a5,a3,80000d4c + asm volatile ("ebreak"); +80000d48: 00100073 ebreak +} +80000d4c: 00008067 ret + +80000d50 : + +int printf(const char *format, ...) +{ +80000d50: fb010113 addi sp,sp,-80 +80000d54: 01412c23 sw s4,24(sp) +80000d58: 02112623 sw ra,44(sp) +80000d5c: 02812423 sw s0,40(sp) +80000d60: 02912223 sw s1,36(sp) +80000d64: 03212023 sw s2,32(sp) +80000d68: 01312e23 sw s3,28(sp) +80000d6c: 01512a23 sw s5,20(sp) +80000d70: 00050a13 mv s4,a0 + int i; + va_list ap; + + va_start(ap, format); + + for (i = 0; format[i]; i++) +80000d74: 00054503 lbu a0,0(a0) +{ +80000d78: 04f12223 sw a5,68(sp) + va_start(ap, format); +80000d7c: 03410793 addi a5,sp,52 +{ +80000d80: 02b12a23 sw a1,52(sp) +80000d84: 02c12c23 sw a2,56(sp) +80000d88: 02d12e23 sw a3,60(sp) +80000d8c: 04e12023 sw a4,64(sp) +80000d90: 05012423 sw a6,72(sp) +80000d94: 05112623 sw a7,76(sp) + va_start(ap, format); +80000d98: 00f12623 sw a5,12(sp) + for (i = 0; format[i]; i++) +80000d9c: 02050863 beqz a0,80000dcc +80000da0: 00000413 li s0,0 + if (format[i] == '%') { +80000da4: 02500a93 li s5,37 + while (format[++i]) { + if (format[i] == 'c') { +80000da8: 06300493 li s1,99 + printf_c(va_arg(ap,int)); + break; + } + if (format[i] == 's') { +80000dac: 07300913 li s2,115 + printf_s(va_arg(ap,char*)); + break; + } + if (format[i] == 'd') { +80000db0: 06400993 li s3,100 + if (format[i] == '%') { +80000db4: 03550e63 beq a0,s5,80000df0 + printf_d(va_arg(ap,int)); + break; + } + } + } else + printf_c(format[i]); +80000db8: eddff0ef jal ra,80000c94 + for (i = 0; format[i]; i++) +80000dbc: 00140413 addi s0,s0,1 +80000dc0: 008a07b3 add a5,s4,s0 +80000dc4: 0007c503 lbu a0,0(a5) +80000dc8: fe0516e3 bnez a0,80000db4 + + va_end(ap); +} +80000dcc: 02c12083 lw ra,44(sp) +80000dd0: 02812403 lw s0,40(sp) +80000dd4: 02412483 lw s1,36(sp) +80000dd8: 02012903 lw s2,32(sp) +80000ddc: 01c12983 lw s3,28(sp) +80000de0: 01812a03 lw s4,24(sp) +80000de4: 01412a83 lw s5,20(sp) +80000de8: 05010113 addi sp,sp,80 +80000dec: 00008067 ret +80000df0: 00140693 addi a3,s0,1 +80000df4: 00da0733 add a4,s4,a3 +80000df8: 0100006f j 80000e08 + if (format[i] == 's') { +80000dfc: 03278c63 beq a5,s2,80000e34 + if (format[i] == 'd') { +80000e00: 05378663 beq a5,s3,80000e4c +80000e04: 00168693 addi a3,a3,1 + while (format[++i]) { +80000e08: 00074783 lbu a5,0(a4) +80000e0c: 00068413 mv s0,a3 +80000e10: 00170713 addi a4,a4,1 +80000e14: fa0784e3 beqz a5,80000dbc + if (format[i] == 'c') { +80000e18: fe9792e3 bne a5,s1,80000dfc + printf_c(va_arg(ap,int)); +80000e1c: 00c12783 lw a5,12(sp) +80000e20: 0007a503 lw a0,0(a5) +80000e24: 00478793 addi a5,a5,4 +80000e28: 00f12623 sw a5,12(sp) +80000e2c: e69ff0ef jal ra,80000c94 + break; +80000e30: f8dff06f j 80000dbc + printf_s(va_arg(ap,char*)); +80000e34: 00c12783 lw a5,12(sp) +80000e38: 0007a503 lw a0,0(a5) +80000e3c: 00478793 addi a5,a5,4 +80000e40: 00f12623 sw a5,12(sp) +80000e44: e19ff0ef jal ra,80000c5c + break; +80000e48: f75ff06f j 80000dbc + printf_d(va_arg(ap,int)); +80000e4c: 00c12783 lw a5,12(sp) +80000e50: 0007a503 lw a0,0(a5) +80000e54: 00478793 addi a5,a5,4 +80000e58: 00f12623 sw a5,12(sp) +80000e5c: e3dff0ef jal ra,80000c98 + break; +80000e60: f5dff06f j 80000dbc + +80000e64 : + + +int puts(char *s){ +80000e64: ff010113 addi sp,sp,-16 +80000e68: 00812423 sw s0,8(sp) +80000e6c: 00112623 sw ra,12(sp) +80000e70: 00050413 mv s0,a0 + while (*s) { +80000e74: 00054503 lbu a0,0(a0) +80000e78: 00050a63 beqz a0,80000e8c + putchar(*s); + s++; +80000e7c: 00140413 addi s0,s0,1 + putchar(*s); +80000e80: 028000ef jal ra,80000ea8 + while (*s) { +80000e84: 00044503 lbu a0,0(s0) +80000e88: fe051ae3 bnez a0,80000e7c + } + putchar('\n'); +80000e8c: 00a00513 li a0,10 +80000e90: 018000ef jal ra,80000ea8 + return 0; +} +80000e94: 00c12083 lw ra,12(sp) +80000e98: 00812403 lw s0,8(sp) +80000e9c: 00000513 li a0,0 +80000ea0: 01010113 addi sp,sp,16 +80000ea4: 00008067 ret + +80000ea8 : + +void putchar(char c){ + TEST_COM_BASE[0] = c; +80000ea8: f01007b7 lui a5,0xf0100 +80000eac: f0a7a023 sw a0,-256(a5) # f00fff00 <_stack_start+0x700f95f0> +} +80000eb0: 00008067 ret + +80000eb4 : + +#include +clock_t clock(){ + return TEST_COM_BASE[4]; +80000eb4: f01007b7 lui a5,0xf0100 +80000eb8: f107a503 lw a0,-240(a5) # f00fff10 <_stack_start+0x700f9600> +} +80000ebc: 00008067 ret + +80000ec0 : +80000ec0: 00a5c7b3 xor a5,a1,a0 +80000ec4: 0037f793 andi a5,a5,3 +80000ec8: 00c50733 add a4,a0,a2 +80000ecc: 00079663 bnez a5,80000ed8 +80000ed0: 00300793 li a5,3 +80000ed4: 02c7e463 bltu a5,a2,80000efc +80000ed8: 00050793 mv a5,a0 +80000edc: 00e56c63 bltu a0,a4,80000ef4 +80000ee0: 00008067 ret +80000ee4: 0005c683 lbu a3,0(a1) +80000ee8: 00178793 addi a5,a5,1 +80000eec: 00158593 addi a1,a1,1 +80000ef0: fed78fa3 sb a3,-1(a5) +80000ef4: fee7e8e3 bltu a5,a4,80000ee4 +80000ef8: 00008067 ret +80000efc: 00357793 andi a5,a0,3 +80000f00: 08079263 bnez a5,80000f84 +80000f04: 00050793 mv a5,a0 +80000f08: ffc77693 andi a3,a4,-4 +80000f0c: fe068613 addi a2,a3,-32 +80000f10: 08c7f663 bleu a2,a5,80000f9c +80000f14: 0005a383 lw t2,0(a1) +80000f18: 0045a283 lw t0,4(a1) +80000f1c: 0085af83 lw t6,8(a1) +80000f20: 00c5af03 lw t5,12(a1) +80000f24: 0105ae83 lw t4,16(a1) +80000f28: 0145ae03 lw t3,20(a1) +80000f2c: 0185a303 lw t1,24(a1) +80000f30: 01c5a883 lw a7,28(a1) +80000f34: 02458593 addi a1,a1,36 +80000f38: 02478793 addi a5,a5,36 +80000f3c: ffc5a803 lw a6,-4(a1) +80000f40: fc77ae23 sw t2,-36(a5) +80000f44: fe57a023 sw t0,-32(a5) +80000f48: fff7a223 sw t6,-28(a5) +80000f4c: ffe7a423 sw t5,-24(a5) +80000f50: ffd7a623 sw t4,-20(a5) +80000f54: ffc7a823 sw t3,-16(a5) +80000f58: fe67aa23 sw t1,-12(a5) +80000f5c: ff17ac23 sw a7,-8(a5) +80000f60: ff07ae23 sw a6,-4(a5) +80000f64: fadff06f j 80000f10 +80000f68: 0005c683 lbu a3,0(a1) +80000f6c: 00178793 addi a5,a5,1 +80000f70: 00158593 addi a1,a1,1 +80000f74: fed78fa3 sb a3,-1(a5) +80000f78: 0037f693 andi a3,a5,3 +80000f7c: fe0696e3 bnez a3,80000f68 +80000f80: f89ff06f j 80000f08 +80000f84: 00050793 mv a5,a0 +80000f88: ff1ff06f j 80000f78 +80000f8c: 0005a603 lw a2,0(a1) +80000f90: 00478793 addi a5,a5,4 +80000f94: 00458593 addi a1,a1,4 +80000f98: fec7ae23 sw a2,-4(a5) +80000f9c: fed7e8e3 bltu a5,a3,80000f8c +80000fa0: f4e7eae3 bltu a5,a4,80000ef4 +80000fa4: 00008067 ret + +80000fa8 : +80000fa8: 00b56733 or a4,a0,a1 +80000fac: fff00393 li t2,-1 +80000fb0: 00377713 andi a4,a4,3 +80000fb4: 10071063 bnez a4,800010b4 +80000fb8: 7f7f87b7 lui a5,0x7f7f8 +80000fbc: f7f78793 addi a5,a5,-129 # 7f7f7f7f <_stack_size+0x7f7f7b7f> +80000fc0: 00052603 lw a2,0(a0) +80000fc4: 0005a683 lw a3,0(a1) +80000fc8: 00f672b3 and t0,a2,a5 +80000fcc: 00f66333 or t1,a2,a5 +80000fd0: 00f282b3 add t0,t0,a5 +80000fd4: 0062e2b3 or t0,t0,t1 +80000fd8: 10729263 bne t0,t2,800010dc +80000fdc: 08d61663 bne a2,a3,80001068 +80000fe0: 00452603 lw a2,4(a0) +80000fe4: 0045a683 lw a3,4(a1) +80000fe8: 00f672b3 and t0,a2,a5 +80000fec: 00f66333 or t1,a2,a5 +80000ff0: 00f282b3 add t0,t0,a5 +80000ff4: 0062e2b3 or t0,t0,t1 +80000ff8: 0c729e63 bne t0,t2,800010d4 +80000ffc: 06d61663 bne a2,a3,80001068 +80001000: 00852603 lw a2,8(a0) +80001004: 0085a683 lw a3,8(a1) +80001008: 00f672b3 and t0,a2,a5 +8000100c: 00f66333 or t1,a2,a5 +80001010: 00f282b3 add t0,t0,a5 +80001014: 0062e2b3 or t0,t0,t1 +80001018: 0c729863 bne t0,t2,800010e8 +8000101c: 04d61663 bne a2,a3,80001068 +80001020: 00c52603 lw a2,12(a0) +80001024: 00c5a683 lw a3,12(a1) +80001028: 00f672b3 and t0,a2,a5 +8000102c: 00f66333 or t1,a2,a5 +80001030: 00f282b3 add t0,t0,a5 +80001034: 0062e2b3 or t0,t0,t1 +80001038: 0c729263 bne t0,t2,800010fc +8000103c: 02d61663 bne a2,a3,80001068 +80001040: 01052603 lw a2,16(a0) +80001044: 0105a683 lw a3,16(a1) +80001048: 00f672b3 and t0,a2,a5 +8000104c: 00f66333 or t1,a2,a5 +80001050: 00f282b3 add t0,t0,a5 +80001054: 0062e2b3 or t0,t0,t1 +80001058: 0a729c63 bne t0,t2,80001110 +8000105c: 01450513 addi a0,a0,20 +80001060: 01458593 addi a1,a1,20 +80001064: f4d60ee3 beq a2,a3,80000fc0 +80001068: 01061713 slli a4,a2,0x10 +8000106c: 01069793 slli a5,a3,0x10 +80001070: 00f71e63 bne a4,a5,8000108c +80001074: 01065713 srli a4,a2,0x10 +80001078: 0106d793 srli a5,a3,0x10 +8000107c: 40f70533 sub a0,a4,a5 +80001080: 0ff57593 andi a1,a0,255 +80001084: 02059063 bnez a1,800010a4 +80001088: 00008067 ret +8000108c: 01075713 srli a4,a4,0x10 +80001090: 0107d793 srli a5,a5,0x10 +80001094: 40f70533 sub a0,a4,a5 +80001098: 0ff57593 andi a1,a0,255 +8000109c: 00059463 bnez a1,800010a4 +800010a0: 00008067 ret +800010a4: 0ff77713 andi a4,a4,255 +800010a8: 0ff7f793 andi a5,a5,255 +800010ac: 40f70533 sub a0,a4,a5 +800010b0: 00008067 ret +800010b4: 00054603 lbu a2,0(a0) +800010b8: 0005c683 lbu a3,0(a1) +800010bc: 00150513 addi a0,a0,1 +800010c0: 00158593 addi a1,a1,1 +800010c4: 00d61463 bne a2,a3,800010cc +800010c8: fe0616e3 bnez a2,800010b4 +800010cc: 40d60533 sub a0,a2,a3 +800010d0: 00008067 ret +800010d4: 00450513 addi a0,a0,4 +800010d8: 00458593 addi a1,a1,4 +800010dc: fcd61ce3 bne a2,a3,800010b4 +800010e0: 00000513 li a0,0 +800010e4: 00008067 ret +800010e8: 00850513 addi a0,a0,8 +800010ec: 00858593 addi a1,a1,8 +800010f0: fcd612e3 bne a2,a3,800010b4 +800010f4: 00000513 li a0,0 +800010f8: 00008067 ret +800010fc: 00c50513 addi a0,a0,12 +80001100: 00c58593 addi a1,a1,12 +80001104: fad618e3 bne a2,a3,800010b4 +80001108: 00000513 li a0,0 +8000110c: 00008067 ret +80001110: 01050513 addi a0,a0,16 +80001114: 01058593 addi a1,a1,16 +80001118: f8d61ee3 bne a2,a3,800010b4 +8000111c: 00000513 li a0,0 +80001120: 00008067 ret + +80001124 <__divdf3>: +80001124: fb010113 addi sp,sp,-80 +80001128: 04812423 sw s0,72(sp) +8000112c: 03412c23 sw s4,56(sp) +80001130: 00100437 lui s0,0x100 +80001134: 0145da13 srli s4,a1,0x14 +80001138: 05212023 sw s2,64(sp) +8000113c: 03312e23 sw s3,60(sp) +80001140: 03512a23 sw s5,52(sp) +80001144: 03812423 sw s8,40(sp) +80001148: fff40413 addi s0,s0,-1 # fffff <_stack_size+0xffbff> +8000114c: 04112623 sw ra,76(sp) +80001150: 04912223 sw s1,68(sp) +80001154: 03612823 sw s6,48(sp) +80001158: 03712623 sw s7,44(sp) +8000115c: 03912223 sw s9,36(sp) +80001160: 03a12023 sw s10,32(sp) +80001164: 01b12e23 sw s11,28(sp) +80001168: 7ffa7a13 andi s4,s4,2047 +8000116c: 00050913 mv s2,a0 +80001170: 00060c13 mv s8,a2 +80001174: 00068a93 mv s5,a3 +80001178: 00b47433 and s0,s0,a1 +8000117c: 01f5d993 srli s3,a1,0x1f +80001180: 0a0a0663 beqz s4,8000122c <__divdf3+0x108> +80001184: 7ff00793 li a5,2047 +80001188: 10fa0463 beq s4,a5,80001290 <__divdf3+0x16c> +8000118c: 00341413 slli s0,s0,0x3 +80001190: 008007b7 lui a5,0x800 +80001194: 00f46433 or s0,s0,a5 +80001198: 01d55b13 srli s6,a0,0x1d +8000119c: 008b6b33 or s6,s6,s0 +800011a0: 00351493 slli s1,a0,0x3 +800011a4: c01a0a13 addi s4,s4,-1023 +800011a8: 00000b93 li s7,0 +800011ac: 014ad513 srli a0,s5,0x14 +800011b0: 00100937 lui s2,0x100 +800011b4: fff90913 addi s2,s2,-1 # fffff <_stack_size+0xffbff> +800011b8: 7ff57513 andi a0,a0,2047 +800011bc: 01597933 and s2,s2,s5 +800011c0: 000c0593 mv a1,s8 +800011c4: 01fada93 srli s5,s5,0x1f +800011c8: 10050263 beqz a0,800012cc <__divdf3+0x1a8> +800011cc: 7ff00793 li a5,2047 +800011d0: 16f50263 beq a0,a5,80001334 <__divdf3+0x210> +800011d4: 00800437 lui s0,0x800 +800011d8: 00391913 slli s2,s2,0x3 +800011dc: 00896933 or s2,s2,s0 +800011e0: 01dc5413 srli s0,s8,0x1d +800011e4: 01246433 or s0,s0,s2 +800011e8: 003c1593 slli a1,s8,0x3 +800011ec: c0150513 addi a0,a0,-1023 +800011f0: 00000793 li a5,0 +800011f4: 002b9713 slli a4,s7,0x2 +800011f8: 00f76733 or a4,a4,a5 +800011fc: fff70713 addi a4,a4,-1 +80001200: 00e00693 li a3,14 +80001204: 0159c933 xor s2,s3,s5 +80001208: 40aa0a33 sub s4,s4,a0 +8000120c: 16e6e063 bltu a3,a4,8000136c <__divdf3+0x248> +80001210: 00002697 auipc a3,0x2 +80001214: 4dc68693 addi a3,a3,1244 # 800036ec +80001218: 00271713 slli a4,a4,0x2 +8000121c: 00d70733 add a4,a4,a3 +80001220: 00072703 lw a4,0(a4) +80001224: 00d70733 add a4,a4,a3 +80001228: 00070067 jr a4 +8000122c: 00a46b33 or s6,s0,a0 +80001230: 060b0e63 beqz s6,800012ac <__divdf3+0x188> +80001234: 04040063 beqz s0,80001274 <__divdf3+0x150> +80001238: 00040513 mv a0,s0 +8000123c: 679010ef jal ra,800030b4 <__clzsi2> +80001240: ff550793 addi a5,a0,-11 +80001244: 01c00713 li a4,28 +80001248: 02f74c63 blt a4,a5,80001280 <__divdf3+0x15c> +8000124c: 01d00b13 li s6,29 +80001250: ff850493 addi s1,a0,-8 +80001254: 40fb0b33 sub s6,s6,a5 +80001258: 00941433 sll s0,s0,s1 +8000125c: 01695b33 srl s6,s2,s6 +80001260: 008b6b33 or s6,s6,s0 +80001264: 009914b3 sll s1,s2,s1 +80001268: c0d00a13 li s4,-1011 +8000126c: 40aa0a33 sub s4,s4,a0 +80001270: f39ff06f j 800011a8 <__divdf3+0x84> +80001274: 641010ef jal ra,800030b4 <__clzsi2> +80001278: 02050513 addi a0,a0,32 +8000127c: fc5ff06f j 80001240 <__divdf3+0x11c> +80001280: fd850413 addi s0,a0,-40 +80001284: 00891b33 sll s6,s2,s0 +80001288: 00000493 li s1,0 +8000128c: fddff06f j 80001268 <__divdf3+0x144> +80001290: 00a46b33 or s6,s0,a0 +80001294: 020b0463 beqz s6,800012bc <__divdf3+0x198> +80001298: 00050493 mv s1,a0 +8000129c: 00040b13 mv s6,s0 +800012a0: 7ff00a13 li s4,2047 +800012a4: 00300b93 li s7,3 +800012a8: f05ff06f j 800011ac <__divdf3+0x88> +800012ac: 00000493 li s1,0 +800012b0: 00000a13 li s4,0 +800012b4: 00100b93 li s7,1 +800012b8: ef5ff06f j 800011ac <__divdf3+0x88> +800012bc: 00000493 li s1,0 +800012c0: 7ff00a13 li s4,2047 +800012c4: 00200b93 li s7,2 +800012c8: ee5ff06f j 800011ac <__divdf3+0x88> +800012cc: 01896433 or s0,s2,s8 +800012d0: 06040e63 beqz s0,8000134c <__divdf3+0x228> +800012d4: 04090063 beqz s2,80001314 <__divdf3+0x1f0> +800012d8: 00090513 mv a0,s2 +800012dc: 5d9010ef jal ra,800030b4 <__clzsi2> +800012e0: ff550793 addi a5,a0,-11 +800012e4: 01c00713 li a4,28 +800012e8: 02f74e63 blt a4,a5,80001324 <__divdf3+0x200> +800012ec: 01d00413 li s0,29 +800012f0: ff850593 addi a1,a0,-8 +800012f4: 40f40433 sub s0,s0,a5 +800012f8: 00b91933 sll s2,s2,a1 +800012fc: 008c5433 srl s0,s8,s0 +80001300: 01246433 or s0,s0,s2 +80001304: 00bc15b3 sll a1,s8,a1 +80001308: c0d00713 li a4,-1011 +8000130c: 40a70533 sub a0,a4,a0 +80001310: ee1ff06f j 800011f0 <__divdf3+0xcc> +80001314: 000c0513 mv a0,s8 +80001318: 59d010ef jal ra,800030b4 <__clzsi2> +8000131c: 02050513 addi a0,a0,32 +80001320: fc1ff06f j 800012e0 <__divdf3+0x1bc> +80001324: fd850413 addi s0,a0,-40 +80001328: 008c1433 sll s0,s8,s0 +8000132c: 00000593 li a1,0 +80001330: fd9ff06f j 80001308 <__divdf3+0x1e4> +80001334: 01896433 or s0,s2,s8 +80001338: 02040263 beqz s0,8000135c <__divdf3+0x238> +8000133c: 00090413 mv s0,s2 +80001340: 7ff00513 li a0,2047 +80001344: 00300793 li a5,3 +80001348: eadff06f j 800011f4 <__divdf3+0xd0> +8000134c: 00000593 li a1,0 +80001350: 00000513 li a0,0 +80001354: 00100793 li a5,1 +80001358: e9dff06f j 800011f4 <__divdf3+0xd0> +8000135c: 00000593 li a1,0 +80001360: 7ff00513 li a0,2047 +80001364: 00200793 li a5,2 +80001368: e8dff06f j 800011f4 <__divdf3+0xd0> +8000136c: 01646663 bltu s0,s6,80001378 <__divdf3+0x254> +80001370: 488b1263 bne s6,s0,800017f4 <__divdf3+0x6d0> +80001374: 48b4e063 bltu s1,a1,800017f4 <__divdf3+0x6d0> +80001378: 01fb1693 slli a3,s6,0x1f +8000137c: 0014d713 srli a4,s1,0x1 +80001380: 01f49c13 slli s8,s1,0x1f +80001384: 001b5b13 srli s6,s6,0x1 +80001388: 00e6e4b3 or s1,a3,a4 +8000138c: 00841413 slli s0,s0,0x8 +80001390: 0185dc93 srli s9,a1,0x18 +80001394: 008cecb3 or s9,s9,s0 +80001398: 010cda93 srli s5,s9,0x10 +8000139c: 010c9793 slli a5,s9,0x10 +800013a0: 0107d793 srli a5,a5,0x10 +800013a4: 00859d13 slli s10,a1,0x8 +800013a8: 000b0513 mv a0,s6 +800013ac: 000a8593 mv a1,s5 +800013b0: 00f12223 sw a5,4(sp) +800013b4: 455010ef jal ra,80003008 <__udivsi3> +800013b8: 00050593 mv a1,a0 +800013bc: 00050b93 mv s7,a0 +800013c0: 010c9513 slli a0,s9,0x10 +800013c4: 01055513 srli a0,a0,0x10 +800013c8: 415010ef jal ra,80002fdc <__mulsi3> +800013cc: 00050413 mv s0,a0 +800013d0: 000a8593 mv a1,s5 +800013d4: 000b0513 mv a0,s6 +800013d8: 479010ef jal ra,80003050 <__umodsi3> +800013dc: 01051513 slli a0,a0,0x10 +800013e0: 0104d713 srli a4,s1,0x10 +800013e4: 00a76533 or a0,a4,a0 +800013e8: 000b8993 mv s3,s7 +800013ec: 00857e63 bleu s0,a0,80001408 <__divdf3+0x2e4> +800013f0: 01950533 add a0,a0,s9 +800013f4: fffb8993 addi s3,s7,-1 +800013f8: 01956863 bltu a0,s9,80001408 <__divdf3+0x2e4> +800013fc: 00857663 bleu s0,a0,80001408 <__divdf3+0x2e4> +80001400: ffeb8993 addi s3,s7,-2 +80001404: 01950533 add a0,a0,s9 +80001408: 40850433 sub s0,a0,s0 +8000140c: 000a8593 mv a1,s5 +80001410: 00040513 mv a0,s0 +80001414: 3f5010ef jal ra,80003008 <__udivsi3> +80001418: 00050593 mv a1,a0 +8000141c: 00050b93 mv s7,a0 +80001420: 010c9513 slli a0,s9,0x10 +80001424: 01055513 srli a0,a0,0x10 +80001428: 3b5010ef jal ra,80002fdc <__mulsi3> +8000142c: 00050b13 mv s6,a0 +80001430: 000a8593 mv a1,s5 +80001434: 00040513 mv a0,s0 +80001438: 419010ef jal ra,80003050 <__umodsi3> +8000143c: 01049d93 slli s11,s1,0x10 +80001440: 01051513 slli a0,a0,0x10 +80001444: 010ddd93 srli s11,s11,0x10 +80001448: 00adedb3 or s11,s11,a0 +8000144c: 000b8713 mv a4,s7 +80001450: 016dfe63 bleu s6,s11,8000146c <__divdf3+0x348> +80001454: 019d8db3 add s11,s11,s9 +80001458: fffb8713 addi a4,s7,-1 +8000145c: 019de863 bltu s11,s9,8000146c <__divdf3+0x348> +80001460: 016df663 bleu s6,s11,8000146c <__divdf3+0x348> +80001464: ffeb8713 addi a4,s7,-2 +80001468: 019d8db3 add s11,s11,s9 +8000146c: 01099693 slli a3,s3,0x10 +80001470: 000104b7 lui s1,0x10 +80001474: 00e6e6b3 or a3,a3,a4 +80001478: 416d8db3 sub s11,s11,s6 +8000147c: fff48b13 addi s6,s1,-1 # ffff <_stack_size+0xfbff> +80001480: 0166f733 and a4,a3,s6 +80001484: 016d7b33 and s6,s10,s6 +80001488: 00070513 mv a0,a4 +8000148c: 000b0593 mv a1,s6 +80001490: 0106d413 srli s0,a3,0x10 +80001494: 00d12623 sw a3,12(sp) +80001498: 00e12423 sw a4,8(sp) +8000149c: 341010ef jal ra,80002fdc <__mulsi3> +800014a0: 00a12223 sw a0,4(sp) +800014a4: 000b0593 mv a1,s6 +800014a8: 00040513 mv a0,s0 +800014ac: 331010ef jal ra,80002fdc <__mulsi3> +800014b0: 010d5b93 srli s7,s10,0x10 +800014b4: 00050993 mv s3,a0 +800014b8: 000b8593 mv a1,s7 +800014bc: 00040513 mv a0,s0 +800014c0: 31d010ef jal ra,80002fdc <__mulsi3> +800014c4: 00812703 lw a4,8(sp) +800014c8: 00050413 mv s0,a0 +800014cc: 000b8513 mv a0,s7 +800014d0: 00070593 mv a1,a4 +800014d4: 309010ef jal ra,80002fdc <__mulsi3> +800014d8: 00412603 lw a2,4(sp) +800014dc: 01350533 add a0,a0,s3 +800014e0: 00c12683 lw a3,12(sp) +800014e4: 01065713 srli a4,a2,0x10 +800014e8: 00a70733 add a4,a4,a0 +800014ec: 01377463 bleu s3,a4,800014f4 <__divdf3+0x3d0> +800014f0: 00940433 add s0,s0,s1 +800014f4: 00010537 lui a0,0x10 +800014f8: fff50513 addi a0,a0,-1 # ffff <_stack_size+0xfbff> +800014fc: 01075493 srli s1,a4,0x10 +80001500: 00a779b3 and s3,a4,a0 +80001504: 01099993 slli s3,s3,0x10 +80001508: 00a67633 and a2,a2,a0 +8000150c: 008484b3 add s1,s1,s0 +80001510: 00c989b3 add s3,s3,a2 +80001514: 009de863 bltu s11,s1,80001524 <__divdf3+0x400> +80001518: 00068413 mv s0,a3 +8000151c: 049d9463 bne s11,s1,80001564 <__divdf3+0x440> +80001520: 053c7263 bleu s3,s8,80001564 <__divdf3+0x440> +80001524: 01ac0c33 add s8,s8,s10 +80001528: 01ac3733 sltu a4,s8,s10 +8000152c: 01970733 add a4,a4,s9 +80001530: 00ed8db3 add s11,s11,a4 +80001534: fff68413 addi s0,a3,-1 +80001538: 01bce663 bltu s9,s11,80001544 <__divdf3+0x420> +8000153c: 03bc9463 bne s9,s11,80001564 <__divdf3+0x440> +80001540: 03ac6263 bltu s8,s10,80001564 <__divdf3+0x440> +80001544: 009de663 bltu s11,s1,80001550 <__divdf3+0x42c> +80001548: 01b49e63 bne s1,s11,80001564 <__divdf3+0x440> +8000154c: 013c7c63 bleu s3,s8,80001564 <__divdf3+0x440> +80001550: 01ac0c33 add s8,s8,s10 +80001554: 01ac3733 sltu a4,s8,s10 +80001558: 01970733 add a4,a4,s9 +8000155c: ffe68413 addi s0,a3,-2 +80001560: 00ed8db3 add s11,s11,a4 +80001564: 413c09b3 sub s3,s8,s3 +80001568: 409d84b3 sub s1,s11,s1 +8000156c: 013c37b3 sltu a5,s8,s3 +80001570: 40f484b3 sub s1,s1,a5 +80001574: fff00593 li a1,-1 +80001578: 1a9c8863 beq s9,s1,80001728 <__divdf3+0x604> +8000157c: 000a8593 mv a1,s5 +80001580: 00048513 mv a0,s1 +80001584: 285010ef jal ra,80003008 <__udivsi3> +80001588: 00050593 mv a1,a0 +8000158c: 00a12423 sw a0,8(sp) +80001590: 010c9513 slli a0,s9,0x10 +80001594: 01055513 srli a0,a0,0x10 +80001598: 245010ef jal ra,80002fdc <__mulsi3> +8000159c: 00a12223 sw a0,4(sp) +800015a0: 000a8593 mv a1,s5 +800015a4: 00048513 mv a0,s1 +800015a8: 2a9010ef jal ra,80003050 <__umodsi3> +800015ac: 00812683 lw a3,8(sp) +800015b0: 00412703 lw a4,4(sp) +800015b4: 01051513 slli a0,a0,0x10 +800015b8: 0109d793 srli a5,s3,0x10 +800015bc: 00a7e533 or a0,a5,a0 +800015c0: 00068d93 mv s11,a3 +800015c4: 00e57e63 bleu a4,a0,800015e0 <__divdf3+0x4bc> +800015c8: 01950533 add a0,a0,s9 +800015cc: fff68d93 addi s11,a3,-1 +800015d0: 01956863 bltu a0,s9,800015e0 <__divdf3+0x4bc> +800015d4: 00e57663 bleu a4,a0,800015e0 <__divdf3+0x4bc> +800015d8: ffe68d93 addi s11,a3,-2 +800015dc: 01950533 add a0,a0,s9 +800015e0: 40e504b3 sub s1,a0,a4 +800015e4: 000a8593 mv a1,s5 +800015e8: 00048513 mv a0,s1 +800015ec: 21d010ef jal ra,80003008 <__udivsi3> +800015f0: 00050593 mv a1,a0 +800015f4: 00a12223 sw a0,4(sp) +800015f8: 010c9513 slli a0,s9,0x10 +800015fc: 01055513 srli a0,a0,0x10 +80001600: 1dd010ef jal ra,80002fdc <__mulsi3> +80001604: 00050c13 mv s8,a0 +80001608: 000a8593 mv a1,s5 +8000160c: 00048513 mv a0,s1 +80001610: 241010ef jal ra,80003050 <__umodsi3> +80001614: 01099993 slli s3,s3,0x10 +80001618: 00412703 lw a4,4(sp) +8000161c: 01051513 slli a0,a0,0x10 +80001620: 0109d993 srli s3,s3,0x10 +80001624: 00a9e533 or a0,s3,a0 +80001628: 00070793 mv a5,a4 +8000162c: 01857e63 bleu s8,a0,80001648 <__divdf3+0x524> +80001630: 01950533 add a0,a0,s9 +80001634: fff70793 addi a5,a4,-1 +80001638: 01956863 bltu a0,s9,80001648 <__divdf3+0x524> +8000163c: 01857663 bleu s8,a0,80001648 <__divdf3+0x524> +80001640: ffe70793 addi a5,a4,-2 +80001644: 01950533 add a0,a0,s9 +80001648: 010d9493 slli s1,s11,0x10 +8000164c: 00f4e4b3 or s1,s1,a5 +80001650: 01049793 slli a5,s1,0x10 +80001654: 0107d793 srli a5,a5,0x10 +80001658: 000b0593 mv a1,s6 +8000165c: 418509b3 sub s3,a0,s8 +80001660: 00078513 mv a0,a5 +80001664: 00f12223 sw a5,4(sp) +80001668: 0104dd93 srli s11,s1,0x10 +8000166c: 171010ef jal ra,80002fdc <__mulsi3> +80001670: 000b0593 mv a1,s6 +80001674: 00050a93 mv s5,a0 +80001678: 000d8513 mv a0,s11 +8000167c: 161010ef jal ra,80002fdc <__mulsi3> +80001680: 00050c13 mv s8,a0 +80001684: 000d8593 mv a1,s11 +80001688: 000b8513 mv a0,s7 +8000168c: 151010ef jal ra,80002fdc <__mulsi3> +80001690: 00412783 lw a5,4(sp) +80001694: 00050b13 mv s6,a0 +80001698: 000b8513 mv a0,s7 +8000169c: 00078593 mv a1,a5 +800016a0: 13d010ef jal ra,80002fdc <__mulsi3> +800016a4: 01850533 add a0,a0,s8 +800016a8: 010ad793 srli a5,s5,0x10 +800016ac: 00a78533 add a0,a5,a0 +800016b0: 01857663 bleu s8,a0,800016bc <__divdf3+0x598> +800016b4: 000107b7 lui a5,0x10 +800016b8: 00fb0b33 add s6,s6,a5 +800016bc: 000106b7 lui a3,0x10 +800016c0: fff68693 addi a3,a3,-1 # ffff <_stack_size+0xfbff> +800016c4: 01055793 srli a5,a0,0x10 +800016c8: 00d57733 and a4,a0,a3 +800016cc: 01071713 slli a4,a4,0x10 +800016d0: 00dafab3 and s5,s5,a3 +800016d4: 016787b3 add a5,a5,s6 +800016d8: 01570733 add a4,a4,s5 +800016dc: 00f9e863 bltu s3,a5,800016ec <__divdf3+0x5c8> +800016e0: 00048593 mv a1,s1 +800016e4: 04f99063 bne s3,a5,80001724 <__divdf3+0x600> +800016e8: 04070063 beqz a4,80001728 <__divdf3+0x604> +800016ec: 013c8533 add a0,s9,s3 +800016f0: fff48593 addi a1,s1,-1 +800016f4: 03956463 bltu a0,s9,8000171c <__divdf3+0x5f8> +800016f8: 00f56663 bltu a0,a5,80001704 <__divdf3+0x5e0> +800016fc: 02f51463 bne a0,a5,80001724 <__divdf3+0x600> +80001700: 02ed7063 bleu a4,s10,80001720 <__divdf3+0x5fc> +80001704: 001d1693 slli a3,s10,0x1 +80001708: 01a6bd33 sltu s10,a3,s10 +8000170c: 019d0cb3 add s9,s10,s9 +80001710: ffe48593 addi a1,s1,-2 +80001714: 01950533 add a0,a0,s9 +80001718: 00068d13 mv s10,a3 +8000171c: 00f51463 bne a0,a5,80001724 <__divdf3+0x600> +80001720: 01a70463 beq a4,s10,80001728 <__divdf3+0x604> +80001724: 0015e593 ori a1,a1,1 +80001728: 3ffa0713 addi a4,s4,1023 +8000172c: 12e05263 blez a4,80001850 <__divdf3+0x72c> +80001730: 0075f793 andi a5,a1,7 +80001734: 02078063 beqz a5,80001754 <__divdf3+0x630> +80001738: 00f5f793 andi a5,a1,15 +8000173c: 00400693 li a3,4 +80001740: 00d78a63 beq a5,a3,80001754 <__divdf3+0x630> +80001744: 00458693 addi a3,a1,4 +80001748: 00b6b5b3 sltu a1,a3,a1 +8000174c: 00b40433 add s0,s0,a1 +80001750: 00068593 mv a1,a3 +80001754: 00741793 slli a5,s0,0x7 +80001758: 0007da63 bgez a5,8000176c <__divdf3+0x648> +8000175c: ff0007b7 lui a5,0xff000 +80001760: fff78793 addi a5,a5,-1 # feffffff <_stack_start+0x7eff96ef> +80001764: 00f47433 and s0,s0,a5 +80001768: 400a0713 addi a4,s4,1024 +8000176c: 7fe00793 li a5,2046 +80001770: 1ae7c263 blt a5,a4,80001914 <__divdf3+0x7f0> +80001774: 01d41793 slli a5,s0,0x1d +80001778: 0035d593 srli a1,a1,0x3 +8000177c: 00b7e7b3 or a5,a5,a1 +80001780: 00345413 srli s0,s0,0x3 +80001784: 001006b7 lui a3,0x100 +80001788: fff68693 addi a3,a3,-1 # fffff <_stack_size+0xffbff> +8000178c: 00d47433 and s0,s0,a3 +80001790: 801006b7 lui a3,0x80100 +80001794: 7ff77713 andi a4,a4,2047 +80001798: fff68693 addi a3,a3,-1 # 800fffff <_stack_start+0xf96ef> +8000179c: 01471713 slli a4,a4,0x14 +800017a0: 00d47433 and s0,s0,a3 +800017a4: 01f91913 slli s2,s2,0x1f +800017a8: 00e46433 or s0,s0,a4 +800017ac: 01246733 or a4,s0,s2 +800017b0: 04c12083 lw ra,76(sp) +800017b4: 04812403 lw s0,72(sp) +800017b8: 04412483 lw s1,68(sp) +800017bc: 04012903 lw s2,64(sp) +800017c0: 03c12983 lw s3,60(sp) +800017c4: 03812a03 lw s4,56(sp) +800017c8: 03412a83 lw s5,52(sp) +800017cc: 03012b03 lw s6,48(sp) +800017d0: 02c12b83 lw s7,44(sp) +800017d4: 02812c03 lw s8,40(sp) +800017d8: 02412c83 lw s9,36(sp) +800017dc: 02012d03 lw s10,32(sp) +800017e0: 01c12d83 lw s11,28(sp) +800017e4: 00078513 mv a0,a5 +800017e8: 00070593 mv a1,a4 +800017ec: 05010113 addi sp,sp,80 +800017f0: 00008067 ret +800017f4: fffa0a13 addi s4,s4,-1 +800017f8: 00000c13 li s8,0 +800017fc: b91ff06f j 8000138c <__divdf3+0x268> +80001800: 00098913 mv s2,s3 +80001804: 000b0413 mv s0,s6 +80001808: 00048593 mv a1,s1 +8000180c: 000b8793 mv a5,s7 +80001810: 00200713 li a4,2 +80001814: 10e78063 beq a5,a4,80001914 <__divdf3+0x7f0> +80001818: 00300713 li a4,3 +8000181c: 0ee78263 beq a5,a4,80001900 <__divdf3+0x7dc> +80001820: 00100713 li a4,1 +80001824: f0e792e3 bne a5,a4,80001728 <__divdf3+0x604> +80001828: 00000413 li s0,0 +8000182c: 00000793 li a5,0 +80001830: 0940006f j 800018c4 <__divdf3+0x7a0> +80001834: 000a8913 mv s2,s5 +80001838: fd9ff06f j 80001810 <__divdf3+0x6ec> +8000183c: 00080437 lui s0,0x80 +80001840: 00000593 li a1,0 +80001844: 00000913 li s2,0 +80001848: 00300793 li a5,3 +8000184c: fc5ff06f j 80001810 <__divdf3+0x6ec> +80001850: 00100693 li a3,1 +80001854: 40e686b3 sub a3,a3,a4 +80001858: 03800793 li a5,56 +8000185c: fcd7c6e3 blt a5,a3,80001828 <__divdf3+0x704> +80001860: 01f00793 li a5,31 +80001864: 06d7c463 blt a5,a3,800018cc <__divdf3+0x7a8> +80001868: 41ea0a13 addi s4,s4,1054 +8000186c: 014417b3 sll a5,s0,s4 +80001870: 00d5d733 srl a4,a1,a3 +80001874: 01459a33 sll s4,a1,s4 +80001878: 00e7e7b3 or a5,a5,a4 +8000187c: 01403a33 snez s4,s4 +80001880: 0147e7b3 or a5,a5,s4 +80001884: 00d45433 srl s0,s0,a3 +80001888: 0077f713 andi a4,a5,7 +8000188c: 02070063 beqz a4,800018ac <__divdf3+0x788> +80001890: 00f7f713 andi a4,a5,15 +80001894: 00400693 li a3,4 +80001898: 00d70a63 beq a4,a3,800018ac <__divdf3+0x788> +8000189c: 00478713 addi a4,a5,4 +800018a0: 00f737b3 sltu a5,a4,a5 +800018a4: 00f40433 add s0,s0,a5 +800018a8: 00070793 mv a5,a4 +800018ac: 00841713 slli a4,s0,0x8 +800018b0: 06074a63 bltz a4,80001924 <__divdf3+0x800> +800018b4: 01d41713 slli a4,s0,0x1d +800018b8: 0037d793 srli a5,a5,0x3 +800018bc: 00f767b3 or a5,a4,a5 +800018c0: 00345413 srli s0,s0,0x3 +800018c4: 00000713 li a4,0 +800018c8: ebdff06f j 80001784 <__divdf3+0x660> +800018cc: fe100793 li a5,-31 +800018d0: 40e787b3 sub a5,a5,a4 +800018d4: 02000713 li a4,32 +800018d8: 00f457b3 srl a5,s0,a5 +800018dc: 00000513 li a0,0 +800018e0: 00e68663 beq a3,a4,800018ec <__divdf3+0x7c8> +800018e4: 43ea0a13 addi s4,s4,1086 +800018e8: 01441533 sll a0,s0,s4 +800018ec: 00b56a33 or s4,a0,a1 +800018f0: 01403a33 snez s4,s4 +800018f4: 0147e7b3 or a5,a5,s4 +800018f8: 00000413 li s0,0 +800018fc: f8dff06f j 80001888 <__divdf3+0x764> +80001900: 00080437 lui s0,0x80 +80001904: 00000793 li a5,0 +80001908: 7ff00713 li a4,2047 +8000190c: 00000913 li s2,0 +80001910: e75ff06f j 80001784 <__divdf3+0x660> +80001914: 00000413 li s0,0 +80001918: 00000793 li a5,0 +8000191c: 7ff00713 li a4,2047 +80001920: e65ff06f j 80001784 <__divdf3+0x660> +80001924: 00000413 li s0,0 +80001928: 00000793 li a5,0 +8000192c: 00100713 li a4,1 +80001930: e55ff06f j 80001784 <__divdf3+0x660> + +80001934 <__muldf3>: +80001934: fa010113 addi sp,sp,-96 +80001938: 04812c23 sw s0,88(sp) +8000193c: 05312623 sw s3,76(sp) +80001940: 00100437 lui s0,0x100 +80001944: 0145d993 srli s3,a1,0x14 +80001948: 04912a23 sw s1,84(sp) +8000194c: 05612023 sw s6,64(sp) +80001950: 03712e23 sw s7,60(sp) +80001954: 03812c23 sw s8,56(sp) +80001958: fff40413 addi s0,s0,-1 # fffff <_stack_size+0xffbff> +8000195c: 04112e23 sw ra,92(sp) +80001960: 05212823 sw s2,80(sp) +80001964: 05412423 sw s4,72(sp) +80001968: 05512223 sw s5,68(sp) +8000196c: 03912a23 sw s9,52(sp) +80001970: 03a12823 sw s10,48(sp) +80001974: 03b12623 sw s11,44(sp) +80001978: 7ff9f993 andi s3,s3,2047 +8000197c: 00050493 mv s1,a0 +80001980: 00060b93 mv s7,a2 +80001984: 00068c13 mv s8,a3 +80001988: 00b47433 and s0,s0,a1 +8000198c: 01f5db13 srli s6,a1,0x1f +80001990: 0a098863 beqz s3,80001a40 <__muldf3+0x10c> +80001994: 7ff00793 li a5,2047 +80001998: 10f98663 beq s3,a5,80001aa4 <__muldf3+0x170> +8000199c: 00800937 lui s2,0x800 +800019a0: 00341413 slli s0,s0,0x3 +800019a4: 01246433 or s0,s0,s2 +800019a8: 01d55913 srli s2,a0,0x1d +800019ac: 00896933 or s2,s2,s0 +800019b0: 00351d13 slli s10,a0,0x3 +800019b4: c0198993 addi s3,s3,-1023 +800019b8: 00000c93 li s9,0 +800019bc: 014c5513 srli a0,s8,0x14 +800019c0: 00100a37 lui s4,0x100 +800019c4: fffa0a13 addi s4,s4,-1 # fffff <_stack_size+0xffbff> +800019c8: 7ff57513 andi a0,a0,2047 +800019cc: 018a7a33 and s4,s4,s8 +800019d0: 000b8493 mv s1,s7 +800019d4: 01fc5c13 srli s8,s8,0x1f +800019d8: 10050463 beqz a0,80001ae0 <__muldf3+0x1ac> +800019dc: 7ff00793 li a5,2047 +800019e0: 16f50463 beq a0,a5,80001b48 <__muldf3+0x214> +800019e4: 00800437 lui s0,0x800 +800019e8: 003a1a13 slli s4,s4,0x3 +800019ec: 008a6a33 or s4,s4,s0 +800019f0: 01dbd413 srli s0,s7,0x1d +800019f4: 01446433 or s0,s0,s4 +800019f8: 003b9493 slli s1,s7,0x3 +800019fc: c0150513 addi a0,a0,-1023 +80001a00: 00000793 li a5,0 +80001a04: 002c9713 slli a4,s9,0x2 +80001a08: 00f76733 or a4,a4,a5 +80001a0c: 00a989b3 add s3,s3,a0 +80001a10: fff70713 addi a4,a4,-1 +80001a14: 00e00693 li a3,14 +80001a18: 018b4bb3 xor s7,s6,s8 +80001a1c: 00198a93 addi s5,s3,1 +80001a20: 16e6e063 bltu a3,a4,80001b80 <__muldf3+0x24c> +80001a24: 00002697 auipc a3,0x2 +80001a28: d0468693 addi a3,a3,-764 # 80003728 +80001a2c: 00271713 slli a4,a4,0x2 +80001a30: 00d70733 add a4,a4,a3 +80001a34: 00072703 lw a4,0(a4) +80001a38: 00d70733 add a4,a4,a3 +80001a3c: 00070067 jr a4 +80001a40: 00a46933 or s2,s0,a0 +80001a44: 06090e63 beqz s2,80001ac0 <__muldf3+0x18c> +80001a48: 04040063 beqz s0,80001a88 <__muldf3+0x154> +80001a4c: 00040513 mv a0,s0 +80001a50: 664010ef jal ra,800030b4 <__clzsi2> +80001a54: ff550793 addi a5,a0,-11 +80001a58: 01c00713 li a4,28 +80001a5c: 02f74c63 blt a4,a5,80001a94 <__muldf3+0x160> +80001a60: 01d00913 li s2,29 +80001a64: ff850d13 addi s10,a0,-8 +80001a68: 40f90933 sub s2,s2,a5 +80001a6c: 01a41433 sll s0,s0,s10 +80001a70: 0124d933 srl s2,s1,s2 +80001a74: 00896933 or s2,s2,s0 +80001a78: 01a49d33 sll s10,s1,s10 +80001a7c: c0d00993 li s3,-1011 +80001a80: 40a989b3 sub s3,s3,a0 +80001a84: f35ff06f j 800019b8 <__muldf3+0x84> +80001a88: 62c010ef jal ra,800030b4 <__clzsi2> +80001a8c: 02050513 addi a0,a0,32 +80001a90: fc5ff06f j 80001a54 <__muldf3+0x120> +80001a94: fd850913 addi s2,a0,-40 +80001a98: 01249933 sll s2,s1,s2 +80001a9c: 00000d13 li s10,0 +80001aa0: fddff06f j 80001a7c <__muldf3+0x148> +80001aa4: 00a46933 or s2,s0,a0 +80001aa8: 02090463 beqz s2,80001ad0 <__muldf3+0x19c> +80001aac: 00050d13 mv s10,a0 +80001ab0: 00040913 mv s2,s0 +80001ab4: 7ff00993 li s3,2047 +80001ab8: 00300c93 li s9,3 +80001abc: f01ff06f j 800019bc <__muldf3+0x88> +80001ac0: 00000d13 li s10,0 +80001ac4: 00000993 li s3,0 +80001ac8: 00100c93 li s9,1 +80001acc: ef1ff06f j 800019bc <__muldf3+0x88> +80001ad0: 00000d13 li s10,0 +80001ad4: 7ff00993 li s3,2047 +80001ad8: 00200c93 li s9,2 +80001adc: ee1ff06f j 800019bc <__muldf3+0x88> +80001ae0: 017a6433 or s0,s4,s7 +80001ae4: 06040e63 beqz s0,80001b60 <__muldf3+0x22c> +80001ae8: 040a0063 beqz s4,80001b28 <__muldf3+0x1f4> +80001aec: 000a0513 mv a0,s4 +80001af0: 5c4010ef jal ra,800030b4 <__clzsi2> +80001af4: ff550793 addi a5,a0,-11 +80001af8: 01c00713 li a4,28 +80001afc: 02f74e63 blt a4,a5,80001b38 <__muldf3+0x204> +80001b00: 01d00413 li s0,29 +80001b04: ff850493 addi s1,a0,-8 +80001b08: 40f40433 sub s0,s0,a5 +80001b0c: 009a1a33 sll s4,s4,s1 +80001b10: 008bd433 srl s0,s7,s0 +80001b14: 01446433 or s0,s0,s4 +80001b18: 009b94b3 sll s1,s7,s1 +80001b1c: c0d00793 li a5,-1011 +80001b20: 40a78533 sub a0,a5,a0 +80001b24: eddff06f j 80001a00 <__muldf3+0xcc> +80001b28: 000b8513 mv a0,s7 +80001b2c: 588010ef jal ra,800030b4 <__clzsi2> +80001b30: 02050513 addi a0,a0,32 +80001b34: fc1ff06f j 80001af4 <__muldf3+0x1c0> +80001b38: fd850413 addi s0,a0,-40 +80001b3c: 008b9433 sll s0,s7,s0 +80001b40: 00000493 li s1,0 +80001b44: fd9ff06f j 80001b1c <__muldf3+0x1e8> +80001b48: 017a6433 or s0,s4,s7 +80001b4c: 02040263 beqz s0,80001b70 <__muldf3+0x23c> +80001b50: 000a0413 mv s0,s4 +80001b54: 7ff00513 li a0,2047 +80001b58: 00300793 li a5,3 +80001b5c: ea9ff06f j 80001a04 <__muldf3+0xd0> +80001b60: 00000493 li s1,0 +80001b64: 00000513 li a0,0 +80001b68: 00100793 li a5,1 +80001b6c: e99ff06f j 80001a04 <__muldf3+0xd0> +80001b70: 00000493 li s1,0 +80001b74: 7ff00513 li a0,2047 +80001b78: 00200793 li a5,2 +80001b7c: e89ff06f j 80001a04 <__muldf3+0xd0> +80001b80: 00010737 lui a4,0x10 +80001b84: fff70a13 addi s4,a4,-1 # ffff <_stack_size+0xfbff> +80001b88: 010d5c13 srli s8,s10,0x10 +80001b8c: 0104dd93 srli s11,s1,0x10 +80001b90: 014d7d33 and s10,s10,s4 +80001b94: 0144f4b3 and s1,s1,s4 +80001b98: 000d0593 mv a1,s10 +80001b9c: 00048513 mv a0,s1 +80001ba0: 00e12823 sw a4,16(sp) +80001ba4: 438010ef jal ra,80002fdc <__mulsi3> +80001ba8: 00050c93 mv s9,a0 +80001bac: 00048593 mv a1,s1 +80001bb0: 000c0513 mv a0,s8 +80001bb4: 428010ef jal ra,80002fdc <__mulsi3> +80001bb8: 00a12623 sw a0,12(sp) +80001bbc: 000d8593 mv a1,s11 +80001bc0: 000c0513 mv a0,s8 +80001bc4: 418010ef jal ra,80002fdc <__mulsi3> +80001bc8: 00050b13 mv s6,a0 +80001bcc: 000d0593 mv a1,s10 +80001bd0: 000d8513 mv a0,s11 +80001bd4: 408010ef jal ra,80002fdc <__mulsi3> +80001bd8: 00c12683 lw a3,12(sp) +80001bdc: 010cd793 srli a5,s9,0x10 +80001be0: 00d50533 add a0,a0,a3 +80001be4: 00a78533 add a0,a5,a0 +80001be8: 00d57663 bleu a3,a0,80001bf4 <__muldf3+0x2c0> +80001bec: 01012703 lw a4,16(sp) +80001bf0: 00eb0b33 add s6,s6,a4 +80001bf4: 01055693 srli a3,a0,0x10 +80001bf8: 01457533 and a0,a0,s4 +80001bfc: 014cfcb3 and s9,s9,s4 +80001c00: 01051513 slli a0,a0,0x10 +80001c04: 019507b3 add a5,a0,s9 +80001c08: 01045c93 srli s9,s0,0x10 +80001c0c: 01447433 and s0,s0,s4 +80001c10: 000d0593 mv a1,s10 +80001c14: 00040513 mv a0,s0 +80001c18: 00d12a23 sw a3,20(sp) +80001c1c: 00f12623 sw a5,12(sp) +80001c20: 3bc010ef jal ra,80002fdc <__mulsi3> +80001c24: 00a12823 sw a0,16(sp) +80001c28: 00040593 mv a1,s0 +80001c2c: 000c0513 mv a0,s8 +80001c30: 3ac010ef jal ra,80002fdc <__mulsi3> +80001c34: 00050a13 mv s4,a0 +80001c38: 000c8593 mv a1,s9 +80001c3c: 000c0513 mv a0,s8 +80001c40: 39c010ef jal ra,80002fdc <__mulsi3> +80001c44: 00050c13 mv s8,a0 +80001c48: 000d0593 mv a1,s10 +80001c4c: 000c8513 mv a0,s9 +80001c50: 38c010ef jal ra,80002fdc <__mulsi3> +80001c54: 01012703 lw a4,16(sp) +80001c58: 01450533 add a0,a0,s4 +80001c5c: 01412683 lw a3,20(sp) +80001c60: 01075793 srli a5,a4,0x10 +80001c64: 00a78533 add a0,a5,a0 +80001c68: 01457663 bleu s4,a0,80001c74 <__muldf3+0x340> +80001c6c: 000107b7 lui a5,0x10 +80001c70: 00fc0c33 add s8,s8,a5 +80001c74: 00010637 lui a2,0x10 +80001c78: 01055793 srli a5,a0,0x10 +80001c7c: 01878c33 add s8,a5,s8 +80001c80: fff60793 addi a5,a2,-1 # ffff <_stack_size+0xfbff> +80001c84: 00f57a33 and s4,a0,a5 +80001c88: 00f77733 and a4,a4,a5 +80001c8c: 010a1a13 slli s4,s4,0x10 +80001c90: 01095d13 srli s10,s2,0x10 +80001c94: 00ea0a33 add s4,s4,a4 +80001c98: 00f97933 and s2,s2,a5 +80001c9c: 01468733 add a4,a3,s4 +80001ca0: 00090593 mv a1,s2 +80001ca4: 00048513 mv a0,s1 +80001ca8: 00e12823 sw a4,16(sp) +80001cac: 00c12e23 sw a2,28(sp) +80001cb0: 32c010ef jal ra,80002fdc <__mulsi3> +80001cb4: 00048593 mv a1,s1 +80001cb8: 00a12c23 sw a0,24(sp) +80001cbc: 000d0513 mv a0,s10 +80001cc0: 31c010ef jal ra,80002fdc <__mulsi3> +80001cc4: 00a12a23 sw a0,20(sp) +80001cc8: 000d0593 mv a1,s10 +80001ccc: 000d8513 mv a0,s11 +80001cd0: 30c010ef jal ra,80002fdc <__mulsi3> +80001cd4: 00050493 mv s1,a0 +80001cd8: 00090593 mv a1,s2 +80001cdc: 000d8513 mv a0,s11 +80001ce0: 2fc010ef jal ra,80002fdc <__mulsi3> +80001ce4: 01412683 lw a3,20(sp) +80001ce8: 01812703 lw a4,24(sp) +80001cec: 00d50533 add a0,a0,a3 +80001cf0: 01075793 srli a5,a4,0x10 +80001cf4: 00a78533 add a0,a5,a0 +80001cf8: 00d57663 bleu a3,a0,80001d04 <__muldf3+0x3d0> +80001cfc: 01c12603 lw a2,28(sp) +80001d00: 00c484b3 add s1,s1,a2 +80001d04: 000106b7 lui a3,0x10 +80001d08: fff68793 addi a5,a3,-1 # ffff <_stack_size+0xfbff> +80001d0c: 01055d93 srli s11,a0,0x10 +80001d10: 009d84b3 add s1,s11,s1 +80001d14: 00f57db3 and s11,a0,a5 +80001d18: 00f77733 and a4,a4,a5 +80001d1c: 00090593 mv a1,s2 +80001d20: 00040513 mv a0,s0 +80001d24: 010d9d93 slli s11,s11,0x10 +80001d28: 00ed8db3 add s11,s11,a4 +80001d2c: 00d12c23 sw a3,24(sp) +80001d30: 2ac010ef jal ra,80002fdc <__mulsi3> +80001d34: 00040593 mv a1,s0 +80001d38: 00a12a23 sw a0,20(sp) +80001d3c: 000d0513 mv a0,s10 +80001d40: 29c010ef jal ra,80002fdc <__mulsi3> +80001d44: 000d0593 mv a1,s10 +80001d48: 00050413 mv s0,a0 +80001d4c: 000c8513 mv a0,s9 +80001d50: 28c010ef jal ra,80002fdc <__mulsi3> +80001d54: 00050d13 mv s10,a0 +80001d58: 00090593 mv a1,s2 +80001d5c: 000c8513 mv a0,s9 +80001d60: 27c010ef jal ra,80002fdc <__mulsi3> +80001d64: 01412703 lw a4,20(sp) +80001d68: 00850533 add a0,a0,s0 +80001d6c: 01075793 srli a5,a4,0x10 +80001d70: 00a78533 add a0,a5,a0 +80001d74: 00857663 bleu s0,a0,80001d80 <__muldf3+0x44c> +80001d78: 01812683 lw a3,24(sp) +80001d7c: 00dd0d33 add s10,s10,a3 +80001d80: 01012783 lw a5,16(sp) +80001d84: 000106b7 lui a3,0x10 +80001d88: fff68693 addi a3,a3,-1 # ffff <_stack_size+0xfbff> +80001d8c: 00fb0b33 add s6,s6,a5 +80001d90: 00d577b3 and a5,a0,a3 +80001d94: 00d77733 and a4,a4,a3 +80001d98: 01079793 slli a5,a5,0x10 +80001d9c: 00e787b3 add a5,a5,a4 +80001da0: 014b3a33 sltu s4,s6,s4 +80001da4: 018787b3 add a5,a5,s8 +80001da8: 01478433 add s0,a5,s4 +80001dac: 01bb0b33 add s6,s6,s11 +80001db0: 00940733 add a4,s0,s1 +80001db4: 01bb3db3 sltu s11,s6,s11 +80001db8: 01b706b3 add a3,a4,s11 +80001dbc: 0187bc33 sltu s8,a5,s8 +80001dc0: 01443433 sltu s0,s0,s4 +80001dc4: 01055793 srli a5,a0,0x10 +80001dc8: 00973733 sltu a4,a4,s1 +80001dcc: 008c6433 or s0,s8,s0 +80001dd0: 01b6bdb3 sltu s11,a3,s11 +80001dd4: 00f40433 add s0,s0,a5 +80001dd8: 01b76db3 or s11,a4,s11 +80001ddc: 01b40433 add s0,s0,s11 +80001de0: 01a40433 add s0,s0,s10 +80001de4: 0176d793 srli a5,a3,0x17 +80001de8: 00941413 slli s0,s0,0x9 +80001dec: 00f46433 or s0,s0,a5 +80001df0: 00c12783 lw a5,12(sp) +80001df4: 009b1493 slli s1,s6,0x9 +80001df8: 017b5b13 srli s6,s6,0x17 +80001dfc: 00f4e4b3 or s1,s1,a5 +80001e00: 009034b3 snez s1,s1 +80001e04: 00969793 slli a5,a3,0x9 +80001e08: 0164e4b3 or s1,s1,s6 +80001e0c: 00f4e4b3 or s1,s1,a5 +80001e10: 00741793 slli a5,s0,0x7 +80001e14: 1207d263 bgez a5,80001f38 <__muldf3+0x604> +80001e18: 0014d793 srli a5,s1,0x1 +80001e1c: 0014f493 andi s1,s1,1 +80001e20: 0097e4b3 or s1,a5,s1 +80001e24: 01f41793 slli a5,s0,0x1f +80001e28: 00f4e4b3 or s1,s1,a5 +80001e2c: 00145413 srli s0,s0,0x1 +80001e30: 3ffa8713 addi a4,s5,1023 +80001e34: 10e05663 blez a4,80001f40 <__muldf3+0x60c> +80001e38: 0074f793 andi a5,s1,7 +80001e3c: 02078063 beqz a5,80001e5c <__muldf3+0x528> +80001e40: 00f4f793 andi a5,s1,15 +80001e44: 00400693 li a3,4 +80001e48: 00d78a63 beq a5,a3,80001e5c <__muldf3+0x528> +80001e4c: 00448793 addi a5,s1,4 +80001e50: 0097b4b3 sltu s1,a5,s1 +80001e54: 00940433 add s0,s0,s1 +80001e58: 00078493 mv s1,a5 +80001e5c: 00741793 slli a5,s0,0x7 +80001e60: 0007da63 bgez a5,80001e74 <__muldf3+0x540> +80001e64: ff0007b7 lui a5,0xff000 +80001e68: fff78793 addi a5,a5,-1 # feffffff <_stack_start+0x7eff96ef> +80001e6c: 00f47433 and s0,s0,a5 +80001e70: 400a8713 addi a4,s5,1024 +80001e74: 7fe00793 li a5,2046 +80001e78: 18e7c663 blt a5,a4,80002004 <__muldf3+0x6d0> +80001e7c: 0034da93 srli s5,s1,0x3 +80001e80: 01d41493 slli s1,s0,0x1d +80001e84: 0154e4b3 or s1,s1,s5 +80001e88: 00345413 srli s0,s0,0x3 +80001e8c: 001007b7 lui a5,0x100 +80001e90: fff78793 addi a5,a5,-1 # fffff <_stack_size+0xffbff> +80001e94: 00f47433 and s0,s0,a5 +80001e98: 7ff77793 andi a5,a4,2047 +80001e9c: 80100737 lui a4,0x80100 +80001ea0: fff70713 addi a4,a4,-1 # 800fffff <_stack_start+0xf96ef> +80001ea4: 01479793 slli a5,a5,0x14 +80001ea8: 00e47433 and s0,s0,a4 +80001eac: 01fb9b93 slli s7,s7,0x1f +80001eb0: 00f46433 or s0,s0,a5 +80001eb4: 017467b3 or a5,s0,s7 +80001eb8: 05c12083 lw ra,92(sp) +80001ebc: 05812403 lw s0,88(sp) +80001ec0: 00048513 mv a0,s1 +80001ec4: 05012903 lw s2,80(sp) +80001ec8: 05412483 lw s1,84(sp) +80001ecc: 04c12983 lw s3,76(sp) +80001ed0: 04812a03 lw s4,72(sp) +80001ed4: 04412a83 lw s5,68(sp) +80001ed8: 04012b03 lw s6,64(sp) +80001edc: 03c12b83 lw s7,60(sp) +80001ee0: 03812c03 lw s8,56(sp) +80001ee4: 03412c83 lw s9,52(sp) +80001ee8: 03012d03 lw s10,48(sp) +80001eec: 02c12d83 lw s11,44(sp) +80001ef0: 00078593 mv a1,a5 +80001ef4: 06010113 addi sp,sp,96 +80001ef8: 00008067 ret +80001efc: 000b0b93 mv s7,s6 +80001f00: 00090413 mv s0,s2 +80001f04: 000d0493 mv s1,s10 +80001f08: 000c8793 mv a5,s9 +80001f0c: 00200713 li a4,2 +80001f10: 0ee78a63 beq a5,a4,80002004 <__muldf3+0x6d0> +80001f14: 00300713 li a4,3 +80001f18: 0ce78c63 beq a5,a4,80001ff0 <__muldf3+0x6bc> +80001f1c: 00100713 li a4,1 +80001f20: f0e798e3 bne a5,a4,80001e30 <__muldf3+0x4fc> +80001f24: 00000413 li s0,0 +80001f28: 00000493 li s1,0 +80001f2c: 0880006f j 80001fb4 <__muldf3+0x680> +80001f30: 000c0b93 mv s7,s8 +80001f34: fd9ff06f j 80001f0c <__muldf3+0x5d8> +80001f38: 00098a93 mv s5,s3 +80001f3c: ef5ff06f j 80001e30 <__muldf3+0x4fc> +80001f40: 00100693 li a3,1 +80001f44: 40e686b3 sub a3,a3,a4 +80001f48: 03800793 li a5,56 +80001f4c: fcd7cce3 blt a5,a3,80001f24 <__muldf3+0x5f0> +80001f50: 01f00793 li a5,31 +80001f54: 06d7c463 blt a5,a3,80001fbc <__muldf3+0x688> +80001f58: 41ea8a93 addi s5,s5,1054 +80001f5c: 015417b3 sll a5,s0,s5 +80001f60: 00d4d733 srl a4,s1,a3 +80001f64: 015494b3 sll s1,s1,s5 +80001f68: 00e7e7b3 or a5,a5,a4 +80001f6c: 009034b3 snez s1,s1 +80001f70: 0097e4b3 or s1,a5,s1 +80001f74: 00d45433 srl s0,s0,a3 +80001f78: 0074f793 andi a5,s1,7 +80001f7c: 02078063 beqz a5,80001f9c <__muldf3+0x668> +80001f80: 00f4f793 andi a5,s1,15 +80001f84: 00400713 li a4,4 +80001f88: 00e78a63 beq a5,a4,80001f9c <__muldf3+0x668> +80001f8c: 00448793 addi a5,s1,4 +80001f90: 0097b4b3 sltu s1,a5,s1 +80001f94: 00940433 add s0,s0,s1 +80001f98: 00078493 mv s1,a5 +80001f9c: 00841793 slli a5,s0,0x8 +80001fa0: 0607ca63 bltz a5,80002014 <__muldf3+0x6e0> +80001fa4: 01d41793 slli a5,s0,0x1d +80001fa8: 0034d493 srli s1,s1,0x3 +80001fac: 0097e4b3 or s1,a5,s1 +80001fb0: 00345413 srli s0,s0,0x3 +80001fb4: 00000713 li a4,0 +80001fb8: ed5ff06f j 80001e8c <__muldf3+0x558> +80001fbc: fe100793 li a5,-31 +80001fc0: 40e787b3 sub a5,a5,a4 +80001fc4: 02000613 li a2,32 +80001fc8: 00f457b3 srl a5,s0,a5 +80001fcc: 00000713 li a4,0 +80001fd0: 00c68663 beq a3,a2,80001fdc <__muldf3+0x6a8> +80001fd4: 43ea8a93 addi s5,s5,1086 +80001fd8: 01541733 sll a4,s0,s5 +80001fdc: 009764b3 or s1,a4,s1 +80001fe0: 009034b3 snez s1,s1 +80001fe4: 0097e4b3 or s1,a5,s1 +80001fe8: 00000413 li s0,0 +80001fec: f8dff06f j 80001f78 <__muldf3+0x644> +80001ff0: 00080437 lui s0,0x80 +80001ff4: 00000493 li s1,0 +80001ff8: 7ff00713 li a4,2047 +80001ffc: 00000b93 li s7,0 +80002000: e8dff06f j 80001e8c <__muldf3+0x558> +80002004: 00000413 li s0,0 +80002008: 00000493 li s1,0 +8000200c: 7ff00713 li a4,2047 +80002010: e7dff06f j 80001e8c <__muldf3+0x558> +80002014: 00000413 li s0,0 +80002018: 00000493 li s1,0 +8000201c: 00100713 li a4,1 +80002020: e6dff06f j 80001e8c <__muldf3+0x558> + +80002024 <__divsf3>: +80002024: fd010113 addi sp,sp,-48 +80002028: 02912223 sw s1,36(sp) +8000202c: 01512a23 sw s5,20(sp) +80002030: 01755493 srli s1,a0,0x17 +80002034: 00800ab7 lui s5,0x800 +80002038: 03212023 sw s2,32(sp) +8000203c: 01612823 sw s6,16(sp) +80002040: fffa8a93 addi s5,s5,-1 # 7fffff <_stack_size+0x7ffbff> +80002044: 02112623 sw ra,44(sp) +80002048: 02812423 sw s0,40(sp) +8000204c: 01312e23 sw s3,28(sp) +80002050: 01412c23 sw s4,24(sp) +80002054: 01712623 sw s7,12(sp) +80002058: 01812423 sw s8,8(sp) +8000205c: 0ff4f493 andi s1,s1,255 +80002060: 00058b13 mv s6,a1 +80002064: 00aafab3 and s5,s5,a0 +80002068: 01f55913 srli s2,a0,0x1f +8000206c: 08048863 beqz s1,800020fc <__divsf3+0xd8> +80002070: 0ff00793 li a5,255 +80002074: 0af48463 beq s1,a5,8000211c <__divsf3+0xf8> +80002078: 003a9a93 slli s5,s5,0x3 +8000207c: 040007b7 lui a5,0x4000 +80002080: 00faeab3 or s5,s5,a5 +80002084: f8148493 addi s1,s1,-127 +80002088: 00000b93 li s7,0 +8000208c: 017b5513 srli a0,s6,0x17 +80002090: 00800437 lui s0,0x800 +80002094: fff40413 addi s0,s0,-1 # 7fffff <_stack_size+0x7ffbff> +80002098: 0ff57513 andi a0,a0,255 +8000209c: 01647433 and s0,s0,s6 +800020a0: 01fb5b13 srli s6,s6,0x1f +800020a4: 08050c63 beqz a0,8000213c <__divsf3+0x118> +800020a8: 0ff00793 li a5,255 +800020ac: 0af50863 beq a0,a5,8000215c <__divsf3+0x138> +800020b0: 00341413 slli s0,s0,0x3 +800020b4: 040007b7 lui a5,0x4000 +800020b8: 00f46433 or s0,s0,a5 +800020bc: f8150513 addi a0,a0,-127 +800020c0: 00000793 li a5,0 +800020c4: 002b9713 slli a4,s7,0x2 +800020c8: 00f76733 or a4,a4,a5 +800020cc: fff70713 addi a4,a4,-1 +800020d0: 00e00693 li a3,14 +800020d4: 016949b3 xor s3,s2,s6 +800020d8: 40a48a33 sub s4,s1,a0 +800020dc: 0ae6e063 bltu a3,a4,8000217c <__divsf3+0x158> +800020e0: 00001697 auipc a3,0x1 +800020e4: 68468693 addi a3,a3,1668 # 80003764 +800020e8: 00271713 slli a4,a4,0x2 +800020ec: 00d70733 add a4,a4,a3 +800020f0: 00072703 lw a4,0(a4) +800020f4: 00d70733 add a4,a4,a3 +800020f8: 00070067 jr a4 +800020fc: 020a8a63 beqz s5,80002130 <__divsf3+0x10c> +80002100: 000a8513 mv a0,s5 +80002104: 7b1000ef jal ra,800030b4 <__clzsi2> +80002108: ffb50793 addi a5,a0,-5 +8000210c: f8a00493 li s1,-118 +80002110: 00fa9ab3 sll s5,s5,a5 +80002114: 40a484b3 sub s1,s1,a0 +80002118: f71ff06f j 80002088 <__divsf3+0x64> +8000211c: 0ff00493 li s1,255 +80002120: 00200b93 li s7,2 +80002124: f60a84e3 beqz s5,8000208c <__divsf3+0x68> +80002128: 00300b93 li s7,3 +8000212c: f61ff06f j 8000208c <__divsf3+0x68> +80002130: 00000493 li s1,0 +80002134: 00100b93 li s7,1 +80002138: f55ff06f j 8000208c <__divsf3+0x68> +8000213c: 02040a63 beqz s0,80002170 <__divsf3+0x14c> +80002140: 00040513 mv a0,s0 +80002144: 771000ef jal ra,800030b4 <__clzsi2> +80002148: ffb50793 addi a5,a0,-5 +8000214c: 00f41433 sll s0,s0,a5 +80002150: f8a00793 li a5,-118 +80002154: 40a78533 sub a0,a5,a0 +80002158: f69ff06f j 800020c0 <__divsf3+0x9c> +8000215c: 0ff00513 li a0,255 +80002160: 00200793 li a5,2 +80002164: f60400e3 beqz s0,800020c4 <__divsf3+0xa0> +80002168: 00300793 li a5,3 +8000216c: f59ff06f j 800020c4 <__divsf3+0xa0> +80002170: 00000513 li a0,0 +80002174: 00100793 li a5,1 +80002178: f4dff06f j 800020c4 <__divsf3+0xa0> +8000217c: 00541b13 slli s6,s0,0x5 +80002180: 128af663 bleu s0,s5,800022ac <__divsf3+0x288> +80002184: fffa0a13 addi s4,s4,-1 +80002188: 00000913 li s2,0 +8000218c: 010b5b93 srli s7,s6,0x10 +80002190: 00010437 lui s0,0x10 +80002194: 000b8593 mv a1,s7 +80002198: fff40413 addi s0,s0,-1 # ffff <_stack_size+0xfbff> +8000219c: 000a8513 mv a0,s5 +800021a0: 669000ef jal ra,80003008 <__udivsi3> +800021a4: 008b7433 and s0,s6,s0 +800021a8: 00050593 mv a1,a0 +800021ac: 00050c13 mv s8,a0 +800021b0: 00040513 mv a0,s0 +800021b4: 629000ef jal ra,80002fdc <__mulsi3> +800021b8: 00050493 mv s1,a0 +800021bc: 000b8593 mv a1,s7 +800021c0: 000a8513 mv a0,s5 +800021c4: 68d000ef jal ra,80003050 <__umodsi3> +800021c8: 01095913 srli s2,s2,0x10 +800021cc: 01051513 slli a0,a0,0x10 +800021d0: 00a96533 or a0,s2,a0 +800021d4: 000c0913 mv s2,s8 +800021d8: 00957e63 bleu s1,a0,800021f4 <__divsf3+0x1d0> +800021dc: 01650533 add a0,a0,s6 +800021e0: fffc0913 addi s2,s8,-1 +800021e4: 01656863 bltu a0,s6,800021f4 <__divsf3+0x1d0> +800021e8: 00957663 bleu s1,a0,800021f4 <__divsf3+0x1d0> +800021ec: ffec0913 addi s2,s8,-2 +800021f0: 01650533 add a0,a0,s6 +800021f4: 409504b3 sub s1,a0,s1 +800021f8: 000b8593 mv a1,s7 +800021fc: 00048513 mv a0,s1 +80002200: 609000ef jal ra,80003008 <__udivsi3> +80002204: 00050593 mv a1,a0 +80002208: 00050c13 mv s8,a0 +8000220c: 00040513 mv a0,s0 +80002210: 5cd000ef jal ra,80002fdc <__mulsi3> +80002214: 00050a93 mv s5,a0 +80002218: 000b8593 mv a1,s7 +8000221c: 00048513 mv a0,s1 +80002220: 631000ef jal ra,80003050 <__umodsi3> +80002224: 01051513 slli a0,a0,0x10 +80002228: 000c0413 mv s0,s8 +8000222c: 01557e63 bleu s5,a0,80002248 <__divsf3+0x224> +80002230: 01650533 add a0,a0,s6 +80002234: fffc0413 addi s0,s8,-1 +80002238: 01656863 bltu a0,s6,80002248 <__divsf3+0x224> +8000223c: 01557663 bleu s5,a0,80002248 <__divsf3+0x224> +80002240: ffec0413 addi s0,s8,-2 +80002244: 01650533 add a0,a0,s6 +80002248: 01091913 slli s2,s2,0x10 +8000224c: 41550533 sub a0,a0,s5 +80002250: 00896933 or s2,s2,s0 +80002254: 00a03533 snez a0,a0 +80002258: 00a96433 or s0,s2,a0 +8000225c: 07fa0713 addi a4,s4,127 +80002260: 0ae05063 blez a4,80002300 <__divsf3+0x2dc> +80002264: 00747793 andi a5,s0,7 +80002268: 00078a63 beqz a5,8000227c <__divsf3+0x258> +8000226c: 00f47793 andi a5,s0,15 +80002270: 00400693 li a3,4 +80002274: 00d78463 beq a5,a3,8000227c <__divsf3+0x258> +80002278: 00440413 addi s0,s0,4 +8000227c: 00441793 slli a5,s0,0x4 +80002280: 0007da63 bgez a5,80002294 <__divsf3+0x270> +80002284: f80007b7 lui a5,0xf8000 +80002288: fff78793 addi a5,a5,-1 # f7ffffff <_stack_start+0x77ff96ef> +8000228c: 00f47433 and s0,s0,a5 +80002290: 080a0713 addi a4,s4,128 +80002294: 0fe00793 li a5,254 +80002298: 00345413 srli s0,s0,0x3 +8000229c: 0ce7d263 ble a4,a5,80002360 <__divsf3+0x33c> +800022a0: 00000413 li s0,0 +800022a4: 0ff00713 li a4,255 +800022a8: 0b80006f j 80002360 <__divsf3+0x33c> +800022ac: 01fa9913 slli s2,s5,0x1f +800022b0: 001ada93 srli s5,s5,0x1 +800022b4: ed9ff06f j 8000218c <__divsf3+0x168> +800022b8: 00090993 mv s3,s2 +800022bc: 000a8413 mv s0,s5 +800022c0: 000b8793 mv a5,s7 +800022c4: 00200713 li a4,2 +800022c8: fce78ce3 beq a5,a4,800022a0 <__divsf3+0x27c> +800022cc: 00300713 li a4,3 +800022d0: 08e78263 beq a5,a4,80002354 <__divsf3+0x330> +800022d4: 00100713 li a4,1 +800022d8: f8e792e3 bne a5,a4,8000225c <__divsf3+0x238> +800022dc: 00000413 li s0,0 +800022e0: 00000713 li a4,0 +800022e4: 07c0006f j 80002360 <__divsf3+0x33c> +800022e8: 000b0993 mv s3,s6 +800022ec: fd9ff06f j 800022c4 <__divsf3+0x2a0> +800022f0: 00400437 lui s0,0x400 +800022f4: 00000993 li s3,0 +800022f8: 00300793 li a5,3 +800022fc: fc9ff06f j 800022c4 <__divsf3+0x2a0> +80002300: 00100793 li a5,1 +80002304: 40e787b3 sub a5,a5,a4 +80002308: 01b00713 li a4,27 +8000230c: fcf748e3 blt a4,a5,800022dc <__divsf3+0x2b8> +80002310: 09ea0513 addi a0,s4,158 +80002314: 00f457b3 srl a5,s0,a5 +80002318: 00a41433 sll s0,s0,a0 +8000231c: 00803433 snez s0,s0 +80002320: 0087e433 or s0,a5,s0 +80002324: 00747793 andi a5,s0,7 +80002328: 00078a63 beqz a5,8000233c <__divsf3+0x318> +8000232c: 00f47793 andi a5,s0,15 +80002330: 00400713 li a4,4 +80002334: 00e78463 beq a5,a4,8000233c <__divsf3+0x318> +80002338: 00440413 addi s0,s0,4 # 400004 <_stack_size+0x3ffc04> +8000233c: 00541793 slli a5,s0,0x5 +80002340: 00345413 srli s0,s0,0x3 +80002344: f807dee3 bgez a5,800022e0 <__divsf3+0x2bc> +80002348: 00000413 li s0,0 +8000234c: 00100713 li a4,1 +80002350: 0100006f j 80002360 <__divsf3+0x33c> +80002354: 00400437 lui s0,0x400 +80002358: 0ff00713 li a4,255 +8000235c: 00000993 li s3,0 +80002360: 00800537 lui a0,0x800 +80002364: fff50513 addi a0,a0,-1 # 7fffff <_stack_size+0x7ffbff> +80002368: 00a47433 and s0,s0,a0 +8000236c: 80800537 lui a0,0x80800 +80002370: fff50513 addi a0,a0,-1 # 807fffff <_stack_start+0x7f96ef> +80002374: 0ff77713 andi a4,a4,255 +80002378: 00a47433 and s0,s0,a0 +8000237c: 01771713 slli a4,a4,0x17 +80002380: 01f99513 slli a0,s3,0x1f +80002384: 00e46433 or s0,s0,a4 +80002388: 00a46533 or a0,s0,a0 +8000238c: 02c12083 lw ra,44(sp) +80002390: 02812403 lw s0,40(sp) +80002394: 02412483 lw s1,36(sp) +80002398: 02012903 lw s2,32(sp) +8000239c: 01c12983 lw s3,28(sp) +800023a0: 01812a03 lw s4,24(sp) +800023a4: 01412a83 lw s5,20(sp) +800023a8: 01012b03 lw s6,16(sp) +800023ac: 00c12b83 lw s7,12(sp) +800023b0: 00812c03 lw s8,8(sp) +800023b4: 03010113 addi sp,sp,48 +800023b8: 00008067 ret + +800023bc <__mulsf3>: +800023bc: fd010113 addi sp,sp,-48 +800023c0: 02912223 sw s1,36(sp) +800023c4: 03212023 sw s2,32(sp) +800023c8: 008004b7 lui s1,0x800 +800023cc: 01755913 srli s2,a0,0x17 +800023d0: 01312e23 sw s3,28(sp) +800023d4: 01712623 sw s7,12(sp) +800023d8: fff48493 addi s1,s1,-1 # 7fffff <_stack_size+0x7ffbff> +800023dc: 02112623 sw ra,44(sp) +800023e0: 02812423 sw s0,40(sp) +800023e4: 01412c23 sw s4,24(sp) +800023e8: 01512a23 sw s5,20(sp) +800023ec: 01612823 sw s6,16(sp) +800023f0: 01812423 sw s8,8(sp) +800023f4: 01912223 sw s9,4(sp) +800023f8: 0ff97913 andi s2,s2,255 +800023fc: 00058b93 mv s7,a1 +80002400: 00a4f4b3 and s1,s1,a0 +80002404: 01f55993 srli s3,a0,0x1f +80002408: 08090a63 beqz s2,8000249c <__mulsf3+0xe0> +8000240c: 0ff00793 li a5,255 +80002410: 0af90663 beq s2,a5,800024bc <__mulsf3+0x100> +80002414: 00349493 slli s1,s1,0x3 +80002418: 040007b7 lui a5,0x4000 +8000241c: 00f4e4b3 or s1,s1,a5 +80002420: f8190913 addi s2,s2,-127 # 7fff81 <_stack_size+0x7ffb81> +80002424: 00000b13 li s6,0 +80002428: 017bd513 srli a0,s7,0x17 +8000242c: 00800437 lui s0,0x800 +80002430: fff40413 addi s0,s0,-1 # 7fffff <_stack_size+0x7ffbff> +80002434: 0ff57513 andi a0,a0,255 +80002438: 01747433 and s0,s0,s7 +8000243c: 01fbdb93 srli s7,s7,0x1f +80002440: 08050e63 beqz a0,800024dc <__mulsf3+0x120> +80002444: 0ff00793 li a5,255 +80002448: 0af50a63 beq a0,a5,800024fc <__mulsf3+0x140> +8000244c: 00341413 slli s0,s0,0x3 +80002450: 040007b7 lui a5,0x4000 +80002454: 00f46433 or s0,s0,a5 +80002458: f8150513 addi a0,a0,-127 +8000245c: 00000693 li a3,0 +80002460: 002b1793 slli a5,s6,0x2 +80002464: 00d7e7b3 or a5,a5,a3 +80002468: 00a90933 add s2,s2,a0 +8000246c: fff78793 addi a5,a5,-1 # 3ffffff <_stack_size+0x3fffbff> +80002470: 00e00713 li a4,14 +80002474: 0179ca33 xor s4,s3,s7 +80002478: 00190a93 addi s5,s2,1 +8000247c: 0af76063 bltu a4,a5,8000251c <__mulsf3+0x160> +80002480: 00001717 auipc a4,0x1 +80002484: 32070713 addi a4,a4,800 # 800037a0 +80002488: 00279793 slli a5,a5,0x2 +8000248c: 00e787b3 add a5,a5,a4 +80002490: 0007a783 lw a5,0(a5) +80002494: 00e787b3 add a5,a5,a4 +80002498: 00078067 jr a5 +8000249c: 02048a63 beqz s1,800024d0 <__mulsf3+0x114> +800024a0: 00048513 mv a0,s1 +800024a4: 411000ef jal ra,800030b4 <__clzsi2> +800024a8: ffb50793 addi a5,a0,-5 +800024ac: f8a00913 li s2,-118 +800024b0: 00f494b3 sll s1,s1,a5 +800024b4: 40a90933 sub s2,s2,a0 +800024b8: f6dff06f j 80002424 <__mulsf3+0x68> +800024bc: 0ff00913 li s2,255 +800024c0: 00200b13 li s6,2 +800024c4: f60482e3 beqz s1,80002428 <__mulsf3+0x6c> +800024c8: 00300b13 li s6,3 +800024cc: f5dff06f j 80002428 <__mulsf3+0x6c> +800024d0: 00000913 li s2,0 +800024d4: 00100b13 li s6,1 +800024d8: f51ff06f j 80002428 <__mulsf3+0x6c> +800024dc: 02040a63 beqz s0,80002510 <__mulsf3+0x154> +800024e0: 00040513 mv a0,s0 +800024e4: 3d1000ef jal ra,800030b4 <__clzsi2> +800024e8: ffb50793 addi a5,a0,-5 +800024ec: 00f41433 sll s0,s0,a5 +800024f0: f8a00793 li a5,-118 +800024f4: 40a78533 sub a0,a5,a0 +800024f8: f65ff06f j 8000245c <__mulsf3+0xa0> +800024fc: 0ff00513 li a0,255 +80002500: 00200693 li a3,2 +80002504: f4040ee3 beqz s0,80002460 <__mulsf3+0xa4> +80002508: 00300693 li a3,3 +8000250c: f55ff06f j 80002460 <__mulsf3+0xa4> +80002510: 00000513 li a0,0 +80002514: 00100693 li a3,1 +80002518: f49ff06f j 80002460 <__mulsf3+0xa4> +8000251c: 00010c37 lui s8,0x10 +80002520: fffc0b13 addi s6,s8,-1 # ffff <_stack_size+0xfbff> +80002524: 0104db93 srli s7,s1,0x10 +80002528: 01045c93 srli s9,s0,0x10 +8000252c: 0164f4b3 and s1,s1,s6 +80002530: 01647433 and s0,s0,s6 +80002534: 00040593 mv a1,s0 +80002538: 00048513 mv a0,s1 +8000253c: 2a1000ef jal ra,80002fdc <__mulsi3> +80002540: 00040593 mv a1,s0 +80002544: 00050993 mv s3,a0 +80002548: 000b8513 mv a0,s7 +8000254c: 291000ef jal ra,80002fdc <__mulsi3> +80002550: 00050413 mv s0,a0 +80002554: 000c8593 mv a1,s9 +80002558: 000b8513 mv a0,s7 +8000255c: 281000ef jal ra,80002fdc <__mulsi3> +80002560: 00050b93 mv s7,a0 +80002564: 00048593 mv a1,s1 +80002568: 000c8513 mv a0,s9 +8000256c: 271000ef jal ra,80002fdc <__mulsi3> +80002570: 00850533 add a0,a0,s0 +80002574: 0109d793 srli a5,s3,0x10 +80002578: 00a78533 add a0,a5,a0 +8000257c: 00857463 bleu s0,a0,80002584 <__mulsf3+0x1c8> +80002580: 018b8bb3 add s7,s7,s8 +80002584: 016577b3 and a5,a0,s6 +80002588: 01079793 slli a5,a5,0x10 +8000258c: 0169f9b3 and s3,s3,s6 +80002590: 013787b3 add a5,a5,s3 +80002594: 00679413 slli s0,a5,0x6 +80002598: 00803433 snez s0,s0 +8000259c: 01a7d793 srli a5,a5,0x1a +800025a0: 01055513 srli a0,a0,0x10 +800025a4: 00f467b3 or a5,s0,a5 +800025a8: 01750433 add s0,a0,s7 +800025ac: 00641413 slli s0,s0,0x6 +800025b0: 00f46433 or s0,s0,a5 +800025b4: 00441793 slli a5,s0,0x4 +800025b8: 0e07d663 bgez a5,800026a4 <__mulsf3+0x2e8> +800025bc: 00145793 srli a5,s0,0x1 +800025c0: 00147413 andi s0,s0,1 +800025c4: 0087e433 or s0,a5,s0 +800025c8: 07fa8713 addi a4,s5,127 +800025cc: 0ee05063 blez a4,800026ac <__mulsf3+0x2f0> +800025d0: 00747793 andi a5,s0,7 +800025d4: 00078a63 beqz a5,800025e8 <__mulsf3+0x22c> +800025d8: 00f47793 andi a5,s0,15 +800025dc: 00400693 li a3,4 +800025e0: 00d78463 beq a5,a3,800025e8 <__mulsf3+0x22c> +800025e4: 00440413 addi s0,s0,4 +800025e8: 00441793 slli a5,s0,0x4 +800025ec: 0007da63 bgez a5,80002600 <__mulsf3+0x244> +800025f0: f80007b7 lui a5,0xf8000 +800025f4: fff78793 addi a5,a5,-1 # f7ffffff <_stack_start+0x77ff96ef> +800025f8: 00f47433 and s0,s0,a5 +800025fc: 080a8713 addi a4,s5,128 +80002600: 0fe00793 li a5,254 +80002604: 10e7c463 blt a5,a4,8000270c <__mulsf3+0x350> +80002608: 00345793 srli a5,s0,0x3 +8000260c: 0300006f j 8000263c <__mulsf3+0x280> +80002610: 00098a13 mv s4,s3 +80002614: 00048413 mv s0,s1 +80002618: 000b0693 mv a3,s6 +8000261c: 00200793 li a5,2 +80002620: 0ef68663 beq a3,a5,8000270c <__mulsf3+0x350> +80002624: 00300793 li a5,3 +80002628: 0cf68a63 beq a3,a5,800026fc <__mulsf3+0x340> +8000262c: 00100613 li a2,1 +80002630: 00000793 li a5,0 +80002634: 00000713 li a4,0 +80002638: f8c698e3 bne a3,a2,800025c8 <__mulsf3+0x20c> +8000263c: 00800437 lui s0,0x800 +80002640: fff40413 addi s0,s0,-1 # 7fffff <_stack_size+0x7ffbff> +80002644: 80800537 lui a0,0x80800 +80002648: 0087f7b3 and a5,a5,s0 +8000264c: fff50513 addi a0,a0,-1 # 807fffff <_stack_start+0x7f96ef> +80002650: 02c12083 lw ra,44(sp) +80002654: 02812403 lw s0,40(sp) +80002658: 0ff77713 andi a4,a4,255 +8000265c: 00a7f7b3 and a5,a5,a0 +80002660: 01771713 slli a4,a4,0x17 +80002664: 01fa1513 slli a0,s4,0x1f +80002668: 00e7e7b3 or a5,a5,a4 +8000266c: 02412483 lw s1,36(sp) +80002670: 02012903 lw s2,32(sp) +80002674: 01c12983 lw s3,28(sp) +80002678: 01812a03 lw s4,24(sp) +8000267c: 01412a83 lw s5,20(sp) +80002680: 01012b03 lw s6,16(sp) +80002684: 00c12b83 lw s7,12(sp) +80002688: 00812c03 lw s8,8(sp) +8000268c: 00412c83 lw s9,4(sp) +80002690: 00a7e533 or a0,a5,a0 +80002694: 03010113 addi sp,sp,48 +80002698: 00008067 ret +8000269c: 000b8a13 mv s4,s7 +800026a0: f7dff06f j 8000261c <__mulsf3+0x260> +800026a4: 00090a93 mv s5,s2 +800026a8: f21ff06f j 800025c8 <__mulsf3+0x20c> +800026ac: 00100793 li a5,1 +800026b0: 40e787b3 sub a5,a5,a4 +800026b4: 01b00713 li a4,27 +800026b8: 06f74063 blt a4,a5,80002718 <__mulsf3+0x35c> +800026bc: 09ea8a93 addi s5,s5,158 +800026c0: 00f457b3 srl a5,s0,a5 +800026c4: 01541433 sll s0,s0,s5 +800026c8: 00803433 snez s0,s0 +800026cc: 0087e433 or s0,a5,s0 +800026d0: 00747793 andi a5,s0,7 +800026d4: 00078a63 beqz a5,800026e8 <__mulsf3+0x32c> +800026d8: 00f47793 andi a5,s0,15 +800026dc: 00400713 li a4,4 +800026e0: 00e78463 beq a5,a4,800026e8 <__mulsf3+0x32c> +800026e4: 00440413 addi s0,s0,4 +800026e8: 00541793 slli a5,s0,0x5 +800026ec: 0207ca63 bltz a5,80002720 <__mulsf3+0x364> +800026f0: 00345793 srli a5,s0,0x3 +800026f4: 00000713 li a4,0 +800026f8: f45ff06f j 8000263c <__mulsf3+0x280> +800026fc: 004007b7 lui a5,0x400 +80002700: 0ff00713 li a4,255 +80002704: 00000a13 li s4,0 +80002708: f35ff06f j 8000263c <__mulsf3+0x280> +8000270c: 00000793 li a5,0 +80002710: 0ff00713 li a4,255 +80002714: f29ff06f j 8000263c <__mulsf3+0x280> +80002718: 00000793 li a5,0 +8000271c: fd9ff06f j 800026f4 <__mulsf3+0x338> +80002720: 00000793 li a5,0 +80002724: 00100713 li a4,1 +80002728: f15ff06f j 8000263c <__mulsf3+0x280> + +8000272c <__subsf3>: +8000272c: 008007b7 lui a5,0x800 +80002730: fff78793 addi a5,a5,-1 # 7fffff <_stack_size+0x7ffbff> +80002734: ff010113 addi sp,sp,-16 +80002738: 00a7f733 and a4,a5,a0 +8000273c: 01755693 srli a3,a0,0x17 +80002740: 0175d613 srli a2,a1,0x17 +80002744: 00b7f7b3 and a5,a5,a1 +80002748: 00912223 sw s1,4(sp) +8000274c: 01212023 sw s2,0(sp) +80002750: 0ff6f693 andi a3,a3,255 +80002754: 00371813 slli a6,a4,0x3 +80002758: 0ff67613 andi a2,a2,255 +8000275c: 00112623 sw ra,12(sp) +80002760: 00812423 sw s0,8(sp) +80002764: 0ff00713 li a4,255 +80002768: 01f55493 srli s1,a0,0x1f +8000276c: 00068913 mv s2,a3 +80002770: 00060513 mv a0,a2 +80002774: 01f5d593 srli a1,a1,0x1f +80002778: 00379793 slli a5,a5,0x3 +8000277c: 00e61463 bne a2,a4,80002784 <__subsf3+0x58> +80002780: 00079463 bnez a5,80002788 <__subsf3+0x5c> +80002784: 0015c593 xori a1,a1,1 +80002788: 40c68733 sub a4,a3,a2 +8000278c: 1a959a63 bne a1,s1,80002940 <__subsf3+0x214> +80002790: 0ae05663 blez a4,8000283c <__subsf3+0x110> +80002794: 06061663 bnez a2,80002800 <__subsf3+0xd4> +80002798: 00079c63 bnez a5,800027b0 <__subsf3+0x84> +8000279c: 0ff00793 li a5,255 +800027a0: 04f68c63 beq a3,a5,800027f8 <__subsf3+0xcc> +800027a4: 00080793 mv a5,a6 +800027a8: 00068513 mv a0,a3 +800027ac: 14c0006f j 800028f8 <__subsf3+0x1cc> +800027b0: fff70713 addi a4,a4,-1 +800027b4: 02071e63 bnez a4,800027f0 <__subsf3+0xc4> +800027b8: 010787b3 add a5,a5,a6 +800027bc: 00068513 mv a0,a3 +800027c0: 00579713 slli a4,a5,0x5 +800027c4: 12075a63 bgez a4,800028f8 <__subsf3+0x1cc> +800027c8: 00150513 addi a0,a0,1 +800027cc: 0ff00713 li a4,255 +800027d0: 32e50e63 beq a0,a4,80002b0c <__subsf3+0x3e0> +800027d4: 7e000737 lui a4,0x7e000 +800027d8: 0017f693 andi a3,a5,1 +800027dc: fff70713 addi a4,a4,-1 # 7dffffff <_stack_size+0x7dfffbff> +800027e0: 0017d793 srli a5,a5,0x1 +800027e4: 00e7f7b3 and a5,a5,a4 +800027e8: 00d7e7b3 or a5,a5,a3 +800027ec: 10c0006f j 800028f8 <__subsf3+0x1cc> +800027f0: 0ff00613 li a2,255 +800027f4: 00c69e63 bne a3,a2,80002810 <__subsf3+0xe4> +800027f8: 00080793 mv a5,a6 +800027fc: 0740006f j 80002870 <__subsf3+0x144> +80002800: 0ff00613 li a2,255 +80002804: fec68ae3 beq a3,a2,800027f8 <__subsf3+0xcc> +80002808: 04000637 lui a2,0x4000 +8000280c: 00c7e7b3 or a5,a5,a2 +80002810: 01b00613 li a2,27 +80002814: 00e65663 ble a4,a2,80002820 <__subsf3+0xf4> +80002818: 00100793 li a5,1 +8000281c: f9dff06f j 800027b8 <__subsf3+0x8c> +80002820: 02000613 li a2,32 +80002824: 40e60633 sub a2,a2,a4 +80002828: 00e7d5b3 srl a1,a5,a4 +8000282c: 00c797b3 sll a5,a5,a2 +80002830: 00f037b3 snez a5,a5 +80002834: 00f5e7b3 or a5,a1,a5 +80002838: f81ff06f j 800027b8 <__subsf3+0x8c> +8000283c: 08070063 beqz a4,800028bc <__subsf3+0x190> +80002840: 02069c63 bnez a3,80002878 <__subsf3+0x14c> +80002844: 00081863 bnez a6,80002854 <__subsf3+0x128> +80002848: 0ff00713 li a4,255 +8000284c: 0ae61663 bne a2,a4,800028f8 <__subsf3+0x1cc> +80002850: 0200006f j 80002870 <__subsf3+0x144> +80002854: fff00693 li a3,-1 +80002858: 00d71663 bne a4,a3,80002864 <__subsf3+0x138> +8000285c: 010787b3 add a5,a5,a6 +80002860: f61ff06f j 800027c0 <__subsf3+0x94> +80002864: 0ff00693 li a3,255 +80002868: fff74713 not a4,a4 +8000286c: 02d61063 bne a2,a3,8000288c <__subsf3+0x160> +80002870: 0ff00513 li a0,255 +80002874: 0840006f j 800028f8 <__subsf3+0x1cc> +80002878: 0ff00693 li a3,255 +8000287c: fed60ae3 beq a2,a3,80002870 <__subsf3+0x144> +80002880: 040006b7 lui a3,0x4000 +80002884: 40e00733 neg a4,a4 +80002888: 00d86833 or a6,a6,a3 +8000288c: 01b00693 li a3,27 +80002890: 00e6d663 ble a4,a3,8000289c <__subsf3+0x170> +80002894: 00100713 li a4,1 +80002898: 01c0006f j 800028b4 <__subsf3+0x188> +8000289c: 02000693 li a3,32 +800028a0: 00e85633 srl a2,a6,a4 +800028a4: 40e68733 sub a4,a3,a4 +800028a8: 00e81733 sll a4,a6,a4 +800028ac: 00e03733 snez a4,a4 +800028b0: 00e66733 or a4,a2,a4 +800028b4: 00e787b3 add a5,a5,a4 +800028b8: f09ff06f j 800027c0 <__subsf3+0x94> +800028bc: 00168513 addi a0,a3,1 # 4000001 <_stack_size+0x3fffc01> +800028c0: 0ff57613 andi a2,a0,255 +800028c4: 00100713 li a4,1 +800028c8: 06c74263 blt a4,a2,8000292c <__subsf3+0x200> +800028cc: 04069463 bnez a3,80002914 <__subsf3+0x1e8> +800028d0: 00000513 li a0,0 +800028d4: 02080263 beqz a6,800028f8 <__subsf3+0x1cc> +800028d8: 22078663 beqz a5,80002b04 <__subsf3+0x3d8> +800028dc: 010787b3 add a5,a5,a6 +800028e0: 00579713 slli a4,a5,0x5 +800028e4: 00075a63 bgez a4,800028f8 <__subsf3+0x1cc> +800028e8: fc000737 lui a4,0xfc000 +800028ec: fff70713 addi a4,a4,-1 # fbffffff <_stack_start+0x7bff96ef> +800028f0: 00e7f7b3 and a5,a5,a4 +800028f4: 00100513 li a0,1 +800028f8: 0077f713 andi a4,a5,7 +800028fc: 20070a63 beqz a4,80002b10 <__subsf3+0x3e4> +80002900: 00f7f713 andi a4,a5,15 +80002904: 00400693 li a3,4 +80002908: 20d70463 beq a4,a3,80002b10 <__subsf3+0x3e4> +8000290c: 00478793 addi a5,a5,4 +80002910: 2000006f j 80002b10 <__subsf3+0x3e4> +80002914: f4080ee3 beqz a6,80002870 <__subsf3+0x144> +80002918: ee0780e3 beqz a5,800027f8 <__subsf3+0xcc> +8000291c: 020007b7 lui a5,0x2000 +80002920: 0ff00513 li a0,255 +80002924: 00000493 li s1,0 +80002928: 1e80006f j 80002b10 <__subsf3+0x3e4> +8000292c: 0ff00713 li a4,255 +80002930: 1ce50e63 beq a0,a4,80002b0c <__subsf3+0x3e0> +80002934: 00f80733 add a4,a6,a5 +80002938: 00175793 srli a5,a4,0x1 +8000293c: fbdff06f j 800028f8 <__subsf3+0x1cc> +80002940: 08e05063 blez a4,800029c0 <__subsf3+0x294> +80002944: 04061663 bnez a2,80002990 <__subsf3+0x264> +80002948: e4078ae3 beqz a5,8000279c <__subsf3+0x70> +8000294c: fff70713 addi a4,a4,-1 +80002950: 02071463 bnez a4,80002978 <__subsf3+0x24c> +80002954: 40f807b3 sub a5,a6,a5 +80002958: 00068513 mv a0,a3 +8000295c: 00579713 slli a4,a5,0x5 +80002960: f8075ce3 bgez a4,800028f8 <__subsf3+0x1cc> +80002964: 04000437 lui s0,0x4000 +80002968: fff40413 addi s0,s0,-1 # 3ffffff <_stack_size+0x3fffbff> +8000296c: 0087f433 and s0,a5,s0 +80002970: 00050913 mv s2,a0 +80002974: 1380006f j 80002aac <__subsf3+0x380> +80002978: 0ff00613 li a2,255 +8000297c: e6c68ee3 beq a3,a2,800027f8 <__subsf3+0xcc> +80002980: 01b00613 li a2,27 +80002984: 02e65063 ble a4,a2,800029a4 <__subsf3+0x278> +80002988: 00100793 li a5,1 +8000298c: fc9ff06f j 80002954 <__subsf3+0x228> +80002990: 0ff00613 li a2,255 +80002994: e6c682e3 beq a3,a2,800027f8 <__subsf3+0xcc> +80002998: 04000637 lui a2,0x4000 +8000299c: 00c7e7b3 or a5,a5,a2 +800029a0: fe1ff06f j 80002980 <__subsf3+0x254> +800029a4: 02000613 li a2,32 +800029a8: 00e7d5b3 srl a1,a5,a4 +800029ac: 40e60733 sub a4,a2,a4 +800029b0: 00e797b3 sll a5,a5,a4 +800029b4: 00f037b3 snez a5,a5 +800029b8: 00f5e7b3 or a5,a1,a5 +800029bc: f99ff06f j 80002954 <__subsf3+0x228> +800029c0: 08070263 beqz a4,80002a44 <__subsf3+0x318> +800029c4: 02069e63 bnez a3,80002a00 <__subsf3+0x2d4> +800029c8: 00081863 bnez a6,800029d8 <__subsf3+0x2ac> +800029cc: 0ff00713 li a4,255 +800029d0: 00058493 mv s1,a1 +800029d4: e79ff06f j 8000284c <__subsf3+0x120> +800029d8: fff00693 li a3,-1 +800029dc: 00d71863 bne a4,a3,800029ec <__subsf3+0x2c0> +800029e0: 410787b3 sub a5,a5,a6 +800029e4: 00058493 mv s1,a1 +800029e8: f75ff06f j 8000295c <__subsf3+0x230> +800029ec: 0ff00693 li a3,255 +800029f0: fff74713 not a4,a4 +800029f4: 02d61063 bne a2,a3,80002a14 <__subsf3+0x2e8> +800029f8: 00058493 mv s1,a1 +800029fc: e75ff06f j 80002870 <__subsf3+0x144> +80002a00: 0ff00693 li a3,255 +80002a04: fed60ae3 beq a2,a3,800029f8 <__subsf3+0x2cc> +80002a08: 040006b7 lui a3,0x4000 +80002a0c: 40e00733 neg a4,a4 +80002a10: 00d86833 or a6,a6,a3 +80002a14: 01b00693 li a3,27 +80002a18: 00e6d663 ble a4,a3,80002a24 <__subsf3+0x2f8> +80002a1c: 00100713 li a4,1 +80002a20: 01c0006f j 80002a3c <__subsf3+0x310> +80002a24: 02000693 li a3,32 +80002a28: 00e85633 srl a2,a6,a4 +80002a2c: 40e68733 sub a4,a3,a4 +80002a30: 00e81733 sll a4,a6,a4 +80002a34: 00e03733 snez a4,a4 +80002a38: 00e66733 or a4,a2,a4 +80002a3c: 40e787b3 sub a5,a5,a4 +80002a40: fa5ff06f j 800029e4 <__subsf3+0x2b8> +80002a44: 00168713 addi a4,a3,1 # 4000001 <_stack_size+0x3fffc01> +80002a48: 0ff77713 andi a4,a4,255 +80002a4c: 00100613 li a2,1 +80002a50: 04e64463 blt a2,a4,80002a98 <__subsf3+0x36c> +80002a54: 02069c63 bnez a3,80002a8c <__subsf3+0x360> +80002a58: 00081863 bnez a6,80002a68 <__subsf3+0x33c> +80002a5c: 12079863 bnez a5,80002b8c <__subsf3+0x460> +80002a60: 00000513 li a0,0 +80002a64: ec1ff06f j 80002924 <__subsf3+0x1f8> +80002a68: 12078663 beqz a5,80002b94 <__subsf3+0x468> +80002a6c: 40f80733 sub a4,a6,a5 +80002a70: 00571693 slli a3,a4,0x5 +80002a74: 410787b3 sub a5,a5,a6 +80002a78: 1006ca63 bltz a3,80002b8c <__subsf3+0x460> +80002a7c: 00070793 mv a5,a4 +80002a80: 06071063 bnez a4,80002ae0 <__subsf3+0x3b4> +80002a84: 00000793 li a5,0 +80002a88: fd9ff06f j 80002a60 <__subsf3+0x334> +80002a8c: e80816e3 bnez a6,80002918 <__subsf3+0x1ec> +80002a90: f60794e3 bnez a5,800029f8 <__subsf3+0x2cc> +80002a94: e89ff06f j 8000291c <__subsf3+0x1f0> +80002a98: 40f80433 sub s0,a6,a5 +80002a9c: 00541713 slli a4,s0,0x5 +80002aa0: 04075463 bgez a4,80002ae8 <__subsf3+0x3bc> +80002aa4: 41078433 sub s0,a5,a6 +80002aa8: 00058493 mv s1,a1 +80002aac: 00040513 mv a0,s0 +80002ab0: 604000ef jal ra,800030b4 <__clzsi2> +80002ab4: ffb50513 addi a0,a0,-5 +80002ab8: 00a41433 sll s0,s0,a0 +80002abc: 03254a63 blt a0,s2,80002af0 <__subsf3+0x3c4> +80002ac0: 41250533 sub a0,a0,s2 +80002ac4: 00150513 addi a0,a0,1 +80002ac8: 02000713 li a4,32 +80002acc: 00a457b3 srl a5,s0,a0 +80002ad0: 40a70533 sub a0,a4,a0 +80002ad4: 00a41433 sll s0,s0,a0 +80002ad8: 00803433 snez s0,s0 +80002adc: 0087e7b3 or a5,a5,s0 +80002ae0: 00000513 li a0,0 +80002ae4: e15ff06f j 800028f8 <__subsf3+0x1cc> +80002ae8: f8040ee3 beqz s0,80002a84 <__subsf3+0x358> +80002aec: fc1ff06f j 80002aac <__subsf3+0x380> +80002af0: fc0007b7 lui a5,0xfc000 +80002af4: fff78793 addi a5,a5,-1 # fbffffff <_stack_start+0x7bff96ef> +80002af8: 40a90533 sub a0,s2,a0 +80002afc: 00f477b3 and a5,s0,a5 +80002b00: df9ff06f j 800028f8 <__subsf3+0x1cc> +80002b04: 00080793 mv a5,a6 +80002b08: df1ff06f j 800028f8 <__subsf3+0x1cc> +80002b0c: 00000793 li a5,0 +80002b10: 00579713 slli a4,a5,0x5 +80002b14: 00075e63 bgez a4,80002b30 <__subsf3+0x404> +80002b18: 00150513 addi a0,a0,1 +80002b1c: 0ff00713 li a4,255 +80002b20: 06e50e63 beq a0,a4,80002b9c <__subsf3+0x470> +80002b24: fc000737 lui a4,0xfc000 +80002b28: fff70713 addi a4,a4,-1 # fbffffff <_stack_start+0x7bff96ef> +80002b2c: 00e7f7b3 and a5,a5,a4 +80002b30: 0ff00713 li a4,255 +80002b34: 0037d793 srli a5,a5,0x3 +80002b38: 00e51863 bne a0,a4,80002b48 <__subsf3+0x41c> +80002b3c: 00078663 beqz a5,80002b48 <__subsf3+0x41c> +80002b40: 004007b7 lui a5,0x400 +80002b44: 00000493 li s1,0 +80002b48: 00800737 lui a4,0x800 +80002b4c: fff70713 addi a4,a4,-1 # 7fffff <_stack_size+0x7ffbff> +80002b50: 0ff57513 andi a0,a0,255 +80002b54: 00e7f7b3 and a5,a5,a4 +80002b58: 01751713 slli a4,a0,0x17 +80002b5c: 80800537 lui a0,0x80800 +80002b60: 00c12083 lw ra,12(sp) +80002b64: 00812403 lw s0,8(sp) +80002b68: fff50513 addi a0,a0,-1 # 807fffff <_stack_start+0x7f96ef> +80002b6c: 00a7f533 and a0,a5,a0 +80002b70: 01f49493 slli s1,s1,0x1f +80002b74: 00e56533 or a0,a0,a4 +80002b78: 00956533 or a0,a0,s1 +80002b7c: 00012903 lw s2,0(sp) +80002b80: 00412483 lw s1,4(sp) +80002b84: 01010113 addi sp,sp,16 +80002b88: 00008067 ret +80002b8c: 00058493 mv s1,a1 +80002b90: f51ff06f j 80002ae0 <__subsf3+0x3b4> +80002b94: 00080793 mv a5,a6 +80002b98: f49ff06f j 80002ae0 <__subsf3+0x3b4> +80002b9c: 00000793 li a5,0 +80002ba0: f91ff06f j 80002b30 <__subsf3+0x404> + +80002ba4 <__fixsfsi>: +80002ba4: 00800637 lui a2,0x800 +80002ba8: 01755713 srli a4,a0,0x17 +80002bac: fff60793 addi a5,a2,-1 # 7fffff <_stack_size+0x7ffbff> +80002bb0: 0ff77713 andi a4,a4,255 +80002bb4: 07e00593 li a1,126 +80002bb8: 00a7f7b3 and a5,a5,a0 +80002bbc: 01f55693 srli a3,a0,0x1f +80002bc0: 04e5f663 bleu a4,a1,80002c0c <__fixsfsi+0x68> +80002bc4: 09d00593 li a1,157 +80002bc8: 00e5fa63 bleu a4,a1,80002bdc <__fixsfsi+0x38> +80002bcc: 80000537 lui a0,0x80000 +80002bd0: fff54513 not a0,a0 +80002bd4: 00a68533 add a0,a3,a0 +80002bd8: 00008067 ret +80002bdc: 00c7e533 or a0,a5,a2 +80002be0: 09500793 li a5,149 +80002be4: 00e7dc63 ble a4,a5,80002bfc <__fixsfsi+0x58> +80002be8: f6a70713 addi a4,a4,-150 +80002bec: 00e51533 sll a0,a0,a4 +80002bf0: 02068063 beqz a3,80002c10 <__fixsfsi+0x6c> +80002bf4: 40a00533 neg a0,a0 +80002bf8: 00008067 ret +80002bfc: 09600793 li a5,150 +80002c00: 40e78733 sub a4,a5,a4 +80002c04: 00e55533 srl a0,a0,a4 +80002c08: fe9ff06f j 80002bf0 <__fixsfsi+0x4c> +80002c0c: 00000513 li a0,0 +80002c10: 00008067 ret + +80002c14 <__floatsisf>: +80002c14: ff010113 addi sp,sp,-16 +80002c18: 00112623 sw ra,12(sp) +80002c1c: 00812423 sw s0,8(sp) +80002c20: 00912223 sw s1,4(sp) +80002c24: 10050263 beqz a0,80002d28 <__floatsisf+0x114> +80002c28: 00050413 mv s0,a0 +80002c2c: 01f55493 srli s1,a0,0x1f +80002c30: 00055463 bgez a0,80002c38 <__floatsisf+0x24> +80002c34: 40a00433 neg s0,a0 +80002c38: 00040513 mv a0,s0 +80002c3c: 478000ef jal ra,800030b4 <__clzsi2> +80002c40: 09e00793 li a5,158 +80002c44: 40a787b3 sub a5,a5,a0 +80002c48: 09600713 li a4,150 +80002c4c: 06f74063 blt a4,a5,80002cac <__floatsisf+0x98> +80002c50: 00800713 li a4,8 +80002c54: 00a75663 ble a0,a4,80002c60 <__floatsisf+0x4c> +80002c58: ff850513 addi a0,a0,-8 # 7ffffff8 <_stack_start+0xffff96e8> +80002c5c: 00a41433 sll s0,s0,a0 +80002c60: 00800537 lui a0,0x800 +80002c64: fff50513 addi a0,a0,-1 # 7fffff <_stack_size+0x7ffbff> +80002c68: 0ff7f793 andi a5,a5,255 +80002c6c: 00a47433 and s0,s0,a0 +80002c70: 01779513 slli a0,a5,0x17 +80002c74: 808007b7 lui a5,0x80800 +80002c78: fff78793 addi a5,a5,-1 # 807fffff <_stack_start+0x7f96ef> +80002c7c: 00f47433 and s0,s0,a5 +80002c80: 800007b7 lui a5,0x80000 +80002c84: 00a46433 or s0,s0,a0 +80002c88: fff7c793 not a5,a5 +80002c8c: 01f49513 slli a0,s1,0x1f +80002c90: 00f47433 and s0,s0,a5 +80002c94: 00a46533 or a0,s0,a0 +80002c98: 00c12083 lw ra,12(sp) +80002c9c: 00812403 lw s0,8(sp) +80002ca0: 00412483 lw s1,4(sp) +80002ca4: 01010113 addi sp,sp,16 +80002ca8: 00008067 ret +80002cac: 09900713 li a4,153 +80002cb0: 02f75063 ble a5,a4,80002cd0 <__floatsisf+0xbc> +80002cb4: 00500713 li a4,5 +80002cb8: 40a70733 sub a4,a4,a0 +80002cbc: 01b50693 addi a3,a0,27 +80002cc0: 00e45733 srl a4,s0,a4 +80002cc4: 00d41433 sll s0,s0,a3 +80002cc8: 00803433 snez s0,s0 +80002ccc: 00876433 or s0,a4,s0 +80002cd0: 00500713 li a4,5 +80002cd4: 00a75663 ble a0,a4,80002ce0 <__floatsisf+0xcc> +80002cd8: ffb50713 addi a4,a0,-5 +80002cdc: 00e41433 sll s0,s0,a4 +80002ce0: fc000737 lui a4,0xfc000 +80002ce4: fff70713 addi a4,a4,-1 # fbffffff <_stack_start+0x7bff96ef> +80002ce8: 00747693 andi a3,s0,7 +80002cec: 00e47733 and a4,s0,a4 +80002cf0: 00068a63 beqz a3,80002d04 <__floatsisf+0xf0> +80002cf4: 00f47413 andi s0,s0,15 +80002cf8: 00400693 li a3,4 +80002cfc: 00d40463 beq s0,a3,80002d04 <__floatsisf+0xf0> +80002d00: 00470713 addi a4,a4,4 +80002d04: 00571693 slli a3,a4,0x5 +80002d08: 0006dc63 bgez a3,80002d20 <__floatsisf+0x10c> +80002d0c: fc0007b7 lui a5,0xfc000 +80002d10: fff78793 addi a5,a5,-1 # fbffffff <_stack_start+0x7bff96ef> +80002d14: 00f77733 and a4,a4,a5 +80002d18: 09f00793 li a5,159 +80002d1c: 40a787b3 sub a5,a5,a0 +80002d20: 00375413 srli s0,a4,0x3 +80002d24: f3dff06f j 80002c60 <__floatsisf+0x4c> +80002d28: 00000413 li s0,0 +80002d2c: 00000793 li a5,0 +80002d30: 00000493 li s1,0 +80002d34: f2dff06f j 80002c60 <__floatsisf+0x4c> + +80002d38 <__extendsfdf2>: +80002d38: 01755793 srli a5,a0,0x17 +80002d3c: ff010113 addi sp,sp,-16 +80002d40: 0ff7f793 andi a5,a5,255 +80002d44: 00812423 sw s0,8(sp) +80002d48: 00178713 addi a4,a5,1 +80002d4c: 00800437 lui s0,0x800 +80002d50: 00912223 sw s1,4(sp) +80002d54: fff40413 addi s0,s0,-1 # 7fffff <_stack_size+0x7ffbff> +80002d58: 00112623 sw ra,12(sp) +80002d5c: 0ff77713 andi a4,a4,255 +80002d60: 00100693 li a3,1 +80002d64: 00a47433 and s0,s0,a0 +80002d68: 01f55493 srli s1,a0,0x1f +80002d6c: 06e6d263 ble a4,a3,80002dd0 <__extendsfdf2+0x98> +80002d70: 38078513 addi a0,a5,896 +80002d74: 00345793 srli a5,s0,0x3 +80002d78: 01d41413 slli s0,s0,0x1d +80002d7c: 00100737 lui a4,0x100 +80002d80: fff70713 addi a4,a4,-1 # fffff <_stack_size+0xffbff> +80002d84: 00e7f7b3 and a5,a5,a4 +80002d88: 80100737 lui a4,0x80100 +80002d8c: fff70713 addi a4,a4,-1 # 800fffff <_stack_start+0xf96ef> +80002d90: 7ff57513 andi a0,a0,2047 +80002d94: 01451513 slli a0,a0,0x14 +80002d98: 00e7f7b3 and a5,a5,a4 +80002d9c: 80000737 lui a4,0x80000 +80002da0: 00a7e7b3 or a5,a5,a0 +80002da4: fff74713 not a4,a4 +80002da8: 01f49513 slli a0,s1,0x1f +80002dac: 00e7f7b3 and a5,a5,a4 +80002db0: 00a7e733 or a4,a5,a0 +80002db4: 00c12083 lw ra,12(sp) +80002db8: 00040513 mv a0,s0 +80002dbc: 00812403 lw s0,8(sp) +80002dc0: 00412483 lw s1,4(sp) +80002dc4: 00070593 mv a1,a4 +80002dc8: 01010113 addi sp,sp,16 +80002dcc: 00008067 ret +80002dd0: 04079463 bnez a5,80002e18 <__extendsfdf2+0xe0> +80002dd4: 06040263 beqz s0,80002e38 <__extendsfdf2+0x100> +80002dd8: 00040513 mv a0,s0 +80002ddc: 2d8000ef jal ra,800030b4 <__clzsi2> +80002de0: 00a00793 li a5,10 +80002de4: 02a7c263 blt a5,a0,80002e08 <__extendsfdf2+0xd0> +80002de8: 00b00793 li a5,11 +80002dec: 40a787b3 sub a5,a5,a0 +80002df0: 01550713 addi a4,a0,21 +80002df4: 00f457b3 srl a5,s0,a5 +80002df8: 00e41433 sll s0,s0,a4 +80002dfc: 38900713 li a4,905 +80002e00: 40a70533 sub a0,a4,a0 +80002e04: f79ff06f j 80002d7c <__extendsfdf2+0x44> +80002e08: ff550793 addi a5,a0,-11 +80002e0c: 00f417b3 sll a5,s0,a5 +80002e10: 00000413 li s0,0 +80002e14: fe9ff06f j 80002dfc <__extendsfdf2+0xc4> +80002e18: 00000793 li a5,0 +80002e1c: 00040a63 beqz s0,80002e30 <__extendsfdf2+0xf8> +80002e20: 00345793 srli a5,s0,0x3 +80002e24: 00080737 lui a4,0x80 +80002e28: 01d41413 slli s0,s0,0x1d +80002e2c: 00e7e7b3 or a5,a5,a4 +80002e30: 7ff00513 li a0,2047 +80002e34: f49ff06f j 80002d7c <__extendsfdf2+0x44> +80002e38: 00000793 li a5,0 +80002e3c: 00000513 li a0,0 +80002e40: f3dff06f j 80002d7c <__extendsfdf2+0x44> + +80002e44 <__truncdfsf2>: +80002e44: 00100637 lui a2,0x100 +80002e48: fff60613 addi a2,a2,-1 # fffff <_stack_size+0xffbff> +80002e4c: 00b67633 and a2,a2,a1 +80002e50: 0145d813 srli a6,a1,0x14 +80002e54: 01d55793 srli a5,a0,0x1d +80002e58: 7ff87813 andi a6,a6,2047 +80002e5c: 00361613 slli a2,a2,0x3 +80002e60: 00c7e633 or a2,a5,a2 +80002e64: 00180793 addi a5,a6,1 +80002e68: 7ff7f793 andi a5,a5,2047 +80002e6c: 00100693 li a3,1 +80002e70: 01f5d593 srli a1,a1,0x1f +80002e74: 00351713 slli a4,a0,0x3 +80002e78: 0af6d663 ble a5,a3,80002f24 <__truncdfsf2+0xe0> +80002e7c: c8080693 addi a3,a6,-896 +80002e80: 0fe00793 li a5,254 +80002e84: 0cd7c263 blt a5,a3,80002f48 <__truncdfsf2+0x104> +80002e88: 08d04063 bgtz a3,80002f08 <__truncdfsf2+0xc4> +80002e8c: fe900793 li a5,-23 +80002e90: 12f6c463 blt a3,a5,80002fb8 <__truncdfsf2+0x174> +80002e94: 008007b7 lui a5,0x800 +80002e98: 01e00513 li a0,30 +80002e9c: 00f66633 or a2,a2,a5 +80002ea0: 40d50533 sub a0,a0,a3 +80002ea4: 01f00793 li a5,31 +80002ea8: 02a7c863 blt a5,a0,80002ed8 <__truncdfsf2+0x94> +80002eac: c8280813 addi a6,a6,-894 +80002eb0: 010717b3 sll a5,a4,a6 +80002eb4: 00f037b3 snez a5,a5 +80002eb8: 01061633 sll a2,a2,a6 +80002ebc: 00a75533 srl a0,a4,a0 +80002ec0: 00c7e7b3 or a5,a5,a2 +80002ec4: 00f567b3 or a5,a0,a5 +80002ec8: 00000693 li a3,0 +80002ecc: 0077f713 andi a4,a5,7 +80002ed0: 08070063 beqz a4,80002f50 <__truncdfsf2+0x10c> +80002ed4: 0ec0006f j 80002fc0 <__truncdfsf2+0x17c> +80002ed8: ffe00793 li a5,-2 +80002edc: 40d786b3 sub a3,a5,a3 +80002ee0: 02000793 li a5,32 +80002ee4: 00d656b3 srl a3,a2,a3 +80002ee8: 00000893 li a7,0 +80002eec: 00f50663 beq a0,a5,80002ef8 <__truncdfsf2+0xb4> +80002ef0: ca280813 addi a6,a6,-862 +80002ef4: 010618b3 sll a7,a2,a6 +80002ef8: 00e8e7b3 or a5,a7,a4 +80002efc: 00f037b3 snez a5,a5 +80002f00: 00f6e7b3 or a5,a3,a5 +80002f04: fc5ff06f j 80002ec8 <__truncdfsf2+0x84> +80002f08: 00651513 slli a0,a0,0x6 +80002f0c: 00a03533 snez a0,a0 +80002f10: 00361613 slli a2,a2,0x3 +80002f14: 01d75793 srli a5,a4,0x1d +80002f18: 00c56633 or a2,a0,a2 +80002f1c: 00f667b3 or a5,a2,a5 +80002f20: fadff06f j 80002ecc <__truncdfsf2+0x88> +80002f24: 00e667b3 or a5,a2,a4 +80002f28: 00081663 bnez a6,80002f34 <__truncdfsf2+0xf0> +80002f2c: 00f037b3 snez a5,a5 +80002f30: f99ff06f j 80002ec8 <__truncdfsf2+0x84> +80002f34: 0ff00693 li a3,255 +80002f38: 00078c63 beqz a5,80002f50 <__truncdfsf2+0x10c> +80002f3c: 00361613 slli a2,a2,0x3 +80002f40: 020007b7 lui a5,0x2000 +80002f44: fd9ff06f j 80002f1c <__truncdfsf2+0xd8> +80002f48: 00000793 li a5,0 +80002f4c: 0ff00693 li a3,255 +80002f50: 00579713 slli a4,a5,0x5 +80002f54: 00075e63 bgez a4,80002f70 <__truncdfsf2+0x12c> +80002f58: 00168693 addi a3,a3,1 +80002f5c: 0ff00713 li a4,255 +80002f60: 06e68a63 beq a3,a4,80002fd4 <__truncdfsf2+0x190> +80002f64: fc000737 lui a4,0xfc000 +80002f68: fff70713 addi a4,a4,-1 # fbffffff <_stack_start+0x7bff96ef> +80002f6c: 00e7f7b3 and a5,a5,a4 +80002f70: 0ff00713 li a4,255 +80002f74: 0037d793 srli a5,a5,0x3 +80002f78: 00e69863 bne a3,a4,80002f88 <__truncdfsf2+0x144> +80002f7c: 00078663 beqz a5,80002f88 <__truncdfsf2+0x144> +80002f80: 004007b7 lui a5,0x400 +80002f84: 00000593 li a1,0 +80002f88: 00800537 lui a0,0x800 +80002f8c: fff50513 addi a0,a0,-1 # 7fffff <_stack_size+0x7ffbff> +80002f90: 00a7f7b3 and a5,a5,a0 +80002f94: 80800537 lui a0,0x80800 +80002f98: fff50513 addi a0,a0,-1 # 807fffff <_stack_start+0x7f96ef> +80002f9c: 0ff6f693 andi a3,a3,255 +80002fa0: 01769693 slli a3,a3,0x17 +80002fa4: 00a7f7b3 and a5,a5,a0 +80002fa8: 01f59593 slli a1,a1,0x1f +80002fac: 00d7e7b3 or a5,a5,a3 +80002fb0: 00b7e533 or a0,a5,a1 +80002fb4: 00008067 ret +80002fb8: 00100793 li a5,1 +80002fbc: 00000693 li a3,0 +80002fc0: 00f7f713 andi a4,a5,15 +80002fc4: 00400613 li a2,4 +80002fc8: f8c704e3 beq a4,a2,80002f50 <__truncdfsf2+0x10c> +80002fcc: 00478793 addi a5,a5,4 # 400004 <_stack_size+0x3ffc04> +80002fd0: f81ff06f j 80002f50 <__truncdfsf2+0x10c> +80002fd4: 00000793 li a5,0 +80002fd8: f99ff06f j 80002f70 <__truncdfsf2+0x12c> + +80002fdc <__mulsi3>: +80002fdc: 00050613 mv a2,a0 +80002fe0: 00000513 li a0,0 +80002fe4: 0015f693 andi a3,a1,1 +80002fe8: 00068463 beqz a3,80002ff0 <__mulsi3+0x14> +80002fec: 00c50533 add a0,a0,a2 +80002ff0: 0015d593 srli a1,a1,0x1 +80002ff4: 00161613 slli a2,a2,0x1 +80002ff8: fe0596e3 bnez a1,80002fe4 <__mulsi3+0x8> +80002ffc: 00008067 ret + +80003000 <__divsi3>: +80003000: 06054063 bltz a0,80003060 <__umodsi3+0x10> +80003004: 0605c663 bltz a1,80003070 <__umodsi3+0x20> + +80003008 <__udivsi3>: +80003008: 00058613 mv a2,a1 +8000300c: 00050593 mv a1,a0 +80003010: fff00513 li a0,-1 +80003014: 02060c63 beqz a2,8000304c <__udivsi3+0x44> +80003018: 00100693 li a3,1 +8000301c: 00b67a63 bleu a1,a2,80003030 <__udivsi3+0x28> +80003020: 00c05863 blez a2,80003030 <__udivsi3+0x28> +80003024: 00161613 slli a2,a2,0x1 +80003028: 00169693 slli a3,a3,0x1 +8000302c: feb66ae3 bltu a2,a1,80003020 <__udivsi3+0x18> +80003030: 00000513 li a0,0 +80003034: 00c5e663 bltu a1,a2,80003040 <__udivsi3+0x38> +80003038: 40c585b3 sub a1,a1,a2 +8000303c: 00d56533 or a0,a0,a3 +80003040: 0016d693 srli a3,a3,0x1 +80003044: 00165613 srli a2,a2,0x1 +80003048: fe0696e3 bnez a3,80003034 <__udivsi3+0x2c> +8000304c: 00008067 ret + +80003050 <__umodsi3>: +80003050: 00008293 mv t0,ra +80003054: fb5ff0ef jal ra,80003008 <__udivsi3> +80003058: 00058513 mv a0,a1 +8000305c: 00028067 jr t0 +80003060: 40a00533 neg a0,a0 +80003064: 0005d863 bgez a1,80003074 <__umodsi3+0x24> +80003068: 40b005b3 neg a1,a1 +8000306c: f9dff06f j 80003008 <__udivsi3> +80003070: 40b005b3 neg a1,a1 +80003074: 00008293 mv t0,ra +80003078: f91ff0ef jal ra,80003008 <__udivsi3> +8000307c: 40a00533 neg a0,a0 +80003080: 00028067 jr t0 + +80003084 <__modsi3>: +80003084: 00008293 mv t0,ra +80003088: 0005ca63 bltz a1,8000309c <__modsi3+0x18> +8000308c: 00054c63 bltz a0,800030a4 <__modsi3+0x20> +80003090: f79ff0ef jal ra,80003008 <__udivsi3> +80003094: 00058513 mv a0,a1 +80003098: 00028067 jr t0 +8000309c: 40b005b3 neg a1,a1 +800030a0: fe0558e3 bgez a0,80003090 <__modsi3+0xc> +800030a4: 40a00533 neg a0,a0 +800030a8: f61ff0ef jal ra,80003008 <__udivsi3> +800030ac: 40b00533 neg a0,a1 +800030b0: 00028067 jr t0 + +800030b4 <__clzsi2>: +800030b4: 000107b7 lui a5,0x10 +800030b8: 02f57a63 bleu a5,a0,800030ec <__clzsi2+0x38> +800030bc: 0ff00793 li a5,255 +800030c0: 00a7b7b3 sltu a5,a5,a0 +800030c4: 00379793 slli a5,a5,0x3 +800030c8: 02000713 li a4,32 +800030cc: 40f70733 sub a4,a4,a5 +800030d0: 00f557b3 srl a5,a0,a5 +800030d4: 00000517 auipc a0,0x0 +800030d8: 70850513 addi a0,a0,1800 # 800037dc <__clz_tab> +800030dc: 00f507b3 add a5,a0,a5 +800030e0: 0007c503 lbu a0,0(a5) # 10000 <_stack_size+0xfc00> +800030e4: 40a70533 sub a0,a4,a0 +800030e8: 00008067 ret +800030ec: 01000737 lui a4,0x1000 +800030f0: 01000793 li a5,16 +800030f4: fce56ae3 bltu a0,a4,800030c8 <__clzsi2+0x14> +800030f8: 01800793 li a5,24 +800030fc: fcdff06f j 800030c8 <__clzsi2+0x14> + +Disassembly of section .text.startup: + +80003100
: +int main() { +80003100: ff010113 addi sp,sp,-16 +80003104: 00112623 sw ra,12(sp) + main2(); +80003108: 9f0fd0ef jal ra,800002f8 +} +8000310c: 00c12083 lw ra,12(sp) + TEST_COM_BASE[8] = 0; +80003110: f01007b7 lui a5,0xf0100 +80003114: f207a023 sw zero,-224(a5) # f00fff20 <_stack_start+0x700f9610> +} +80003118: 00000513 li a0,0 +8000311c: 01010113 addi sp,sp,16 +80003120: 00008067 ret diff --git a/VexRiscv/src/test/resources/asm/dhrystoneO3C.asm b/VexRiscv/src/test/resources/asm/dhrystoneO3C.asm new file mode 100644 index 0000000..7c7f995 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/dhrystoneO3C.asm @@ -0,0 +1,3836 @@ + +build/dhrystone.elf: file format elf32-littleriscv + + +Disassembly of section .vector: + +80000000 : +.global crtStart +.global main +.global irqCallback + +crtStart: + j crtInit +80000000: a8ad j 8000007a + nop +80000002: 0001 nop + nop +80000004: 0001 nop + nop +80000006: 0001 nop + nop +80000008: 0001 nop + nop +8000000a: 0001 nop + nop +8000000c: 0001 nop + nop +8000000e: 0001 nop + +80000010 : + +.global trap_entry +trap_entry: + sw x1, - 1*4(sp) +80000010: fe112e23 sw ra,-4(sp) + sw x5, - 2*4(sp) +80000014: fe512c23 sw t0,-8(sp) + sw x6, - 3*4(sp) +80000018: fe612a23 sw t1,-12(sp) + sw x7, - 4*4(sp) +8000001c: fe712823 sw t2,-16(sp) + sw x10, - 5*4(sp) +80000020: fea12623 sw a0,-20(sp) + sw x11, - 6*4(sp) +80000024: feb12423 sw a1,-24(sp) + sw x12, - 7*4(sp) +80000028: fec12223 sw a2,-28(sp) + sw x13, - 8*4(sp) +8000002c: fed12023 sw a3,-32(sp) + sw x14, - 9*4(sp) +80000030: fce12e23 sw a4,-36(sp) + sw x15, -10*4(sp) +80000034: fcf12c23 sw a5,-40(sp) + sw x16, -11*4(sp) +80000038: fd012a23 sw a6,-44(sp) + sw x17, -12*4(sp) +8000003c: fd112823 sw a7,-48(sp) + sw x28, -13*4(sp) +80000040: fdc12623 sw t3,-52(sp) + sw x29, -14*4(sp) +80000044: fdd12423 sw t4,-56(sp) + sw x30, -15*4(sp) +80000048: fde12223 sw t5,-60(sp) + sw x31, -16*4(sp) +8000004c: fdf12023 sw t6,-64(sp) + addi sp,sp,-16*4 +80000050: 7139 addi sp,sp,-64 + call irqCallback +80000052: 28ad jal 800000cc + lw x1 , 15*4(sp) +80000054: 50f2 lw ra,60(sp) + lw x5, 14*4(sp) +80000056: 52e2 lw t0,56(sp) + lw x6, 13*4(sp) +80000058: 5352 lw t1,52(sp) + lw x7, 12*4(sp) +8000005a: 53c2 lw t2,48(sp) + lw x10, 11*4(sp) +8000005c: 5532 lw a0,44(sp) + lw x11, 10*4(sp) +8000005e: 55a2 lw a1,40(sp) + lw x12, 9*4(sp) +80000060: 5612 lw a2,36(sp) + lw x13, 8*4(sp) +80000062: 5682 lw a3,32(sp) + lw x14, 7*4(sp) +80000064: 4772 lw a4,28(sp) + lw x15, 6*4(sp) +80000066: 47e2 lw a5,24(sp) + lw x16, 5*4(sp) +80000068: 4852 lw a6,20(sp) + lw x17, 4*4(sp) +8000006a: 48c2 lw a7,16(sp) + lw x28, 3*4(sp) +8000006c: 4e32 lw t3,12(sp) + lw x29, 2*4(sp) +8000006e: 4ea2 lw t4,8(sp) + lw x30, 1*4(sp) +80000070: 4f12 lw t5,4(sp) + lw x31, 0*4(sp) +80000072: 4f82 lw t6,0(sp) + addi sp,sp,16*4 +80000074: 6121 addi sp,sp,64 + mret +80000076: 30200073 mret + +8000007a : + + +crtInit: + .option push + .option norelax + la gp, __global_pointer$ +8000007a: 00004197 auipc gp,0x4 +8000007e: c2618193 addi gp,gp,-986 # 80003ca0 <__global_pointer$> + .option pop + la sp, _stack_start +80000082: 00006117 auipc sp,0x6 +80000086: 44e10113 addi sp,sp,1102 # 800064d0 <_stack_start> + +8000008a : + +bss_init: + la a0, _bss_start +8000008a: 81c18513 addi a0,gp,-2020 # 800034bc + la a1, _bss_end +8000008e: 00006597 auipc a1,0x6 +80000092: 03658593 addi a1,a1,54 # 800060c4 <_bss_end> + +80000096 : +bss_loop: + beq a0,a1,bss_done +80000096: 00b50663 beq a0,a1,800000a2 + sw zero,0(a0) +8000009a: 00052023 sw zero,0(a0) + add a0,a0,4 +8000009e: 0511 addi a0,a0,4 + j bss_loop +800000a0: bfdd j 80000096 + +800000a2 : +bss_done: + +ctors_init: + la a0, _ctors_start +800000a2: 00003517 auipc a0,0x3 +800000a6: 3fa50513 addi a0,a0,1018 # 8000349c <_ctors_end> + addi sp,sp,-4 +800000aa: 1171 addi sp,sp,-4 + +800000ac : +ctors_loop: + la a1, _ctors_end +800000ac: 00003597 auipc a1,0x3 +800000b0: 3f058593 addi a1,a1,1008 # 8000349c <_ctors_end> + beq a0,a1,ctors_done +800000b4: 00b50863 beq a0,a1,800000c4 + lw a3,0(a0) +800000b8: 4114 lw a3,0(a0) + add a0,a0,4 +800000ba: 0511 addi a0,a0,4 + sw a0,0(sp) +800000bc: c02a sw a0,0(sp) + jalr a3 +800000be: 9682 jalr a3 + lw a0,0(sp) +800000c0: 4502 lw a0,0(sp) + j ctors_loop +800000c2: b7ed j 800000ac + +800000c4 : +ctors_done: + addi sp,sp,4 +800000c4: 0111 addi sp,sp,4 + //li a0, 0x880 //880 enable timer + external interrupts + //csrw mie,a0 + //li a0, 0x1808 //1808 enable interrupts + //csrw mstatus,a0 + + call main +800000c6: 407020ef jal ra,80002ccc + +800000ca : +infinitLoop: + j infinitLoop +800000ca: a001 j 800000ca + +Disassembly of section .memory: + +800000cc : +} + + +void irqCallback(int irq){ + +} +800000cc: 8082 ret + +800000ce : + One_Fifty Int_Loc; + Enumeration Enum_Loc; + + Int_Loc = *Int_Par_Ref + 10; + do /* executed once */ + if (Ch_1_Glob == 'A') +800000ce: 8351c703 lbu a4,-1995(gp) # 800034d5 +800000d2: 04100793 li a5,65 +800000d6: 00f70363 beq a4,a5,800000dc + Int_Loc -= 1; + *Int_Par_Ref = Int_Loc - Int_Glob; + Enum_Loc = Ident_1; + } /* if */ + while (Enum_Loc != Ident_1); /* true */ +} /* Proc_2 */ +800000da: 8082 ret + Int_Loc -= 1; +800000dc: 411c lw a5,0(a0) + *Int_Par_Ref = Int_Loc - Int_Glob; +800000de: 83c1a703 lw a4,-1988(gp) # 800034dc + Int_Loc -= 1; +800000e2: 07a5 addi a5,a5,9 + *Int_Par_Ref = Int_Loc - Int_Glob; +800000e4: 8f99 sub a5,a5,a4 +800000e6: c11c sw a5,0(a0) +} /* Proc_2 */ +800000e8: 8082 ret + +800000ea : + /* Ptr_Ref_Par becomes Ptr_Glob */ + +Rec_Pointer *Ptr_Ref_Par; + +{ + if (Ptr_Glob != Null) +800000ea: 8441a603 lw a2,-1980(gp) # 800034e4 +800000ee: c609 beqz a2,800000f8 + /* then, executed */ + *Ptr_Ref_Par = Ptr_Glob->Ptr_Comp; +800000f0: 4218 lw a4,0(a2) +800000f2: c118 sw a4,0(a0) +800000f4: 8441a603 lw a2,-1980(gp) # 800034e4 + Proc_7 (10, Int_Glob, &Ptr_Glob->variant.var_1.Int_Comp); +800000f8: 83c1a583 lw a1,-1988(gp) # 800034dc +800000fc: 0631 addi a2,a2,12 +800000fe: 4529 li a0,10 +80000100: 6d80006f j 800007d8 + +80000104 : +{ +80000104: 1141 addi sp,sp,-16 +80000106: c04a sw s2,0(sp) + structassign (*Ptr_Val_Par->Ptr_Comp, *Ptr_Glob); +80000108: 8441a783 lw a5,-1980(gp) # 800034e4 +{ +8000010c: c422 sw s0,8(sp) + REG Rec_Pointer Next_Record = Ptr_Val_Par->Ptr_Comp; +8000010e: 4100 lw s0,0(a0) + structassign (*Ptr_Val_Par->Ptr_Comp, *Ptr_Glob); +80000110: 4398 lw a4,0(a5) +{ +80000112: c226 sw s1,4(sp) + structassign (*Ptr_Val_Par->Ptr_Comp, *Ptr_Glob); +80000114: 0047ae83 lw t4,4(a5) +80000118: 0087ae03 lw t3,8(a5) +8000011c: 0107a303 lw t1,16(a5) +80000120: 0147a883 lw a7,20(a5) +80000124: 0187a803 lw a6,24(a5) +80000128: 538c lw a1,32(a5) +8000012a: 53d0 lw a2,36(a5) +8000012c: 5794 lw a3,40(a5) +{ +8000012e: c606 sw ra,12(sp) +80000130: 84aa mv s1,a0 + structassign (*Ptr_Val_Par->Ptr_Comp, *Ptr_Glob); +80000132: 4fc8 lw a0,28(a5) +80000134: 57dc lw a5,44(a5) +80000136: c018 sw a4,0(s0) + Next_Record->Ptr_Comp = Ptr_Val_Par->Ptr_Comp; +80000138: 4098 lw a4,0(s1) + structassign (*Ptr_Val_Par->Ptr_Comp, *Ptr_Glob); +8000013a: cc48 sw a0,28(s0) +8000013c: d45c sw a5,44(s0) +8000013e: 01d42223 sw t4,4(s0) + Ptr_Val_Par->variant.var_1.Int_Comp = 5; +80000142: 4795 li a5,5 + structassign (*Ptr_Val_Par->Ptr_Comp, *Ptr_Glob); +80000144: 01c42423 sw t3,8(s0) +80000148: 00642823 sw t1,16(s0) +8000014c: 01142a23 sw a7,20(s0) +80000150: 01042c23 sw a6,24(s0) +80000154: d00c sw a1,32(s0) +80000156: d050 sw a2,36(s0) +80000158: d414 sw a3,40(s0) + Ptr_Val_Par->variant.var_1.Int_Comp = 5; +8000015a: c4dc sw a5,12(s1) + = Ptr_Val_Par->variant.var_1.Int_Comp; +8000015c: c45c sw a5,12(s0) + Next_Record->Ptr_Comp = Ptr_Val_Par->Ptr_Comp; +8000015e: c018 sw a4,0(s0) + Proc_3 (&Next_Record->Ptr_Comp); +80000160: 8522 mv a0,s0 +80000162: 3761 jal 800000ea + if (Next_Record->Discr == Ident_1) +80000164: 405c lw a5,4(s0) +80000166: cfb1 beqz a5,800001c2 + structassign (*Ptr_Val_Par, *Ptr_Val_Par->Ptr_Comp); +80000168: 409c lw a5,0(s1) +} /* Proc_1 */ +8000016a: 40b2 lw ra,12(sp) +8000016c: 4422 lw s0,8(sp) + structassign (*Ptr_Val_Par, *Ptr_Val_Par->Ptr_Comp); +8000016e: 0007af83 lw t6,0(a5) +80000172: 0047af03 lw t5,4(a5) +80000176: 0087ae83 lw t4,8(a5) +8000017a: 00c7ae03 lw t3,12(a5) +8000017e: 0107a303 lw t1,16(a5) +80000182: 0147a883 lw a7,20(a5) +80000186: 0187a803 lw a6,24(a5) +8000018a: 4fcc lw a1,28(a5) +8000018c: 5390 lw a2,32(a5) +8000018e: 53d4 lw a3,36(a5) +80000190: 5798 lw a4,40(a5) +80000192: 57dc lw a5,44(a5) +80000194: 01f4a023 sw t6,0(s1) +80000198: 01e4a223 sw t5,4(s1) +8000019c: 01d4a423 sw t4,8(s1) +800001a0: 01c4a623 sw t3,12(s1) +800001a4: 0064a823 sw t1,16(s1) +800001a8: 0114aa23 sw a7,20(s1) +800001ac: 0104ac23 sw a6,24(s1) +800001b0: cccc sw a1,28(s1) +800001b2: d090 sw a2,32(s1) +800001b4: d0d4 sw a3,36(s1) +800001b6: d498 sw a4,40(s1) +800001b8: d4dc sw a5,44(s1) +} /* Proc_1 */ +800001ba: 4902 lw s2,0(sp) +800001bc: 4492 lw s1,4(sp) +800001be: 0141 addi sp,sp,16 +800001c0: 8082 ret + Proc_6 (Ptr_Val_Par->variant.var_1.Enum_Comp, +800001c2: 4488 lw a0,8(s1) + Next_Record->variant.var_1.Int_Comp = 6; +800001c4: 4799 li a5,6 + Proc_6 (Ptr_Val_Par->variant.var_1.Enum_Comp, +800001c6: 00840593 addi a1,s0,8 + Next_Record->variant.var_1.Int_Comp = 6; +800001ca: c45c sw a5,12(s0) + Proc_6 (Ptr_Val_Par->variant.var_1.Enum_Comp, +800001cc: 6d0000ef jal ra,8000089c + Next_Record->Ptr_Comp = Ptr_Glob->Ptr_Comp; +800001d0: 8441a783 lw a5,-1980(gp) # 800034e4 + Proc_7 (Next_Record->variant.var_1.Int_Comp, 10, +800001d4: 4448 lw a0,12(s0) +800001d6: 00c40613 addi a2,s0,12 + Next_Record->Ptr_Comp = Ptr_Glob->Ptr_Comp; +800001da: 439c lw a5,0(a5) +} /* Proc_1 */ +800001dc: 40b2 lw ra,12(sp) +800001de: 4492 lw s1,4(sp) + Next_Record->Ptr_Comp = Ptr_Glob->Ptr_Comp; +800001e0: c01c sw a5,0(s0) +} /* Proc_1 */ +800001e2: 4422 lw s0,8(sp) +800001e4: 4902 lw s2,0(sp) + Proc_7 (Next_Record->variant.var_1.Int_Comp, 10, +800001e6: 45a9 li a1,10 +} /* Proc_1 */ +800001e8: 0141 addi sp,sp,16 + Proc_7 (Next_Record->variant.var_1.Int_Comp, 10, +800001ea: 5ee0006f j 800007d8 + +800001ee : +/*******/ + /* executed once */ +{ + Boolean Bool_Loc; + + Bool_Loc = Ch_1_Glob == 'A'; +800001ee: 8351c783 lbu a5,-1995(gp) # 800034d5 + Bool_Glob = Bool_Loc | Bool_Glob; +800001f2: 8381a683 lw a3,-1992(gp) # 800034d8 + Bool_Loc = Ch_1_Glob == 'A'; +800001f6: fbf78793 addi a5,a5,-65 +800001fa: 0017b793 seqz a5,a5 + Bool_Glob = Bool_Loc | Bool_Glob; +800001fe: 8fd5 or a5,a5,a3 +80000200: 82f1ac23 sw a5,-1992(gp) # 800034d8 + Ch_2_Glob = 'B'; +80000204: 04200713 li a4,66 +80000208: 82e18a23 sb a4,-1996(gp) # 800034d4 +} /* Proc_4 */ +8000020c: 8082 ret + +8000020e : + +Proc_5 () /* without parameters */ +/*******/ + /* executed once */ +{ + Ch_1_Glob = 'A'; +8000020e: 04100713 li a4,65 +80000212: 82e18aa3 sb a4,-1995(gp) # 800034d5 + Bool_Glob = false; +80000216: 8201ac23 sw zero,-1992(gp) # 800034d8 +} /* Proc_5 */ +8000021a: 8082 ret + +8000021c : +{ +8000021c: 7135 addi sp,sp,-160 + Next_Ptr_Glob = (Rec_Pointer) malloc (sizeof (Rec_Type)); +8000021e: 03000513 li a0,48 +{ +80000222: cf06 sw ra,156(sp) +80000224: cd22 sw s0,152(sp) +80000226: dce2 sw s8,120(sp) +80000228: d6ee sw s11,108(sp) +8000022a: cb26 sw s1,148(sp) +8000022c: c94a sw s2,144(sp) +8000022e: c74e sw s3,140(sp) +80000230: c552 sw s4,136(sp) +80000232: c356 sw s5,132(sp) +80000234: c15a sw s6,128(sp) +80000236: dede sw s7,124(sp) +80000238: dae6 sw s9,116(sp) +8000023a: d8ea sw s10,112(sp) + Next_Ptr_Glob = (Rec_Pointer) malloc (sizeof (Rec_Type)); +8000023c: 74e000ef jal ra,8000098a +80000240: 84a1a023 sw a0,-1984(gp) # 800034e0 + Ptr_Glob = (Rec_Pointer) malloc (sizeof (Rec_Type)); +80000244: 03000513 li a0,48 +80000248: 742000ef jal ra,8000098a + Ptr_Glob->Ptr_Comp = Next_Ptr_Glob; +8000024c: 8401a783 lw a5,-1984(gp) # 800034e0 + Ptr_Glob = (Rec_Pointer) malloc (sizeof (Rec_Type)); +80000250: 84a1a223 sw a0,-1980(gp) # 800034e4 + Ptr_Glob->Ptr_Comp = Next_Ptr_Glob; +80000254: c11c sw a5,0(a0) + Ptr_Glob->variant.var_1.Enum_Comp = Ident_3; +80000256: 4789 li a5,2 +80000258: c51c sw a5,8(a0) + strcpy (Ptr_Glob->variant.var_1.Str_Comp, +8000025a: 800035b7 lui a1,0x80003 + Ptr_Glob->variant.var_1.Int_Comp = 40; +8000025e: 02800793 li a5,40 +80000262: c55c sw a5,12(a0) + strcpy (Ptr_Glob->variant.var_1.Str_Comp, +80000264: 467d li a2,31 +80000266: ce458593 addi a1,a1,-796 # 80002ce4 <_stack_start+0xffffc814> + Ptr_Glob->Discr = Ident_1; +8000026a: 00052223 sw zero,4(a0) + strcpy (Ptr_Glob->variant.var_1.Str_Comp, +8000026e: 0541 addi a0,a0,16 +80000270: 01d000ef jal ra,80000a8c + strcpy (Str_1_Loc, "DHRYSTONE PROGRAM, 1'ST STRING"); +80000274: 80003737 lui a4,0x80003 +80000278: 24c70793 addi a5,a4,588 # 8000324c <_stack_start+0xffffcd7c> +8000027c: 24c72e03 lw t3,588(a4) +80000280: 0047a303 lw t1,4(a5) +80000284: 0087a883 lw a7,8(a5) +80000288: 00c7a803 lw a6,12(a5) +8000028c: 4b8c lw a1,16(a5) +8000028e: 4bd0 lw a2,20(a5) +80000290: 4f94 lw a3,24(a5) +80000292: 01c7d703 lhu a4,28(a5) +80000296: 01e7c783 lbu a5,30(a5) + Arr_2_Glob [8][7] = 10; +8000029a: 80003db7 lui s11,0x80003 + strcpy (Str_1_Loc, "DHRYSTONE PROGRAM, 1'ST STRING"); +8000029e: 02e11e23 sh a4,60(sp) +800002a2: 02f10f23 sb a5,62(sp) + Arr_2_Glob [8][7] = 10; +800002a6: 5b4d8713 addi a4,s11,1460 # 800035b4 <_stack_start+0xffffd0e4> +800002aa: 47a9 li a5,10 + printf ("\n"); +800002ac: 4529 li a0,10 + Arr_2_Glob [8][7] = 10; +800002ae: 64f72e23 sw a5,1628(a4) + strcpy (Str_1_Loc, "DHRYSTONE PROGRAM, 1'ST STRING"); +800002b2: d072 sw t3,32(sp) +800002b4: d21a sw t1,36(sp) +800002b6: d446 sw a7,40(sp) +800002b8: d642 sw a6,44(sp) +800002ba: d82e sw a1,48(sp) +800002bc: da32 sw a2,52(sp) +800002be: dc36 sw a3,56(sp) + printf ("\n"); +800002c0: 7b8000ef jal ra,80000a78 + printf ("Dhrystone Benchmark, Version 2.1 (Language: C)\n"); +800002c4: 80003537 lui a0,0x80003 +800002c8: d0450513 addi a0,a0,-764 # 80002d04 <_stack_start+0xffffc834> +800002cc: 786000ef jal ra,80000a52 + printf ("\n"); +800002d0: 4529 li a0,10 +800002d2: 7a6000ef jal ra,80000a78 + if (Reg) +800002d6: 8301a783 lw a5,-2000(gp) # 800034d0 +800002da: 4c078663 beqz a5,800007a6 + printf ("Program compiled with 'register' attribute\n"); +800002de: 80003537 lui a0,0x80003 +800002e2: d3450513 addi a0,a0,-716 # 80002d34 <_stack_start+0xffffc864> +800002e6: 76c000ef jal ra,80000a52 + printf ("\n"); +800002ea: 4529 li a0,10 +800002ec: 78c000ef jal ra,80000a78 + printf ("Please give the number of runs through the benchmark: "); +800002f0: 80003537 lui a0,0x80003 +800002f4: d9050513 addi a0,a0,-624 # 80002d90 <_stack_start+0xffffc8c0> +800002f8: 6b4000ef jal ra,800009ac + printf ("\n"); +800002fc: 4529 li a0,10 +800002fe: 77a000ef jal ra,80000a78 + printf ("Execution starts, %d runs through Dhrystone\n", Number_Of_Runs); +80000302: 80003537 lui a0,0x80003 +80000306: 0c800593 li a1,200 +8000030a: dc850513 addi a0,a0,-568 # 80002dc8 <_stack_start+0xffffc8f8> +8000030e: 69e000ef jal ra,800009ac + Begin_Time = clock(); +80000312: 770000ef jal ra,80000a82 +80000316: 80003437 lui s0,0x80003 + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 2'ND STRING"); +8000031a: 26c42783 lw a5,620(s0) # 8000326c <_stack_start+0xffffcd9c> + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 3'RD STRING"); +8000031e: 80003d37 lui s10,0x80003 +80000322: 28cd2b83 lw s7,652(s10) # 8000328c <_stack_start+0xffffcdbc> + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 2'ND STRING"); +80000326: c43e sw a5,8(sp) + Begin_Time = clock(); +80000328: 82a1a623 sw a0,-2004(gp) # 800034cc + for (Run_Index = 1; Run_Index <= Number_Of_Runs; ++Run_Index) +8000032c: 4985 li s3,1 +8000032e: 26c40413 addi s0,s0,620 + Int_1_Loc = 2; +80000332: 4489 li s1,2 + Proc_5(); +80000334: 3de9 jal 8000020e + Proc_4(); +80000336: 3d65 jal 800001ee + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 2'ND STRING"); +80000338: 01e44783 lbu a5,30(s0) +8000033c: 4850 lw a2,20(s0) +8000033e: 00442e03 lw t3,4(s0) +80000342: 00842303 lw t1,8(s0) +80000346: 00c42883 lw a7,12(s0) +8000034a: 01042803 lw a6,16(s0) +8000034e: 4c14 lw a3,24(s0) +80000350: 01c45703 lhu a4,28(s0) +80000354: 4ea2 lw t4,8(sp) +80000356: 04f10f23 sb a5,94(sp) + Bool_Glob = ! Func_2 (Str_1_Loc, Str_2_Loc); +8000035a: 008c addi a1,sp,64 + Enum_Loc = Ident_2; +8000035c: 4785 li a5,1 + Bool_Glob = ! Func_2 (Str_1_Loc, Str_2_Loc); +8000035e: 1008 addi a0,sp,32 + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 2'ND STRING"); +80000360: cab2 sw a2,84(sp) + Enum_Loc = Ident_2; +80000362: ce3e sw a5,28(sp) + Int_1_Loc = 2; +80000364: ca26 sw s1,20(sp) + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 2'ND STRING"); +80000366: c0f6 sw t4,64(sp) +80000368: c2f2 sw t3,68(sp) +8000036a: c49a sw t1,72(sp) +8000036c: c6c6 sw a7,76(sp) +8000036e: c8c2 sw a6,80(sp) +80000370: ccb6 sw a3,88(sp) +80000372: 04e11e23 sh a4,92(sp) + Bool_Glob = ! Func_2 (Str_1_Loc, Str_2_Loc); +80000376: 21dd jal 8000085c + while (Int_1_Loc < Int_2_Loc) /* loop body executed once */ +80000378: 4652 lw a2,20(sp) + Bool_Glob = ! Func_2 (Str_1_Loc, Str_2_Loc); +8000037a: 00153513 seqz a0,a0 +8000037e: 82a1ac23 sw a0,-1992(gp) # 800034d8 + while (Int_1_Loc < Int_2_Loc) /* loop body executed once */ +80000382: 02c4c063 blt s1,a2,800003a2 + Int_3_Loc = 5 * Int_1_Loc - Int_2_Loc; +80000386: 00261793 slli a5,a2,0x2 +8000038a: 97b2 add a5,a5,a2 +8000038c: 17f5 addi a5,a5,-3 + Proc_7 (Int_1_Loc, Int_2_Loc, &Int_3_Loc); +8000038e: 8532 mv a0,a2 +80000390: 458d li a1,3 +80000392: 0830 addi a2,sp,24 + Int_3_Loc = 5 * Int_1_Loc - Int_2_Loc; +80000394: cc3e sw a5,24(sp) + Proc_7 (Int_1_Loc, Int_2_Loc, &Int_3_Loc); +80000396: 2189 jal 800007d8 + Int_1_Loc += 1; +80000398: 4652 lw a2,20(sp) +8000039a: 0605 addi a2,a2,1 +8000039c: ca32 sw a2,20(sp) + while (Int_1_Loc < Int_2_Loc) /* loop body executed once */ +8000039e: fec4d4e3 ble a2,s1,80000386 + Proc_8 (Arr_1_Glob, Arr_2_Glob, Int_1_Loc, Int_3_Loc); +800003a2: 46e2 lw a3,24(sp) +800003a4: 84c18513 addi a0,gp,-1972 # 800034ec +800003a8: 5b4d8593 addi a1,s11,1460 +800003ac: 2915 jal 800007e0 + Proc_1 (Ptr_Glob); +800003ae: 8441a503 lw a0,-1980(gp) # 800034e4 + for (Ch_Index = 'A'; Ch_Index <= Ch_2_Glob; ++Ch_Index) +800003b2: 04100a93 li s5,65 + Int_2_Loc = 3; +800003b6: 4a0d li s4,3 + Proc_1 (Ptr_Glob); +800003b8: 33b1 jal 80000104 + for (Ch_Index = 'A'; Ch_Index <= Ch_2_Glob; ++Ch_Index) +800003ba: 8341c703 lbu a4,-1996(gp) # 800034d4 +800003be: 04000793 li a5,64 + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 3'RD STRING"); +800003c2: 28cd0c93 addi s9,s10,652 + for (Ch_Index = 'A'; Ch_Index <= Ch_2_Glob; ++Ch_Index) +800003c6: 02e7f163 bleu a4,a5,800003e8 + if (Enum_Loc == Func_1 (Ch_Index, 'C')) +800003ca: 8556 mv a0,s5 +800003cc: 04300593 li a1,67 +800003d0: 2995 jal 80000844 +800003d2: 47f2 lw a5,28(sp) + for (Ch_Index = 'A'; Ch_Index <= Ch_2_Glob; ++Ch_Index) +800003d4: 001a8713 addi a4,s5,1 + if (Enum_Loc == Func_1 (Ch_Index, 'C')) +800003d8: 36f50e63 beq a0,a5,80000754 + for (Ch_Index = 'A'; Ch_Index <= Ch_2_Glob; ++Ch_Index) +800003dc: 8341c783 lbu a5,-1996(gp) # 800034d4 +800003e0: 0ff77a93 andi s5,a4,255 +800003e4: ff57f3e3 bleu s5,a5,800003ca + Int_2_Loc = Int_2_Loc * Int_1_Loc; +800003e8: 45d2 lw a1,20(sp) +800003ea: 8552 mv a0,s4 + for (Run_Index = 1; Run_Index <= Number_Of_Runs; ++Run_Index) +800003ec: 0985 addi s3,s3,1 + Int_2_Loc = Int_2_Loc * Int_1_Loc; +800003ee: 7ba020ef jal ra,80002ba8 <__mulsi3> + Int_1_Loc = Int_2_Loc / Int_3_Loc; +800003f2: 4ae2 lw s5,24(sp) + Int_2_Loc = Int_2_Loc * Int_1_Loc; +800003f4: c62a sw a0,12(sp) + Int_1_Loc = Int_2_Loc / Int_3_Loc; +800003f6: 85d6 mv a1,s5 +800003f8: 7d4020ef jal ra,80002bcc <__divsi3> +800003fc: 8a2a mv s4,a0 + Proc_2 (&Int_1_Loc); +800003fe: 0848 addi a0,sp,20 + Int_1_Loc = Int_2_Loc / Int_3_Loc; +80000400: ca52 sw s4,20(sp) + Proc_2 (&Int_1_Loc); +80000402: 31f1 jal 800000ce + for (Run_Index = 1; Run_Index <= Number_Of_Runs; ++Run_Index) +80000404: 0c900793 li a5,201 +80000408: f2f996e3 bne s3,a5,80000334 + End_Time = clock(); +8000040c: 676000ef jal ra,80000a82 +80000410: 82a1a423 sw a0,-2008(gp) # 800034c8 + printf ("Execution ends\n"); +80000414: 80003537 lui a0,0x80003 +80000418: df850513 addi a0,a0,-520 # 80002df8 <_stack_start+0xffffc928> +8000041c: 636000ef jal ra,80000a52 + printf ("\n"); +80000420: 4529 li a0,10 +80000422: 656000ef jal ra,80000a78 + printf ("Final values of the variables used in the benchmark:\n"); +80000426: 80003537 lui a0,0x80003 +8000042a: e0850513 addi a0,a0,-504 # 80002e08 <_stack_start+0xffffc938> +8000042e: 624000ef jal ra,80000a52 + printf ("\n"); +80000432: 4529 li a0,10 +80000434: 644000ef jal ra,80000a78 + printf ("Int_Glob: %d\n", Int_Glob); +80000438: 83c1a583 lw a1,-1988(gp) # 800034dc +8000043c: 80003537 lui a0,0x80003 +80000440: e4050513 addi a0,a0,-448 # 80002e40 <_stack_start+0xffffc970> + printf (" should be: %d\n", 5); +80000444: 80003437 lui s0,0x80003 + printf ("Int_Glob: %d\n", Int_Glob); +80000448: 564000ef jal ra,800009ac + printf (" should be: %d\n", 5); +8000044c: 4595 li a1,5 +8000044e: e5c40513 addi a0,s0,-420 # 80002e5c <_stack_start+0xffffc98c> +80000452: 55a000ef jal ra,800009ac + printf ("Bool_Glob: %d\n", Bool_Glob); +80000456: 8381a583 lw a1,-1992(gp) # 800034d8 +8000045a: 80003537 lui a0,0x80003 +8000045e: e7850513 addi a0,a0,-392 # 80002e78 <_stack_start+0xffffc9a8> +80000462: 54a000ef jal ra,800009ac + printf (" should be: %d\n", 1); +80000466: 4585 li a1,1 +80000468: e5c40513 addi a0,s0,-420 +8000046c: 540000ef jal ra,800009ac + printf ("Ch_1_Glob: %c\n", Ch_1_Glob); +80000470: 8351c583 lbu a1,-1995(gp) # 800034d5 +80000474: 80003537 lui a0,0x80003 +80000478: e9450513 addi a0,a0,-364 # 80002e94 <_stack_start+0xffffc9c4> +8000047c: 2b05 jal 800009ac + printf (" should be: %c\n", 'A'); +8000047e: 800034b7 lui s1,0x80003 +80000482: 04100593 li a1,65 +80000486: eb048513 addi a0,s1,-336 # 80002eb0 <_stack_start+0xffffc9e0> +8000048a: 230d jal 800009ac + printf ("Ch_2_Glob: %c\n", Ch_2_Glob); +8000048c: 8341c583 lbu a1,-1996(gp) # 800034d4 +80000490: 80003537 lui a0,0x80003 +80000494: ecc50513 addi a0,a0,-308 # 80002ecc <_stack_start+0xffffc9fc> +80000498: 2b11 jal 800009ac + printf (" should be: %c\n", 'B'); +8000049a: 04200593 li a1,66 +8000049e: eb048513 addi a0,s1,-336 +800004a2: 2329 jal 800009ac + printf ("Arr_1_Glob[8]: %d\n", Arr_1_Glob[8]); +800004a4: 84c18793 addi a5,gp,-1972 # 800034ec +800004a8: 538c lw a1,32(a5) +800004aa: 80003537 lui a0,0x80003 +800004ae: ee850513 addi a0,a0,-280 # 80002ee8 <_stack_start+0xffffca18> +800004b2: 29ed jal 800009ac + printf (" should be: %d\n", 7); +800004b4: 459d li a1,7 +800004b6: e5c40513 addi a0,s0,-420 +800004ba: 29cd jal 800009ac + printf ("Arr_2_Glob[8][7]: %d\n", Arr_2_Glob[8][7]); +800004bc: 800037b7 lui a5,0x80003 +800004c0: 5b478793 addi a5,a5,1460 # 800035b4 <_stack_start+0xffffd0e4> +800004c4: 65c7a583 lw a1,1628(a5) +800004c8: 80003537 lui a0,0x80003 +800004cc: f0450513 addi a0,a0,-252 # 80002f04 <_stack_start+0xffffca34> +800004d0: 29f1 jal 800009ac + printf (" should be: Number_Of_Runs + 10\n"); +800004d2: 80003537 lui a0,0x80003 +800004d6: f2050513 addi a0,a0,-224 # 80002f20 <_stack_start+0xffffca50> +800004da: 578000ef jal ra,80000a52 + printf ("Ptr_Glob->\n"); +800004de: 80003537 lui a0,0x80003 +800004e2: f4c50513 addi a0,a0,-180 # 80002f4c <_stack_start+0xffffca7c> +800004e6: 56c000ef jal ra,80000a52 + printf (" Ptr_Comp: %d\n", (int) Ptr_Glob->Ptr_Comp); +800004ea: 8441a783 lw a5,-1980(gp) # 800034e4 +800004ee: 80003db7 lui s11,0x80003 +800004f2: f58d8513 addi a0,s11,-168 # 80002f58 <_stack_start+0xffffca88> +800004f6: 438c lw a1,0(a5) + printf (" Discr: %d\n", Ptr_Glob->Discr); +800004f8: 80003cb7 lui s9,0x80003 + printf (" Enum_Comp: %d\n", Ptr_Glob->variant.var_1.Enum_Comp); +800004fc: 80003bb7 lui s7,0x80003 + printf (" Ptr_Comp: %d\n", (int) Ptr_Glob->Ptr_Comp); +80000500: 2175 jal 800009ac + printf (" should be: (implementation-dependent)\n"); +80000502: 80003537 lui a0,0x80003 +80000506: f7450513 addi a0,a0,-140 # 80002f74 <_stack_start+0xffffcaa4> +8000050a: 548000ef jal ra,80000a52 + printf (" Discr: %d\n", Ptr_Glob->Discr); +8000050e: 8441a783 lw a5,-1980(gp) # 800034e4 +80000512: fa4c8513 addi a0,s9,-92 # 80002fa4 <_stack_start+0xffffcad4> + printf (" Int_Comp: %d\n", Ptr_Glob->variant.var_1.Int_Comp); +80000516: 80003b37 lui s6,0x80003 + printf (" Discr: %d\n", Ptr_Glob->Discr); +8000051a: 43cc lw a1,4(a5) + printf (" Str_Comp: %s\n", Ptr_Glob->variant.var_1.Str_Comp); +8000051c: 800039b7 lui s3,0x80003 + printf (" should be: DHRYSTONE PROGRAM, SOME STRING\n"); +80000520: 80003937 lui s2,0x80003 + printf (" Discr: %d\n", Ptr_Glob->Discr); +80000524: 2161 jal 800009ac + printf (" should be: %d\n", 0); +80000526: 4581 li a1,0 +80000528: e5c40513 addi a0,s0,-420 +8000052c: 2141 jal 800009ac + printf (" Enum_Comp: %d\n", Ptr_Glob->variant.var_1.Enum_Comp); +8000052e: 8441a783 lw a5,-1980(gp) # 800034e4 +80000532: fc0b8513 addi a0,s7,-64 # 80002fc0 <_stack_start+0xffffcaf0> +80000536: 478c lw a1,8(a5) +80000538: 2995 jal 800009ac + printf (" should be: %d\n", 2); +8000053a: 4589 li a1,2 +8000053c: e5c40513 addi a0,s0,-420 +80000540: 21b5 jal 800009ac + printf (" Int_Comp: %d\n", Ptr_Glob->variant.var_1.Int_Comp); +80000542: 8441a783 lw a5,-1980(gp) # 800034e4 +80000546: fdcb0513 addi a0,s6,-36 # 80002fdc <_stack_start+0xffffcb0c> +8000054a: 47cc lw a1,12(a5) +8000054c: 2185 jal 800009ac + printf (" should be: %d\n", 17); +8000054e: 45c5 li a1,17 +80000550: e5c40513 addi a0,s0,-420 +80000554: 29a1 jal 800009ac + printf (" Str_Comp: %s\n", Ptr_Glob->variant.var_1.Str_Comp); +80000556: 8441a583 lw a1,-1980(gp) # 800034e4 +8000055a: ff898513 addi a0,s3,-8 # 80002ff8 <_stack_start+0xffffcb28> +8000055e: 05c1 addi a1,a1,16 +80000560: 21b1 jal 800009ac + printf (" should be: DHRYSTONE PROGRAM, SOME STRING\n"); +80000562: 01490513 addi a0,s2,20 # 80003014 <_stack_start+0xffffcb44> +80000566: 21f5 jal 80000a52 + printf ("Next_Ptr_Glob->\n"); +80000568: 80003537 lui a0,0x80003 +8000056c: 04850513 addi a0,a0,72 # 80003048 <_stack_start+0xffffcb78> +80000570: 21cd jal 80000a52 + printf (" Ptr_Comp: %d\n", (int) Next_Ptr_Glob->Ptr_Comp); +80000572: 8401a783 lw a5,-1984(gp) # 800034e0 +80000576: f58d8513 addi a0,s11,-168 +8000057a: 438c lw a1,0(a5) +8000057c: 2905 jal 800009ac + printf (" should be: (implementation-dependent), same as above\n"); +8000057e: 80003537 lui a0,0x80003 +80000582: 05850513 addi a0,a0,88 # 80003058 <_stack_start+0xffffcb88> +80000586: 21f1 jal 80000a52 + printf (" Discr: %d\n", Next_Ptr_Glob->Discr); +80000588: 8401a783 lw a5,-1984(gp) # 800034e0 +8000058c: fa4c8513 addi a0,s9,-92 +80000590: 43cc lw a1,4(a5) +80000592: 2929 jal 800009ac + printf (" should be: %d\n", 0); +80000594: 4581 li a1,0 +80000596: e5c40513 addi a0,s0,-420 +8000059a: 2909 jal 800009ac + printf (" Enum_Comp: %d\n", Next_Ptr_Glob->variant.var_1.Enum_Comp); +8000059c: 8401a783 lw a5,-1984(gp) # 800034e0 +800005a0: fc0b8513 addi a0,s7,-64 +800005a4: 478c lw a1,8(a5) +800005a6: 2119 jal 800009ac + printf (" should be: %d\n", 1); +800005a8: 4585 li a1,1 +800005aa: e5c40513 addi a0,s0,-420 +800005ae: 2efd jal 800009ac + printf (" Int_Comp: %d\n", Next_Ptr_Glob->variant.var_1.Int_Comp); +800005b0: 8401a783 lw a5,-1984(gp) # 800034e0 +800005b4: fdcb0513 addi a0,s6,-36 +800005b8: 47cc lw a1,12(a5) +800005ba: 2ecd jal 800009ac + printf (" should be: %d\n", 18); +800005bc: 45c9 li a1,18 +800005be: e5c40513 addi a0,s0,-420 +800005c2: 26ed jal 800009ac + printf (" Str_Comp: %s\n", +800005c4: 8401a583 lw a1,-1984(gp) # 800034e0 +800005c8: ff898513 addi a0,s3,-8 +800005cc: 05c1 addi a1,a1,16 +800005ce: 2ef9 jal 800009ac + printf (" should be: DHRYSTONE PROGRAM, SOME STRING\n"); +800005d0: 01490513 addi a0,s2,20 +800005d4: 29bd jal 80000a52 + printf ("Int_1_Loc: %d\n", Int_1_Loc); +800005d6: 45d2 lw a1,20(sp) +800005d8: 80003537 lui a0,0x80003 +800005dc: 09850513 addi a0,a0,152 # 80003098 <_stack_start+0xffffcbc8> +800005e0: 26f1 jal 800009ac + printf (" should be: %d\n", 5); +800005e2: 4595 li a1,5 +800005e4: e5c40513 addi a0,s0,-420 +800005e8: 26d1 jal 800009ac + Int_2_Loc = 7 * (Int_2_Loc - Int_3_Loc) - Int_1_Loc; +800005ea: 47b2 lw a5,12(sp) + printf ("Int_2_Loc: %d\n", Int_2_Loc); +800005ec: 80003537 lui a0,0x80003 +800005f0: 0b450513 addi a0,a0,180 # 800030b4 <_stack_start+0xffffcbe4> + Int_2_Loc = 7 * (Int_2_Loc - Int_3_Loc) - Int_1_Loc; +800005f4: 41578ab3 sub s5,a5,s5 +800005f8: 003a9793 slli a5,s5,0x3 +800005fc: 41578ab3 sub s5,a5,s5 + printf ("Int_2_Loc: %d\n", Int_2_Loc); +80000600: 414a85b3 sub a1,s5,s4 +80000604: 2665 jal 800009ac + printf (" should be: %d\n", 13); +80000606: 45b5 li a1,13 +80000608: e5c40513 addi a0,s0,-420 +8000060c: 2645 jal 800009ac + printf ("Int_3_Loc: %d\n", Int_3_Loc); +8000060e: 45e2 lw a1,24(sp) +80000610: 80003537 lui a0,0x80003 +80000614: 0d050513 addi a0,a0,208 # 800030d0 <_stack_start+0xffffcc00> +80000618: 2e51 jal 800009ac + printf (" should be: %d\n", 7); +8000061a: 459d li a1,7 +8000061c: e5c40513 addi a0,s0,-420 +80000620: 2671 jal 800009ac + printf ("Enum_Loc: %d\n", Enum_Loc); +80000622: 45f2 lw a1,28(sp) +80000624: 80003537 lui a0,0x80003 +80000628: 0ec50513 addi a0,a0,236 # 800030ec <_stack_start+0xffffcc1c> +8000062c: 2641 jal 800009ac + printf (" should be: %d\n", 1); +8000062e: 4585 li a1,1 +80000630: e5c40513 addi a0,s0,-420 +80000634: 2ea5 jal 800009ac + printf ("Str_1_Loc: %s\n", Str_1_Loc); +80000636: 80003537 lui a0,0x80003 +8000063a: 100c addi a1,sp,32 +8000063c: 10850513 addi a0,a0,264 # 80003108 <_stack_start+0xffffcc38> +80000640: 26b5 jal 800009ac + printf (" should be: DHRYSTONE PROGRAM, 1'ST STRING\n"); +80000642: 80003537 lui a0,0x80003 +80000646: 12450513 addi a0,a0,292 # 80003124 <_stack_start+0xffffcc54> +8000064a: 2121 jal 80000a52 + printf ("Str_2_Loc: %s\n", Str_2_Loc); +8000064c: 80003537 lui a0,0x80003 +80000650: 008c addi a1,sp,64 +80000652: 15850513 addi a0,a0,344 # 80003158 <_stack_start+0xffffcc88> +80000656: 2e99 jal 800009ac + printf (" should be: DHRYSTONE PROGRAM, 2'ND STRING\n"); +80000658: 80003537 lui a0,0x80003 +8000065c: 17450513 addi a0,a0,372 # 80003174 <_stack_start+0xffffcca4> +80000660: 2ecd jal 80000a52 + printf ("\n"); +80000662: 4529 li a0,10 +80000664: 2911 jal 80000a78 + User_Time = End_Time - Begin_Time; +80000666: 82c1a703 lw a4,-2004(gp) # 800034cc +8000066a: 8281a583 lw a1,-2008(gp) # 800034c8 + if (User_Time < Too_Small_Time) +8000066e: 1f300793 li a5,499 + User_Time = End_Time - Begin_Time; +80000672: 8d99 sub a1,a1,a4 +80000674: 82b1a223 sw a1,-2012(gp) # 800034c4 + if (User_Time < Too_Small_Time) +80000678: 12b7df63 ble a1,a5,800007b6 + printf ("Clock cycles=%d \n", User_Time); +8000067c: 80003537 lui a0,0x80003 +80000680: 20050513 addi a0,a0,512 # 80003200 <_stack_start+0xffffcd30> +80000684: 2625 jal 800009ac + Microseconds = (float) User_Time * Mic_secs_Per_Second +80000686: 8241a503 lw a0,-2012(gp) # 800034c4 +8000068a: 156020ef jal ra,800027e0 <__floatsisf> +8000068e: 842a mv s0,a0 +80000690: 274020ef jal ra,80002904 <__extendsfdf2> +80000694: 800037b7 lui a5,0x80003 +80000698: 4a07a603 lw a2,1184(a5) # 800034a0 <_stack_start+0xffffcfd0> +8000069c: 4a47a683 lw a3,1188(a5) +800006a0: 661000ef jal ra,80001500 <__muldf3> + / ((float) CORE_HZ * ((float) Number_Of_Runs)); +800006a4: 800037b7 lui a5,0x80003 +800006a8: 4a87a603 lw a2,1192(a5) # 800034a8 <_stack_start+0xffffcfd8> +800006ac: 4ac7a683 lw a3,1196(a5) +800006b0: 640000ef jal ra,80000cf0 <__divdf3> +800006b4: 35c020ef jal ra,80002a10 <__truncdfsf2> +800006b8: 82a1a023 sw a0,-2016(gp) # 800034c0 + / (float) User_Time; +800006bc: 800037b7 lui a5,0x80003 +800006c0: 4b07a503 lw a0,1200(a5) # 800034b0 <_stack_start+0xffffcfe0> +800006c4: 85a2 mv a1,s0 +800006c6: 52a010ef jal ra,80001bf0 <__divsf3> + Dhrystones_Per_Second = ((float) CORE_HZ * (float) Number_Of_Runs) +800006ca: 80a1ae23 sw a0,-2020(gp) # 800034bc + printf ("DMIPS per Mhz: "); +800006ce: 80003537 lui a0,0x80003 +800006d2: 21450513 addi a0,a0,532 # 80003214 <_stack_start+0xffffcd44> +800006d6: 2cd9 jal 800009ac + float dmips = (1e6f/1757.0f) * Number_Of_Runs / User_Time; +800006d8: 8241a503 lw a0,-2012(gp) # 800034c4 +800006dc: 104020ef jal ra,800027e0 <__floatsisf> +800006e0: 800037b7 lui a5,0x80003 +800006e4: 85aa mv a1,a0 +800006e6: 4b47a503 lw a0,1204(a5) # 800034b4 <_stack_start+0xffffcfe4> +800006ea: 506010ef jal ra,80001bf0 <__divsf3> +800006ee: 842a mv s0,a0 + int dmipsNatural = dmips; +800006f0: 080020ef jal ra,80002770 <__fixsfsi> +800006f4: 84aa mv s1,a0 + int dmipsReal = (dmips - dmipsNatural)*100.0f; +800006f6: 0ea020ef jal ra,800027e0 <__floatsisf> +800006fa: 85aa mv a1,a0 +800006fc: 8522 mv a0,s0 +800006fe: 3fb010ef jal ra,800022f8 <__subsf3> +80000702: 800037b7 lui a5,0x80003 +80000706: 4b87a583 lw a1,1208(a5) # 800034b8 <_stack_start+0xffffcfe8> +8000070a: 07f010ef jal ra,80001f88 <__mulsf3> +8000070e: 062020ef jal ra,80002770 <__fixsfsi> +80000712: 842a mv s0,a0 + printf ("%d.", dmipsNatural); +80000714: 80003537 lui a0,0x80003 +80000718: 85a6 mv a1,s1 +8000071a: 24450513 addi a0,a0,580 # 80003244 <_stack_start+0xffffcd74> +8000071e: 2479 jal 800009ac + if(dmipsReal < 10) printf("0"); +80000720: 47a5 li a5,9 +80000722: 0a87d763 ble s0,a5,800007d0 + printf ("%d", dmipsReal); +80000726: 80003537 lui a0,0x80003 +8000072a: 85a2 mv a1,s0 +8000072c: 24850513 addi a0,a0,584 # 80003248 <_stack_start+0xffffcd78> +80000730: 2cb5 jal 800009ac + printf ("\n"); +80000732: 4529 li a0,10 +80000734: 2691 jal 80000a78 +} +80000736: 40fa lw ra,156(sp) +80000738: 446a lw s0,152(sp) +8000073a: 44da lw s1,148(sp) +8000073c: 494a lw s2,144(sp) +8000073e: 49ba lw s3,140(sp) +80000740: 4a2a lw s4,136(sp) +80000742: 4a9a lw s5,132(sp) +80000744: 4b0a lw s6,128(sp) +80000746: 5bf6 lw s7,124(sp) +80000748: 5c66 lw s8,120(sp) +8000074a: 5cd6 lw s9,116(sp) +8000074c: 5d46 lw s10,112(sp) +8000074e: 5db6 lw s11,108(sp) +80000750: 610d addi sp,sp,160 +80000752: 8082 ret + Proc_6 (Ident_1, &Enum_Loc); +80000754: 086c addi a1,sp,28 +80000756: 4501 li a0,0 +80000758: 2291 jal 8000089c + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 3'RD STRING"); +8000075a: 004cae03 lw t3,4(s9) +8000075e: 008ca303 lw t1,8(s9) +80000762: 00cca883 lw a7,12(s9) +80000766: 010ca803 lw a6,16(s9) +8000076a: 014ca503 lw a0,20(s9) +8000076e: 018ca583 lw a1,24(s9) +80000772: 01ccd603 lhu a2,28(s9) +80000776: 01ecc703 lbu a4,30(s9) + for (Ch_Index = 'A'; Ch_Index <= Ch_2_Glob; ++Ch_Index) +8000077a: 8341c783 lbu a5,-1996(gp) # 800034d4 +8000077e: 0a85 addi s5,s5,1 + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 3'RD STRING"); +80000780: c0de sw s7,64(sp) +80000782: c2f2 sw t3,68(sp) +80000784: c49a sw t1,72(sp) +80000786: c6c6 sw a7,76(sp) +80000788: c8c2 sw a6,80(sp) +8000078a: caaa sw a0,84(sp) +8000078c: ccae sw a1,88(sp) +8000078e: 04c11e23 sh a2,92(sp) +80000792: 04e10f23 sb a4,94(sp) + Int_Glob = Run_Index; +80000796: 8331ae23 sw s3,-1988(gp) # 800034dc + for (Ch_Index = 'A'; Ch_Index <= Ch_2_Glob; ++Ch_Index) +8000079a: 0ffafa93 andi s5,s5,255 +8000079e: 8a4e mv s4,s3 +800007a0: c357f5e3 bleu s5,a5,800003ca +800007a4: b191 j 800003e8 + printf ("Program compiled without 'register' attribute\n"); +800007a6: 80003537 lui a0,0x80003 +800007aa: d6050513 addi a0,a0,-672 # 80002d60 <_stack_start+0xffffc890> +800007ae: 2455 jal 80000a52 + printf ("\n"); +800007b0: 4529 li a0,10 +800007b2: 24d9 jal 80000a78 +800007b4: be35 j 800002f0 + printf ("Measured time too small to obtain meaningful results\n"); +800007b6: 80003537 lui a0,0x80003 +800007ba: 1a850513 addi a0,a0,424 # 800031a8 <_stack_start+0xffffccd8> +800007be: 2c51 jal 80000a52 + printf ("Please increase number of runs\n"); +800007c0: 80003537 lui a0,0x80003 +800007c4: 1e050513 addi a0,a0,480 # 800031e0 <_stack_start+0xffffcd10> +800007c8: 2469 jal 80000a52 + printf ("\n"); +800007ca: 4529 li a0,10 +800007cc: 2475 jal 80000a78 +800007ce: b7a5 j 80000736 + if(dmipsReal < 10) printf("0"); +800007d0: 03000513 li a0,48 +800007d4: 2455 jal 80000a78 +800007d6: bf81 j 80000726 + +800007d8 : +One_Fifty Int_2_Par_Val; +One_Fifty *Int_Par_Ref; +{ + One_Fifty Int_Loc; + + Int_Loc = Int_1_Par_Val + 2; +800007d8: 0509 addi a0,a0,2 + *Int_Par_Ref = Int_2_Par_Val + Int_Loc; +800007da: 95aa add a1,a1,a0 +800007dc: c20c sw a1,0(a2) +} /* Proc_7 */ +800007de: 8082 ret + +800007e0 : + /* Int_Par_Val_2 == 7 */ +Arr_1_Dim Arr_1_Par_Ref; +Arr_2_Dim Arr_2_Par_Ref; +int Int_1_Par_Val; +int Int_2_Par_Val; +{ +800007e0: 1101 addi sp,sp,-32 +800007e2: c64e sw s3,12(sp) + REG One_Fifty Int_Index; + REG One_Fifty Int_Loc; + + Int_Loc = Int_1_Par_Val + 5; +800007e4: 00560993 addi s3,a2,5 +{ +800007e8: ca26 sw s1,20(sp) + Arr_1_Par_Ref [Int_Loc] = Int_2_Par_Val; +800007ea: 00299493 slli s1,s3,0x2 +{ +800007ee: cc22 sw s0,24(sp) +800007f0: c84a sw s2,16(sp) +800007f2: ce06 sw ra,28(sp) + Arr_1_Par_Ref [Int_Loc] = Int_2_Par_Val; +800007f4: 94aa add s1,s1,a0 +{ +800007f6: 8932 mv s2,a2 +800007f8: 842e mv s0,a1 + Arr_1_Par_Ref [Int_Loc+1] = Arr_1_Par_Ref [Int_Loc]; + Arr_1_Par_Ref [Int_Loc+30] = Int_Loc; +800007fa: 0734ac23 sw s3,120(s1) + Arr_1_Par_Ref [Int_Loc] = Int_2_Par_Val; +800007fe: c094 sw a3,0(s1) + Arr_1_Par_Ref [Int_Loc+1] = Arr_1_Par_Ref [Int_Loc]; +80000800: c0d4 sw a3,4(s1) + for (Int_Index = Int_Loc; Int_Index <= Int_Loc+1; ++Int_Index) + Arr_2_Par_Ref [Int_Loc] [Int_Index] = Int_Loc; +80000802: 854e mv a0,s3 +80000804: 0c800593 li a1,200 +80000808: 3a0020ef jal ra,80002ba8 <__mulsi3> +8000080c: 090a slli s2,s2,0x2 +8000080e: 012507b3 add a5,a0,s2 +80000812: 97a2 add a5,a5,s0 + Arr_2_Par_Ref [Int_Loc] [Int_Loc-1] += 1; +80000814: 4b98 lw a4,16(a5) + Arr_2_Par_Ref [Int_Loc] [Int_Index] = Int_Loc; +80000816: 0137aa23 sw s3,20(a5) +8000081a: 0137ac23 sw s3,24(a5) + Arr_2_Par_Ref [Int_Loc] [Int_Loc-1] += 1; +8000081e: 0705 addi a4,a4,1 +80000820: cb98 sw a4,16(a5) + Arr_2_Par_Ref [Int_Loc+20] [Int_Loc] = Arr_1_Par_Ref [Int_Loc]; +80000822: 409c lw a5,0(s1) +80000824: 942a add s0,s0,a0 +80000826: 944a add s0,s0,s2 +80000828: 6605 lui a2,0x1 +8000082a: 9432 add s0,s0,a2 +8000082c: faf42a23 sw a5,-76(s0) + Int_Glob = 5; +} /* Proc_8 */ +80000830: 40f2 lw ra,28(sp) +80000832: 4462 lw s0,24(sp) + Int_Glob = 5; +80000834: 4715 li a4,5 +80000836: 82e1ae23 sw a4,-1988(gp) # 800034dc +} /* Proc_8 */ +8000083a: 44d2 lw s1,20(sp) +8000083c: 4942 lw s2,16(sp) +8000083e: 49b2 lw s3,12(sp) +80000840: 6105 addi sp,sp,32 +80000842: 8082 ret + +80000844 : + /* second call: Ch_1_Par_Val == 'A', Ch_2_Par_Val == 'C' */ + /* third call: Ch_1_Par_Val == 'B', Ch_2_Par_Val == 'C' */ + +Capital_Letter Ch_1_Par_Val; +Capital_Letter Ch_2_Par_Val; +{ +80000844: 0ff57513 andi a0,a0,255 +80000848: 0ff5f593 andi a1,a1,255 + Capital_Letter Ch_1_Loc; + Capital_Letter Ch_2_Loc; + + Ch_1_Loc = Ch_1_Par_Val; + Ch_2_Loc = Ch_1_Loc; + if (Ch_2_Loc != Ch_2_Par_Val) +8000084c: 00b50463 beq a0,a1,80000854 + /* then, executed */ + return (Ident_1); +80000850: 4501 li a0,0 + else /* not executed */ + { + Ch_1_Glob = Ch_1_Loc; + return (Ident_2); + } +} /* Func_1 */ +80000852: 8082 ret + Ch_1_Glob = Ch_1_Loc; +80000854: 82a18aa3 sb a0,-1995(gp) # 800034d5 + return (Ident_2); +80000858: 4505 li a0,1 +8000085a: 8082 ret + +8000085c : + /* Str_1_Par_Ref == "DHRYSTONE PROGRAM, 1'ST STRING" */ + /* Str_2_Par_Ref == "DHRYSTONE PROGRAM, 2'ND STRING" */ + +Str_30 Str_1_Par_Ref; +Str_30 Str_2_Par_Ref; +{ +8000085c: 1141 addi sp,sp,-16 +8000085e: c422 sw s0,8(sp) +80000860: c226 sw s1,4(sp) +80000862: c606 sw ra,12(sp) +80000864: 842a mv s0,a0 +80000866: 84ae mv s1,a1 + REG One_Thirty Int_Loc; + Capital_Letter Ch_Loc; + + Int_Loc = 2; + while (Int_Loc <= 2) /* loop body executed once */ + if (Func_1 (Str_1_Par_Ref[Int_Loc], +80000868: 0034c583 lbu a1,3(s1) +8000086c: 00244503 lbu a0,2(s0) +80000870: 3fd1 jal 80000844 +80000872: f97d bnez a0,80000868 + if (Ch_Loc == 'R') + /* then, not executed */ + return (true); + else /* executed */ + { + if (strcmp (Str_1_Par_Ref, Str_2_Par_Ref) > 0) +80000874: 85a6 mv a1,s1 +80000876: 8522 mv a0,s0 +80000878: 2cf5 jal 80000b74 + Int_Loc += 7; + Int_Glob = Int_Loc; + return (true); + } + else /* executed */ + return (false); +8000087a: 4781 li a5,0 + if (strcmp (Str_1_Par_Ref, Str_2_Par_Ref) > 0) +8000087c: 00a05663 blez a0,80000888 + Int_Glob = Int_Loc; +80000880: 4729 li a4,10 +80000882: 82e1ae23 sw a4,-1988(gp) # 800034dc + return (true); +80000886: 4785 li a5,1 + } /* if Ch_Loc */ +} /* Func_2 */ +80000888: 40b2 lw ra,12(sp) +8000088a: 4422 lw s0,8(sp) +8000088c: 4492 lw s1,4(sp) +8000088e: 853e mv a0,a5 +80000890: 0141 addi sp,sp,16 +80000892: 8082 ret + +80000894 : +Enumeration Enum_Par_Val; +{ + Enumeration Enum_Loc; + + Enum_Loc = Enum_Par_Val; + if (Enum_Loc == Ident_3) +80000894: 1579 addi a0,a0,-2 + /* then, executed */ + return (true); + else /* not executed */ + return (false); +} /* Func_3 */ +80000896: 00153513 seqz a0,a0 +8000089a: 8082 ret + +8000089c : +{ +8000089c: 1141 addi sp,sp,-16 +8000089e: c422 sw s0,8(sp) +800008a0: c226 sw s1,4(sp) +800008a2: c606 sw ra,12(sp) +800008a4: 842a mv s0,a0 +800008a6: 84ae mv s1,a1 + if (! Func_3 (Enum_Val_Par)) +800008a8: 37f5 jal 80000894 +800008aa: c115 beqz a0,800008ce + *Enum_Ref_Par = Enum_Val_Par; +800008ac: c080 sw s0,0(s1) + switch (Enum_Val_Par) +800008ae: 4785 li a5,1 +800008b0: 02f40463 beq s0,a5,800008d8 +800008b4: c805 beqz s0,800008e4 +800008b6: 4709 li a4,2 +800008b8: 02e40d63 beq s0,a4,800008f2 +800008bc: 4791 li a5,4 +800008be: 00f41363 bne s0,a5,800008c4 + *Enum_Ref_Par = Ident_3; +800008c2: c098 sw a4,0(s1) +} /* Proc_6 */ +800008c4: 40b2 lw ra,12(sp) +800008c6: 4422 lw s0,8(sp) +800008c8: 4492 lw s1,4(sp) +800008ca: 0141 addi sp,sp,16 +800008cc: 8082 ret + *Enum_Ref_Par = Ident_4; +800008ce: 478d li a5,3 +800008d0: c09c sw a5,0(s1) + switch (Enum_Val_Par) +800008d2: 4785 li a5,1 +800008d4: fef410e3 bne s0,a5,800008b4 + if (Int_Glob > 100) +800008d8: 83c1a703 lw a4,-1988(gp) # 800034dc +800008dc: 06400793 li a5,100 +800008e0: 00e7df63 ble a4,a5,800008fe +} /* Proc_6 */ +800008e4: 40b2 lw ra,12(sp) +800008e6: 4422 lw s0,8(sp) + *Enum_Ref_Par = Ident_1; +800008e8: 0004a023 sw zero,0(s1) +} /* Proc_6 */ +800008ec: 4492 lw s1,4(sp) +800008ee: 0141 addi sp,sp,16 +800008f0: 8082 ret +800008f2: 40b2 lw ra,12(sp) +800008f4: 4422 lw s0,8(sp) + *Enum_Ref_Par = Ident_2; +800008f6: c09c sw a5,0(s1) +} /* Proc_6 */ +800008f8: 4492 lw s1,4(sp) +800008fa: 0141 addi sp,sp,16 +800008fc: 8082 ret +800008fe: 40b2 lw ra,12(sp) +80000900: 4422 lw s0,8(sp) + else *Enum_Ref_Par = Ident_4; +80000902: 478d li a5,3 +80000904: c09c sw a5,0(s1) +} /* Proc_6 */ +80000906: 4492 lw s1,4(sp) +80000908: 0141 addi sp,sp,16 +8000090a: 8082 ret + +8000090c : +{ + putchar(c); +} + +static void printf_s(char *p) +{ +8000090c: 1141 addi sp,sp,-16 +8000090e: c422 sw s0,8(sp) +80000910: c606 sw ra,12(sp) +80000912: 842a mv s0,a0 + while (*p) +80000914: 00054503 lbu a0,0(a0) +80000918: c511 beqz a0,80000924 + putchar(*(p++)); +8000091a: 0405 addi s0,s0,1 +8000091c: 2ab1 jal 80000a78 + while (*p) +8000091e: 00044503 lbu a0,0(s0) +80000922: fd65 bnez a0,8000091a +} +80000924: 40b2 lw ra,12(sp) +80000926: 4422 lw s0,8(sp) +80000928: 0141 addi sp,sp,16 +8000092a: 8082 ret + +8000092c : + putchar(c); +8000092c: a2b1 j 80000a78 + +8000092e : + +static void printf_d(int val) +{ +8000092e: 7179 addi sp,sp,-48 +80000930: d226 sw s1,36(sp) +80000932: d606 sw ra,44(sp) +80000934: d422 sw s0,40(sp) +80000936: d04a sw s2,32(sp) +80000938: 84aa mv s1,a0 + char buffer[32]; + char *p = buffer; + if (val < 0) { +8000093a: 04054263 bltz a0,8000097e +{ +8000093e: 890a mv s2,sp +80000940: 844a mv s0,s2 +80000942: a829 j 8000095c + printf_c('-'); + val = -val; + } + while (val || p == buffer) { + *(p++) = '0' + val % 10; +80000944: 30c020ef jal ra,80002c50 <__modsi3> +80000948: 03050793 addi a5,a0,48 +8000094c: 0405 addi s0,s0,1 + val = val / 10; +8000094e: 8526 mv a0,s1 +80000950: 45a9 li a1,10 + *(p++) = '0' + val % 10; +80000952: fef40fa3 sb a5,-1(s0) + val = val / 10; +80000956: 276020ef jal ra,80002bcc <__divsi3> +8000095a: 84aa mv s1,a0 + *(p++) = '0' + val % 10; +8000095c: 45a9 li a1,10 +8000095e: 8526 mv a0,s1 + while (val || p == buffer) { +80000960: f0f5 bnez s1,80000944 +80000962: ff2401e3 beq s0,s2,80000944 + } + while (p != buffer) + printf_c(*(--p)); +80000966: 147d addi s0,s0,-1 +80000968: 00044503 lbu a0,0(s0) +8000096c: 37c1 jal 8000092c + while (p != buffer) +8000096e: ff241ce3 bne s0,s2,80000966 +} +80000972: 50b2 lw ra,44(sp) +80000974: 5422 lw s0,40(sp) +80000976: 5492 lw s1,36(sp) +80000978: 5902 lw s2,32(sp) +8000097a: 6145 addi sp,sp,48 +8000097c: 8082 ret + printf_c('-'); +8000097e: 02d00513 li a0,45 +80000982: 376d jal 8000092c + val = -val; +80000984: 409004b3 neg s1,s1 +80000988: bf5d j 8000093e + +8000098a : + char *p = heap_memory + heap_memory_used; +8000098a: 8481a703 lw a4,-1976(gp) # 800034e8 + heap_memory_used += size; +8000098e: 00a707b3 add a5,a4,a0 + char *p = heap_memory + heap_memory_used; +80000992: 80006537 lui a0,0x80006 + heap_memory_used += size; +80000996: 84f1a423 sw a5,-1976(gp) # 800034e8 + char *p = heap_memory + heap_memory_used; +8000099a: cc450513 addi a0,a0,-828 # 80005cc4 <_stack_start+0xfffff7f4> + if (heap_memory_used > 1024) +8000099e: 40000693 li a3,1024 + char *p = heap_memory + heap_memory_used; +800009a2: 953a add a0,a0,a4 + if (heap_memory_used > 1024) +800009a4: 00f6d363 ble a5,a3,800009aa + asm volatile ("ebreak"); +800009a8: 9002 ebreak +} +800009aa: 8082 ret + +800009ac : + +int printf(const char *format, ...) +{ +800009ac: 715d addi sp,sp,-80 +800009ae: cc52 sw s4,24(sp) +800009b0: d606 sw ra,44(sp) +800009b2: d422 sw s0,40(sp) +800009b4: d226 sw s1,36(sp) +800009b6: d04a sw s2,32(sp) +800009b8: ce4e sw s3,28(sp) +800009ba: ca56 sw s5,20(sp) +800009bc: 8a2a mv s4,a0 + int i; + va_list ap; + + va_start(ap, format); + + for (i = 0; format[i]; i++) +800009be: 00054503 lbu a0,0(a0) +{ +800009c2: c2be sw a5,68(sp) + va_start(ap, format); +800009c4: 185c addi a5,sp,52 +{ +800009c6: da2e sw a1,52(sp) +800009c8: dc32 sw a2,56(sp) +800009ca: de36 sw a3,60(sp) +800009cc: c0ba sw a4,64(sp) +800009ce: c4c2 sw a6,72(sp) +800009d0: c6c6 sw a7,76(sp) + va_start(ap, format); +800009d2: c63e sw a5,12(sp) + for (i = 0; format[i]; i++) +800009d4: c11d beqz a0,800009fa +800009d6: 4401 li s0,0 + if (format[i] == '%') { +800009d8: 02500a93 li s5,37 + while (format[++i]) { + if (format[i] == 'c') { +800009dc: 06300493 li s1,99 + printf_c(va_arg(ap,int)); + break; + } + if (format[i] == 's') { +800009e0: 07300913 li s2,115 + printf_s(va_arg(ap,char*)); + break; + } + if (format[i] == 'd') { +800009e4: 06400993 li s3,100 + if (format[i] == '%') { +800009e8: 03550263 beq a0,s5,80000a0c + printf_d(va_arg(ap,int)); + break; + } + } + } else + printf_c(format[i]); +800009ec: 3781 jal 8000092c + for (i = 0; format[i]; i++) +800009ee: 0405 addi s0,s0,1 +800009f0: 008a07b3 add a5,s4,s0 +800009f4: 0007c503 lbu a0,0(a5) +800009f8: f965 bnez a0,800009e8 + + va_end(ap); +} +800009fa: 50b2 lw ra,44(sp) +800009fc: 5422 lw s0,40(sp) +800009fe: 5492 lw s1,36(sp) +80000a00: 5902 lw s2,32(sp) +80000a02: 49f2 lw s3,28(sp) +80000a04: 4a62 lw s4,24(sp) +80000a06: 4ad2 lw s5,20(sp) +80000a08: 6161 addi sp,sp,80 +80000a0a: 8082 ret +80000a0c: 00140693 addi a3,s0,1 +80000a10: 00da0733 add a4,s4,a3 +80000a14: a031 j 80000a20 + if (format[i] == 's') { +80000a16: 03278263 beq a5,s2,80000a3a + if (format[i] == 'd') { +80000a1a: 03378663 beq a5,s3,80000a46 +80000a1e: 0685 addi a3,a3,1 + while (format[++i]) { +80000a20: 00074783 lbu a5,0(a4) +80000a24: 8436 mv s0,a3 +80000a26: 0705 addi a4,a4,1 +80000a28: d3f9 beqz a5,800009ee + if (format[i] == 'c') { +80000a2a: fe9796e3 bne a5,s1,80000a16 + printf_c(va_arg(ap,int)); +80000a2e: 47b2 lw a5,12(sp) +80000a30: 4388 lw a0,0(a5) +80000a32: 0791 addi a5,a5,4 +80000a34: c63e sw a5,12(sp) +80000a36: 3ddd jal 8000092c + break; +80000a38: bf5d j 800009ee + printf_s(va_arg(ap,char*)); +80000a3a: 47b2 lw a5,12(sp) +80000a3c: 4388 lw a0,0(a5) +80000a3e: 0791 addi a5,a5,4 +80000a40: c63e sw a5,12(sp) +80000a42: 35e9 jal 8000090c + break; +80000a44: b76d j 800009ee + printf_d(va_arg(ap,int)); +80000a46: 47b2 lw a5,12(sp) +80000a48: 4388 lw a0,0(a5) +80000a4a: 0791 addi a5,a5,4 +80000a4c: c63e sw a5,12(sp) +80000a4e: 35c5 jal 8000092e + break; +80000a50: bf79 j 800009ee + +80000a52 : + + +int puts(char *s){ +80000a52: 1141 addi sp,sp,-16 +80000a54: c422 sw s0,8(sp) +80000a56: c606 sw ra,12(sp) +80000a58: 842a mv s0,a0 + while (*s) { +80000a5a: 00054503 lbu a0,0(a0) +80000a5e: c511 beqz a0,80000a6a + putchar(*s); + s++; +80000a60: 0405 addi s0,s0,1 + putchar(*s); +80000a62: 2819 jal 80000a78 + while (*s) { +80000a64: 00044503 lbu a0,0(s0) +80000a68: fd65 bnez a0,80000a60 + } + putchar('\n'); +80000a6a: 4529 li a0,10 +80000a6c: 2031 jal 80000a78 + return 0; +} +80000a6e: 40b2 lw ra,12(sp) +80000a70: 4422 lw s0,8(sp) +80000a72: 4501 li a0,0 +80000a74: 0141 addi sp,sp,16 +80000a76: 8082 ret + +80000a78 : + +void putchar(char c){ + TEST_COM_BASE[0] = c; +80000a78: f01007b7 lui a5,0xf0100 +80000a7c: f0a7a023 sw a0,-256(a5) # f00fff00 <_stack_start+0x700f9a30> +} +80000a80: 8082 ret + +80000a82 : + +#include +clock_t clock(){ + return TEST_COM_BASE[4]; +80000a82: f01007b7 lui a5,0xf0100 +80000a86: f107a503 lw a0,-240(a5) # f00fff10 <_stack_start+0x700f9a40> +} +80000a8a: 8082 ret + +80000a8c : +80000a8c: 00a5c7b3 xor a5,a1,a0 +80000a90: 0037f793 andi a5,a5,3 +80000a94: 00c50733 add a4,a0,a2 +80000a98: 00079663 bnez a5,80000aa4 +80000a9c: 00300793 li a5,3 +80000aa0: 02c7e463 bltu a5,a2,80000ac8 +80000aa4: 00050793 mv a5,a0 +80000aa8: 00e56c63 bltu a0,a4,80000ac0 +80000aac: 00008067 ret +80000ab0: 0005c683 lbu a3,0(a1) +80000ab4: 00178793 addi a5,a5,1 +80000ab8: 00158593 addi a1,a1,1 +80000abc: fed78fa3 sb a3,-1(a5) +80000ac0: fee7e8e3 bltu a5,a4,80000ab0 +80000ac4: 00008067 ret +80000ac8: 00357793 andi a5,a0,3 +80000acc: 08079263 bnez a5,80000b50 +80000ad0: 00050793 mv a5,a0 +80000ad4: ffc77693 andi a3,a4,-4 +80000ad8: fe068613 addi a2,a3,-32 +80000adc: 08c7f663 bleu a2,a5,80000b68 +80000ae0: 0005a383 lw t2,0(a1) +80000ae4: 0045a283 lw t0,4(a1) +80000ae8: 0085af83 lw t6,8(a1) +80000aec: 00c5af03 lw t5,12(a1) +80000af0: 0105ae83 lw t4,16(a1) +80000af4: 0145ae03 lw t3,20(a1) +80000af8: 0185a303 lw t1,24(a1) +80000afc: 01c5a883 lw a7,28(a1) +80000b00: 02458593 addi a1,a1,36 +80000b04: 02478793 addi a5,a5,36 +80000b08: ffc5a803 lw a6,-4(a1) +80000b0c: fc77ae23 sw t2,-36(a5) +80000b10: fe57a023 sw t0,-32(a5) +80000b14: fff7a223 sw t6,-28(a5) +80000b18: ffe7a423 sw t5,-24(a5) +80000b1c: ffd7a623 sw t4,-20(a5) +80000b20: ffc7a823 sw t3,-16(a5) +80000b24: fe67aa23 sw t1,-12(a5) +80000b28: ff17ac23 sw a7,-8(a5) +80000b2c: ff07ae23 sw a6,-4(a5) +80000b30: fadff06f j 80000adc +80000b34: 0005c683 lbu a3,0(a1) +80000b38: 00178793 addi a5,a5,1 +80000b3c: 00158593 addi a1,a1,1 +80000b40: fed78fa3 sb a3,-1(a5) +80000b44: 0037f693 andi a3,a5,3 +80000b48: fe0696e3 bnez a3,80000b34 +80000b4c: f89ff06f j 80000ad4 +80000b50: 00050793 mv a5,a0 +80000b54: ff1ff06f j 80000b44 +80000b58: 0005a603 lw a2,0(a1) +80000b5c: 00478793 addi a5,a5,4 +80000b60: 00458593 addi a1,a1,4 +80000b64: fec7ae23 sw a2,-4(a5) +80000b68: fed7e8e3 bltu a5,a3,80000b58 +80000b6c: f4e7eae3 bltu a5,a4,80000ac0 +80000b70: 00008067 ret + +80000b74 : +80000b74: 00b56733 or a4,a0,a1 +80000b78: fff00393 li t2,-1 +80000b7c: 00377713 andi a4,a4,3 +80000b80: 10071063 bnez a4,80000c80 +80000b84: 7f7f87b7 lui a5,0x7f7f8 +80000b88: f7f78793 addi a5,a5,-129 # 7f7f7f7f <_stack_size+0x7f7f7b7f> +80000b8c: 00052603 lw a2,0(a0) +80000b90: 0005a683 lw a3,0(a1) +80000b94: 00f672b3 and t0,a2,a5 +80000b98: 00f66333 or t1,a2,a5 +80000b9c: 00f282b3 add t0,t0,a5 +80000ba0: 0062e2b3 or t0,t0,t1 +80000ba4: 10729263 bne t0,t2,80000ca8 +80000ba8: 08d61663 bne a2,a3,80000c34 +80000bac: 00452603 lw a2,4(a0) +80000bb0: 0045a683 lw a3,4(a1) +80000bb4: 00f672b3 and t0,a2,a5 +80000bb8: 00f66333 or t1,a2,a5 +80000bbc: 00f282b3 add t0,t0,a5 +80000bc0: 0062e2b3 or t0,t0,t1 +80000bc4: 0c729e63 bne t0,t2,80000ca0 +80000bc8: 06d61663 bne a2,a3,80000c34 +80000bcc: 00852603 lw a2,8(a0) +80000bd0: 0085a683 lw a3,8(a1) +80000bd4: 00f672b3 and t0,a2,a5 +80000bd8: 00f66333 or t1,a2,a5 +80000bdc: 00f282b3 add t0,t0,a5 +80000be0: 0062e2b3 or t0,t0,t1 +80000be4: 0c729863 bne t0,t2,80000cb4 +80000be8: 04d61663 bne a2,a3,80000c34 +80000bec: 00c52603 lw a2,12(a0) +80000bf0: 00c5a683 lw a3,12(a1) +80000bf4: 00f672b3 and t0,a2,a5 +80000bf8: 00f66333 or t1,a2,a5 +80000bfc: 00f282b3 add t0,t0,a5 +80000c00: 0062e2b3 or t0,t0,t1 +80000c04: 0c729263 bne t0,t2,80000cc8 +80000c08: 02d61663 bne a2,a3,80000c34 +80000c0c: 01052603 lw a2,16(a0) +80000c10: 0105a683 lw a3,16(a1) +80000c14: 00f672b3 and t0,a2,a5 +80000c18: 00f66333 or t1,a2,a5 +80000c1c: 00f282b3 add t0,t0,a5 +80000c20: 0062e2b3 or t0,t0,t1 +80000c24: 0a729c63 bne t0,t2,80000cdc +80000c28: 01450513 addi a0,a0,20 +80000c2c: 01458593 addi a1,a1,20 +80000c30: f4d60ee3 beq a2,a3,80000b8c +80000c34: 01061713 slli a4,a2,0x10 +80000c38: 01069793 slli a5,a3,0x10 +80000c3c: 00f71e63 bne a4,a5,80000c58 +80000c40: 01065713 srli a4,a2,0x10 +80000c44: 0106d793 srli a5,a3,0x10 +80000c48: 40f70533 sub a0,a4,a5 +80000c4c: 0ff57593 andi a1,a0,255 +80000c50: 02059063 bnez a1,80000c70 +80000c54: 00008067 ret +80000c58: 01075713 srli a4,a4,0x10 +80000c5c: 0107d793 srli a5,a5,0x10 +80000c60: 40f70533 sub a0,a4,a5 +80000c64: 0ff57593 andi a1,a0,255 +80000c68: 00059463 bnez a1,80000c70 +80000c6c: 00008067 ret +80000c70: 0ff77713 andi a4,a4,255 +80000c74: 0ff7f793 andi a5,a5,255 +80000c78: 40f70533 sub a0,a4,a5 +80000c7c: 00008067 ret +80000c80: 00054603 lbu a2,0(a0) +80000c84: 0005c683 lbu a3,0(a1) +80000c88: 00150513 addi a0,a0,1 +80000c8c: 00158593 addi a1,a1,1 +80000c90: 00d61463 bne a2,a3,80000c98 +80000c94: fe0616e3 bnez a2,80000c80 +80000c98: 40d60533 sub a0,a2,a3 +80000c9c: 00008067 ret +80000ca0: 00450513 addi a0,a0,4 +80000ca4: 00458593 addi a1,a1,4 +80000ca8: fcd61ce3 bne a2,a3,80000c80 +80000cac: 00000513 li a0,0 +80000cb0: 00008067 ret +80000cb4: 00850513 addi a0,a0,8 +80000cb8: 00858593 addi a1,a1,8 +80000cbc: fcd612e3 bne a2,a3,80000c80 +80000cc0: 00000513 li a0,0 +80000cc4: 00008067 ret +80000cc8: 00c50513 addi a0,a0,12 +80000ccc: 00c58593 addi a1,a1,12 +80000cd0: fad618e3 bne a2,a3,80000c80 +80000cd4: 00000513 li a0,0 +80000cd8: 00008067 ret +80000cdc: 01050513 addi a0,a0,16 +80000ce0: 01058593 addi a1,a1,16 +80000ce4: f8d61ee3 bne a2,a3,80000c80 +80000ce8: 00000513 li a0,0 +80000cec: 00008067 ret + +80000cf0 <__divdf3>: +80000cf0: fb010113 addi sp,sp,-80 +80000cf4: 04812423 sw s0,72(sp) +80000cf8: 03412c23 sw s4,56(sp) +80000cfc: 00100437 lui s0,0x100 +80000d00: 0145da13 srli s4,a1,0x14 +80000d04: 05212023 sw s2,64(sp) +80000d08: 03312e23 sw s3,60(sp) +80000d0c: 03512a23 sw s5,52(sp) +80000d10: 03812423 sw s8,40(sp) +80000d14: fff40413 addi s0,s0,-1 # fffff <_stack_size+0xffbff> +80000d18: 04112623 sw ra,76(sp) +80000d1c: 04912223 sw s1,68(sp) +80000d20: 03612823 sw s6,48(sp) +80000d24: 03712623 sw s7,44(sp) +80000d28: 03912223 sw s9,36(sp) +80000d2c: 03a12023 sw s10,32(sp) +80000d30: 01b12e23 sw s11,28(sp) +80000d34: 7ffa7a13 andi s4,s4,2047 +80000d38: 00050913 mv s2,a0 +80000d3c: 00060c13 mv s8,a2 +80000d40: 00068a93 mv s5,a3 +80000d44: 00b47433 and s0,s0,a1 +80000d48: 01f5d993 srli s3,a1,0x1f +80000d4c: 0a0a0663 beqz s4,80000df8 <__divdf3+0x108> +80000d50: 7ff00793 li a5,2047 +80000d54: 10fa0463 beq s4,a5,80000e5c <__divdf3+0x16c> +80000d58: 00341413 slli s0,s0,0x3 +80000d5c: 008007b7 lui a5,0x800 +80000d60: 00f46433 or s0,s0,a5 +80000d64: 01d55b13 srli s6,a0,0x1d +80000d68: 008b6b33 or s6,s6,s0 +80000d6c: 00351493 slli s1,a0,0x3 +80000d70: c01a0a13 addi s4,s4,-1023 +80000d74: 00000b93 li s7,0 +80000d78: 014ad513 srli a0,s5,0x14 +80000d7c: 00100937 lui s2,0x100 +80000d80: fff90913 addi s2,s2,-1 # fffff <_stack_size+0xffbff> +80000d84: 7ff57513 andi a0,a0,2047 +80000d88: 01597933 and s2,s2,s5 +80000d8c: 000c0593 mv a1,s8 +80000d90: 01fada93 srli s5,s5,0x1f +80000d94: 10050263 beqz a0,80000e98 <__divdf3+0x1a8> +80000d98: 7ff00793 li a5,2047 +80000d9c: 16f50263 beq a0,a5,80000f00 <__divdf3+0x210> +80000da0: 00800437 lui s0,0x800 +80000da4: 00391913 slli s2,s2,0x3 +80000da8: 00896933 or s2,s2,s0 +80000dac: 01dc5413 srli s0,s8,0x1d +80000db0: 01246433 or s0,s0,s2 +80000db4: 003c1593 slli a1,s8,0x3 +80000db8: c0150513 addi a0,a0,-1023 +80000dbc: 00000793 li a5,0 +80000dc0: 002b9713 slli a4,s7,0x2 +80000dc4: 00f76733 or a4,a4,a5 +80000dc8: fff70713 addi a4,a4,-1 +80000dcc: 00e00693 li a3,14 +80000dd0: 0159c933 xor s2,s3,s5 +80000dd4: 40aa0a33 sub s4,s4,a0 +80000dd8: 16e6e063 bltu a3,a4,80000f38 <__divdf3+0x248> +80000ddc: 00002697 auipc a3,0x2 +80000de0: 4d068693 addi a3,a3,1232 # 800032ac +80000de4: 00271713 slli a4,a4,0x2 +80000de8: 00d70733 add a4,a4,a3 +80000dec: 00072703 lw a4,0(a4) +80000df0: 00d70733 add a4,a4,a3 +80000df4: 00070067 jr a4 +80000df8: 00a46b33 or s6,s0,a0 +80000dfc: 060b0e63 beqz s6,80000e78 <__divdf3+0x188> +80000e00: 04040063 beqz s0,80000e40 <__divdf3+0x150> +80000e04: 00040513 mv a0,s0 +80000e08: 679010ef jal ra,80002c80 <__clzsi2> +80000e0c: ff550793 addi a5,a0,-11 +80000e10: 01c00713 li a4,28 +80000e14: 02f74c63 blt a4,a5,80000e4c <__divdf3+0x15c> +80000e18: 01d00b13 li s6,29 +80000e1c: ff850493 addi s1,a0,-8 +80000e20: 40fb0b33 sub s6,s6,a5 +80000e24: 00941433 sll s0,s0,s1 +80000e28: 01695b33 srl s6,s2,s6 +80000e2c: 008b6b33 or s6,s6,s0 +80000e30: 009914b3 sll s1,s2,s1 +80000e34: c0d00a13 li s4,-1011 +80000e38: 40aa0a33 sub s4,s4,a0 +80000e3c: f39ff06f j 80000d74 <__divdf3+0x84> +80000e40: 641010ef jal ra,80002c80 <__clzsi2> +80000e44: 02050513 addi a0,a0,32 +80000e48: fc5ff06f j 80000e0c <__divdf3+0x11c> +80000e4c: fd850413 addi s0,a0,-40 +80000e50: 00891b33 sll s6,s2,s0 +80000e54: 00000493 li s1,0 +80000e58: fddff06f j 80000e34 <__divdf3+0x144> +80000e5c: 00a46b33 or s6,s0,a0 +80000e60: 020b0463 beqz s6,80000e88 <__divdf3+0x198> +80000e64: 00050493 mv s1,a0 +80000e68: 00040b13 mv s6,s0 +80000e6c: 7ff00a13 li s4,2047 +80000e70: 00300b93 li s7,3 +80000e74: f05ff06f j 80000d78 <__divdf3+0x88> +80000e78: 00000493 li s1,0 +80000e7c: 00000a13 li s4,0 +80000e80: 00100b93 li s7,1 +80000e84: ef5ff06f j 80000d78 <__divdf3+0x88> +80000e88: 00000493 li s1,0 +80000e8c: 7ff00a13 li s4,2047 +80000e90: 00200b93 li s7,2 +80000e94: ee5ff06f j 80000d78 <__divdf3+0x88> +80000e98: 01896433 or s0,s2,s8 +80000e9c: 06040e63 beqz s0,80000f18 <__divdf3+0x228> +80000ea0: 04090063 beqz s2,80000ee0 <__divdf3+0x1f0> +80000ea4: 00090513 mv a0,s2 +80000ea8: 5d9010ef jal ra,80002c80 <__clzsi2> +80000eac: ff550793 addi a5,a0,-11 +80000eb0: 01c00713 li a4,28 +80000eb4: 02f74e63 blt a4,a5,80000ef0 <__divdf3+0x200> +80000eb8: 01d00413 li s0,29 +80000ebc: ff850593 addi a1,a0,-8 +80000ec0: 40f40433 sub s0,s0,a5 +80000ec4: 00b91933 sll s2,s2,a1 +80000ec8: 008c5433 srl s0,s8,s0 +80000ecc: 01246433 or s0,s0,s2 +80000ed0: 00bc15b3 sll a1,s8,a1 +80000ed4: c0d00713 li a4,-1011 +80000ed8: 40a70533 sub a0,a4,a0 +80000edc: ee1ff06f j 80000dbc <__divdf3+0xcc> +80000ee0: 000c0513 mv a0,s8 +80000ee4: 59d010ef jal ra,80002c80 <__clzsi2> +80000ee8: 02050513 addi a0,a0,32 +80000eec: fc1ff06f j 80000eac <__divdf3+0x1bc> +80000ef0: fd850413 addi s0,a0,-40 +80000ef4: 008c1433 sll s0,s8,s0 +80000ef8: 00000593 li a1,0 +80000efc: fd9ff06f j 80000ed4 <__divdf3+0x1e4> +80000f00: 01896433 or s0,s2,s8 +80000f04: 02040263 beqz s0,80000f28 <__divdf3+0x238> +80000f08: 00090413 mv s0,s2 +80000f0c: 7ff00513 li a0,2047 +80000f10: 00300793 li a5,3 +80000f14: eadff06f j 80000dc0 <__divdf3+0xd0> +80000f18: 00000593 li a1,0 +80000f1c: 00000513 li a0,0 +80000f20: 00100793 li a5,1 +80000f24: e9dff06f j 80000dc0 <__divdf3+0xd0> +80000f28: 00000593 li a1,0 +80000f2c: 7ff00513 li a0,2047 +80000f30: 00200793 li a5,2 +80000f34: e8dff06f j 80000dc0 <__divdf3+0xd0> +80000f38: 01646663 bltu s0,s6,80000f44 <__divdf3+0x254> +80000f3c: 488b1263 bne s6,s0,800013c0 <__divdf3+0x6d0> +80000f40: 48b4e063 bltu s1,a1,800013c0 <__divdf3+0x6d0> +80000f44: 01fb1693 slli a3,s6,0x1f +80000f48: 0014d713 srli a4,s1,0x1 +80000f4c: 01f49c13 slli s8,s1,0x1f +80000f50: 001b5b13 srli s6,s6,0x1 +80000f54: 00e6e4b3 or s1,a3,a4 +80000f58: 00841413 slli s0,s0,0x8 +80000f5c: 0185dc93 srli s9,a1,0x18 +80000f60: 008cecb3 or s9,s9,s0 +80000f64: 010cda93 srli s5,s9,0x10 +80000f68: 010c9793 slli a5,s9,0x10 +80000f6c: 0107d793 srli a5,a5,0x10 +80000f70: 00859d13 slli s10,a1,0x8 +80000f74: 000b0513 mv a0,s6 +80000f78: 000a8593 mv a1,s5 +80000f7c: 00f12223 sw a5,4(sp) +80000f80: 455010ef jal ra,80002bd4 <__udivsi3> +80000f84: 00050593 mv a1,a0 +80000f88: 00050b93 mv s7,a0 +80000f8c: 010c9513 slli a0,s9,0x10 +80000f90: 01055513 srli a0,a0,0x10 +80000f94: 415010ef jal ra,80002ba8 <__mulsi3> +80000f98: 00050413 mv s0,a0 +80000f9c: 000a8593 mv a1,s5 +80000fa0: 000b0513 mv a0,s6 +80000fa4: 479010ef jal ra,80002c1c <__umodsi3> +80000fa8: 01051513 slli a0,a0,0x10 +80000fac: 0104d713 srli a4,s1,0x10 +80000fb0: 00a76533 or a0,a4,a0 +80000fb4: 000b8993 mv s3,s7 +80000fb8: 00857e63 bleu s0,a0,80000fd4 <__divdf3+0x2e4> +80000fbc: 01950533 add a0,a0,s9 +80000fc0: fffb8993 addi s3,s7,-1 +80000fc4: 01956863 bltu a0,s9,80000fd4 <__divdf3+0x2e4> +80000fc8: 00857663 bleu s0,a0,80000fd4 <__divdf3+0x2e4> +80000fcc: ffeb8993 addi s3,s7,-2 +80000fd0: 01950533 add a0,a0,s9 +80000fd4: 40850433 sub s0,a0,s0 +80000fd8: 000a8593 mv a1,s5 +80000fdc: 00040513 mv a0,s0 +80000fe0: 3f5010ef jal ra,80002bd4 <__udivsi3> +80000fe4: 00050593 mv a1,a0 +80000fe8: 00050b93 mv s7,a0 +80000fec: 010c9513 slli a0,s9,0x10 +80000ff0: 01055513 srli a0,a0,0x10 +80000ff4: 3b5010ef jal ra,80002ba8 <__mulsi3> +80000ff8: 00050b13 mv s6,a0 +80000ffc: 000a8593 mv a1,s5 +80001000: 00040513 mv a0,s0 +80001004: 419010ef jal ra,80002c1c <__umodsi3> +80001008: 01049d93 slli s11,s1,0x10 +8000100c: 01051513 slli a0,a0,0x10 +80001010: 010ddd93 srli s11,s11,0x10 +80001014: 00adedb3 or s11,s11,a0 +80001018: 000b8713 mv a4,s7 +8000101c: 016dfe63 bleu s6,s11,80001038 <__divdf3+0x348> +80001020: 019d8db3 add s11,s11,s9 +80001024: fffb8713 addi a4,s7,-1 +80001028: 019de863 bltu s11,s9,80001038 <__divdf3+0x348> +8000102c: 016df663 bleu s6,s11,80001038 <__divdf3+0x348> +80001030: ffeb8713 addi a4,s7,-2 +80001034: 019d8db3 add s11,s11,s9 +80001038: 01099693 slli a3,s3,0x10 +8000103c: 000104b7 lui s1,0x10 +80001040: 00e6e6b3 or a3,a3,a4 +80001044: 416d8db3 sub s11,s11,s6 +80001048: fff48b13 addi s6,s1,-1 # ffff <_stack_size+0xfbff> +8000104c: 0166f733 and a4,a3,s6 +80001050: 016d7b33 and s6,s10,s6 +80001054: 00070513 mv a0,a4 +80001058: 000b0593 mv a1,s6 +8000105c: 0106d413 srli s0,a3,0x10 +80001060: 00d12623 sw a3,12(sp) +80001064: 00e12423 sw a4,8(sp) +80001068: 341010ef jal ra,80002ba8 <__mulsi3> +8000106c: 00a12223 sw a0,4(sp) +80001070: 000b0593 mv a1,s6 +80001074: 00040513 mv a0,s0 +80001078: 331010ef jal ra,80002ba8 <__mulsi3> +8000107c: 010d5b93 srli s7,s10,0x10 +80001080: 00050993 mv s3,a0 +80001084: 000b8593 mv a1,s7 +80001088: 00040513 mv a0,s0 +8000108c: 31d010ef jal ra,80002ba8 <__mulsi3> +80001090: 00812703 lw a4,8(sp) +80001094: 00050413 mv s0,a0 +80001098: 000b8513 mv a0,s7 +8000109c: 00070593 mv a1,a4 +800010a0: 309010ef jal ra,80002ba8 <__mulsi3> +800010a4: 00412603 lw a2,4(sp) +800010a8: 01350533 add a0,a0,s3 +800010ac: 00c12683 lw a3,12(sp) +800010b0: 01065713 srli a4,a2,0x10 +800010b4: 00a70733 add a4,a4,a0 +800010b8: 01377463 bleu s3,a4,800010c0 <__divdf3+0x3d0> +800010bc: 00940433 add s0,s0,s1 +800010c0: 00010537 lui a0,0x10 +800010c4: fff50513 addi a0,a0,-1 # ffff <_stack_size+0xfbff> +800010c8: 01075493 srli s1,a4,0x10 +800010cc: 00a779b3 and s3,a4,a0 +800010d0: 01099993 slli s3,s3,0x10 +800010d4: 00a67633 and a2,a2,a0 +800010d8: 008484b3 add s1,s1,s0 +800010dc: 00c989b3 add s3,s3,a2 +800010e0: 009de863 bltu s11,s1,800010f0 <__divdf3+0x400> +800010e4: 00068413 mv s0,a3 +800010e8: 049d9463 bne s11,s1,80001130 <__divdf3+0x440> +800010ec: 053c7263 bleu s3,s8,80001130 <__divdf3+0x440> +800010f0: 01ac0c33 add s8,s8,s10 +800010f4: 01ac3733 sltu a4,s8,s10 +800010f8: 01970733 add a4,a4,s9 +800010fc: 00ed8db3 add s11,s11,a4 +80001100: fff68413 addi s0,a3,-1 +80001104: 01bce663 bltu s9,s11,80001110 <__divdf3+0x420> +80001108: 03bc9463 bne s9,s11,80001130 <__divdf3+0x440> +8000110c: 03ac6263 bltu s8,s10,80001130 <__divdf3+0x440> +80001110: 009de663 bltu s11,s1,8000111c <__divdf3+0x42c> +80001114: 01b49e63 bne s1,s11,80001130 <__divdf3+0x440> +80001118: 013c7c63 bleu s3,s8,80001130 <__divdf3+0x440> +8000111c: 01ac0c33 add s8,s8,s10 +80001120: 01ac3733 sltu a4,s8,s10 +80001124: 01970733 add a4,a4,s9 +80001128: ffe68413 addi s0,a3,-2 +8000112c: 00ed8db3 add s11,s11,a4 +80001130: 413c09b3 sub s3,s8,s3 +80001134: 409d84b3 sub s1,s11,s1 +80001138: 013c37b3 sltu a5,s8,s3 +8000113c: 40f484b3 sub s1,s1,a5 +80001140: fff00593 li a1,-1 +80001144: 1a9c8863 beq s9,s1,800012f4 <__divdf3+0x604> +80001148: 000a8593 mv a1,s5 +8000114c: 00048513 mv a0,s1 +80001150: 285010ef jal ra,80002bd4 <__udivsi3> +80001154: 00050593 mv a1,a0 +80001158: 00a12423 sw a0,8(sp) +8000115c: 010c9513 slli a0,s9,0x10 +80001160: 01055513 srli a0,a0,0x10 +80001164: 245010ef jal ra,80002ba8 <__mulsi3> +80001168: 00a12223 sw a0,4(sp) +8000116c: 000a8593 mv a1,s5 +80001170: 00048513 mv a0,s1 +80001174: 2a9010ef jal ra,80002c1c <__umodsi3> +80001178: 00812683 lw a3,8(sp) +8000117c: 00412703 lw a4,4(sp) +80001180: 01051513 slli a0,a0,0x10 +80001184: 0109d793 srli a5,s3,0x10 +80001188: 00a7e533 or a0,a5,a0 +8000118c: 00068d93 mv s11,a3 +80001190: 00e57e63 bleu a4,a0,800011ac <__divdf3+0x4bc> +80001194: 01950533 add a0,a0,s9 +80001198: fff68d93 addi s11,a3,-1 +8000119c: 01956863 bltu a0,s9,800011ac <__divdf3+0x4bc> +800011a0: 00e57663 bleu a4,a0,800011ac <__divdf3+0x4bc> +800011a4: ffe68d93 addi s11,a3,-2 +800011a8: 01950533 add a0,a0,s9 +800011ac: 40e504b3 sub s1,a0,a4 +800011b0: 000a8593 mv a1,s5 +800011b4: 00048513 mv a0,s1 +800011b8: 21d010ef jal ra,80002bd4 <__udivsi3> +800011bc: 00050593 mv a1,a0 +800011c0: 00a12223 sw a0,4(sp) +800011c4: 010c9513 slli a0,s9,0x10 +800011c8: 01055513 srli a0,a0,0x10 +800011cc: 1dd010ef jal ra,80002ba8 <__mulsi3> +800011d0: 00050c13 mv s8,a0 +800011d4: 000a8593 mv a1,s5 +800011d8: 00048513 mv a0,s1 +800011dc: 241010ef jal ra,80002c1c <__umodsi3> +800011e0: 01099993 slli s3,s3,0x10 +800011e4: 00412703 lw a4,4(sp) +800011e8: 01051513 slli a0,a0,0x10 +800011ec: 0109d993 srli s3,s3,0x10 +800011f0: 00a9e533 or a0,s3,a0 +800011f4: 00070793 mv a5,a4 +800011f8: 01857e63 bleu s8,a0,80001214 <__divdf3+0x524> +800011fc: 01950533 add a0,a0,s9 +80001200: fff70793 addi a5,a4,-1 +80001204: 01956863 bltu a0,s9,80001214 <__divdf3+0x524> +80001208: 01857663 bleu s8,a0,80001214 <__divdf3+0x524> +8000120c: ffe70793 addi a5,a4,-2 +80001210: 01950533 add a0,a0,s9 +80001214: 010d9493 slli s1,s11,0x10 +80001218: 00f4e4b3 or s1,s1,a5 +8000121c: 01049793 slli a5,s1,0x10 +80001220: 0107d793 srli a5,a5,0x10 +80001224: 000b0593 mv a1,s6 +80001228: 418509b3 sub s3,a0,s8 +8000122c: 00078513 mv a0,a5 +80001230: 00f12223 sw a5,4(sp) +80001234: 0104dd93 srli s11,s1,0x10 +80001238: 171010ef jal ra,80002ba8 <__mulsi3> +8000123c: 000b0593 mv a1,s6 +80001240: 00050a93 mv s5,a0 +80001244: 000d8513 mv a0,s11 +80001248: 161010ef jal ra,80002ba8 <__mulsi3> +8000124c: 00050c13 mv s8,a0 +80001250: 000d8593 mv a1,s11 +80001254: 000b8513 mv a0,s7 +80001258: 151010ef jal ra,80002ba8 <__mulsi3> +8000125c: 00412783 lw a5,4(sp) +80001260: 00050b13 mv s6,a0 +80001264: 000b8513 mv a0,s7 +80001268: 00078593 mv a1,a5 +8000126c: 13d010ef jal ra,80002ba8 <__mulsi3> +80001270: 01850533 add a0,a0,s8 +80001274: 010ad793 srli a5,s5,0x10 +80001278: 00a78533 add a0,a5,a0 +8000127c: 01857663 bleu s8,a0,80001288 <__divdf3+0x598> +80001280: 000107b7 lui a5,0x10 +80001284: 00fb0b33 add s6,s6,a5 +80001288: 000106b7 lui a3,0x10 +8000128c: fff68693 addi a3,a3,-1 # ffff <_stack_size+0xfbff> +80001290: 01055793 srli a5,a0,0x10 +80001294: 00d57733 and a4,a0,a3 +80001298: 01071713 slli a4,a4,0x10 +8000129c: 00dafab3 and s5,s5,a3 +800012a0: 016787b3 add a5,a5,s6 +800012a4: 01570733 add a4,a4,s5 +800012a8: 00f9e863 bltu s3,a5,800012b8 <__divdf3+0x5c8> +800012ac: 00048593 mv a1,s1 +800012b0: 04f99063 bne s3,a5,800012f0 <__divdf3+0x600> +800012b4: 04070063 beqz a4,800012f4 <__divdf3+0x604> +800012b8: 013c8533 add a0,s9,s3 +800012bc: fff48593 addi a1,s1,-1 +800012c0: 03956463 bltu a0,s9,800012e8 <__divdf3+0x5f8> +800012c4: 00f56663 bltu a0,a5,800012d0 <__divdf3+0x5e0> +800012c8: 02f51463 bne a0,a5,800012f0 <__divdf3+0x600> +800012cc: 02ed7063 bleu a4,s10,800012ec <__divdf3+0x5fc> +800012d0: 001d1693 slli a3,s10,0x1 +800012d4: 01a6bd33 sltu s10,a3,s10 +800012d8: 019d0cb3 add s9,s10,s9 +800012dc: ffe48593 addi a1,s1,-2 +800012e0: 01950533 add a0,a0,s9 +800012e4: 00068d13 mv s10,a3 +800012e8: 00f51463 bne a0,a5,800012f0 <__divdf3+0x600> +800012ec: 01a70463 beq a4,s10,800012f4 <__divdf3+0x604> +800012f0: 0015e593 ori a1,a1,1 +800012f4: 3ffa0713 addi a4,s4,1023 +800012f8: 12e05263 blez a4,8000141c <__divdf3+0x72c> +800012fc: 0075f793 andi a5,a1,7 +80001300: 02078063 beqz a5,80001320 <__divdf3+0x630> +80001304: 00f5f793 andi a5,a1,15 +80001308: 00400693 li a3,4 +8000130c: 00d78a63 beq a5,a3,80001320 <__divdf3+0x630> +80001310: 00458693 addi a3,a1,4 +80001314: 00b6b5b3 sltu a1,a3,a1 +80001318: 00b40433 add s0,s0,a1 +8000131c: 00068593 mv a1,a3 +80001320: 00741793 slli a5,s0,0x7 +80001324: 0007da63 bgez a5,80001338 <__divdf3+0x648> +80001328: ff0007b7 lui a5,0xff000 +8000132c: fff78793 addi a5,a5,-1 # feffffff <_stack_start+0x7eff9b2f> +80001330: 00f47433 and s0,s0,a5 +80001334: 400a0713 addi a4,s4,1024 +80001338: 7fe00793 li a5,2046 +8000133c: 1ae7c263 blt a5,a4,800014e0 <__divdf3+0x7f0> +80001340: 01d41793 slli a5,s0,0x1d +80001344: 0035d593 srli a1,a1,0x3 +80001348: 00b7e7b3 or a5,a5,a1 +8000134c: 00345413 srli s0,s0,0x3 +80001350: 001006b7 lui a3,0x100 +80001354: fff68693 addi a3,a3,-1 # fffff <_stack_size+0xffbff> +80001358: 00d47433 and s0,s0,a3 +8000135c: 801006b7 lui a3,0x80100 +80001360: 7ff77713 andi a4,a4,2047 +80001364: fff68693 addi a3,a3,-1 # 800fffff <_stack_start+0xf9b2f> +80001368: 01471713 slli a4,a4,0x14 +8000136c: 00d47433 and s0,s0,a3 +80001370: 01f91913 slli s2,s2,0x1f +80001374: 00e46433 or s0,s0,a4 +80001378: 01246733 or a4,s0,s2 +8000137c: 04c12083 lw ra,76(sp) +80001380: 04812403 lw s0,72(sp) +80001384: 04412483 lw s1,68(sp) +80001388: 04012903 lw s2,64(sp) +8000138c: 03c12983 lw s3,60(sp) +80001390: 03812a03 lw s4,56(sp) +80001394: 03412a83 lw s5,52(sp) +80001398: 03012b03 lw s6,48(sp) +8000139c: 02c12b83 lw s7,44(sp) +800013a0: 02812c03 lw s8,40(sp) +800013a4: 02412c83 lw s9,36(sp) +800013a8: 02012d03 lw s10,32(sp) +800013ac: 01c12d83 lw s11,28(sp) +800013b0: 00078513 mv a0,a5 +800013b4: 00070593 mv a1,a4 +800013b8: 05010113 addi sp,sp,80 +800013bc: 00008067 ret +800013c0: fffa0a13 addi s4,s4,-1 +800013c4: 00000c13 li s8,0 +800013c8: b91ff06f j 80000f58 <__divdf3+0x268> +800013cc: 00098913 mv s2,s3 +800013d0: 000b0413 mv s0,s6 +800013d4: 00048593 mv a1,s1 +800013d8: 000b8793 mv a5,s7 +800013dc: 00200713 li a4,2 +800013e0: 10e78063 beq a5,a4,800014e0 <__divdf3+0x7f0> +800013e4: 00300713 li a4,3 +800013e8: 0ee78263 beq a5,a4,800014cc <__divdf3+0x7dc> +800013ec: 00100713 li a4,1 +800013f0: f0e792e3 bne a5,a4,800012f4 <__divdf3+0x604> +800013f4: 00000413 li s0,0 +800013f8: 00000793 li a5,0 +800013fc: 0940006f j 80001490 <__divdf3+0x7a0> +80001400: 000a8913 mv s2,s5 +80001404: fd9ff06f j 800013dc <__divdf3+0x6ec> +80001408: 00080437 lui s0,0x80 +8000140c: 00000593 li a1,0 +80001410: 00000913 li s2,0 +80001414: 00300793 li a5,3 +80001418: fc5ff06f j 800013dc <__divdf3+0x6ec> +8000141c: 00100693 li a3,1 +80001420: 40e686b3 sub a3,a3,a4 +80001424: 03800793 li a5,56 +80001428: fcd7c6e3 blt a5,a3,800013f4 <__divdf3+0x704> +8000142c: 01f00793 li a5,31 +80001430: 06d7c463 blt a5,a3,80001498 <__divdf3+0x7a8> +80001434: 41ea0a13 addi s4,s4,1054 +80001438: 014417b3 sll a5,s0,s4 +8000143c: 00d5d733 srl a4,a1,a3 +80001440: 01459a33 sll s4,a1,s4 +80001444: 00e7e7b3 or a5,a5,a4 +80001448: 01403a33 snez s4,s4 +8000144c: 0147e7b3 or a5,a5,s4 +80001450: 00d45433 srl s0,s0,a3 +80001454: 0077f713 andi a4,a5,7 +80001458: 02070063 beqz a4,80001478 <__divdf3+0x788> +8000145c: 00f7f713 andi a4,a5,15 +80001460: 00400693 li a3,4 +80001464: 00d70a63 beq a4,a3,80001478 <__divdf3+0x788> +80001468: 00478713 addi a4,a5,4 +8000146c: 00f737b3 sltu a5,a4,a5 +80001470: 00f40433 add s0,s0,a5 +80001474: 00070793 mv a5,a4 +80001478: 00841713 slli a4,s0,0x8 +8000147c: 06074a63 bltz a4,800014f0 <__divdf3+0x800> +80001480: 01d41713 slli a4,s0,0x1d +80001484: 0037d793 srli a5,a5,0x3 +80001488: 00f767b3 or a5,a4,a5 +8000148c: 00345413 srli s0,s0,0x3 +80001490: 00000713 li a4,0 +80001494: ebdff06f j 80001350 <__divdf3+0x660> +80001498: fe100793 li a5,-31 +8000149c: 40e787b3 sub a5,a5,a4 +800014a0: 02000713 li a4,32 +800014a4: 00f457b3 srl a5,s0,a5 +800014a8: 00000513 li a0,0 +800014ac: 00e68663 beq a3,a4,800014b8 <__divdf3+0x7c8> +800014b0: 43ea0a13 addi s4,s4,1086 +800014b4: 01441533 sll a0,s0,s4 +800014b8: 00b56a33 or s4,a0,a1 +800014bc: 01403a33 snez s4,s4 +800014c0: 0147e7b3 or a5,a5,s4 +800014c4: 00000413 li s0,0 +800014c8: f8dff06f j 80001454 <__divdf3+0x764> +800014cc: 00080437 lui s0,0x80 +800014d0: 00000793 li a5,0 +800014d4: 7ff00713 li a4,2047 +800014d8: 00000913 li s2,0 +800014dc: e75ff06f j 80001350 <__divdf3+0x660> +800014e0: 00000413 li s0,0 +800014e4: 00000793 li a5,0 +800014e8: 7ff00713 li a4,2047 +800014ec: e65ff06f j 80001350 <__divdf3+0x660> +800014f0: 00000413 li s0,0 +800014f4: 00000793 li a5,0 +800014f8: 00100713 li a4,1 +800014fc: e55ff06f j 80001350 <__divdf3+0x660> + +80001500 <__muldf3>: +80001500: fa010113 addi sp,sp,-96 +80001504: 04812c23 sw s0,88(sp) +80001508: 05312623 sw s3,76(sp) +8000150c: 00100437 lui s0,0x100 +80001510: 0145d993 srli s3,a1,0x14 +80001514: 04912a23 sw s1,84(sp) +80001518: 05612023 sw s6,64(sp) +8000151c: 03712e23 sw s7,60(sp) +80001520: 03812c23 sw s8,56(sp) +80001524: fff40413 addi s0,s0,-1 # fffff <_stack_size+0xffbff> +80001528: 04112e23 sw ra,92(sp) +8000152c: 05212823 sw s2,80(sp) +80001530: 05412423 sw s4,72(sp) +80001534: 05512223 sw s5,68(sp) +80001538: 03912a23 sw s9,52(sp) +8000153c: 03a12823 sw s10,48(sp) +80001540: 03b12623 sw s11,44(sp) +80001544: 7ff9f993 andi s3,s3,2047 +80001548: 00050493 mv s1,a0 +8000154c: 00060b93 mv s7,a2 +80001550: 00068c13 mv s8,a3 +80001554: 00b47433 and s0,s0,a1 +80001558: 01f5db13 srli s6,a1,0x1f +8000155c: 0a098863 beqz s3,8000160c <__muldf3+0x10c> +80001560: 7ff00793 li a5,2047 +80001564: 10f98663 beq s3,a5,80001670 <__muldf3+0x170> +80001568: 00800937 lui s2,0x800 +8000156c: 00341413 slli s0,s0,0x3 +80001570: 01246433 or s0,s0,s2 +80001574: 01d55913 srli s2,a0,0x1d +80001578: 00896933 or s2,s2,s0 +8000157c: 00351d13 slli s10,a0,0x3 +80001580: c0198993 addi s3,s3,-1023 +80001584: 00000c93 li s9,0 +80001588: 014c5513 srli a0,s8,0x14 +8000158c: 00100a37 lui s4,0x100 +80001590: fffa0a13 addi s4,s4,-1 # fffff <_stack_size+0xffbff> +80001594: 7ff57513 andi a0,a0,2047 +80001598: 018a7a33 and s4,s4,s8 +8000159c: 000b8493 mv s1,s7 +800015a0: 01fc5c13 srli s8,s8,0x1f +800015a4: 10050463 beqz a0,800016ac <__muldf3+0x1ac> +800015a8: 7ff00793 li a5,2047 +800015ac: 16f50463 beq a0,a5,80001714 <__muldf3+0x214> +800015b0: 00800437 lui s0,0x800 +800015b4: 003a1a13 slli s4,s4,0x3 +800015b8: 008a6a33 or s4,s4,s0 +800015bc: 01dbd413 srli s0,s7,0x1d +800015c0: 01446433 or s0,s0,s4 +800015c4: 003b9493 slli s1,s7,0x3 +800015c8: c0150513 addi a0,a0,-1023 +800015cc: 00000793 li a5,0 +800015d0: 002c9713 slli a4,s9,0x2 +800015d4: 00f76733 or a4,a4,a5 +800015d8: 00a989b3 add s3,s3,a0 +800015dc: fff70713 addi a4,a4,-1 +800015e0: 00e00693 li a3,14 +800015e4: 018b4bb3 xor s7,s6,s8 +800015e8: 00198a93 addi s5,s3,1 +800015ec: 16e6e063 bltu a3,a4,8000174c <__muldf3+0x24c> +800015f0: 00002697 auipc a3,0x2 +800015f4: cf868693 addi a3,a3,-776 # 800032e8 +800015f8: 00271713 slli a4,a4,0x2 +800015fc: 00d70733 add a4,a4,a3 +80001600: 00072703 lw a4,0(a4) +80001604: 00d70733 add a4,a4,a3 +80001608: 00070067 jr a4 +8000160c: 00a46933 or s2,s0,a0 +80001610: 06090e63 beqz s2,8000168c <__muldf3+0x18c> +80001614: 04040063 beqz s0,80001654 <__muldf3+0x154> +80001618: 00040513 mv a0,s0 +8000161c: 664010ef jal ra,80002c80 <__clzsi2> +80001620: ff550793 addi a5,a0,-11 +80001624: 01c00713 li a4,28 +80001628: 02f74c63 blt a4,a5,80001660 <__muldf3+0x160> +8000162c: 01d00913 li s2,29 +80001630: ff850d13 addi s10,a0,-8 +80001634: 40f90933 sub s2,s2,a5 +80001638: 01a41433 sll s0,s0,s10 +8000163c: 0124d933 srl s2,s1,s2 +80001640: 00896933 or s2,s2,s0 +80001644: 01a49d33 sll s10,s1,s10 +80001648: c0d00993 li s3,-1011 +8000164c: 40a989b3 sub s3,s3,a0 +80001650: f35ff06f j 80001584 <__muldf3+0x84> +80001654: 62c010ef jal ra,80002c80 <__clzsi2> +80001658: 02050513 addi a0,a0,32 +8000165c: fc5ff06f j 80001620 <__muldf3+0x120> +80001660: fd850913 addi s2,a0,-40 +80001664: 01249933 sll s2,s1,s2 +80001668: 00000d13 li s10,0 +8000166c: fddff06f j 80001648 <__muldf3+0x148> +80001670: 00a46933 or s2,s0,a0 +80001674: 02090463 beqz s2,8000169c <__muldf3+0x19c> +80001678: 00050d13 mv s10,a0 +8000167c: 00040913 mv s2,s0 +80001680: 7ff00993 li s3,2047 +80001684: 00300c93 li s9,3 +80001688: f01ff06f j 80001588 <__muldf3+0x88> +8000168c: 00000d13 li s10,0 +80001690: 00000993 li s3,0 +80001694: 00100c93 li s9,1 +80001698: ef1ff06f j 80001588 <__muldf3+0x88> +8000169c: 00000d13 li s10,0 +800016a0: 7ff00993 li s3,2047 +800016a4: 00200c93 li s9,2 +800016a8: ee1ff06f j 80001588 <__muldf3+0x88> +800016ac: 017a6433 or s0,s4,s7 +800016b0: 06040e63 beqz s0,8000172c <__muldf3+0x22c> +800016b4: 040a0063 beqz s4,800016f4 <__muldf3+0x1f4> +800016b8: 000a0513 mv a0,s4 +800016bc: 5c4010ef jal ra,80002c80 <__clzsi2> +800016c0: ff550793 addi a5,a0,-11 +800016c4: 01c00713 li a4,28 +800016c8: 02f74e63 blt a4,a5,80001704 <__muldf3+0x204> +800016cc: 01d00413 li s0,29 +800016d0: ff850493 addi s1,a0,-8 +800016d4: 40f40433 sub s0,s0,a5 +800016d8: 009a1a33 sll s4,s4,s1 +800016dc: 008bd433 srl s0,s7,s0 +800016e0: 01446433 or s0,s0,s4 +800016e4: 009b94b3 sll s1,s7,s1 +800016e8: c0d00793 li a5,-1011 +800016ec: 40a78533 sub a0,a5,a0 +800016f0: eddff06f j 800015cc <__muldf3+0xcc> +800016f4: 000b8513 mv a0,s7 +800016f8: 588010ef jal ra,80002c80 <__clzsi2> +800016fc: 02050513 addi a0,a0,32 +80001700: fc1ff06f j 800016c0 <__muldf3+0x1c0> +80001704: fd850413 addi s0,a0,-40 +80001708: 008b9433 sll s0,s7,s0 +8000170c: 00000493 li s1,0 +80001710: fd9ff06f j 800016e8 <__muldf3+0x1e8> +80001714: 017a6433 or s0,s4,s7 +80001718: 02040263 beqz s0,8000173c <__muldf3+0x23c> +8000171c: 000a0413 mv s0,s4 +80001720: 7ff00513 li a0,2047 +80001724: 00300793 li a5,3 +80001728: ea9ff06f j 800015d0 <__muldf3+0xd0> +8000172c: 00000493 li s1,0 +80001730: 00000513 li a0,0 +80001734: 00100793 li a5,1 +80001738: e99ff06f j 800015d0 <__muldf3+0xd0> +8000173c: 00000493 li s1,0 +80001740: 7ff00513 li a0,2047 +80001744: 00200793 li a5,2 +80001748: e89ff06f j 800015d0 <__muldf3+0xd0> +8000174c: 00010737 lui a4,0x10 +80001750: fff70a13 addi s4,a4,-1 # ffff <_stack_size+0xfbff> +80001754: 010d5c13 srli s8,s10,0x10 +80001758: 0104dd93 srli s11,s1,0x10 +8000175c: 014d7d33 and s10,s10,s4 +80001760: 0144f4b3 and s1,s1,s4 +80001764: 000d0593 mv a1,s10 +80001768: 00048513 mv a0,s1 +8000176c: 00e12823 sw a4,16(sp) +80001770: 438010ef jal ra,80002ba8 <__mulsi3> +80001774: 00050c93 mv s9,a0 +80001778: 00048593 mv a1,s1 +8000177c: 000c0513 mv a0,s8 +80001780: 428010ef jal ra,80002ba8 <__mulsi3> +80001784: 00a12623 sw a0,12(sp) +80001788: 000d8593 mv a1,s11 +8000178c: 000c0513 mv a0,s8 +80001790: 418010ef jal ra,80002ba8 <__mulsi3> +80001794: 00050b13 mv s6,a0 +80001798: 000d0593 mv a1,s10 +8000179c: 000d8513 mv a0,s11 +800017a0: 408010ef jal ra,80002ba8 <__mulsi3> +800017a4: 00c12683 lw a3,12(sp) +800017a8: 010cd793 srli a5,s9,0x10 +800017ac: 00d50533 add a0,a0,a3 +800017b0: 00a78533 add a0,a5,a0 +800017b4: 00d57663 bleu a3,a0,800017c0 <__muldf3+0x2c0> +800017b8: 01012703 lw a4,16(sp) +800017bc: 00eb0b33 add s6,s6,a4 +800017c0: 01055693 srli a3,a0,0x10 +800017c4: 01457533 and a0,a0,s4 +800017c8: 014cfcb3 and s9,s9,s4 +800017cc: 01051513 slli a0,a0,0x10 +800017d0: 019507b3 add a5,a0,s9 +800017d4: 01045c93 srli s9,s0,0x10 +800017d8: 01447433 and s0,s0,s4 +800017dc: 000d0593 mv a1,s10 +800017e0: 00040513 mv a0,s0 +800017e4: 00d12a23 sw a3,20(sp) +800017e8: 00f12623 sw a5,12(sp) +800017ec: 3bc010ef jal ra,80002ba8 <__mulsi3> +800017f0: 00a12823 sw a0,16(sp) +800017f4: 00040593 mv a1,s0 +800017f8: 000c0513 mv a0,s8 +800017fc: 3ac010ef jal ra,80002ba8 <__mulsi3> +80001800: 00050a13 mv s4,a0 +80001804: 000c8593 mv a1,s9 +80001808: 000c0513 mv a0,s8 +8000180c: 39c010ef jal ra,80002ba8 <__mulsi3> +80001810: 00050c13 mv s8,a0 +80001814: 000d0593 mv a1,s10 +80001818: 000c8513 mv a0,s9 +8000181c: 38c010ef jal ra,80002ba8 <__mulsi3> +80001820: 01012703 lw a4,16(sp) +80001824: 01450533 add a0,a0,s4 +80001828: 01412683 lw a3,20(sp) +8000182c: 01075793 srli a5,a4,0x10 +80001830: 00a78533 add a0,a5,a0 +80001834: 01457663 bleu s4,a0,80001840 <__muldf3+0x340> +80001838: 000107b7 lui a5,0x10 +8000183c: 00fc0c33 add s8,s8,a5 +80001840: 00010637 lui a2,0x10 +80001844: 01055793 srli a5,a0,0x10 +80001848: 01878c33 add s8,a5,s8 +8000184c: fff60793 addi a5,a2,-1 # ffff <_stack_size+0xfbff> +80001850: 00f57a33 and s4,a0,a5 +80001854: 00f77733 and a4,a4,a5 +80001858: 010a1a13 slli s4,s4,0x10 +8000185c: 01095d13 srli s10,s2,0x10 +80001860: 00ea0a33 add s4,s4,a4 +80001864: 00f97933 and s2,s2,a5 +80001868: 01468733 add a4,a3,s4 +8000186c: 00090593 mv a1,s2 +80001870: 00048513 mv a0,s1 +80001874: 00e12823 sw a4,16(sp) +80001878: 00c12e23 sw a2,28(sp) +8000187c: 32c010ef jal ra,80002ba8 <__mulsi3> +80001880: 00048593 mv a1,s1 +80001884: 00a12c23 sw a0,24(sp) +80001888: 000d0513 mv a0,s10 +8000188c: 31c010ef jal ra,80002ba8 <__mulsi3> +80001890: 00a12a23 sw a0,20(sp) +80001894: 000d0593 mv a1,s10 +80001898: 000d8513 mv a0,s11 +8000189c: 30c010ef jal ra,80002ba8 <__mulsi3> +800018a0: 00050493 mv s1,a0 +800018a4: 00090593 mv a1,s2 +800018a8: 000d8513 mv a0,s11 +800018ac: 2fc010ef jal ra,80002ba8 <__mulsi3> +800018b0: 01412683 lw a3,20(sp) +800018b4: 01812703 lw a4,24(sp) +800018b8: 00d50533 add a0,a0,a3 +800018bc: 01075793 srli a5,a4,0x10 +800018c0: 00a78533 add a0,a5,a0 +800018c4: 00d57663 bleu a3,a0,800018d0 <__muldf3+0x3d0> +800018c8: 01c12603 lw a2,28(sp) +800018cc: 00c484b3 add s1,s1,a2 +800018d0: 000106b7 lui a3,0x10 +800018d4: fff68793 addi a5,a3,-1 # ffff <_stack_size+0xfbff> +800018d8: 01055d93 srli s11,a0,0x10 +800018dc: 009d84b3 add s1,s11,s1 +800018e0: 00f57db3 and s11,a0,a5 +800018e4: 00f77733 and a4,a4,a5 +800018e8: 00090593 mv a1,s2 +800018ec: 00040513 mv a0,s0 +800018f0: 010d9d93 slli s11,s11,0x10 +800018f4: 00ed8db3 add s11,s11,a4 +800018f8: 00d12c23 sw a3,24(sp) +800018fc: 2ac010ef jal ra,80002ba8 <__mulsi3> +80001900: 00040593 mv a1,s0 +80001904: 00a12a23 sw a0,20(sp) +80001908: 000d0513 mv a0,s10 +8000190c: 29c010ef jal ra,80002ba8 <__mulsi3> +80001910: 000d0593 mv a1,s10 +80001914: 00050413 mv s0,a0 +80001918: 000c8513 mv a0,s9 +8000191c: 28c010ef jal ra,80002ba8 <__mulsi3> +80001920: 00050d13 mv s10,a0 +80001924: 00090593 mv a1,s2 +80001928: 000c8513 mv a0,s9 +8000192c: 27c010ef jal ra,80002ba8 <__mulsi3> +80001930: 01412703 lw a4,20(sp) +80001934: 00850533 add a0,a0,s0 +80001938: 01075793 srli a5,a4,0x10 +8000193c: 00a78533 add a0,a5,a0 +80001940: 00857663 bleu s0,a0,8000194c <__muldf3+0x44c> +80001944: 01812683 lw a3,24(sp) +80001948: 00dd0d33 add s10,s10,a3 +8000194c: 01012783 lw a5,16(sp) +80001950: 000106b7 lui a3,0x10 +80001954: fff68693 addi a3,a3,-1 # ffff <_stack_size+0xfbff> +80001958: 00fb0b33 add s6,s6,a5 +8000195c: 00d577b3 and a5,a0,a3 +80001960: 00d77733 and a4,a4,a3 +80001964: 01079793 slli a5,a5,0x10 +80001968: 00e787b3 add a5,a5,a4 +8000196c: 014b3a33 sltu s4,s6,s4 +80001970: 018787b3 add a5,a5,s8 +80001974: 01478433 add s0,a5,s4 +80001978: 01bb0b33 add s6,s6,s11 +8000197c: 00940733 add a4,s0,s1 +80001980: 01bb3db3 sltu s11,s6,s11 +80001984: 01b706b3 add a3,a4,s11 +80001988: 0187bc33 sltu s8,a5,s8 +8000198c: 01443433 sltu s0,s0,s4 +80001990: 01055793 srli a5,a0,0x10 +80001994: 00973733 sltu a4,a4,s1 +80001998: 008c6433 or s0,s8,s0 +8000199c: 01b6bdb3 sltu s11,a3,s11 +800019a0: 00f40433 add s0,s0,a5 +800019a4: 01b76db3 or s11,a4,s11 +800019a8: 01b40433 add s0,s0,s11 +800019ac: 01a40433 add s0,s0,s10 +800019b0: 0176d793 srli a5,a3,0x17 +800019b4: 00941413 slli s0,s0,0x9 +800019b8: 00f46433 or s0,s0,a5 +800019bc: 00c12783 lw a5,12(sp) +800019c0: 009b1493 slli s1,s6,0x9 +800019c4: 017b5b13 srli s6,s6,0x17 +800019c8: 00f4e4b3 or s1,s1,a5 +800019cc: 009034b3 snez s1,s1 +800019d0: 00969793 slli a5,a3,0x9 +800019d4: 0164e4b3 or s1,s1,s6 +800019d8: 00f4e4b3 or s1,s1,a5 +800019dc: 00741793 slli a5,s0,0x7 +800019e0: 1207d263 bgez a5,80001b04 <__muldf3+0x604> +800019e4: 0014d793 srli a5,s1,0x1 +800019e8: 0014f493 andi s1,s1,1 +800019ec: 0097e4b3 or s1,a5,s1 +800019f0: 01f41793 slli a5,s0,0x1f +800019f4: 00f4e4b3 or s1,s1,a5 +800019f8: 00145413 srli s0,s0,0x1 +800019fc: 3ffa8713 addi a4,s5,1023 +80001a00: 10e05663 blez a4,80001b0c <__muldf3+0x60c> +80001a04: 0074f793 andi a5,s1,7 +80001a08: 02078063 beqz a5,80001a28 <__muldf3+0x528> +80001a0c: 00f4f793 andi a5,s1,15 +80001a10: 00400693 li a3,4 +80001a14: 00d78a63 beq a5,a3,80001a28 <__muldf3+0x528> +80001a18: 00448793 addi a5,s1,4 +80001a1c: 0097b4b3 sltu s1,a5,s1 +80001a20: 00940433 add s0,s0,s1 +80001a24: 00078493 mv s1,a5 +80001a28: 00741793 slli a5,s0,0x7 +80001a2c: 0007da63 bgez a5,80001a40 <__muldf3+0x540> +80001a30: ff0007b7 lui a5,0xff000 +80001a34: fff78793 addi a5,a5,-1 # feffffff <_stack_start+0x7eff9b2f> +80001a38: 00f47433 and s0,s0,a5 +80001a3c: 400a8713 addi a4,s5,1024 +80001a40: 7fe00793 li a5,2046 +80001a44: 18e7c663 blt a5,a4,80001bd0 <__muldf3+0x6d0> +80001a48: 0034da93 srli s5,s1,0x3 +80001a4c: 01d41493 slli s1,s0,0x1d +80001a50: 0154e4b3 or s1,s1,s5 +80001a54: 00345413 srli s0,s0,0x3 +80001a58: 001007b7 lui a5,0x100 +80001a5c: fff78793 addi a5,a5,-1 # fffff <_stack_size+0xffbff> +80001a60: 00f47433 and s0,s0,a5 +80001a64: 7ff77793 andi a5,a4,2047 +80001a68: 80100737 lui a4,0x80100 +80001a6c: fff70713 addi a4,a4,-1 # 800fffff <_stack_start+0xf9b2f> +80001a70: 01479793 slli a5,a5,0x14 +80001a74: 00e47433 and s0,s0,a4 +80001a78: 01fb9b93 slli s7,s7,0x1f +80001a7c: 00f46433 or s0,s0,a5 +80001a80: 017467b3 or a5,s0,s7 +80001a84: 05c12083 lw ra,92(sp) +80001a88: 05812403 lw s0,88(sp) +80001a8c: 00048513 mv a0,s1 +80001a90: 05012903 lw s2,80(sp) +80001a94: 05412483 lw s1,84(sp) +80001a98: 04c12983 lw s3,76(sp) +80001a9c: 04812a03 lw s4,72(sp) +80001aa0: 04412a83 lw s5,68(sp) +80001aa4: 04012b03 lw s6,64(sp) +80001aa8: 03c12b83 lw s7,60(sp) +80001aac: 03812c03 lw s8,56(sp) +80001ab0: 03412c83 lw s9,52(sp) +80001ab4: 03012d03 lw s10,48(sp) +80001ab8: 02c12d83 lw s11,44(sp) +80001abc: 00078593 mv a1,a5 +80001ac0: 06010113 addi sp,sp,96 +80001ac4: 00008067 ret +80001ac8: 000b0b93 mv s7,s6 +80001acc: 00090413 mv s0,s2 +80001ad0: 000d0493 mv s1,s10 +80001ad4: 000c8793 mv a5,s9 +80001ad8: 00200713 li a4,2 +80001adc: 0ee78a63 beq a5,a4,80001bd0 <__muldf3+0x6d0> +80001ae0: 00300713 li a4,3 +80001ae4: 0ce78c63 beq a5,a4,80001bbc <__muldf3+0x6bc> +80001ae8: 00100713 li a4,1 +80001aec: f0e798e3 bne a5,a4,800019fc <__muldf3+0x4fc> +80001af0: 00000413 li s0,0 +80001af4: 00000493 li s1,0 +80001af8: 0880006f j 80001b80 <__muldf3+0x680> +80001afc: 000c0b93 mv s7,s8 +80001b00: fd9ff06f j 80001ad8 <__muldf3+0x5d8> +80001b04: 00098a93 mv s5,s3 +80001b08: ef5ff06f j 800019fc <__muldf3+0x4fc> +80001b0c: 00100693 li a3,1 +80001b10: 40e686b3 sub a3,a3,a4 +80001b14: 03800793 li a5,56 +80001b18: fcd7cce3 blt a5,a3,80001af0 <__muldf3+0x5f0> +80001b1c: 01f00793 li a5,31 +80001b20: 06d7c463 blt a5,a3,80001b88 <__muldf3+0x688> +80001b24: 41ea8a93 addi s5,s5,1054 +80001b28: 015417b3 sll a5,s0,s5 +80001b2c: 00d4d733 srl a4,s1,a3 +80001b30: 015494b3 sll s1,s1,s5 +80001b34: 00e7e7b3 or a5,a5,a4 +80001b38: 009034b3 snez s1,s1 +80001b3c: 0097e4b3 or s1,a5,s1 +80001b40: 00d45433 srl s0,s0,a3 +80001b44: 0074f793 andi a5,s1,7 +80001b48: 02078063 beqz a5,80001b68 <__muldf3+0x668> +80001b4c: 00f4f793 andi a5,s1,15 +80001b50: 00400713 li a4,4 +80001b54: 00e78a63 beq a5,a4,80001b68 <__muldf3+0x668> +80001b58: 00448793 addi a5,s1,4 +80001b5c: 0097b4b3 sltu s1,a5,s1 +80001b60: 00940433 add s0,s0,s1 +80001b64: 00078493 mv s1,a5 +80001b68: 00841793 slli a5,s0,0x8 +80001b6c: 0607ca63 bltz a5,80001be0 <__muldf3+0x6e0> +80001b70: 01d41793 slli a5,s0,0x1d +80001b74: 0034d493 srli s1,s1,0x3 +80001b78: 0097e4b3 or s1,a5,s1 +80001b7c: 00345413 srli s0,s0,0x3 +80001b80: 00000713 li a4,0 +80001b84: ed5ff06f j 80001a58 <__muldf3+0x558> +80001b88: fe100793 li a5,-31 +80001b8c: 40e787b3 sub a5,a5,a4 +80001b90: 02000613 li a2,32 +80001b94: 00f457b3 srl a5,s0,a5 +80001b98: 00000713 li a4,0 +80001b9c: 00c68663 beq a3,a2,80001ba8 <__muldf3+0x6a8> +80001ba0: 43ea8a93 addi s5,s5,1086 +80001ba4: 01541733 sll a4,s0,s5 +80001ba8: 009764b3 or s1,a4,s1 +80001bac: 009034b3 snez s1,s1 +80001bb0: 0097e4b3 or s1,a5,s1 +80001bb4: 00000413 li s0,0 +80001bb8: f8dff06f j 80001b44 <__muldf3+0x644> +80001bbc: 00080437 lui s0,0x80 +80001bc0: 00000493 li s1,0 +80001bc4: 7ff00713 li a4,2047 +80001bc8: 00000b93 li s7,0 +80001bcc: e8dff06f j 80001a58 <__muldf3+0x558> +80001bd0: 00000413 li s0,0 +80001bd4: 00000493 li s1,0 +80001bd8: 7ff00713 li a4,2047 +80001bdc: e7dff06f j 80001a58 <__muldf3+0x558> +80001be0: 00000413 li s0,0 +80001be4: 00000493 li s1,0 +80001be8: 00100713 li a4,1 +80001bec: e6dff06f j 80001a58 <__muldf3+0x558> + +80001bf0 <__divsf3>: +80001bf0: fd010113 addi sp,sp,-48 +80001bf4: 02912223 sw s1,36(sp) +80001bf8: 01512a23 sw s5,20(sp) +80001bfc: 01755493 srli s1,a0,0x17 +80001c00: 00800ab7 lui s5,0x800 +80001c04: 03212023 sw s2,32(sp) +80001c08: 01612823 sw s6,16(sp) +80001c0c: fffa8a93 addi s5,s5,-1 # 7fffff <_stack_size+0x7ffbff> +80001c10: 02112623 sw ra,44(sp) +80001c14: 02812423 sw s0,40(sp) +80001c18: 01312e23 sw s3,28(sp) +80001c1c: 01412c23 sw s4,24(sp) +80001c20: 01712623 sw s7,12(sp) +80001c24: 01812423 sw s8,8(sp) +80001c28: 0ff4f493 andi s1,s1,255 +80001c2c: 00058b13 mv s6,a1 +80001c30: 00aafab3 and s5,s5,a0 +80001c34: 01f55913 srli s2,a0,0x1f +80001c38: 08048863 beqz s1,80001cc8 <__divsf3+0xd8> +80001c3c: 0ff00793 li a5,255 +80001c40: 0af48463 beq s1,a5,80001ce8 <__divsf3+0xf8> +80001c44: 003a9a93 slli s5,s5,0x3 +80001c48: 040007b7 lui a5,0x4000 +80001c4c: 00faeab3 or s5,s5,a5 +80001c50: f8148493 addi s1,s1,-127 +80001c54: 00000b93 li s7,0 +80001c58: 017b5513 srli a0,s6,0x17 +80001c5c: 00800437 lui s0,0x800 +80001c60: fff40413 addi s0,s0,-1 # 7fffff <_stack_size+0x7ffbff> +80001c64: 0ff57513 andi a0,a0,255 +80001c68: 01647433 and s0,s0,s6 +80001c6c: 01fb5b13 srli s6,s6,0x1f +80001c70: 08050c63 beqz a0,80001d08 <__divsf3+0x118> +80001c74: 0ff00793 li a5,255 +80001c78: 0af50863 beq a0,a5,80001d28 <__divsf3+0x138> +80001c7c: 00341413 slli s0,s0,0x3 +80001c80: 040007b7 lui a5,0x4000 +80001c84: 00f46433 or s0,s0,a5 +80001c88: f8150513 addi a0,a0,-127 +80001c8c: 00000793 li a5,0 +80001c90: 002b9713 slli a4,s7,0x2 +80001c94: 00f76733 or a4,a4,a5 +80001c98: fff70713 addi a4,a4,-1 +80001c9c: 00e00693 li a3,14 +80001ca0: 016949b3 xor s3,s2,s6 +80001ca4: 40a48a33 sub s4,s1,a0 +80001ca8: 0ae6e063 bltu a3,a4,80001d48 <__divsf3+0x158> +80001cac: 00001697 auipc a3,0x1 +80001cb0: 67868693 addi a3,a3,1656 # 80003324 +80001cb4: 00271713 slli a4,a4,0x2 +80001cb8: 00d70733 add a4,a4,a3 +80001cbc: 00072703 lw a4,0(a4) +80001cc0: 00d70733 add a4,a4,a3 +80001cc4: 00070067 jr a4 +80001cc8: 020a8a63 beqz s5,80001cfc <__divsf3+0x10c> +80001ccc: 000a8513 mv a0,s5 +80001cd0: 7b1000ef jal ra,80002c80 <__clzsi2> +80001cd4: ffb50793 addi a5,a0,-5 +80001cd8: f8a00493 li s1,-118 +80001cdc: 00fa9ab3 sll s5,s5,a5 +80001ce0: 40a484b3 sub s1,s1,a0 +80001ce4: f71ff06f j 80001c54 <__divsf3+0x64> +80001ce8: 0ff00493 li s1,255 +80001cec: 00200b93 li s7,2 +80001cf0: f60a84e3 beqz s5,80001c58 <__divsf3+0x68> +80001cf4: 00300b93 li s7,3 +80001cf8: f61ff06f j 80001c58 <__divsf3+0x68> +80001cfc: 00000493 li s1,0 +80001d00: 00100b93 li s7,1 +80001d04: f55ff06f j 80001c58 <__divsf3+0x68> +80001d08: 02040a63 beqz s0,80001d3c <__divsf3+0x14c> +80001d0c: 00040513 mv a0,s0 +80001d10: 771000ef jal ra,80002c80 <__clzsi2> +80001d14: ffb50793 addi a5,a0,-5 +80001d18: 00f41433 sll s0,s0,a5 +80001d1c: f8a00793 li a5,-118 +80001d20: 40a78533 sub a0,a5,a0 +80001d24: f69ff06f j 80001c8c <__divsf3+0x9c> +80001d28: 0ff00513 li a0,255 +80001d2c: 00200793 li a5,2 +80001d30: f60400e3 beqz s0,80001c90 <__divsf3+0xa0> +80001d34: 00300793 li a5,3 +80001d38: f59ff06f j 80001c90 <__divsf3+0xa0> +80001d3c: 00000513 li a0,0 +80001d40: 00100793 li a5,1 +80001d44: f4dff06f j 80001c90 <__divsf3+0xa0> +80001d48: 00541b13 slli s6,s0,0x5 +80001d4c: 128af663 bleu s0,s5,80001e78 <__divsf3+0x288> +80001d50: fffa0a13 addi s4,s4,-1 +80001d54: 00000913 li s2,0 +80001d58: 010b5b93 srli s7,s6,0x10 +80001d5c: 00010437 lui s0,0x10 +80001d60: 000b8593 mv a1,s7 +80001d64: fff40413 addi s0,s0,-1 # ffff <_stack_size+0xfbff> +80001d68: 000a8513 mv a0,s5 +80001d6c: 669000ef jal ra,80002bd4 <__udivsi3> +80001d70: 008b7433 and s0,s6,s0 +80001d74: 00050593 mv a1,a0 +80001d78: 00050c13 mv s8,a0 +80001d7c: 00040513 mv a0,s0 +80001d80: 629000ef jal ra,80002ba8 <__mulsi3> +80001d84: 00050493 mv s1,a0 +80001d88: 000b8593 mv a1,s7 +80001d8c: 000a8513 mv a0,s5 +80001d90: 68d000ef jal ra,80002c1c <__umodsi3> +80001d94: 01095913 srli s2,s2,0x10 +80001d98: 01051513 slli a0,a0,0x10 +80001d9c: 00a96533 or a0,s2,a0 +80001da0: 000c0913 mv s2,s8 +80001da4: 00957e63 bleu s1,a0,80001dc0 <__divsf3+0x1d0> +80001da8: 01650533 add a0,a0,s6 +80001dac: fffc0913 addi s2,s8,-1 +80001db0: 01656863 bltu a0,s6,80001dc0 <__divsf3+0x1d0> +80001db4: 00957663 bleu s1,a0,80001dc0 <__divsf3+0x1d0> +80001db8: ffec0913 addi s2,s8,-2 +80001dbc: 01650533 add a0,a0,s6 +80001dc0: 409504b3 sub s1,a0,s1 +80001dc4: 000b8593 mv a1,s7 +80001dc8: 00048513 mv a0,s1 +80001dcc: 609000ef jal ra,80002bd4 <__udivsi3> +80001dd0: 00050593 mv a1,a0 +80001dd4: 00050c13 mv s8,a0 +80001dd8: 00040513 mv a0,s0 +80001ddc: 5cd000ef jal ra,80002ba8 <__mulsi3> +80001de0: 00050a93 mv s5,a0 +80001de4: 000b8593 mv a1,s7 +80001de8: 00048513 mv a0,s1 +80001dec: 631000ef jal ra,80002c1c <__umodsi3> +80001df0: 01051513 slli a0,a0,0x10 +80001df4: 000c0413 mv s0,s8 +80001df8: 01557e63 bleu s5,a0,80001e14 <__divsf3+0x224> +80001dfc: 01650533 add a0,a0,s6 +80001e00: fffc0413 addi s0,s8,-1 +80001e04: 01656863 bltu a0,s6,80001e14 <__divsf3+0x224> +80001e08: 01557663 bleu s5,a0,80001e14 <__divsf3+0x224> +80001e0c: ffec0413 addi s0,s8,-2 +80001e10: 01650533 add a0,a0,s6 +80001e14: 01091913 slli s2,s2,0x10 +80001e18: 41550533 sub a0,a0,s5 +80001e1c: 00896933 or s2,s2,s0 +80001e20: 00a03533 snez a0,a0 +80001e24: 00a96433 or s0,s2,a0 +80001e28: 07fa0713 addi a4,s4,127 +80001e2c: 0ae05063 blez a4,80001ecc <__divsf3+0x2dc> +80001e30: 00747793 andi a5,s0,7 +80001e34: 00078a63 beqz a5,80001e48 <__divsf3+0x258> +80001e38: 00f47793 andi a5,s0,15 +80001e3c: 00400693 li a3,4 +80001e40: 00d78463 beq a5,a3,80001e48 <__divsf3+0x258> +80001e44: 00440413 addi s0,s0,4 +80001e48: 00441793 slli a5,s0,0x4 +80001e4c: 0007da63 bgez a5,80001e60 <__divsf3+0x270> +80001e50: f80007b7 lui a5,0xf8000 +80001e54: fff78793 addi a5,a5,-1 # f7ffffff <_stack_start+0x77ff9b2f> +80001e58: 00f47433 and s0,s0,a5 +80001e5c: 080a0713 addi a4,s4,128 +80001e60: 0fe00793 li a5,254 +80001e64: 00345413 srli s0,s0,0x3 +80001e68: 0ce7d263 ble a4,a5,80001f2c <__divsf3+0x33c> +80001e6c: 00000413 li s0,0 +80001e70: 0ff00713 li a4,255 +80001e74: 0b80006f j 80001f2c <__divsf3+0x33c> +80001e78: 01fa9913 slli s2,s5,0x1f +80001e7c: 001ada93 srli s5,s5,0x1 +80001e80: ed9ff06f j 80001d58 <__divsf3+0x168> +80001e84: 00090993 mv s3,s2 +80001e88: 000a8413 mv s0,s5 +80001e8c: 000b8793 mv a5,s7 +80001e90: 00200713 li a4,2 +80001e94: fce78ce3 beq a5,a4,80001e6c <__divsf3+0x27c> +80001e98: 00300713 li a4,3 +80001e9c: 08e78263 beq a5,a4,80001f20 <__divsf3+0x330> +80001ea0: 00100713 li a4,1 +80001ea4: f8e792e3 bne a5,a4,80001e28 <__divsf3+0x238> +80001ea8: 00000413 li s0,0 +80001eac: 00000713 li a4,0 +80001eb0: 07c0006f j 80001f2c <__divsf3+0x33c> +80001eb4: 000b0993 mv s3,s6 +80001eb8: fd9ff06f j 80001e90 <__divsf3+0x2a0> +80001ebc: 00400437 lui s0,0x400 +80001ec0: 00000993 li s3,0 +80001ec4: 00300793 li a5,3 +80001ec8: fc9ff06f j 80001e90 <__divsf3+0x2a0> +80001ecc: 00100793 li a5,1 +80001ed0: 40e787b3 sub a5,a5,a4 +80001ed4: 01b00713 li a4,27 +80001ed8: fcf748e3 blt a4,a5,80001ea8 <__divsf3+0x2b8> +80001edc: 09ea0513 addi a0,s4,158 +80001ee0: 00f457b3 srl a5,s0,a5 +80001ee4: 00a41433 sll s0,s0,a0 +80001ee8: 00803433 snez s0,s0 +80001eec: 0087e433 or s0,a5,s0 +80001ef0: 00747793 andi a5,s0,7 +80001ef4: 00078a63 beqz a5,80001f08 <__divsf3+0x318> +80001ef8: 00f47793 andi a5,s0,15 +80001efc: 00400713 li a4,4 +80001f00: 00e78463 beq a5,a4,80001f08 <__divsf3+0x318> +80001f04: 00440413 addi s0,s0,4 # 400004 <_stack_size+0x3ffc04> +80001f08: 00541793 slli a5,s0,0x5 +80001f0c: 00345413 srli s0,s0,0x3 +80001f10: f807dee3 bgez a5,80001eac <__divsf3+0x2bc> +80001f14: 00000413 li s0,0 +80001f18: 00100713 li a4,1 +80001f1c: 0100006f j 80001f2c <__divsf3+0x33c> +80001f20: 00400437 lui s0,0x400 +80001f24: 0ff00713 li a4,255 +80001f28: 00000993 li s3,0 +80001f2c: 00800537 lui a0,0x800 +80001f30: fff50513 addi a0,a0,-1 # 7fffff <_stack_size+0x7ffbff> +80001f34: 00a47433 and s0,s0,a0 +80001f38: 80800537 lui a0,0x80800 +80001f3c: fff50513 addi a0,a0,-1 # 807fffff <_stack_start+0x7f9b2f> +80001f40: 0ff77713 andi a4,a4,255 +80001f44: 00a47433 and s0,s0,a0 +80001f48: 01771713 slli a4,a4,0x17 +80001f4c: 01f99513 slli a0,s3,0x1f +80001f50: 00e46433 or s0,s0,a4 +80001f54: 00a46533 or a0,s0,a0 +80001f58: 02c12083 lw ra,44(sp) +80001f5c: 02812403 lw s0,40(sp) +80001f60: 02412483 lw s1,36(sp) +80001f64: 02012903 lw s2,32(sp) +80001f68: 01c12983 lw s3,28(sp) +80001f6c: 01812a03 lw s4,24(sp) +80001f70: 01412a83 lw s5,20(sp) +80001f74: 01012b03 lw s6,16(sp) +80001f78: 00c12b83 lw s7,12(sp) +80001f7c: 00812c03 lw s8,8(sp) +80001f80: 03010113 addi sp,sp,48 +80001f84: 00008067 ret + +80001f88 <__mulsf3>: +80001f88: fd010113 addi sp,sp,-48 +80001f8c: 02912223 sw s1,36(sp) +80001f90: 03212023 sw s2,32(sp) +80001f94: 008004b7 lui s1,0x800 +80001f98: 01755913 srli s2,a0,0x17 +80001f9c: 01312e23 sw s3,28(sp) +80001fa0: 01712623 sw s7,12(sp) +80001fa4: fff48493 addi s1,s1,-1 # 7fffff <_stack_size+0x7ffbff> +80001fa8: 02112623 sw ra,44(sp) +80001fac: 02812423 sw s0,40(sp) +80001fb0: 01412c23 sw s4,24(sp) +80001fb4: 01512a23 sw s5,20(sp) +80001fb8: 01612823 sw s6,16(sp) +80001fbc: 01812423 sw s8,8(sp) +80001fc0: 01912223 sw s9,4(sp) +80001fc4: 0ff97913 andi s2,s2,255 +80001fc8: 00058b93 mv s7,a1 +80001fcc: 00a4f4b3 and s1,s1,a0 +80001fd0: 01f55993 srli s3,a0,0x1f +80001fd4: 08090a63 beqz s2,80002068 <__mulsf3+0xe0> +80001fd8: 0ff00793 li a5,255 +80001fdc: 0af90663 beq s2,a5,80002088 <__mulsf3+0x100> +80001fe0: 00349493 slli s1,s1,0x3 +80001fe4: 040007b7 lui a5,0x4000 +80001fe8: 00f4e4b3 or s1,s1,a5 +80001fec: f8190913 addi s2,s2,-127 # 7fff81 <_stack_size+0x7ffb81> +80001ff0: 00000b13 li s6,0 +80001ff4: 017bd513 srli a0,s7,0x17 +80001ff8: 00800437 lui s0,0x800 +80001ffc: fff40413 addi s0,s0,-1 # 7fffff <_stack_size+0x7ffbff> +80002000: 0ff57513 andi a0,a0,255 +80002004: 01747433 and s0,s0,s7 +80002008: 01fbdb93 srli s7,s7,0x1f +8000200c: 08050e63 beqz a0,800020a8 <__mulsf3+0x120> +80002010: 0ff00793 li a5,255 +80002014: 0af50a63 beq a0,a5,800020c8 <__mulsf3+0x140> +80002018: 00341413 slli s0,s0,0x3 +8000201c: 040007b7 lui a5,0x4000 +80002020: 00f46433 or s0,s0,a5 +80002024: f8150513 addi a0,a0,-127 +80002028: 00000693 li a3,0 +8000202c: 002b1793 slli a5,s6,0x2 +80002030: 00d7e7b3 or a5,a5,a3 +80002034: 00a90933 add s2,s2,a0 +80002038: fff78793 addi a5,a5,-1 # 3ffffff <_stack_size+0x3fffbff> +8000203c: 00e00713 li a4,14 +80002040: 0179ca33 xor s4,s3,s7 +80002044: 00190a93 addi s5,s2,1 +80002048: 0af76063 bltu a4,a5,800020e8 <__mulsf3+0x160> +8000204c: 00001717 auipc a4,0x1 +80002050: 31470713 addi a4,a4,788 # 80003360 +80002054: 00279793 slli a5,a5,0x2 +80002058: 00e787b3 add a5,a5,a4 +8000205c: 0007a783 lw a5,0(a5) +80002060: 00e787b3 add a5,a5,a4 +80002064: 00078067 jr a5 +80002068: 02048a63 beqz s1,8000209c <__mulsf3+0x114> +8000206c: 00048513 mv a0,s1 +80002070: 411000ef jal ra,80002c80 <__clzsi2> +80002074: ffb50793 addi a5,a0,-5 +80002078: f8a00913 li s2,-118 +8000207c: 00f494b3 sll s1,s1,a5 +80002080: 40a90933 sub s2,s2,a0 +80002084: f6dff06f j 80001ff0 <__mulsf3+0x68> +80002088: 0ff00913 li s2,255 +8000208c: 00200b13 li s6,2 +80002090: f60482e3 beqz s1,80001ff4 <__mulsf3+0x6c> +80002094: 00300b13 li s6,3 +80002098: f5dff06f j 80001ff4 <__mulsf3+0x6c> +8000209c: 00000913 li s2,0 +800020a0: 00100b13 li s6,1 +800020a4: f51ff06f j 80001ff4 <__mulsf3+0x6c> +800020a8: 02040a63 beqz s0,800020dc <__mulsf3+0x154> +800020ac: 00040513 mv a0,s0 +800020b0: 3d1000ef jal ra,80002c80 <__clzsi2> +800020b4: ffb50793 addi a5,a0,-5 +800020b8: 00f41433 sll s0,s0,a5 +800020bc: f8a00793 li a5,-118 +800020c0: 40a78533 sub a0,a5,a0 +800020c4: f65ff06f j 80002028 <__mulsf3+0xa0> +800020c8: 0ff00513 li a0,255 +800020cc: 00200693 li a3,2 +800020d0: f4040ee3 beqz s0,8000202c <__mulsf3+0xa4> +800020d4: 00300693 li a3,3 +800020d8: f55ff06f j 8000202c <__mulsf3+0xa4> +800020dc: 00000513 li a0,0 +800020e0: 00100693 li a3,1 +800020e4: f49ff06f j 8000202c <__mulsf3+0xa4> +800020e8: 00010c37 lui s8,0x10 +800020ec: fffc0b13 addi s6,s8,-1 # ffff <_stack_size+0xfbff> +800020f0: 0104db93 srli s7,s1,0x10 +800020f4: 01045c93 srli s9,s0,0x10 +800020f8: 0164f4b3 and s1,s1,s6 +800020fc: 01647433 and s0,s0,s6 +80002100: 00040593 mv a1,s0 +80002104: 00048513 mv a0,s1 +80002108: 2a1000ef jal ra,80002ba8 <__mulsi3> +8000210c: 00040593 mv a1,s0 +80002110: 00050993 mv s3,a0 +80002114: 000b8513 mv a0,s7 +80002118: 291000ef jal ra,80002ba8 <__mulsi3> +8000211c: 00050413 mv s0,a0 +80002120: 000c8593 mv a1,s9 +80002124: 000b8513 mv a0,s7 +80002128: 281000ef jal ra,80002ba8 <__mulsi3> +8000212c: 00050b93 mv s7,a0 +80002130: 00048593 mv a1,s1 +80002134: 000c8513 mv a0,s9 +80002138: 271000ef jal ra,80002ba8 <__mulsi3> +8000213c: 00850533 add a0,a0,s0 +80002140: 0109d793 srli a5,s3,0x10 +80002144: 00a78533 add a0,a5,a0 +80002148: 00857463 bleu s0,a0,80002150 <__mulsf3+0x1c8> +8000214c: 018b8bb3 add s7,s7,s8 +80002150: 016577b3 and a5,a0,s6 +80002154: 01079793 slli a5,a5,0x10 +80002158: 0169f9b3 and s3,s3,s6 +8000215c: 013787b3 add a5,a5,s3 +80002160: 00679413 slli s0,a5,0x6 +80002164: 00803433 snez s0,s0 +80002168: 01a7d793 srli a5,a5,0x1a +8000216c: 01055513 srli a0,a0,0x10 +80002170: 00f467b3 or a5,s0,a5 +80002174: 01750433 add s0,a0,s7 +80002178: 00641413 slli s0,s0,0x6 +8000217c: 00f46433 or s0,s0,a5 +80002180: 00441793 slli a5,s0,0x4 +80002184: 0e07d663 bgez a5,80002270 <__mulsf3+0x2e8> +80002188: 00145793 srli a5,s0,0x1 +8000218c: 00147413 andi s0,s0,1 +80002190: 0087e433 or s0,a5,s0 +80002194: 07fa8713 addi a4,s5,127 +80002198: 0ee05063 blez a4,80002278 <__mulsf3+0x2f0> +8000219c: 00747793 andi a5,s0,7 +800021a0: 00078a63 beqz a5,800021b4 <__mulsf3+0x22c> +800021a4: 00f47793 andi a5,s0,15 +800021a8: 00400693 li a3,4 +800021ac: 00d78463 beq a5,a3,800021b4 <__mulsf3+0x22c> +800021b0: 00440413 addi s0,s0,4 +800021b4: 00441793 slli a5,s0,0x4 +800021b8: 0007da63 bgez a5,800021cc <__mulsf3+0x244> +800021bc: f80007b7 lui a5,0xf8000 +800021c0: fff78793 addi a5,a5,-1 # f7ffffff <_stack_start+0x77ff9b2f> +800021c4: 00f47433 and s0,s0,a5 +800021c8: 080a8713 addi a4,s5,128 +800021cc: 0fe00793 li a5,254 +800021d0: 10e7c463 blt a5,a4,800022d8 <__mulsf3+0x350> +800021d4: 00345793 srli a5,s0,0x3 +800021d8: 0300006f j 80002208 <__mulsf3+0x280> +800021dc: 00098a13 mv s4,s3 +800021e0: 00048413 mv s0,s1 +800021e4: 000b0693 mv a3,s6 +800021e8: 00200793 li a5,2 +800021ec: 0ef68663 beq a3,a5,800022d8 <__mulsf3+0x350> +800021f0: 00300793 li a5,3 +800021f4: 0cf68a63 beq a3,a5,800022c8 <__mulsf3+0x340> +800021f8: 00100613 li a2,1 +800021fc: 00000793 li a5,0 +80002200: 00000713 li a4,0 +80002204: f8c698e3 bne a3,a2,80002194 <__mulsf3+0x20c> +80002208: 00800437 lui s0,0x800 +8000220c: fff40413 addi s0,s0,-1 # 7fffff <_stack_size+0x7ffbff> +80002210: 80800537 lui a0,0x80800 +80002214: 0087f7b3 and a5,a5,s0 +80002218: fff50513 addi a0,a0,-1 # 807fffff <_stack_start+0x7f9b2f> +8000221c: 02c12083 lw ra,44(sp) +80002220: 02812403 lw s0,40(sp) +80002224: 0ff77713 andi a4,a4,255 +80002228: 00a7f7b3 and a5,a5,a0 +8000222c: 01771713 slli a4,a4,0x17 +80002230: 01fa1513 slli a0,s4,0x1f +80002234: 00e7e7b3 or a5,a5,a4 +80002238: 02412483 lw s1,36(sp) +8000223c: 02012903 lw s2,32(sp) +80002240: 01c12983 lw s3,28(sp) +80002244: 01812a03 lw s4,24(sp) +80002248: 01412a83 lw s5,20(sp) +8000224c: 01012b03 lw s6,16(sp) +80002250: 00c12b83 lw s7,12(sp) +80002254: 00812c03 lw s8,8(sp) +80002258: 00412c83 lw s9,4(sp) +8000225c: 00a7e533 or a0,a5,a0 +80002260: 03010113 addi sp,sp,48 +80002264: 00008067 ret +80002268: 000b8a13 mv s4,s7 +8000226c: f7dff06f j 800021e8 <__mulsf3+0x260> +80002270: 00090a93 mv s5,s2 +80002274: f21ff06f j 80002194 <__mulsf3+0x20c> +80002278: 00100793 li a5,1 +8000227c: 40e787b3 sub a5,a5,a4 +80002280: 01b00713 li a4,27 +80002284: 06f74063 blt a4,a5,800022e4 <__mulsf3+0x35c> +80002288: 09ea8a93 addi s5,s5,158 +8000228c: 00f457b3 srl a5,s0,a5 +80002290: 01541433 sll s0,s0,s5 +80002294: 00803433 snez s0,s0 +80002298: 0087e433 or s0,a5,s0 +8000229c: 00747793 andi a5,s0,7 +800022a0: 00078a63 beqz a5,800022b4 <__mulsf3+0x32c> +800022a4: 00f47793 andi a5,s0,15 +800022a8: 00400713 li a4,4 +800022ac: 00e78463 beq a5,a4,800022b4 <__mulsf3+0x32c> +800022b0: 00440413 addi s0,s0,4 +800022b4: 00541793 slli a5,s0,0x5 +800022b8: 0207ca63 bltz a5,800022ec <__mulsf3+0x364> +800022bc: 00345793 srli a5,s0,0x3 +800022c0: 00000713 li a4,0 +800022c4: f45ff06f j 80002208 <__mulsf3+0x280> +800022c8: 004007b7 lui a5,0x400 +800022cc: 0ff00713 li a4,255 +800022d0: 00000a13 li s4,0 +800022d4: f35ff06f j 80002208 <__mulsf3+0x280> +800022d8: 00000793 li a5,0 +800022dc: 0ff00713 li a4,255 +800022e0: f29ff06f j 80002208 <__mulsf3+0x280> +800022e4: 00000793 li a5,0 +800022e8: fd9ff06f j 800022c0 <__mulsf3+0x338> +800022ec: 00000793 li a5,0 +800022f0: 00100713 li a4,1 +800022f4: f15ff06f j 80002208 <__mulsf3+0x280> + +800022f8 <__subsf3>: +800022f8: 008007b7 lui a5,0x800 +800022fc: fff78793 addi a5,a5,-1 # 7fffff <_stack_size+0x7ffbff> +80002300: ff010113 addi sp,sp,-16 +80002304: 00a7f733 and a4,a5,a0 +80002308: 01755693 srli a3,a0,0x17 +8000230c: 0175d613 srli a2,a1,0x17 +80002310: 00b7f7b3 and a5,a5,a1 +80002314: 00912223 sw s1,4(sp) +80002318: 01212023 sw s2,0(sp) +8000231c: 0ff6f693 andi a3,a3,255 +80002320: 00371813 slli a6,a4,0x3 +80002324: 0ff67613 andi a2,a2,255 +80002328: 00112623 sw ra,12(sp) +8000232c: 00812423 sw s0,8(sp) +80002330: 0ff00713 li a4,255 +80002334: 01f55493 srli s1,a0,0x1f +80002338: 00068913 mv s2,a3 +8000233c: 00060513 mv a0,a2 +80002340: 01f5d593 srli a1,a1,0x1f +80002344: 00379793 slli a5,a5,0x3 +80002348: 00e61463 bne a2,a4,80002350 <__subsf3+0x58> +8000234c: 00079463 bnez a5,80002354 <__subsf3+0x5c> +80002350: 0015c593 xori a1,a1,1 +80002354: 40c68733 sub a4,a3,a2 +80002358: 1a959a63 bne a1,s1,8000250c <__subsf3+0x214> +8000235c: 0ae05663 blez a4,80002408 <__subsf3+0x110> +80002360: 06061663 bnez a2,800023cc <__subsf3+0xd4> +80002364: 00079c63 bnez a5,8000237c <__subsf3+0x84> +80002368: 0ff00793 li a5,255 +8000236c: 04f68c63 beq a3,a5,800023c4 <__subsf3+0xcc> +80002370: 00080793 mv a5,a6 +80002374: 00068513 mv a0,a3 +80002378: 14c0006f j 800024c4 <__subsf3+0x1cc> +8000237c: fff70713 addi a4,a4,-1 +80002380: 02071e63 bnez a4,800023bc <__subsf3+0xc4> +80002384: 010787b3 add a5,a5,a6 +80002388: 00068513 mv a0,a3 +8000238c: 00579713 slli a4,a5,0x5 +80002390: 12075a63 bgez a4,800024c4 <__subsf3+0x1cc> +80002394: 00150513 addi a0,a0,1 +80002398: 0ff00713 li a4,255 +8000239c: 32e50e63 beq a0,a4,800026d8 <__subsf3+0x3e0> +800023a0: 7e000737 lui a4,0x7e000 +800023a4: 0017f693 andi a3,a5,1 +800023a8: fff70713 addi a4,a4,-1 # 7dffffff <_stack_size+0x7dfffbff> +800023ac: 0017d793 srli a5,a5,0x1 +800023b0: 00e7f7b3 and a5,a5,a4 +800023b4: 00d7e7b3 or a5,a5,a3 +800023b8: 10c0006f j 800024c4 <__subsf3+0x1cc> +800023bc: 0ff00613 li a2,255 +800023c0: 00c69e63 bne a3,a2,800023dc <__subsf3+0xe4> +800023c4: 00080793 mv a5,a6 +800023c8: 0740006f j 8000243c <__subsf3+0x144> +800023cc: 0ff00613 li a2,255 +800023d0: fec68ae3 beq a3,a2,800023c4 <__subsf3+0xcc> +800023d4: 04000637 lui a2,0x4000 +800023d8: 00c7e7b3 or a5,a5,a2 +800023dc: 01b00613 li a2,27 +800023e0: 00e65663 ble a4,a2,800023ec <__subsf3+0xf4> +800023e4: 00100793 li a5,1 +800023e8: f9dff06f j 80002384 <__subsf3+0x8c> +800023ec: 02000613 li a2,32 +800023f0: 40e60633 sub a2,a2,a4 +800023f4: 00e7d5b3 srl a1,a5,a4 +800023f8: 00c797b3 sll a5,a5,a2 +800023fc: 00f037b3 snez a5,a5 +80002400: 00f5e7b3 or a5,a1,a5 +80002404: f81ff06f j 80002384 <__subsf3+0x8c> +80002408: 08070063 beqz a4,80002488 <__subsf3+0x190> +8000240c: 02069c63 bnez a3,80002444 <__subsf3+0x14c> +80002410: 00081863 bnez a6,80002420 <__subsf3+0x128> +80002414: 0ff00713 li a4,255 +80002418: 0ae61663 bne a2,a4,800024c4 <__subsf3+0x1cc> +8000241c: 0200006f j 8000243c <__subsf3+0x144> +80002420: fff00693 li a3,-1 +80002424: 00d71663 bne a4,a3,80002430 <__subsf3+0x138> +80002428: 010787b3 add a5,a5,a6 +8000242c: f61ff06f j 8000238c <__subsf3+0x94> +80002430: 0ff00693 li a3,255 +80002434: fff74713 not a4,a4 +80002438: 02d61063 bne a2,a3,80002458 <__subsf3+0x160> +8000243c: 0ff00513 li a0,255 +80002440: 0840006f j 800024c4 <__subsf3+0x1cc> +80002444: 0ff00693 li a3,255 +80002448: fed60ae3 beq a2,a3,8000243c <__subsf3+0x144> +8000244c: 040006b7 lui a3,0x4000 +80002450: 40e00733 neg a4,a4 +80002454: 00d86833 or a6,a6,a3 +80002458: 01b00693 li a3,27 +8000245c: 00e6d663 ble a4,a3,80002468 <__subsf3+0x170> +80002460: 00100713 li a4,1 +80002464: 01c0006f j 80002480 <__subsf3+0x188> +80002468: 02000693 li a3,32 +8000246c: 00e85633 srl a2,a6,a4 +80002470: 40e68733 sub a4,a3,a4 +80002474: 00e81733 sll a4,a6,a4 +80002478: 00e03733 snez a4,a4 +8000247c: 00e66733 or a4,a2,a4 +80002480: 00e787b3 add a5,a5,a4 +80002484: f09ff06f j 8000238c <__subsf3+0x94> +80002488: 00168513 addi a0,a3,1 # 4000001 <_stack_size+0x3fffc01> +8000248c: 0ff57613 andi a2,a0,255 +80002490: 00100713 li a4,1 +80002494: 06c74263 blt a4,a2,800024f8 <__subsf3+0x200> +80002498: 04069463 bnez a3,800024e0 <__subsf3+0x1e8> +8000249c: 00000513 li a0,0 +800024a0: 02080263 beqz a6,800024c4 <__subsf3+0x1cc> +800024a4: 22078663 beqz a5,800026d0 <__subsf3+0x3d8> +800024a8: 010787b3 add a5,a5,a6 +800024ac: 00579713 slli a4,a5,0x5 +800024b0: 00075a63 bgez a4,800024c4 <__subsf3+0x1cc> +800024b4: fc000737 lui a4,0xfc000 +800024b8: fff70713 addi a4,a4,-1 # fbffffff <_stack_start+0x7bff9b2f> +800024bc: 00e7f7b3 and a5,a5,a4 +800024c0: 00100513 li a0,1 +800024c4: 0077f713 andi a4,a5,7 +800024c8: 20070a63 beqz a4,800026dc <__subsf3+0x3e4> +800024cc: 00f7f713 andi a4,a5,15 +800024d0: 00400693 li a3,4 +800024d4: 20d70463 beq a4,a3,800026dc <__subsf3+0x3e4> +800024d8: 00478793 addi a5,a5,4 +800024dc: 2000006f j 800026dc <__subsf3+0x3e4> +800024e0: f4080ee3 beqz a6,8000243c <__subsf3+0x144> +800024e4: ee0780e3 beqz a5,800023c4 <__subsf3+0xcc> +800024e8: 020007b7 lui a5,0x2000 +800024ec: 0ff00513 li a0,255 +800024f0: 00000493 li s1,0 +800024f4: 1e80006f j 800026dc <__subsf3+0x3e4> +800024f8: 0ff00713 li a4,255 +800024fc: 1ce50e63 beq a0,a4,800026d8 <__subsf3+0x3e0> +80002500: 00f80733 add a4,a6,a5 +80002504: 00175793 srli a5,a4,0x1 +80002508: fbdff06f j 800024c4 <__subsf3+0x1cc> +8000250c: 08e05063 blez a4,8000258c <__subsf3+0x294> +80002510: 04061663 bnez a2,8000255c <__subsf3+0x264> +80002514: e4078ae3 beqz a5,80002368 <__subsf3+0x70> +80002518: fff70713 addi a4,a4,-1 +8000251c: 02071463 bnez a4,80002544 <__subsf3+0x24c> +80002520: 40f807b3 sub a5,a6,a5 +80002524: 00068513 mv a0,a3 +80002528: 00579713 slli a4,a5,0x5 +8000252c: f8075ce3 bgez a4,800024c4 <__subsf3+0x1cc> +80002530: 04000437 lui s0,0x4000 +80002534: fff40413 addi s0,s0,-1 # 3ffffff <_stack_size+0x3fffbff> +80002538: 0087f433 and s0,a5,s0 +8000253c: 00050913 mv s2,a0 +80002540: 1380006f j 80002678 <__subsf3+0x380> +80002544: 0ff00613 li a2,255 +80002548: e6c68ee3 beq a3,a2,800023c4 <__subsf3+0xcc> +8000254c: 01b00613 li a2,27 +80002550: 02e65063 ble a4,a2,80002570 <__subsf3+0x278> +80002554: 00100793 li a5,1 +80002558: fc9ff06f j 80002520 <__subsf3+0x228> +8000255c: 0ff00613 li a2,255 +80002560: e6c682e3 beq a3,a2,800023c4 <__subsf3+0xcc> +80002564: 04000637 lui a2,0x4000 +80002568: 00c7e7b3 or a5,a5,a2 +8000256c: fe1ff06f j 8000254c <__subsf3+0x254> +80002570: 02000613 li a2,32 +80002574: 00e7d5b3 srl a1,a5,a4 +80002578: 40e60733 sub a4,a2,a4 +8000257c: 00e797b3 sll a5,a5,a4 +80002580: 00f037b3 snez a5,a5 +80002584: 00f5e7b3 or a5,a1,a5 +80002588: f99ff06f j 80002520 <__subsf3+0x228> +8000258c: 08070263 beqz a4,80002610 <__subsf3+0x318> +80002590: 02069e63 bnez a3,800025cc <__subsf3+0x2d4> +80002594: 00081863 bnez a6,800025a4 <__subsf3+0x2ac> +80002598: 0ff00713 li a4,255 +8000259c: 00058493 mv s1,a1 +800025a0: e79ff06f j 80002418 <__subsf3+0x120> +800025a4: fff00693 li a3,-1 +800025a8: 00d71863 bne a4,a3,800025b8 <__subsf3+0x2c0> +800025ac: 410787b3 sub a5,a5,a6 +800025b0: 00058493 mv s1,a1 +800025b4: f75ff06f j 80002528 <__subsf3+0x230> +800025b8: 0ff00693 li a3,255 +800025bc: fff74713 not a4,a4 +800025c0: 02d61063 bne a2,a3,800025e0 <__subsf3+0x2e8> +800025c4: 00058493 mv s1,a1 +800025c8: e75ff06f j 8000243c <__subsf3+0x144> +800025cc: 0ff00693 li a3,255 +800025d0: fed60ae3 beq a2,a3,800025c4 <__subsf3+0x2cc> +800025d4: 040006b7 lui a3,0x4000 +800025d8: 40e00733 neg a4,a4 +800025dc: 00d86833 or a6,a6,a3 +800025e0: 01b00693 li a3,27 +800025e4: 00e6d663 ble a4,a3,800025f0 <__subsf3+0x2f8> +800025e8: 00100713 li a4,1 +800025ec: 01c0006f j 80002608 <__subsf3+0x310> +800025f0: 02000693 li a3,32 +800025f4: 00e85633 srl a2,a6,a4 +800025f8: 40e68733 sub a4,a3,a4 +800025fc: 00e81733 sll a4,a6,a4 +80002600: 00e03733 snez a4,a4 +80002604: 00e66733 or a4,a2,a4 +80002608: 40e787b3 sub a5,a5,a4 +8000260c: fa5ff06f j 800025b0 <__subsf3+0x2b8> +80002610: 00168713 addi a4,a3,1 # 4000001 <_stack_size+0x3fffc01> +80002614: 0ff77713 andi a4,a4,255 +80002618: 00100613 li a2,1 +8000261c: 04e64463 blt a2,a4,80002664 <__subsf3+0x36c> +80002620: 02069c63 bnez a3,80002658 <__subsf3+0x360> +80002624: 00081863 bnez a6,80002634 <__subsf3+0x33c> +80002628: 12079863 bnez a5,80002758 <__subsf3+0x460> +8000262c: 00000513 li a0,0 +80002630: ec1ff06f j 800024f0 <__subsf3+0x1f8> +80002634: 12078663 beqz a5,80002760 <__subsf3+0x468> +80002638: 40f80733 sub a4,a6,a5 +8000263c: 00571693 slli a3,a4,0x5 +80002640: 410787b3 sub a5,a5,a6 +80002644: 1006ca63 bltz a3,80002758 <__subsf3+0x460> +80002648: 00070793 mv a5,a4 +8000264c: 06071063 bnez a4,800026ac <__subsf3+0x3b4> +80002650: 00000793 li a5,0 +80002654: fd9ff06f j 8000262c <__subsf3+0x334> +80002658: e80816e3 bnez a6,800024e4 <__subsf3+0x1ec> +8000265c: f60794e3 bnez a5,800025c4 <__subsf3+0x2cc> +80002660: e89ff06f j 800024e8 <__subsf3+0x1f0> +80002664: 40f80433 sub s0,a6,a5 +80002668: 00541713 slli a4,s0,0x5 +8000266c: 04075463 bgez a4,800026b4 <__subsf3+0x3bc> +80002670: 41078433 sub s0,a5,a6 +80002674: 00058493 mv s1,a1 +80002678: 00040513 mv a0,s0 +8000267c: 604000ef jal ra,80002c80 <__clzsi2> +80002680: ffb50513 addi a0,a0,-5 +80002684: 00a41433 sll s0,s0,a0 +80002688: 03254a63 blt a0,s2,800026bc <__subsf3+0x3c4> +8000268c: 41250533 sub a0,a0,s2 +80002690: 00150513 addi a0,a0,1 +80002694: 02000713 li a4,32 +80002698: 00a457b3 srl a5,s0,a0 +8000269c: 40a70533 sub a0,a4,a0 +800026a0: 00a41433 sll s0,s0,a0 +800026a4: 00803433 snez s0,s0 +800026a8: 0087e7b3 or a5,a5,s0 +800026ac: 00000513 li a0,0 +800026b0: e15ff06f j 800024c4 <__subsf3+0x1cc> +800026b4: f8040ee3 beqz s0,80002650 <__subsf3+0x358> +800026b8: fc1ff06f j 80002678 <__subsf3+0x380> +800026bc: fc0007b7 lui a5,0xfc000 +800026c0: fff78793 addi a5,a5,-1 # fbffffff <_stack_start+0x7bff9b2f> +800026c4: 40a90533 sub a0,s2,a0 +800026c8: 00f477b3 and a5,s0,a5 +800026cc: df9ff06f j 800024c4 <__subsf3+0x1cc> +800026d0: 00080793 mv a5,a6 +800026d4: df1ff06f j 800024c4 <__subsf3+0x1cc> +800026d8: 00000793 li a5,0 +800026dc: 00579713 slli a4,a5,0x5 +800026e0: 00075e63 bgez a4,800026fc <__subsf3+0x404> +800026e4: 00150513 addi a0,a0,1 +800026e8: 0ff00713 li a4,255 +800026ec: 06e50e63 beq a0,a4,80002768 <__subsf3+0x470> +800026f0: fc000737 lui a4,0xfc000 +800026f4: fff70713 addi a4,a4,-1 # fbffffff <_stack_start+0x7bff9b2f> +800026f8: 00e7f7b3 and a5,a5,a4 +800026fc: 0ff00713 li a4,255 +80002700: 0037d793 srli a5,a5,0x3 +80002704: 00e51863 bne a0,a4,80002714 <__subsf3+0x41c> +80002708: 00078663 beqz a5,80002714 <__subsf3+0x41c> +8000270c: 004007b7 lui a5,0x400 +80002710: 00000493 li s1,0 +80002714: 00800737 lui a4,0x800 +80002718: fff70713 addi a4,a4,-1 # 7fffff <_stack_size+0x7ffbff> +8000271c: 0ff57513 andi a0,a0,255 +80002720: 00e7f7b3 and a5,a5,a4 +80002724: 01751713 slli a4,a0,0x17 +80002728: 80800537 lui a0,0x80800 +8000272c: 00c12083 lw ra,12(sp) +80002730: 00812403 lw s0,8(sp) +80002734: fff50513 addi a0,a0,-1 # 807fffff <_stack_start+0x7f9b2f> +80002738: 00a7f533 and a0,a5,a0 +8000273c: 01f49493 slli s1,s1,0x1f +80002740: 00e56533 or a0,a0,a4 +80002744: 00956533 or a0,a0,s1 +80002748: 00012903 lw s2,0(sp) +8000274c: 00412483 lw s1,4(sp) +80002750: 01010113 addi sp,sp,16 +80002754: 00008067 ret +80002758: 00058493 mv s1,a1 +8000275c: f51ff06f j 800026ac <__subsf3+0x3b4> +80002760: 00080793 mv a5,a6 +80002764: f49ff06f j 800026ac <__subsf3+0x3b4> +80002768: 00000793 li a5,0 +8000276c: f91ff06f j 800026fc <__subsf3+0x404> + +80002770 <__fixsfsi>: +80002770: 00800637 lui a2,0x800 +80002774: 01755713 srli a4,a0,0x17 +80002778: fff60793 addi a5,a2,-1 # 7fffff <_stack_size+0x7ffbff> +8000277c: 0ff77713 andi a4,a4,255 +80002780: 07e00593 li a1,126 +80002784: 00a7f7b3 and a5,a5,a0 +80002788: 01f55693 srli a3,a0,0x1f +8000278c: 04e5f663 bleu a4,a1,800027d8 <__fixsfsi+0x68> +80002790: 09d00593 li a1,157 +80002794: 00e5fa63 bleu a4,a1,800027a8 <__fixsfsi+0x38> +80002798: 80000537 lui a0,0x80000 +8000279c: fff54513 not a0,a0 +800027a0: 00a68533 add a0,a3,a0 +800027a4: 00008067 ret +800027a8: 00c7e533 or a0,a5,a2 +800027ac: 09500793 li a5,149 +800027b0: 00e7dc63 ble a4,a5,800027c8 <__fixsfsi+0x58> +800027b4: f6a70713 addi a4,a4,-150 +800027b8: 00e51533 sll a0,a0,a4 +800027bc: 02068063 beqz a3,800027dc <__fixsfsi+0x6c> +800027c0: 40a00533 neg a0,a0 +800027c4: 00008067 ret +800027c8: 09600793 li a5,150 +800027cc: 40e78733 sub a4,a5,a4 +800027d0: 00e55533 srl a0,a0,a4 +800027d4: fe9ff06f j 800027bc <__fixsfsi+0x4c> +800027d8: 00000513 li a0,0 +800027dc: 00008067 ret + +800027e0 <__floatsisf>: +800027e0: ff010113 addi sp,sp,-16 +800027e4: 00112623 sw ra,12(sp) +800027e8: 00812423 sw s0,8(sp) +800027ec: 00912223 sw s1,4(sp) +800027f0: 10050263 beqz a0,800028f4 <__floatsisf+0x114> +800027f4: 00050413 mv s0,a0 +800027f8: 01f55493 srli s1,a0,0x1f +800027fc: 00055463 bgez a0,80002804 <__floatsisf+0x24> +80002800: 40a00433 neg s0,a0 +80002804: 00040513 mv a0,s0 +80002808: 478000ef jal ra,80002c80 <__clzsi2> +8000280c: 09e00793 li a5,158 +80002810: 40a787b3 sub a5,a5,a0 +80002814: 09600713 li a4,150 +80002818: 06f74063 blt a4,a5,80002878 <__floatsisf+0x98> +8000281c: 00800713 li a4,8 +80002820: 00a75663 ble a0,a4,8000282c <__floatsisf+0x4c> +80002824: ff850513 addi a0,a0,-8 # 7ffffff8 <_stack_start+0xffff9b28> +80002828: 00a41433 sll s0,s0,a0 +8000282c: 00800537 lui a0,0x800 +80002830: fff50513 addi a0,a0,-1 # 7fffff <_stack_size+0x7ffbff> +80002834: 0ff7f793 andi a5,a5,255 +80002838: 00a47433 and s0,s0,a0 +8000283c: 01779513 slli a0,a5,0x17 +80002840: 808007b7 lui a5,0x80800 +80002844: fff78793 addi a5,a5,-1 # 807fffff <_stack_start+0x7f9b2f> +80002848: 00f47433 and s0,s0,a5 +8000284c: 800007b7 lui a5,0x80000 +80002850: 00a46433 or s0,s0,a0 +80002854: fff7c793 not a5,a5 +80002858: 01f49513 slli a0,s1,0x1f +8000285c: 00f47433 and s0,s0,a5 +80002860: 00a46533 or a0,s0,a0 +80002864: 00c12083 lw ra,12(sp) +80002868: 00812403 lw s0,8(sp) +8000286c: 00412483 lw s1,4(sp) +80002870: 01010113 addi sp,sp,16 +80002874: 00008067 ret +80002878: 09900713 li a4,153 +8000287c: 02f75063 ble a5,a4,8000289c <__floatsisf+0xbc> +80002880: 00500713 li a4,5 +80002884: 40a70733 sub a4,a4,a0 +80002888: 01b50693 addi a3,a0,27 +8000288c: 00e45733 srl a4,s0,a4 +80002890: 00d41433 sll s0,s0,a3 +80002894: 00803433 snez s0,s0 +80002898: 00876433 or s0,a4,s0 +8000289c: 00500713 li a4,5 +800028a0: 00a75663 ble a0,a4,800028ac <__floatsisf+0xcc> +800028a4: ffb50713 addi a4,a0,-5 +800028a8: 00e41433 sll s0,s0,a4 +800028ac: fc000737 lui a4,0xfc000 +800028b0: fff70713 addi a4,a4,-1 # fbffffff <_stack_start+0x7bff9b2f> +800028b4: 00747693 andi a3,s0,7 +800028b8: 00e47733 and a4,s0,a4 +800028bc: 00068a63 beqz a3,800028d0 <__floatsisf+0xf0> +800028c0: 00f47413 andi s0,s0,15 +800028c4: 00400693 li a3,4 +800028c8: 00d40463 beq s0,a3,800028d0 <__floatsisf+0xf0> +800028cc: 00470713 addi a4,a4,4 +800028d0: 00571693 slli a3,a4,0x5 +800028d4: 0006dc63 bgez a3,800028ec <__floatsisf+0x10c> +800028d8: fc0007b7 lui a5,0xfc000 +800028dc: fff78793 addi a5,a5,-1 # fbffffff <_stack_start+0x7bff9b2f> +800028e0: 00f77733 and a4,a4,a5 +800028e4: 09f00793 li a5,159 +800028e8: 40a787b3 sub a5,a5,a0 +800028ec: 00375413 srli s0,a4,0x3 +800028f0: f3dff06f j 8000282c <__floatsisf+0x4c> +800028f4: 00000413 li s0,0 +800028f8: 00000793 li a5,0 +800028fc: 00000493 li s1,0 +80002900: f2dff06f j 8000282c <__floatsisf+0x4c> + +80002904 <__extendsfdf2>: +80002904: 01755793 srli a5,a0,0x17 +80002908: ff010113 addi sp,sp,-16 +8000290c: 0ff7f793 andi a5,a5,255 +80002910: 00812423 sw s0,8(sp) +80002914: 00178713 addi a4,a5,1 +80002918: 00800437 lui s0,0x800 +8000291c: 00912223 sw s1,4(sp) +80002920: fff40413 addi s0,s0,-1 # 7fffff <_stack_size+0x7ffbff> +80002924: 00112623 sw ra,12(sp) +80002928: 0ff77713 andi a4,a4,255 +8000292c: 00100693 li a3,1 +80002930: 00a47433 and s0,s0,a0 +80002934: 01f55493 srli s1,a0,0x1f +80002938: 06e6d263 ble a4,a3,8000299c <__extendsfdf2+0x98> +8000293c: 38078513 addi a0,a5,896 +80002940: 00345793 srli a5,s0,0x3 +80002944: 01d41413 slli s0,s0,0x1d +80002948: 00100737 lui a4,0x100 +8000294c: fff70713 addi a4,a4,-1 # fffff <_stack_size+0xffbff> +80002950: 00e7f7b3 and a5,a5,a4 +80002954: 80100737 lui a4,0x80100 +80002958: fff70713 addi a4,a4,-1 # 800fffff <_stack_start+0xf9b2f> +8000295c: 7ff57513 andi a0,a0,2047 +80002960: 01451513 slli a0,a0,0x14 +80002964: 00e7f7b3 and a5,a5,a4 +80002968: 80000737 lui a4,0x80000 +8000296c: 00a7e7b3 or a5,a5,a0 +80002970: fff74713 not a4,a4 +80002974: 01f49513 slli a0,s1,0x1f +80002978: 00e7f7b3 and a5,a5,a4 +8000297c: 00a7e733 or a4,a5,a0 +80002980: 00c12083 lw ra,12(sp) +80002984: 00040513 mv a0,s0 +80002988: 00812403 lw s0,8(sp) +8000298c: 00412483 lw s1,4(sp) +80002990: 00070593 mv a1,a4 +80002994: 01010113 addi sp,sp,16 +80002998: 00008067 ret +8000299c: 04079463 bnez a5,800029e4 <__extendsfdf2+0xe0> +800029a0: 06040263 beqz s0,80002a04 <__extendsfdf2+0x100> +800029a4: 00040513 mv a0,s0 +800029a8: 2d8000ef jal ra,80002c80 <__clzsi2> +800029ac: 00a00793 li a5,10 +800029b0: 02a7c263 blt a5,a0,800029d4 <__extendsfdf2+0xd0> +800029b4: 00b00793 li a5,11 +800029b8: 40a787b3 sub a5,a5,a0 +800029bc: 01550713 addi a4,a0,21 +800029c0: 00f457b3 srl a5,s0,a5 +800029c4: 00e41433 sll s0,s0,a4 +800029c8: 38900713 li a4,905 +800029cc: 40a70533 sub a0,a4,a0 +800029d0: f79ff06f j 80002948 <__extendsfdf2+0x44> +800029d4: ff550793 addi a5,a0,-11 +800029d8: 00f417b3 sll a5,s0,a5 +800029dc: 00000413 li s0,0 +800029e0: fe9ff06f j 800029c8 <__extendsfdf2+0xc4> +800029e4: 00000793 li a5,0 +800029e8: 00040a63 beqz s0,800029fc <__extendsfdf2+0xf8> +800029ec: 00345793 srli a5,s0,0x3 +800029f0: 00080737 lui a4,0x80 +800029f4: 01d41413 slli s0,s0,0x1d +800029f8: 00e7e7b3 or a5,a5,a4 +800029fc: 7ff00513 li a0,2047 +80002a00: f49ff06f j 80002948 <__extendsfdf2+0x44> +80002a04: 00000793 li a5,0 +80002a08: 00000513 li a0,0 +80002a0c: f3dff06f j 80002948 <__extendsfdf2+0x44> + +80002a10 <__truncdfsf2>: +80002a10: 00100637 lui a2,0x100 +80002a14: fff60613 addi a2,a2,-1 # fffff <_stack_size+0xffbff> +80002a18: 00b67633 and a2,a2,a1 +80002a1c: 0145d813 srli a6,a1,0x14 +80002a20: 01d55793 srli a5,a0,0x1d +80002a24: 7ff87813 andi a6,a6,2047 +80002a28: 00361613 slli a2,a2,0x3 +80002a2c: 00c7e633 or a2,a5,a2 +80002a30: 00180793 addi a5,a6,1 +80002a34: 7ff7f793 andi a5,a5,2047 +80002a38: 00100693 li a3,1 +80002a3c: 01f5d593 srli a1,a1,0x1f +80002a40: 00351713 slli a4,a0,0x3 +80002a44: 0af6d663 ble a5,a3,80002af0 <__truncdfsf2+0xe0> +80002a48: c8080693 addi a3,a6,-896 +80002a4c: 0fe00793 li a5,254 +80002a50: 0cd7c263 blt a5,a3,80002b14 <__truncdfsf2+0x104> +80002a54: 08d04063 bgtz a3,80002ad4 <__truncdfsf2+0xc4> +80002a58: fe900793 li a5,-23 +80002a5c: 12f6c463 blt a3,a5,80002b84 <__truncdfsf2+0x174> +80002a60: 008007b7 lui a5,0x800 +80002a64: 01e00513 li a0,30 +80002a68: 00f66633 or a2,a2,a5 +80002a6c: 40d50533 sub a0,a0,a3 +80002a70: 01f00793 li a5,31 +80002a74: 02a7c863 blt a5,a0,80002aa4 <__truncdfsf2+0x94> +80002a78: c8280813 addi a6,a6,-894 +80002a7c: 010717b3 sll a5,a4,a6 +80002a80: 00f037b3 snez a5,a5 +80002a84: 01061633 sll a2,a2,a6 +80002a88: 00a75533 srl a0,a4,a0 +80002a8c: 00c7e7b3 or a5,a5,a2 +80002a90: 00f567b3 or a5,a0,a5 +80002a94: 00000693 li a3,0 +80002a98: 0077f713 andi a4,a5,7 +80002a9c: 08070063 beqz a4,80002b1c <__truncdfsf2+0x10c> +80002aa0: 0ec0006f j 80002b8c <__truncdfsf2+0x17c> +80002aa4: ffe00793 li a5,-2 +80002aa8: 40d786b3 sub a3,a5,a3 +80002aac: 02000793 li a5,32 +80002ab0: 00d656b3 srl a3,a2,a3 +80002ab4: 00000893 li a7,0 +80002ab8: 00f50663 beq a0,a5,80002ac4 <__truncdfsf2+0xb4> +80002abc: ca280813 addi a6,a6,-862 +80002ac0: 010618b3 sll a7,a2,a6 +80002ac4: 00e8e7b3 or a5,a7,a4 +80002ac8: 00f037b3 snez a5,a5 +80002acc: 00f6e7b3 or a5,a3,a5 +80002ad0: fc5ff06f j 80002a94 <__truncdfsf2+0x84> +80002ad4: 00651513 slli a0,a0,0x6 +80002ad8: 00a03533 snez a0,a0 +80002adc: 00361613 slli a2,a2,0x3 +80002ae0: 01d75793 srli a5,a4,0x1d +80002ae4: 00c56633 or a2,a0,a2 +80002ae8: 00f667b3 or a5,a2,a5 +80002aec: fadff06f j 80002a98 <__truncdfsf2+0x88> +80002af0: 00e667b3 or a5,a2,a4 +80002af4: 00081663 bnez a6,80002b00 <__truncdfsf2+0xf0> +80002af8: 00f037b3 snez a5,a5 +80002afc: f99ff06f j 80002a94 <__truncdfsf2+0x84> +80002b00: 0ff00693 li a3,255 +80002b04: 00078c63 beqz a5,80002b1c <__truncdfsf2+0x10c> +80002b08: 00361613 slli a2,a2,0x3 +80002b0c: 020007b7 lui a5,0x2000 +80002b10: fd9ff06f j 80002ae8 <__truncdfsf2+0xd8> +80002b14: 00000793 li a5,0 +80002b18: 0ff00693 li a3,255 +80002b1c: 00579713 slli a4,a5,0x5 +80002b20: 00075e63 bgez a4,80002b3c <__truncdfsf2+0x12c> +80002b24: 00168693 addi a3,a3,1 +80002b28: 0ff00713 li a4,255 +80002b2c: 06e68a63 beq a3,a4,80002ba0 <__truncdfsf2+0x190> +80002b30: fc000737 lui a4,0xfc000 +80002b34: fff70713 addi a4,a4,-1 # fbffffff <_stack_start+0x7bff9b2f> +80002b38: 00e7f7b3 and a5,a5,a4 +80002b3c: 0ff00713 li a4,255 +80002b40: 0037d793 srli a5,a5,0x3 +80002b44: 00e69863 bne a3,a4,80002b54 <__truncdfsf2+0x144> +80002b48: 00078663 beqz a5,80002b54 <__truncdfsf2+0x144> +80002b4c: 004007b7 lui a5,0x400 +80002b50: 00000593 li a1,0 +80002b54: 00800537 lui a0,0x800 +80002b58: fff50513 addi a0,a0,-1 # 7fffff <_stack_size+0x7ffbff> +80002b5c: 00a7f7b3 and a5,a5,a0 +80002b60: 80800537 lui a0,0x80800 +80002b64: fff50513 addi a0,a0,-1 # 807fffff <_stack_start+0x7f9b2f> +80002b68: 0ff6f693 andi a3,a3,255 +80002b6c: 01769693 slli a3,a3,0x17 +80002b70: 00a7f7b3 and a5,a5,a0 +80002b74: 01f59593 slli a1,a1,0x1f +80002b78: 00d7e7b3 or a5,a5,a3 +80002b7c: 00b7e533 or a0,a5,a1 +80002b80: 00008067 ret +80002b84: 00100793 li a5,1 +80002b88: 00000693 li a3,0 +80002b8c: 00f7f713 andi a4,a5,15 +80002b90: 00400613 li a2,4 +80002b94: f8c704e3 beq a4,a2,80002b1c <__truncdfsf2+0x10c> +80002b98: 00478793 addi a5,a5,4 # 400004 <_stack_size+0x3ffc04> +80002b9c: f81ff06f j 80002b1c <__truncdfsf2+0x10c> +80002ba0: 00000793 li a5,0 +80002ba4: f99ff06f j 80002b3c <__truncdfsf2+0x12c> + +80002ba8 <__mulsi3>: +80002ba8: 00050613 mv a2,a0 +80002bac: 00000513 li a0,0 +80002bb0: 0015f693 andi a3,a1,1 +80002bb4: 00068463 beqz a3,80002bbc <__mulsi3+0x14> +80002bb8: 00c50533 add a0,a0,a2 +80002bbc: 0015d593 srli a1,a1,0x1 +80002bc0: 00161613 slli a2,a2,0x1 +80002bc4: fe0596e3 bnez a1,80002bb0 <__mulsi3+0x8> +80002bc8: 00008067 ret + +80002bcc <__divsi3>: +80002bcc: 06054063 bltz a0,80002c2c <__umodsi3+0x10> +80002bd0: 0605c663 bltz a1,80002c3c <__umodsi3+0x20> + +80002bd4 <__udivsi3>: +80002bd4: 00058613 mv a2,a1 +80002bd8: 00050593 mv a1,a0 +80002bdc: fff00513 li a0,-1 +80002be0: 02060c63 beqz a2,80002c18 <__udivsi3+0x44> +80002be4: 00100693 li a3,1 +80002be8: 00b67a63 bleu a1,a2,80002bfc <__udivsi3+0x28> +80002bec: 00c05863 blez a2,80002bfc <__udivsi3+0x28> +80002bf0: 00161613 slli a2,a2,0x1 +80002bf4: 00169693 slli a3,a3,0x1 +80002bf8: feb66ae3 bltu a2,a1,80002bec <__udivsi3+0x18> +80002bfc: 00000513 li a0,0 +80002c00: 00c5e663 bltu a1,a2,80002c0c <__udivsi3+0x38> +80002c04: 40c585b3 sub a1,a1,a2 +80002c08: 00d56533 or a0,a0,a3 +80002c0c: 0016d693 srli a3,a3,0x1 +80002c10: 00165613 srli a2,a2,0x1 +80002c14: fe0696e3 bnez a3,80002c00 <__udivsi3+0x2c> +80002c18: 00008067 ret + +80002c1c <__umodsi3>: +80002c1c: 00008293 mv t0,ra +80002c20: fb5ff0ef jal ra,80002bd4 <__udivsi3> +80002c24: 00058513 mv a0,a1 +80002c28: 00028067 jr t0 +80002c2c: 40a00533 neg a0,a0 +80002c30: 0005d863 bgez a1,80002c40 <__umodsi3+0x24> +80002c34: 40b005b3 neg a1,a1 +80002c38: f9dff06f j 80002bd4 <__udivsi3> +80002c3c: 40b005b3 neg a1,a1 +80002c40: 00008293 mv t0,ra +80002c44: f91ff0ef jal ra,80002bd4 <__udivsi3> +80002c48: 40a00533 neg a0,a0 +80002c4c: 00028067 jr t0 + +80002c50 <__modsi3>: +80002c50: 00008293 mv t0,ra +80002c54: 0005ca63 bltz a1,80002c68 <__modsi3+0x18> +80002c58: 00054c63 bltz a0,80002c70 <__modsi3+0x20> +80002c5c: f79ff0ef jal ra,80002bd4 <__udivsi3> +80002c60: 00058513 mv a0,a1 +80002c64: 00028067 jr t0 +80002c68: 40b005b3 neg a1,a1 +80002c6c: fe0558e3 bgez a0,80002c5c <__modsi3+0xc> +80002c70: 40a00533 neg a0,a0 +80002c74: f61ff0ef jal ra,80002bd4 <__udivsi3> +80002c78: 40b00533 neg a0,a1 +80002c7c: 00028067 jr t0 + +80002c80 <__clzsi2>: +80002c80: 000107b7 lui a5,0x10 +80002c84: 02f57a63 bleu a5,a0,80002cb8 <__clzsi2+0x38> +80002c88: 0ff00793 li a5,255 +80002c8c: 00a7b7b3 sltu a5,a5,a0 +80002c90: 00379793 slli a5,a5,0x3 +80002c94: 02000713 li a4,32 +80002c98: 40f70733 sub a4,a4,a5 +80002c9c: 00f557b3 srl a5,a0,a5 +80002ca0: 00000517 auipc a0,0x0 +80002ca4: 6fc50513 addi a0,a0,1788 # 8000339c <__clz_tab> +80002ca8: 00f507b3 add a5,a0,a5 +80002cac: 0007c503 lbu a0,0(a5) # 10000 <_stack_size+0xfc00> +80002cb0: 40a70533 sub a0,a4,a0 +80002cb4: 00008067 ret +80002cb8: 01000737 lui a4,0x1000 +80002cbc: 01000793 li a5,16 +80002cc0: fce56ae3 bltu a0,a4,80002c94 <__clzsi2+0x14> +80002cc4: 01800793 li a5,24 +80002cc8: fcdff06f j 80002c94 <__clzsi2+0x14> + +Disassembly of section .text.startup: + +80002ccc
: +int main() { +80002ccc: 1141 addi sp,sp,-16 +80002cce: c606 sw ra,12(sp) + main2(); +80002cd0: d4cfd0ef jal ra,8000021c +} +80002cd4: 40b2 lw ra,12(sp) + TEST_COM_BASE[8] = 0; +80002cd6: f01007b7 lui a5,0xf0100 +80002cda: f207a023 sw zero,-224(a5) # f00fff20 <_stack_start+0x700f9a50> +} +80002cde: 4501 li a0,0 +80002ce0: 0141 addi sp,sp,16 +80002ce2: 8082 ret diff --git a/VexRiscv/src/test/resources/asm/dhrystoneO3MC.asm b/VexRiscv/src/test/resources/asm/dhrystoneO3MC.asm new file mode 100644 index 0000000..076a117 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/dhrystoneO3MC.asm @@ -0,0 +1,3554 @@ + +build/dhrystone.elf: file format elf32-littleriscv + + +Disassembly of section .vector: + +80000000 : +.global crtStart +.global main +.global irqCallback + +crtStart: + j crtInit +80000000: a8ad j 8000007a + nop +80000002: 0001 nop + nop +80000004: 0001 nop + nop +80000006: 0001 nop + nop +80000008: 0001 nop + nop +8000000a: 0001 nop + nop +8000000c: 0001 nop + nop +8000000e: 0001 nop + +80000010 : + +.global trap_entry +trap_entry: + sw x1, - 1*4(sp) +80000010: fe112e23 sw ra,-4(sp) + sw x5, - 2*4(sp) +80000014: fe512c23 sw t0,-8(sp) + sw x6, - 3*4(sp) +80000018: fe612a23 sw t1,-12(sp) + sw x7, - 4*4(sp) +8000001c: fe712823 sw t2,-16(sp) + sw x10, - 5*4(sp) +80000020: fea12623 sw a0,-20(sp) + sw x11, - 6*4(sp) +80000024: feb12423 sw a1,-24(sp) + sw x12, - 7*4(sp) +80000028: fec12223 sw a2,-28(sp) + sw x13, - 8*4(sp) +8000002c: fed12023 sw a3,-32(sp) + sw x14, - 9*4(sp) +80000030: fce12e23 sw a4,-36(sp) + sw x15, -10*4(sp) +80000034: fcf12c23 sw a5,-40(sp) + sw x16, -11*4(sp) +80000038: fd012a23 sw a6,-44(sp) + sw x17, -12*4(sp) +8000003c: fd112823 sw a7,-48(sp) + sw x28, -13*4(sp) +80000040: fdc12623 sw t3,-52(sp) + sw x29, -14*4(sp) +80000044: fdd12423 sw t4,-56(sp) + sw x30, -15*4(sp) +80000048: fde12223 sw t5,-60(sp) + sw x31, -16*4(sp) +8000004c: fdf12023 sw t6,-64(sp) + addi sp,sp,-16*4 +80000050: 7139 addi sp,sp,-64 + call irqCallback +80000052: 28ad jal 800000cc + lw x1 , 15*4(sp) +80000054: 50f2 lw ra,60(sp) + lw x5, 14*4(sp) +80000056: 52e2 lw t0,56(sp) + lw x6, 13*4(sp) +80000058: 5352 lw t1,52(sp) + lw x7, 12*4(sp) +8000005a: 53c2 lw t2,48(sp) + lw x10, 11*4(sp) +8000005c: 5532 lw a0,44(sp) + lw x11, 10*4(sp) +8000005e: 55a2 lw a1,40(sp) + lw x12, 9*4(sp) +80000060: 5612 lw a2,36(sp) + lw x13, 8*4(sp) +80000062: 5682 lw a3,32(sp) + lw x14, 7*4(sp) +80000064: 4772 lw a4,28(sp) + lw x15, 6*4(sp) +80000066: 47e2 lw a5,24(sp) + lw x16, 5*4(sp) +80000068: 4852 lw a6,20(sp) + lw x17, 4*4(sp) +8000006a: 48c2 lw a7,16(sp) + lw x28, 3*4(sp) +8000006c: 4e32 lw t3,12(sp) + lw x29, 2*4(sp) +8000006e: 4ea2 lw t4,8(sp) + lw x30, 1*4(sp) +80000070: 4f12 lw t5,4(sp) + lw x31, 0*4(sp) +80000072: 4f82 lw t6,0(sp) + addi sp,sp,16*4 +80000074: 6121 addi sp,sp,64 + mret +80000076: 30200073 mret + +8000007a : + + +crtInit: + .option push + .option norelax + la gp, __global_pointer$ +8000007a: 00004197 auipc gp,0x4 +8000007e: 82e18193 addi gp,gp,-2002 # 800038a8 <__global_pointer$> + .option pop + la sp, _stack_start +80000082: 00006117 auipc sp,0x6 +80000086: 04e10113 addi sp,sp,78 # 800060d0 <_stack_start> + +8000008a : + +bss_init: + la a0, _bss_start +8000008a: 81c18513 addi a0,gp,-2020 # 800030c4 + la a1, _bss_end +8000008e: 00006597 auipc a1,0x6 +80000092: c3e58593 addi a1,a1,-962 # 80005ccc <_bss_end> + +80000096 : +bss_loop: + beq a0,a1,bss_done +80000096: 00b50663 beq a0,a1,800000a2 + sw zero,0(a0) +8000009a: 00052023 sw zero,0(a0) + add a0,a0,4 +8000009e: 0511 addi a0,a0,4 + j bss_loop +800000a0: bfdd j 80000096 + +800000a2 : +bss_done: + +ctors_init: + la a0, _ctors_start +800000a2: 00003517 auipc a0,0x3 +800000a6: 00250513 addi a0,a0,2 # 800030a4 <_ctors_end> + addi sp,sp,-4 +800000aa: 1171 addi sp,sp,-4 + +800000ac : +ctors_loop: + la a1, _ctors_end +800000ac: 00003597 auipc a1,0x3 +800000b0: ff858593 addi a1,a1,-8 # 800030a4 <_ctors_end> + beq a0,a1,ctors_done +800000b4: 00b50863 beq a0,a1,800000c4 + lw a3,0(a0) +800000b8: 4114 lw a3,0(a0) + add a0,a0,4 +800000ba: 0511 addi a0,a0,4 + sw a0,0(sp) +800000bc: c02a sw a0,0(sp) + jalr a3 +800000be: 9682 jalr a3 + lw a0,0(sp) +800000c0: 4502 lw a0,0(sp) + j ctors_loop +800000c2: b7ed j 800000ac + +800000c4 : +ctors_done: + addi sp,sp,4 +800000c4: 0111 addi sp,sp,4 + //li a0, 0x880 //880 enable timer + external interrupts + //csrw mie,a0 + //li a0, 0x1808 //1808 enable interrupts + //csrw mstatus,a0 + + call main +800000c6: 00f020ef jal ra,800028d4 + +800000ca : +infinitLoop: + j infinitLoop +800000ca: a001 j 800000ca + +Disassembly of section .memory: + +800000cc : +} + + +void irqCallback(int irq){ + +} +800000cc: 8082 ret + +800000ce : + One_Fifty Int_Loc; + Enumeration Enum_Loc; + + Int_Loc = *Int_Par_Ref + 10; + do /* executed once */ + if (Ch_1_Glob == 'A') +800000ce: 8351c703 lbu a4,-1995(gp) # 800030dd +800000d2: 04100793 li a5,65 +800000d6: 00f70363 beq a4,a5,800000dc + Int_Loc -= 1; + *Int_Par_Ref = Int_Loc - Int_Glob; + Enum_Loc = Ident_1; + } /* if */ + while (Enum_Loc != Ident_1); /* true */ +} /* Proc_2 */ +800000da: 8082 ret + Int_Loc -= 1; +800000dc: 411c lw a5,0(a0) + *Int_Par_Ref = Int_Loc - Int_Glob; +800000de: 83c1a703 lw a4,-1988(gp) # 800030e4 + Int_Loc -= 1; +800000e2: 07a5 addi a5,a5,9 + *Int_Par_Ref = Int_Loc - Int_Glob; +800000e4: 8f99 sub a5,a5,a4 +800000e6: c11c sw a5,0(a0) +} /* Proc_2 */ +800000e8: 8082 ret + +800000ea : + /* Ptr_Ref_Par becomes Ptr_Glob */ + +Rec_Pointer *Ptr_Ref_Par; + +{ + if (Ptr_Glob != Null) +800000ea: 8441a603 lw a2,-1980(gp) # 800030ec +800000ee: c609 beqz a2,800000f8 + /* then, executed */ + *Ptr_Ref_Par = Ptr_Glob->Ptr_Comp; +800000f0: 4218 lw a4,0(a2) +800000f2: c118 sw a4,0(a0) +800000f4: 8441a603 lw a2,-1980(gp) # 800030ec + Proc_7 (10, Int_Glob, &Ptr_Glob->variant.var_1.Int_Comp); +800000f8: 83c1a583 lw a1,-1988(gp) # 800030e4 +800000fc: 0631 addi a2,a2,12 +800000fe: 4529 li a0,10 +80000100: 6c80006f j 800007c8 + +80000104 : +{ +80000104: 1141 addi sp,sp,-16 +80000106: c04a sw s2,0(sp) + structassign (*Ptr_Val_Par->Ptr_Comp, *Ptr_Glob); +80000108: 8441a783 lw a5,-1980(gp) # 800030ec +{ +8000010c: c422 sw s0,8(sp) + REG Rec_Pointer Next_Record = Ptr_Val_Par->Ptr_Comp; +8000010e: 4100 lw s0,0(a0) + structassign (*Ptr_Val_Par->Ptr_Comp, *Ptr_Glob); +80000110: 4398 lw a4,0(a5) +{ +80000112: c226 sw s1,4(sp) + structassign (*Ptr_Val_Par->Ptr_Comp, *Ptr_Glob); +80000114: 0047ae83 lw t4,4(a5) +80000118: 0087ae03 lw t3,8(a5) +8000011c: 0107a303 lw t1,16(a5) +80000120: 0147a883 lw a7,20(a5) +80000124: 0187a803 lw a6,24(a5) +80000128: 538c lw a1,32(a5) +8000012a: 53d0 lw a2,36(a5) +8000012c: 5794 lw a3,40(a5) +{ +8000012e: c606 sw ra,12(sp) +80000130: 84aa mv s1,a0 + structassign (*Ptr_Val_Par->Ptr_Comp, *Ptr_Glob); +80000132: 4fc8 lw a0,28(a5) +80000134: 57dc lw a5,44(a5) +80000136: c018 sw a4,0(s0) + Next_Record->Ptr_Comp = Ptr_Val_Par->Ptr_Comp; +80000138: 4098 lw a4,0(s1) + structassign (*Ptr_Val_Par->Ptr_Comp, *Ptr_Glob); +8000013a: cc48 sw a0,28(s0) +8000013c: d45c sw a5,44(s0) +8000013e: 01d42223 sw t4,4(s0) + Ptr_Val_Par->variant.var_1.Int_Comp = 5; +80000142: 4795 li a5,5 + structassign (*Ptr_Val_Par->Ptr_Comp, *Ptr_Glob); +80000144: 01c42423 sw t3,8(s0) +80000148: 00642823 sw t1,16(s0) +8000014c: 01142a23 sw a7,20(s0) +80000150: 01042c23 sw a6,24(s0) +80000154: d00c sw a1,32(s0) +80000156: d050 sw a2,36(s0) +80000158: d414 sw a3,40(s0) + Ptr_Val_Par->variant.var_1.Int_Comp = 5; +8000015a: c4dc sw a5,12(s1) + = Ptr_Val_Par->variant.var_1.Int_Comp; +8000015c: c45c sw a5,12(s0) + Next_Record->Ptr_Comp = Ptr_Val_Par->Ptr_Comp; +8000015e: c018 sw a4,0(s0) + Proc_3 (&Next_Record->Ptr_Comp); +80000160: 8522 mv a0,s0 +80000162: 3761 jal 800000ea + if (Next_Record->Discr == Ident_1) +80000164: 405c lw a5,4(s0) +80000166: cfb1 beqz a5,800001c2 + structassign (*Ptr_Val_Par, *Ptr_Val_Par->Ptr_Comp); +80000168: 409c lw a5,0(s1) +} /* Proc_1 */ +8000016a: 40b2 lw ra,12(sp) +8000016c: 4422 lw s0,8(sp) + structassign (*Ptr_Val_Par, *Ptr_Val_Par->Ptr_Comp); +8000016e: 0007af83 lw t6,0(a5) +80000172: 0047af03 lw t5,4(a5) +80000176: 0087ae83 lw t4,8(a5) +8000017a: 00c7ae03 lw t3,12(a5) +8000017e: 0107a303 lw t1,16(a5) +80000182: 0147a883 lw a7,20(a5) +80000186: 0187a803 lw a6,24(a5) +8000018a: 4fcc lw a1,28(a5) +8000018c: 5390 lw a2,32(a5) +8000018e: 53d4 lw a3,36(a5) +80000190: 5798 lw a4,40(a5) +80000192: 57dc lw a5,44(a5) +80000194: 01f4a023 sw t6,0(s1) +80000198: 01e4a223 sw t5,4(s1) +8000019c: 01d4a423 sw t4,8(s1) +800001a0: 01c4a623 sw t3,12(s1) +800001a4: 0064a823 sw t1,16(s1) +800001a8: 0114aa23 sw a7,20(s1) +800001ac: 0104ac23 sw a6,24(s1) +800001b0: cccc sw a1,28(s1) +800001b2: d090 sw a2,32(s1) +800001b4: d0d4 sw a3,36(s1) +800001b6: d498 sw a4,40(s1) +800001b8: d4dc sw a5,44(s1) +} /* Proc_1 */ +800001ba: 4902 lw s2,0(sp) +800001bc: 4492 lw s1,4(sp) +800001be: 0141 addi sp,sp,16 +800001c0: 8082 ret + Proc_6 (Ptr_Val_Par->variant.var_1.Enum_Comp, +800001c2: 4488 lw a0,8(s1) + Next_Record->variant.var_1.Int_Comp = 6; +800001c4: 4799 li a5,6 + Proc_6 (Ptr_Val_Par->variant.var_1.Enum_Comp, +800001c6: 00840593 addi a1,s0,8 + Next_Record->variant.var_1.Int_Comp = 6; +800001ca: c45c sw a5,12(s0) + Proc_6 (Ptr_Val_Par->variant.var_1.Enum_Comp, +800001cc: 69e000ef jal ra,8000086a + Next_Record->Ptr_Comp = Ptr_Glob->Ptr_Comp; +800001d0: 8441a783 lw a5,-1980(gp) # 800030ec + Proc_7 (Next_Record->variant.var_1.Int_Comp, 10, +800001d4: 4448 lw a0,12(s0) +800001d6: 00c40613 addi a2,s0,12 + Next_Record->Ptr_Comp = Ptr_Glob->Ptr_Comp; +800001da: 439c lw a5,0(a5) +} /* Proc_1 */ +800001dc: 40b2 lw ra,12(sp) +800001de: 4492 lw s1,4(sp) + Next_Record->Ptr_Comp = Ptr_Glob->Ptr_Comp; +800001e0: c01c sw a5,0(s0) +} /* Proc_1 */ +800001e2: 4422 lw s0,8(sp) +800001e4: 4902 lw s2,0(sp) + Proc_7 (Next_Record->variant.var_1.Int_Comp, 10, +800001e6: 45a9 li a1,10 +} /* Proc_1 */ +800001e8: 0141 addi sp,sp,16 + Proc_7 (Next_Record->variant.var_1.Int_Comp, 10, +800001ea: 5de0006f j 800007c8 + +800001ee : +/*******/ + /* executed once */ +{ + Boolean Bool_Loc; + + Bool_Loc = Ch_1_Glob == 'A'; +800001ee: 8351c783 lbu a5,-1995(gp) # 800030dd + Bool_Glob = Bool_Loc | Bool_Glob; +800001f2: 8381a683 lw a3,-1992(gp) # 800030e0 + Bool_Loc = Ch_1_Glob == 'A'; +800001f6: fbf78793 addi a5,a5,-65 +800001fa: 0017b793 seqz a5,a5 + Bool_Glob = Bool_Loc | Bool_Glob; +800001fe: 8fd5 or a5,a5,a3 +80000200: 82f1ac23 sw a5,-1992(gp) # 800030e0 + Ch_2_Glob = 'B'; +80000204: 04200713 li a4,66 +80000208: 82e18a23 sb a4,-1996(gp) # 800030dc +} /* Proc_4 */ +8000020c: 8082 ret + +8000020e : + +Proc_5 () /* without parameters */ +/*******/ + /* executed once */ +{ + Ch_1_Glob = 'A'; +8000020e: 04100713 li a4,65 +80000212: 82e18aa3 sb a4,-1995(gp) # 800030dd + Bool_Glob = false; +80000216: 8201ac23 sw zero,-1992(gp) # 800030e0 +} /* Proc_5 */ +8000021a: 8082 ret + +8000021c : +{ +8000021c: 7135 addi sp,sp,-160 + Next_Ptr_Glob = (Rec_Pointer) malloc (sizeof (Rec_Type)); +8000021e: 03000513 li a0,48 +{ +80000222: cf06 sw ra,156(sp) +80000224: cd22 sw s0,152(sp) +80000226: dae6 sw s9,116(sp) +80000228: d6ee sw s11,108(sp) +8000022a: cb26 sw s1,148(sp) +8000022c: c94a sw s2,144(sp) +8000022e: c74e sw s3,140(sp) +80000230: c552 sw s4,136(sp) +80000232: c356 sw s5,132(sp) +80000234: c15a sw s6,128(sp) +80000236: dede sw s7,124(sp) +80000238: dce2 sw s8,120(sp) +8000023a: d8ea sw s10,112(sp) + Next_Ptr_Glob = (Rec_Pointer) malloc (sizeof (Rec_Type)); +8000023c: 714000ef jal ra,80000950 +80000240: 84a1a023 sw a0,-1984(gp) # 800030e8 + Ptr_Glob = (Rec_Pointer) malloc (sizeof (Rec_Type)); +80000244: 03000513 li a0,48 +80000248: 708000ef jal ra,80000950 + Ptr_Glob->Ptr_Comp = Next_Ptr_Glob; +8000024c: 8401a783 lw a5,-1984(gp) # 800030e8 + Ptr_Glob = (Rec_Pointer) malloc (sizeof (Rec_Type)); +80000250: 84a1a223 sw a0,-1980(gp) # 800030ec + Ptr_Glob->Ptr_Comp = Next_Ptr_Glob; +80000254: c11c sw a5,0(a0) + Ptr_Glob->variant.var_1.Enum_Comp = Ident_3; +80000256: 4789 li a5,2 +80000258: c51c sw a5,8(a0) + strcpy (Ptr_Glob->variant.var_1.Str_Comp, +8000025a: 800035b7 lui a1,0x80003 + Ptr_Glob->variant.var_1.Int_Comp = 40; +8000025e: 02800793 li a5,40 +80000262: c55c sw a5,12(a0) + strcpy (Ptr_Glob->variant.var_1.Str_Comp, +80000264: 467d li a2,31 +80000266: 8ec58593 addi a1,a1,-1812 # 800028ec <_stack_start+0xffffc81c> + Ptr_Glob->Discr = Ident_1; +8000026a: 00052223 sw zero,4(a0) + strcpy (Ptr_Glob->variant.var_1.Str_Comp, +8000026e: 0541 addi a0,a0,16 +80000270: 7e4000ef jal ra,80000a54 + strcpy (Str_1_Loc, "DHRYSTONE PROGRAM, 1'ST STRING"); +80000274: 80003737 lui a4,0x80003 +80000278: e5470793 addi a5,a4,-428 # 80002e54 <_stack_start+0xffffcd84> +8000027c: e5472e03 lw t3,-428(a4) +80000280: 0047a303 lw t1,4(a5) +80000284: 0087a883 lw a7,8(a5) +80000288: 00c7a803 lw a6,12(a5) +8000028c: 4b8c lw a1,16(a5) +8000028e: 4bd0 lw a2,20(a5) +80000290: 4f94 lw a3,24(a5) +80000292: 01c7d703 lhu a4,28(a5) +80000296: 01e7c783 lbu a5,30(a5) + Arr_2_Glob [8][7] = 10; +8000029a: 80003db7 lui s11,0x80003 + strcpy (Str_1_Loc, "DHRYSTONE PROGRAM, 1'ST STRING"); +8000029e: 02e11e23 sh a4,60(sp) +800002a2: 02f10f23 sb a5,62(sp) + Arr_2_Glob [8][7] = 10; +800002a6: 1bcd8713 addi a4,s11,444 # 800031bc <_stack_start+0xffffd0ec> +800002aa: 47a9 li a5,10 + printf ("\n"); +800002ac: 4529 li a0,10 + Arr_2_Glob [8][7] = 10; +800002ae: 64f72e23 sw a5,1628(a4) + strcpy (Str_1_Loc, "DHRYSTONE PROGRAM, 1'ST STRING"); +800002b2: d072 sw t3,32(sp) +800002b4: d21a sw t1,36(sp) +800002b6: d446 sw a7,40(sp) +800002b8: d642 sw a6,44(sp) +800002ba: d82e sw a1,48(sp) +800002bc: da32 sw a2,52(sp) +800002be: dc36 sw a3,56(sp) + printf ("\n"); +800002c0: 77e000ef jal ra,80000a3e + printf ("Dhrystone Benchmark, Version 2.1 (Language: C)\n"); +800002c4: 80003537 lui a0,0x80003 +800002c8: 90c50513 addi a0,a0,-1780 # 8000290c <_stack_start+0xffffc83c> +800002cc: 74c000ef jal ra,80000a18 + printf ("\n"); +800002d0: 4529 li a0,10 +800002d2: 76c000ef jal ra,80000a3e + if (Reg) +800002d6: 8301a783 lw a5,-2000(gp) # 800030d8 +800002da: 4a078e63 beqz a5,80000796 + printf ("Program compiled with 'register' attribute\n"); +800002de: 80003537 lui a0,0x80003 +800002e2: 93c50513 addi a0,a0,-1732 # 8000293c <_stack_start+0xffffc86c> +800002e6: 732000ef jal ra,80000a18 + printf ("\n"); +800002ea: 4529 li a0,10 +800002ec: 752000ef jal ra,80000a3e + printf ("Please give the number of runs through the benchmark: "); +800002f0: 80003537 lui a0,0x80003 +800002f4: 99850513 addi a0,a0,-1640 # 80002998 <_stack_start+0xffffc8c8> +800002f8: 67a000ef jal ra,80000972 + printf ("\n"); +800002fc: 4529 li a0,10 +800002fe: 740000ef jal ra,80000a3e + printf ("Execution starts, %d runs through Dhrystone\n", Number_Of_Runs); +80000302: 80003537 lui a0,0x80003 +80000306: 0c800593 li a1,200 +8000030a: 9d050513 addi a0,a0,-1584 # 800029d0 <_stack_start+0xffffc900> +8000030e: 664000ef jal ra,80000972 + Begin_Time = clock(); +80000312: 736000ef jal ra,80000a48 +80000316: 80003437 lui s0,0x80003 + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 2'ND STRING"); +8000031a: e7442783 lw a5,-396(s0) # 80002e74 <_stack_start+0xffffcda4> + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 3'RD STRING"); +8000031e: 80003d37 lui s10,0x80003 +80000322: e94d2c03 lw s8,-364(s10) # 80002e94 <_stack_start+0xffffcdc4> + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 2'ND STRING"); +80000326: c63e sw a5,12(sp) + Begin_Time = clock(); +80000328: 82a1a623 sw a0,-2004(gp) # 800030d4 + for (Run_Index = 1; Run_Index <= Number_Of_Runs; ++Run_Index) +8000032c: 4985 li s3,1 +8000032e: e7440413 addi s0,s0,-396 + Int_1_Loc = 2; +80000332: 4489 li s1,2 + Proc_5(); +80000334: 3de9 jal 8000020e + Proc_4(); +80000336: 3d65 jal 800001ee + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 2'ND STRING"); +80000338: 01e44783 lbu a5,30(s0) +8000033c: 4850 lw a2,20(s0) +8000033e: 00442e03 lw t3,4(s0) +80000342: 00842303 lw t1,8(s0) +80000346: 00c42883 lw a7,12(s0) +8000034a: 01042803 lw a6,16(s0) +8000034e: 4c14 lw a3,24(s0) +80000350: 01c45703 lhu a4,28(s0) +80000354: 4eb2 lw t4,12(sp) +80000356: 04f10f23 sb a5,94(sp) + Bool_Glob = ! Func_2 (Str_1_Loc, Str_2_Loc); +8000035a: 008c addi a1,sp,64 + Enum_Loc = Ident_2; +8000035c: 4785 li a5,1 + Bool_Glob = ! Func_2 (Str_1_Loc, Str_2_Loc); +8000035e: 1008 addi a0,sp,32 + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 2'ND STRING"); +80000360: cab2 sw a2,84(sp) + Enum_Loc = Ident_2; +80000362: ce3e sw a5,28(sp) + Int_1_Loc = 2; +80000364: ca26 sw s1,20(sp) + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 2'ND STRING"); +80000366: c0f6 sw t4,64(sp) +80000368: c2f2 sw t3,68(sp) +8000036a: c49a sw t1,72(sp) +8000036c: c6c6 sw a7,76(sp) +8000036e: c8c2 sw a6,80(sp) +80000370: ccb6 sw a3,88(sp) +80000372: 04e11e23 sh a4,92(sp) + Bool_Glob = ! Func_2 (Str_1_Loc, Str_2_Loc); +80000376: 2955 jal 8000082a + while (Int_1_Loc < Int_2_Loc) /* loop body executed once */ +80000378: 4652 lw a2,20(sp) + Bool_Glob = ! Func_2 (Str_1_Loc, Str_2_Loc); +8000037a: 00153513 seqz a0,a0 +8000037e: 82a1ac23 sw a0,-1992(gp) # 800030e0 + while (Int_1_Loc < Int_2_Loc) /* loop body executed once */ +80000382: 02c4c063 blt s1,a2,800003a2 + Int_3_Loc = 5 * Int_1_Loc - Int_2_Loc; +80000386: 00261793 slli a5,a2,0x2 +8000038a: 97b2 add a5,a5,a2 +8000038c: 17f5 addi a5,a5,-3 + Proc_7 (Int_1_Loc, Int_2_Loc, &Int_3_Loc); +8000038e: 8532 mv a0,a2 +80000390: 458d li a1,3 +80000392: 0830 addi a2,sp,24 + Int_3_Loc = 5 * Int_1_Loc - Int_2_Loc; +80000394: cc3e sw a5,24(sp) + Proc_7 (Int_1_Loc, Int_2_Loc, &Int_3_Loc); +80000396: 290d jal 800007c8 + Int_1_Loc += 1; +80000398: 4652 lw a2,20(sp) +8000039a: 0605 addi a2,a2,1 +8000039c: ca32 sw a2,20(sp) + while (Int_1_Loc < Int_2_Loc) /* loop body executed once */ +8000039e: fec4d4e3 ble a2,s1,80000386 + Proc_8 (Arr_1_Glob, Arr_2_Glob, Int_1_Loc, Int_3_Loc); +800003a2: 46e2 lw a3,24(sp) +800003a4: 84c18513 addi a0,gp,-1972 # 800030f4 +800003a8: 1bcd8593 addi a1,s11,444 +800003ac: 2115 jal 800007d0 + Proc_1 (Ptr_Glob); +800003ae: 8441a503 lw a0,-1980(gp) # 800030ec + for (Ch_Index = 'A'; Ch_Index <= Ch_2_Glob; ++Ch_Index) +800003b2: 04100a93 li s5,65 + Int_2_Loc = 3; +800003b6: 4a0d li s4,3 + Proc_1 (Ptr_Glob); +800003b8: 33b1 jal 80000104 + for (Ch_Index = 'A'; Ch_Index <= Ch_2_Glob; ++Ch_Index) +800003ba: 8341c703 lbu a4,-1996(gp) # 800030dc +800003be: 04000793 li a5,64 + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 3'RD STRING"); +800003c2: e94d0b13 addi s6,s10,-364 + for (Ch_Index = 'A'; Ch_Index <= Ch_2_Glob; ++Ch_Index) +800003c6: 02e7f163 bleu a4,a5,800003e8 + if (Enum_Loc == Func_1 (Ch_Index, 'C')) +800003ca: 8556 mv a0,s5 +800003cc: 04300593 li a1,67 +800003d0: 2189 jal 80000812 +800003d2: 47f2 lw a5,28(sp) + for (Ch_Index = 'A'; Ch_Index <= Ch_2_Glob; ++Ch_Index) +800003d4: 001a8713 addi a4,s5,1 + if (Enum_Loc == Func_1 (Ch_Index, 'C')) +800003d8: 36f50663 beq a0,a5,80000744 + for (Ch_Index = 'A'; Ch_Index <= Ch_2_Glob; ++Ch_Index) +800003dc: 8341c783 lbu a5,-1996(gp) # 800030dc +800003e0: 0ff77a93 andi s5,a4,255 +800003e4: ff57f3e3 bleu s5,a5,800003ca + Int_2_Loc = Int_2_Loc * Int_1_Loc; +800003e8: 47d2 lw a5,20(sp) + Int_1_Loc = Int_2_Loc / Int_3_Loc; +800003ea: 4b62 lw s6,24(sp) + Proc_2 (&Int_1_Loc); +800003ec: 0848 addi a0,sp,20 + Int_2_Loc = Int_2_Loc * Int_1_Loc; +800003ee: 02fa0a33 mul s4,s4,a5 + for (Run_Index = 1; Run_Index <= Number_Of_Runs; ++Run_Index) +800003f2: 0985 addi s3,s3,1 + Int_1_Loc = Int_2_Loc / Int_3_Loc; +800003f4: 036a4ab3 div s5,s4,s6 +800003f8: ca56 sw s5,20(sp) + Proc_2 (&Int_1_Loc); +800003fa: 39d1 jal 800000ce + for (Run_Index = 1; Run_Index <= Number_Of_Runs; ++Run_Index) +800003fc: 0c900793 li a5,201 +80000400: f2f99ae3 bne s3,a5,80000334 + End_Time = clock(); +80000404: 644000ef jal ra,80000a48 +80000408: 82a1a423 sw a0,-2008(gp) # 800030d0 + printf ("Execution ends\n"); +8000040c: 80003537 lui a0,0x80003 +80000410: a0050513 addi a0,a0,-1536 # 80002a00 <_stack_start+0xffffc930> +80000414: 604000ef jal ra,80000a18 + printf ("\n"); +80000418: 4529 li a0,10 +8000041a: 624000ef jal ra,80000a3e + printf ("Final values of the variables used in the benchmark:\n"); +8000041e: 80003537 lui a0,0x80003 +80000422: a1050513 addi a0,a0,-1520 # 80002a10 <_stack_start+0xffffc940> +80000426: 5f2000ef jal ra,80000a18 + printf ("\n"); +8000042a: 4529 li a0,10 +8000042c: 612000ef jal ra,80000a3e + printf ("Int_Glob: %d\n", Int_Glob); +80000430: 83c1a583 lw a1,-1988(gp) # 800030e4 +80000434: 80003537 lui a0,0x80003 +80000438: a4850513 addi a0,a0,-1464 # 80002a48 <_stack_start+0xffffc978> + printf (" should be: %d\n", 5); +8000043c: 80003437 lui s0,0x80003 + printf ("Int_Glob: %d\n", Int_Glob); +80000440: 2b0d jal 80000972 + printf (" should be: %d\n", 5); +80000442: 4595 li a1,5 +80000444: a6440513 addi a0,s0,-1436 # 80002a64 <_stack_start+0xffffc994> +80000448: 232d jal 80000972 + printf ("Bool_Glob: %d\n", Bool_Glob); +8000044a: 8381a583 lw a1,-1992(gp) # 800030e0 +8000044e: 80003537 lui a0,0x80003 +80000452: a8050513 addi a0,a0,-1408 # 80002a80 <_stack_start+0xffffc9b0> +80000456: 2b31 jal 80000972 + printf (" should be: %d\n", 1); +80000458: 4585 li a1,1 +8000045a: a6440513 addi a0,s0,-1436 +8000045e: 2b11 jal 80000972 + printf ("Ch_1_Glob: %c\n", Ch_1_Glob); +80000460: 8351c583 lbu a1,-1995(gp) # 800030dd +80000464: 80003537 lui a0,0x80003 +80000468: a9c50513 addi a0,a0,-1380 # 80002a9c <_stack_start+0xffffc9cc> +8000046c: 2319 jal 80000972 + printf (" should be: %c\n", 'A'); +8000046e: 800034b7 lui s1,0x80003 +80000472: 04100593 li a1,65 +80000476: ab848513 addi a0,s1,-1352 # 80002ab8 <_stack_start+0xffffc9e8> +8000047a: 29e5 jal 80000972 + printf ("Ch_2_Glob: %c\n", Ch_2_Glob); +8000047c: 8341c583 lbu a1,-1996(gp) # 800030dc +80000480: 80003537 lui a0,0x80003 +80000484: ad450513 addi a0,a0,-1324 # 80002ad4 <_stack_start+0xffffca04> +80000488: 21ed jal 80000972 + printf (" should be: %c\n", 'B'); +8000048a: 04200593 li a1,66 +8000048e: ab848513 addi a0,s1,-1352 +80000492: 21c5 jal 80000972 + printf ("Arr_1_Glob[8]: %d\n", Arr_1_Glob[8]); +80000494: 84c18793 addi a5,gp,-1972 # 800030f4 +80000498: 538c lw a1,32(a5) +8000049a: 80003537 lui a0,0x80003 +8000049e: af050513 addi a0,a0,-1296 # 80002af0 <_stack_start+0xffffca20> +800004a2: 29c1 jal 80000972 + printf (" should be: %d\n", 7); +800004a4: 459d li a1,7 +800004a6: a6440513 addi a0,s0,-1436 +800004aa: 21e1 jal 80000972 + printf ("Arr_2_Glob[8][7]: %d\n", Arr_2_Glob[8][7]); +800004ac: 800037b7 lui a5,0x80003 +800004b0: 1bc78793 addi a5,a5,444 # 800031bc <_stack_start+0xffffd0ec> +800004b4: 65c7a583 lw a1,1628(a5) +800004b8: 80003537 lui a0,0x80003 +800004bc: b0c50513 addi a0,a0,-1268 # 80002b0c <_stack_start+0xffffca3c> +800004c0: 294d jal 80000972 + printf (" should be: Number_Of_Runs + 10\n"); +800004c2: 80003537 lui a0,0x80003 +800004c6: b2850513 addi a0,a0,-1240 # 80002b28 <_stack_start+0xffffca58> +800004ca: 54e000ef jal ra,80000a18 + printf ("Ptr_Glob->\n"); +800004ce: 80003537 lui a0,0x80003 +800004d2: b5450513 addi a0,a0,-1196 # 80002b54 <_stack_start+0xffffca84> +800004d6: 542000ef jal ra,80000a18 + printf (" Ptr_Comp: %d\n", (int) Ptr_Glob->Ptr_Comp); +800004da: 8441a703 lw a4,-1980(gp) # 800030ec +800004de: 800037b7 lui a5,0x80003 +800004e2: b6078513 addi a0,a5,-1184 # 80002b60 <_stack_start+0xffffca90> +800004e6: 430c lw a1,0(a4) +800004e8: c63e sw a5,12(sp) + printf (" Discr: %d\n", Ptr_Glob->Discr); +800004ea: 80003d37 lui s10,0x80003 + printf (" Ptr_Comp: %d\n", (int) Ptr_Glob->Ptr_Comp); +800004ee: 2151 jal 80000972 + printf (" should be: (implementation-dependent)\n"); +800004f0: 80003537 lui a0,0x80003 +800004f4: b7c50513 addi a0,a0,-1156 # 80002b7c <_stack_start+0xffffcaac> +800004f8: 2305 jal 80000a18 + printf (" Discr: %d\n", Ptr_Glob->Discr); +800004fa: 8441a703 lw a4,-1980(gp) # 800030ec +800004fe: bacd0513 addi a0,s10,-1108 # 80002bac <_stack_start+0xffffcadc> + printf (" Enum_Comp: %d\n", Ptr_Glob->variant.var_1.Enum_Comp); +80000502: 80003c37 lui s8,0x80003 + printf (" Discr: %d\n", Ptr_Glob->Discr); +80000506: 434c lw a1,4(a4) + printf (" Int_Comp: %d\n", Ptr_Glob->variant.var_1.Int_Comp); +80000508: 80003bb7 lui s7,0x80003 + printf (" Str_Comp: %s\n", Ptr_Glob->variant.var_1.Str_Comp); +8000050c: 800039b7 lui s3,0x80003 + printf (" Discr: %d\n", Ptr_Glob->Discr); +80000510: 218d jal 80000972 + printf (" should be: %d\n", 0); +80000512: 4581 li a1,0 +80000514: a6440513 addi a0,s0,-1436 +80000518: 29a9 jal 80000972 + printf (" Enum_Comp: %d\n", Ptr_Glob->variant.var_1.Enum_Comp); +8000051a: 8441a703 lw a4,-1980(gp) # 800030ec +8000051e: bc8c0513 addi a0,s8,-1080 # 80002bc8 <_stack_start+0xffffcaf8> + printf (" should be: DHRYSTONE PROGRAM, SOME STRING\n"); +80000522: 80003937 lui s2,0x80003 + printf (" Enum_Comp: %d\n", Ptr_Glob->variant.var_1.Enum_Comp); +80000526: 470c lw a1,8(a4) + Int_2_Loc = 7 * (Int_2_Loc - Int_3_Loc) - Int_1_Loc; +80000528: 416a0a33 sub s4,s4,s6 + printf (" Enum_Comp: %d\n", Ptr_Glob->variant.var_1.Enum_Comp); +8000052c: 2199 jal 80000972 + printf (" should be: %d\n", 2); +8000052e: 4589 li a1,2 +80000530: a6440513 addi a0,s0,-1436 +80000534: 293d jal 80000972 + printf (" Int_Comp: %d\n", Ptr_Glob->variant.var_1.Int_Comp); +80000536: 8441a703 lw a4,-1980(gp) # 800030ec +8000053a: be4b8513 addi a0,s7,-1052 # 80002be4 <_stack_start+0xffffcb14> +8000053e: 474c lw a1,12(a4) +80000540: 290d jal 80000972 + printf (" should be: %d\n", 17); +80000542: 45c5 li a1,17 +80000544: a6440513 addi a0,s0,-1436 +80000548: 212d jal 80000972 + printf (" Str_Comp: %s\n", Ptr_Glob->variant.var_1.Str_Comp); +8000054a: 8441a583 lw a1,-1980(gp) # 800030ec +8000054e: c0098513 addi a0,s3,-1024 # 80002c00 <_stack_start+0xffffcb30> +80000552: 05c1 addi a1,a1,16 +80000554: 2939 jal 80000972 + printf (" should be: DHRYSTONE PROGRAM, SOME STRING\n"); +80000556: c1c90513 addi a0,s2,-996 # 80002c1c <_stack_start+0xffffcb4c> +8000055a: 297d jal 80000a18 + printf ("Next_Ptr_Glob->\n"); +8000055c: 80003537 lui a0,0x80003 +80000560: c5050513 addi a0,a0,-944 # 80002c50 <_stack_start+0xffffcb80> +80000564: 2955 jal 80000a18 + printf (" Ptr_Comp: %d\n", (int) Next_Ptr_Glob->Ptr_Comp); +80000566: 8401a703 lw a4,-1984(gp) # 800030e8 +8000056a: 47b2 lw a5,12(sp) +8000056c: 430c lw a1,0(a4) +8000056e: b6078513 addi a0,a5,-1184 +80000572: 2101 jal 80000972 + printf (" should be: (implementation-dependent), same as above\n"); +80000574: 80003537 lui a0,0x80003 +80000578: c6050513 addi a0,a0,-928 # 80002c60 <_stack_start+0xffffcb90> +8000057c: 2971 jal 80000a18 + printf (" Discr: %d\n", Next_Ptr_Glob->Discr); +8000057e: 8401a783 lw a5,-1984(gp) # 800030e8 +80000582: bacd0513 addi a0,s10,-1108 +80000586: 43cc lw a1,4(a5) +80000588: 26ed jal 80000972 + printf (" should be: %d\n", 0); +8000058a: 4581 li a1,0 +8000058c: a6440513 addi a0,s0,-1436 +80000590: 26cd jal 80000972 + printf (" Enum_Comp: %d\n", Next_Ptr_Glob->variant.var_1.Enum_Comp); +80000592: 8401a783 lw a5,-1984(gp) # 800030e8 +80000596: bc8c0513 addi a0,s8,-1080 +8000059a: 478c lw a1,8(a5) +8000059c: 2ed9 jal 80000972 + printf (" should be: %d\n", 1); +8000059e: 4585 li a1,1 +800005a0: a6440513 addi a0,s0,-1436 +800005a4: 26f9 jal 80000972 + printf (" Int_Comp: %d\n", Next_Ptr_Glob->variant.var_1.Int_Comp); +800005a6: 8401a783 lw a5,-1984(gp) # 800030e8 +800005aa: be4b8513 addi a0,s7,-1052 +800005ae: 47cc lw a1,12(a5) +800005b0: 26c9 jal 80000972 + printf (" should be: %d\n", 18); +800005b2: 45c9 li a1,18 +800005b4: a6440513 addi a0,s0,-1436 +800005b8: 2e6d jal 80000972 + printf (" Str_Comp: %s\n", +800005ba: 8401a583 lw a1,-1984(gp) # 800030e8 +800005be: c0098513 addi a0,s3,-1024 +800005c2: 05c1 addi a1,a1,16 +800005c4: 267d jal 80000972 + printf (" should be: DHRYSTONE PROGRAM, SOME STRING\n"); +800005c6: c1c90513 addi a0,s2,-996 +800005ca: 21b9 jal 80000a18 + printf ("Int_1_Loc: %d\n", Int_1_Loc); +800005cc: 45d2 lw a1,20(sp) +800005ce: 80003537 lui a0,0x80003 +800005d2: ca050513 addi a0,a0,-864 # 80002ca0 <_stack_start+0xffffcbd0> +800005d6: 2e71 jal 80000972 + printf (" should be: %d\n", 5); +800005d8: 4595 li a1,5 +800005da: a6440513 addi a0,s0,-1436 +800005de: 2e51 jal 80000972 + Int_2_Loc = 7 * (Int_2_Loc - Int_3_Loc) - Int_1_Loc; +800005e0: 003a1793 slli a5,s4,0x3 +800005e4: 41478a33 sub s4,a5,s4 + printf ("Int_2_Loc: %d\n", Int_2_Loc); +800005e8: 80003537 lui a0,0x80003 +800005ec: 415a05b3 sub a1,s4,s5 +800005f0: cbc50513 addi a0,a0,-836 # 80002cbc <_stack_start+0xffffcbec> +800005f4: 2ebd jal 80000972 + printf (" should be: %d\n", 13); +800005f6: 45b5 li a1,13 +800005f8: a6440513 addi a0,s0,-1436 +800005fc: 2e9d jal 80000972 + printf ("Int_3_Loc: %d\n", Int_3_Loc); +800005fe: 45e2 lw a1,24(sp) +80000600: 80003537 lui a0,0x80003 +80000604: cd850513 addi a0,a0,-808 # 80002cd8 <_stack_start+0xffffcc08> +80000608: 26ad jal 80000972 + printf (" should be: %d\n", 7); +8000060a: 459d li a1,7 +8000060c: a6440513 addi a0,s0,-1436 +80000610: 268d jal 80000972 + printf ("Enum_Loc: %d\n", Enum_Loc); +80000612: 45f2 lw a1,28(sp) +80000614: 80003537 lui a0,0x80003 +80000618: cf450513 addi a0,a0,-780 # 80002cf4 <_stack_start+0xffffcc24> +8000061c: 2e99 jal 80000972 + printf (" should be: %d\n", 1); +8000061e: 4585 li a1,1 +80000620: a6440513 addi a0,s0,-1436 +80000624: 26b9 jal 80000972 + printf ("Str_1_Loc: %s\n", Str_1_Loc); +80000626: 80003537 lui a0,0x80003 +8000062a: 100c addi a1,sp,32 +8000062c: d1050513 addi a0,a0,-752 # 80002d10 <_stack_start+0xffffcc40> +80000630: 2689 jal 80000972 + printf (" should be: DHRYSTONE PROGRAM, 1'ST STRING\n"); +80000632: 80003537 lui a0,0x80003 +80000636: d2c50513 addi a0,a0,-724 # 80002d2c <_stack_start+0xffffcc5c> +8000063a: 2ef9 jal 80000a18 + printf ("Str_2_Loc: %s\n", Str_2_Loc); +8000063c: 80003537 lui a0,0x80003 +80000640: 008c addi a1,sp,64 +80000642: d6050513 addi a0,a0,-672 # 80002d60 <_stack_start+0xffffcc90> +80000646: 2635 jal 80000972 + printf (" should be: DHRYSTONE PROGRAM, 2'ND STRING\n"); +80000648: 80003537 lui a0,0x80003 +8000064c: d7c50513 addi a0,a0,-644 # 80002d7c <_stack_start+0xffffccac> +80000650: 26e1 jal 80000a18 + printf ("\n"); +80000652: 4529 li a0,10 +80000654: 26ed jal 80000a3e + User_Time = End_Time - Begin_Time; +80000656: 82c1a703 lw a4,-2004(gp) # 800030d4 +8000065a: 8281a583 lw a1,-2008(gp) # 800030d0 + if (User_Time < Too_Small_Time) +8000065e: 1f300793 li a5,499 + User_Time = End_Time - Begin_Time; +80000662: 8d99 sub a1,a1,a4 +80000664: 82b1a223 sw a1,-2012(gp) # 800030cc + if (User_Time < Too_Small_Time) +80000668: 12b7df63 ble a1,a5,800007a6 + printf ("Clock cycles=%d \n", User_Time); +8000066c: 80003537 lui a0,0x80003 +80000670: e0850513 addi a0,a0,-504 # 80002e08 <_stack_start+0xffffcd38> +80000674: 2cfd jal 80000972 + Microseconds = (float) User_Time * Mic_secs_Per_Second +80000676: 8241a503 lw a0,-2012(gp) # 800030cc +8000067a: 647010ef jal ra,800024c0 <__floatsisf> +8000067e: 842a mv s0,a0 +80000680: 765010ef jal ra,800025e4 <__extendsfdf2> +80000684: 800037b7 lui a5,0x80003 +80000688: 0a87a603 lw a2,168(a5) # 800030a8 <_stack_start+0xffffcfd8> +8000068c: 0ac7a683 lw a3,172(a5) +80000690: 509000ef jal ra,80001398 <__muldf3> + / ((float) CORE_HZ * ((float) Number_Of_Runs)); +80000694: 800037b7 lui a5,0x80003 +80000698: 0b07a603 lw a2,176(a5) # 800030b0 <_stack_start+0xffffcfe0> +8000069c: 0b47a683 lw a3,180(a5) +800006a0: 618000ef jal ra,80000cb8 <__divdf3> +800006a4: 04c020ef jal ra,800026f0 <__truncdfsf2> +800006a8: 82a1a023 sw a0,-2016(gp) # 800030c8 + / (float) User_Time; +800006ac: 800037b7 lui a5,0x80003 +800006b0: 0b87a503 lw a0,184(a5) # 800030b8 <_stack_start+0xffffcfe8> +800006b4: 85a2 mv a1,s0 +800006b6: 2be010ef jal ra,80001974 <__divsf3> + Dhrystones_Per_Second = ((float) CORE_HZ * (float) Number_Of_Runs) +800006ba: 80a1ae23 sw a0,-2020(gp) # 800030c4 + printf ("DMIPS per Mhz: "); +800006be: 80003537 lui a0,0x80003 +800006c2: e1c50513 addi a0,a0,-484 # 80002e1c <_stack_start+0xffffcd4c> +800006c6: 2475 jal 80000972 + float dmips = (1e6f/1757.0f) * Number_Of_Runs / User_Time; +800006c8: 8241a503 lw a0,-2012(gp) # 800030cc +800006cc: 5f5010ef jal ra,800024c0 <__floatsisf> +800006d0: 800037b7 lui a5,0x80003 +800006d4: 85aa mv a1,a0 +800006d6: 0bc7a503 lw a0,188(a5) # 800030bc <_stack_start+0xffffcfec> +800006da: 29a010ef jal ra,80001974 <__divsf3> +800006de: 842a mv s0,a0 + int dmipsNatural = dmips; +800006e0: 571010ef jal ra,80002450 <__fixsfsi> +800006e4: 84aa mv s1,a0 + int dmipsReal = (dmips - dmipsNatural)*100.0f; +800006e6: 5db010ef jal ra,800024c0 <__floatsisf> +800006ea: 85aa mv a1,a0 +800006ec: 8522 mv a0,s0 +800006ee: 0eb010ef jal ra,80001fd8 <__subsf3> +800006f2: 800037b7 lui a5,0x80003 +800006f6: 0c07a583 lw a1,192(a5) # 800030c0 <_stack_start+0xffffcff0> +800006fa: 5ba010ef jal ra,80001cb4 <__mulsf3> +800006fe: 553010ef jal ra,80002450 <__fixsfsi> +80000702: 842a mv s0,a0 + printf ("%d.", dmipsNatural); +80000704: 80003537 lui a0,0x80003 +80000708: 85a6 mv a1,s1 +8000070a: e4c50513 addi a0,a0,-436 # 80002e4c <_stack_start+0xffffcd7c> +8000070e: 2495 jal 80000972 + if(dmipsReal < 10) printf("0"); +80000710: 47a5 li a5,9 +80000712: 0a87d763 ble s0,a5,800007c0 + printf ("%d", dmipsReal); +80000716: 80003537 lui a0,0x80003 +8000071a: 85a2 mv a1,s0 +8000071c: e5050513 addi a0,a0,-432 # 80002e50 <_stack_start+0xffffcd80> +80000720: 2c89 jal 80000972 + printf ("\n"); +80000722: 4529 li a0,10 +80000724: 2e29 jal 80000a3e +} +80000726: 40fa lw ra,156(sp) +80000728: 446a lw s0,152(sp) +8000072a: 44da lw s1,148(sp) +8000072c: 494a lw s2,144(sp) +8000072e: 49ba lw s3,140(sp) +80000730: 4a2a lw s4,136(sp) +80000732: 4a9a lw s5,132(sp) +80000734: 4b0a lw s6,128(sp) +80000736: 5bf6 lw s7,124(sp) +80000738: 5c66 lw s8,120(sp) +8000073a: 5cd6 lw s9,116(sp) +8000073c: 5d46 lw s10,112(sp) +8000073e: 5db6 lw s11,108(sp) +80000740: 610d addi sp,sp,160 +80000742: 8082 ret + Proc_6 (Ident_1, &Enum_Loc); +80000744: 086c addi a1,sp,28 +80000746: 4501 li a0,0 +80000748: 220d jal 8000086a + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 3'RD STRING"); +8000074a: 004b2303 lw t1,4(s6) +8000074e: 008b2883 lw a7,8(s6) +80000752: 00cb2803 lw a6,12(s6) +80000756: 010b2503 lw a0,16(s6) +8000075a: 014b2583 lw a1,20(s6) +8000075e: 018b2603 lw a2,24(s6) +80000762: 01cb5683 lhu a3,28(s6) +80000766: 01eb4703 lbu a4,30(s6) + for (Ch_Index = 'A'; Ch_Index <= Ch_2_Glob; ++Ch_Index) +8000076a: 8341c783 lbu a5,-1996(gp) # 800030dc +8000076e: 0a85 addi s5,s5,1 + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 3'RD STRING"); +80000770: c0e2 sw s8,64(sp) +80000772: c29a sw t1,68(sp) +80000774: c4c6 sw a7,72(sp) +80000776: c6c2 sw a6,76(sp) +80000778: c8aa sw a0,80(sp) +8000077a: caae sw a1,84(sp) +8000077c: ccb2 sw a2,88(sp) +8000077e: 04d11e23 sh a3,92(sp) +80000782: 04e10f23 sb a4,94(sp) + Int_Glob = Run_Index; +80000786: 8331ae23 sw s3,-1988(gp) # 800030e4 + for (Ch_Index = 'A'; Ch_Index <= Ch_2_Glob; ++Ch_Index) +8000078a: 0ffafa93 andi s5,s5,255 +8000078e: 8a4e mv s4,s3 +80000790: c357fde3 bleu s5,a5,800003ca +80000794: b991 j 800003e8 + printf ("Program compiled without 'register' attribute\n"); +80000796: 80003537 lui a0,0x80003 +8000079a: 96850513 addi a0,a0,-1688 # 80002968 <_stack_start+0xffffc898> +8000079e: 2cad jal 80000a18 + printf ("\n"); +800007a0: 4529 li a0,10 +800007a2: 2c71 jal 80000a3e +800007a4: b6b1 j 800002f0 + printf ("Measured time too small to obtain meaningful results\n"); +800007a6: 80003537 lui a0,0x80003 +800007aa: db050513 addi a0,a0,-592 # 80002db0 <_stack_start+0xffffcce0> +800007ae: 24ad jal 80000a18 + printf ("Please increase number of runs\n"); +800007b0: 80003537 lui a0,0x80003 +800007b4: de850513 addi a0,a0,-536 # 80002de8 <_stack_start+0xffffcd18> +800007b8: 2485 jal 80000a18 + printf ("\n"); +800007ba: 4529 li a0,10 +800007bc: 2449 jal 80000a3e +800007be: b7a5 j 80000726 + if(dmipsReal < 10) printf("0"); +800007c0: 03000513 li a0,48 +800007c4: 2cad jal 80000a3e +800007c6: bf81 j 80000716 + +800007c8 : +One_Fifty Int_2_Par_Val; +One_Fifty *Int_Par_Ref; +{ + One_Fifty Int_Loc; + + Int_Loc = Int_1_Par_Val + 2; +800007c8: 0509 addi a0,a0,2 + *Int_Par_Ref = Int_2_Par_Val + Int_Loc; +800007ca: 95aa add a1,a1,a0 +800007cc: c20c sw a1,0(a2) +} /* Proc_7 */ +800007ce: 8082 ret + +800007d0 : +int Int_2_Par_Val; +{ + REG One_Fifty Int_Index; + REG One_Fifty Int_Loc; + + Int_Loc = Int_1_Par_Val + 5; +800007d0: 00560713 addi a4,a2,5 + Arr_1_Par_Ref [Int_Loc] = Int_2_Par_Val; + Arr_1_Par_Ref [Int_Loc+1] = Arr_1_Par_Ref [Int_Loc]; + Arr_1_Par_Ref [Int_Loc+30] = Int_Loc; + for (Int_Index = Int_Loc; Int_Index <= Int_Loc+1; ++Int_Index) + Arr_2_Par_Ref [Int_Loc] [Int_Index] = Int_Loc; +800007d4: 0c800813 li a6,200 +800007d8: 03070833 mul a6,a4,a6 +800007dc: 060a slli a2,a2,0x2 + Arr_1_Par_Ref [Int_Loc] = Int_2_Par_Val; +800007de: 00271793 slli a5,a4,0x2 +800007e2: 953e add a0,a0,a5 +800007e4: c114 sw a3,0(a0) + Arr_1_Par_Ref [Int_Loc+30] = Int_Loc; +800007e6: dd38 sw a4,120(a0) + Arr_1_Par_Ref [Int_Loc+1] = Arr_1_Par_Ref [Int_Loc]; +800007e8: c154 sw a3,4(a0) +800007ea: 00c807b3 add a5,a6,a2 +800007ee: 97ae add a5,a5,a1 + Arr_2_Par_Ref [Int_Loc] [Int_Loc-1] += 1; +800007f0: 4b94 lw a3,16(a5) + Arr_2_Par_Ref [Int_Loc] [Int_Index] = Int_Loc; +800007f2: cbd8 sw a4,20(a5) +800007f4: cf98 sw a4,24(a5) + Arr_2_Par_Ref [Int_Loc] [Int_Loc-1] += 1; +800007f6: 00168713 addi a4,a3,1 +800007fa: cb98 sw a4,16(a5) + Arr_2_Par_Ref [Int_Loc+20] [Int_Loc] = Arr_1_Par_Ref [Int_Loc]; +800007fc: 411c lw a5,0(a0) +800007fe: 95c2 add a1,a1,a6 +80000800: 95b2 add a1,a1,a2 +80000802: 6605 lui a2,0x1 +80000804: 95b2 add a1,a1,a2 +80000806: faf5aa23 sw a5,-76(a1) + Int_Glob = 5; +8000080a: 4715 li a4,5 +8000080c: 82e1ae23 sw a4,-1988(gp) # 800030e4 +} /* Proc_8 */ +80000810: 8082 ret + +80000812 : + /* second call: Ch_1_Par_Val == 'A', Ch_2_Par_Val == 'C' */ + /* third call: Ch_1_Par_Val == 'B', Ch_2_Par_Val == 'C' */ + +Capital_Letter Ch_1_Par_Val; +Capital_Letter Ch_2_Par_Val; +{ +80000812: 0ff57513 andi a0,a0,255 +80000816: 0ff5f593 andi a1,a1,255 + Capital_Letter Ch_1_Loc; + Capital_Letter Ch_2_Loc; + + Ch_1_Loc = Ch_1_Par_Val; + Ch_2_Loc = Ch_1_Loc; + if (Ch_2_Loc != Ch_2_Par_Val) +8000081a: 00b50463 beq a0,a1,80000822 + /* then, executed */ + return (Ident_1); +8000081e: 4501 li a0,0 + else /* not executed */ + { + Ch_1_Glob = Ch_1_Loc; + return (Ident_2); + } +} /* Func_1 */ +80000820: 8082 ret + Ch_1_Glob = Ch_1_Loc; +80000822: 82a18aa3 sb a0,-1995(gp) # 800030dd + return (Ident_2); +80000826: 4505 li a0,1 +80000828: 8082 ret + +8000082a : + /* Str_1_Par_Ref == "DHRYSTONE PROGRAM, 1'ST STRING" */ + /* Str_2_Par_Ref == "DHRYSTONE PROGRAM, 2'ND STRING" */ + +Str_30 Str_1_Par_Ref; +Str_30 Str_2_Par_Ref; +{ +8000082a: 1141 addi sp,sp,-16 +8000082c: c422 sw s0,8(sp) +8000082e: c226 sw s1,4(sp) +80000830: c606 sw ra,12(sp) +80000832: 842a mv s0,a0 +80000834: 84ae mv s1,a1 + REG One_Thirty Int_Loc; + Capital_Letter Ch_Loc; + + Int_Loc = 2; + while (Int_Loc <= 2) /* loop body executed once */ + if (Func_1 (Str_1_Par_Ref[Int_Loc], +80000836: 0034c583 lbu a1,3(s1) +8000083a: 00244503 lbu a0,2(s0) +8000083e: 3fd1 jal 80000812 +80000840: f97d bnez a0,80000836 + if (Ch_Loc == 'R') + /* then, not executed */ + return (true); + else /* executed */ + { + if (strcmp (Str_1_Par_Ref, Str_2_Par_Ref) > 0) +80000842: 85a6 mv a1,s1 +80000844: 8522 mv a0,s0 +80000846: 2cdd jal 80000b3c + Int_Loc += 7; + Int_Glob = Int_Loc; + return (true); + } + else /* executed */ + return (false); +80000848: 4781 li a5,0 + if (strcmp (Str_1_Par_Ref, Str_2_Par_Ref) > 0) +8000084a: 00a05663 blez a0,80000856 + Int_Glob = Int_Loc; +8000084e: 4729 li a4,10 +80000850: 82e1ae23 sw a4,-1988(gp) # 800030e4 + return (true); +80000854: 4785 li a5,1 + } /* if Ch_Loc */ +} /* Func_2 */ +80000856: 40b2 lw ra,12(sp) +80000858: 4422 lw s0,8(sp) +8000085a: 4492 lw s1,4(sp) +8000085c: 853e mv a0,a5 +8000085e: 0141 addi sp,sp,16 +80000860: 8082 ret + +80000862 : +Enumeration Enum_Par_Val; +{ + Enumeration Enum_Loc; + + Enum_Loc = Enum_Par_Val; + if (Enum_Loc == Ident_3) +80000862: 1579 addi a0,a0,-2 + /* then, executed */ + return (true); + else /* not executed */ + return (false); +} /* Func_3 */ +80000864: 00153513 seqz a0,a0 +80000868: 8082 ret + +8000086a : +{ +8000086a: 1141 addi sp,sp,-16 +8000086c: c422 sw s0,8(sp) +8000086e: c226 sw s1,4(sp) +80000870: c606 sw ra,12(sp) +80000872: 842a mv s0,a0 +80000874: 84ae mv s1,a1 + if (! Func_3 (Enum_Val_Par)) +80000876: 37f5 jal 80000862 +80000878: c115 beqz a0,8000089c + *Enum_Ref_Par = Enum_Val_Par; +8000087a: c080 sw s0,0(s1) + switch (Enum_Val_Par) +8000087c: 4785 li a5,1 +8000087e: 02f40463 beq s0,a5,800008a6 +80000882: c805 beqz s0,800008b2 +80000884: 4709 li a4,2 +80000886: 02e40d63 beq s0,a4,800008c0 +8000088a: 4791 li a5,4 +8000088c: 00f41363 bne s0,a5,80000892 + *Enum_Ref_Par = Ident_3; +80000890: c098 sw a4,0(s1) +} /* Proc_6 */ +80000892: 40b2 lw ra,12(sp) +80000894: 4422 lw s0,8(sp) +80000896: 4492 lw s1,4(sp) +80000898: 0141 addi sp,sp,16 +8000089a: 8082 ret + *Enum_Ref_Par = Ident_4; +8000089c: 478d li a5,3 +8000089e: c09c sw a5,0(s1) + switch (Enum_Val_Par) +800008a0: 4785 li a5,1 +800008a2: fef410e3 bne s0,a5,80000882 + if (Int_Glob > 100) +800008a6: 83c1a703 lw a4,-1988(gp) # 800030e4 +800008aa: 06400793 li a5,100 +800008ae: 00e7df63 ble a4,a5,800008cc +} /* Proc_6 */ +800008b2: 40b2 lw ra,12(sp) +800008b4: 4422 lw s0,8(sp) + *Enum_Ref_Par = Ident_1; +800008b6: 0004a023 sw zero,0(s1) +} /* Proc_6 */ +800008ba: 4492 lw s1,4(sp) +800008bc: 0141 addi sp,sp,16 +800008be: 8082 ret +800008c0: 40b2 lw ra,12(sp) +800008c2: 4422 lw s0,8(sp) + *Enum_Ref_Par = Ident_2; +800008c4: c09c sw a5,0(s1) +} /* Proc_6 */ +800008c6: 4492 lw s1,4(sp) +800008c8: 0141 addi sp,sp,16 +800008ca: 8082 ret +800008cc: 40b2 lw ra,12(sp) +800008ce: 4422 lw s0,8(sp) + else *Enum_Ref_Par = Ident_4; +800008d0: 478d li a5,3 +800008d2: c09c sw a5,0(s1) +} /* Proc_6 */ +800008d4: 4492 lw s1,4(sp) +800008d6: 0141 addi sp,sp,16 +800008d8: 8082 ret + +800008da : +{ + putchar(c); +} + +static void printf_s(char *p) +{ +800008da: 1141 addi sp,sp,-16 +800008dc: c422 sw s0,8(sp) +800008de: c606 sw ra,12(sp) +800008e0: 842a mv s0,a0 + while (*p) +800008e2: 00054503 lbu a0,0(a0) +800008e6: c511 beqz a0,800008f2 + putchar(*(p++)); +800008e8: 0405 addi s0,s0,1 +800008ea: 2a91 jal 80000a3e + while (*p) +800008ec: 00044503 lbu a0,0(s0) +800008f0: fd65 bnez a0,800008e8 +} +800008f2: 40b2 lw ra,12(sp) +800008f4: 4422 lw s0,8(sp) +800008f6: 0141 addi sp,sp,16 +800008f8: 8082 ret + +800008fa : + putchar(c); +800008fa: a291 j 80000a3e + +800008fc : + +static void printf_d(int val) +{ +800008fc: 7179 addi sp,sp,-48 +800008fe: d226 sw s1,36(sp) +80000900: d606 sw ra,44(sp) +80000902: d422 sw s0,40(sp) +80000904: d04a sw s2,32(sp) +80000906: 84aa mv s1,a0 + char buffer[32]; + char *p = buffer; + if (val < 0) { +80000908: 02054e63 bltz a0,80000944 +{ +8000090c: 890a mv s2,sp +8000090e: 844a mv s0,s2 + printf_c('-'); + val = -val; + } + while (val || p == buffer) { + *(p++) = '0' + val % 10; +80000910: 4729 li a4,10 + while (val || p == buffer) { +80000912: e099 bnez s1,80000918 +80000914: 01241c63 bne s0,s2,8000092c + *(p++) = '0' + val % 10; +80000918: 02e4e7b3 rem a5,s1,a4 +8000091c: 0405 addi s0,s0,1 +8000091e: 03078793 addi a5,a5,48 + val = val / 10; +80000922: 02e4c4b3 div s1,s1,a4 + *(p++) = '0' + val % 10; +80000926: fef40fa3 sb a5,-1(s0) +8000092a: b7e5 j 80000912 + } + while (p != buffer) + printf_c(*(--p)); +8000092c: 147d addi s0,s0,-1 +8000092e: 00044503 lbu a0,0(s0) +80000932: 37e1 jal 800008fa + while (p != buffer) +80000934: ff241ce3 bne s0,s2,8000092c +} +80000938: 50b2 lw ra,44(sp) +8000093a: 5422 lw s0,40(sp) +8000093c: 5492 lw s1,36(sp) +8000093e: 5902 lw s2,32(sp) +80000940: 6145 addi sp,sp,48 +80000942: 8082 ret + printf_c('-'); +80000944: 02d00513 li a0,45 +80000948: 3f4d jal 800008fa + val = -val; +8000094a: 409004b3 neg s1,s1 +8000094e: bf7d j 8000090c + +80000950 : + char *p = heap_memory + heap_memory_used; +80000950: 8481a703 lw a4,-1976(gp) # 800030f0 + heap_memory_used += size; +80000954: 00a707b3 add a5,a4,a0 + char *p = heap_memory + heap_memory_used; +80000958: 80006537 lui a0,0x80006 + heap_memory_used += size; +8000095c: 84f1a423 sw a5,-1976(gp) # 800030f0 + char *p = heap_memory + heap_memory_used; +80000960: 8cc50513 addi a0,a0,-1844 # 800058cc <_stack_start+0xfffff7fc> + if (heap_memory_used > 1024) +80000964: 40000693 li a3,1024 + char *p = heap_memory + heap_memory_used; +80000968: 953a add a0,a0,a4 + if (heap_memory_used > 1024) +8000096a: 00f6d363 ble a5,a3,80000970 + asm volatile ("ebreak"); +8000096e: 9002 ebreak +} +80000970: 8082 ret + +80000972 : + +int printf(const char *format, ...) +{ +80000972: 715d addi sp,sp,-80 +80000974: cc52 sw s4,24(sp) +80000976: d606 sw ra,44(sp) +80000978: d422 sw s0,40(sp) +8000097a: d226 sw s1,36(sp) +8000097c: d04a sw s2,32(sp) +8000097e: ce4e sw s3,28(sp) +80000980: ca56 sw s5,20(sp) +80000982: 8a2a mv s4,a0 + int i; + va_list ap; + + va_start(ap, format); + + for (i = 0; format[i]; i++) +80000984: 00054503 lbu a0,0(a0) +{ +80000988: c2be sw a5,68(sp) + va_start(ap, format); +8000098a: 185c addi a5,sp,52 +{ +8000098c: da2e sw a1,52(sp) +8000098e: dc32 sw a2,56(sp) +80000990: de36 sw a3,60(sp) +80000992: c0ba sw a4,64(sp) +80000994: c4c2 sw a6,72(sp) +80000996: c6c6 sw a7,76(sp) + va_start(ap, format); +80000998: c63e sw a5,12(sp) + for (i = 0; format[i]; i++) +8000099a: c11d beqz a0,800009c0 +8000099c: 4401 li s0,0 + if (format[i] == '%') { +8000099e: 02500a93 li s5,37 + while (format[++i]) { + if (format[i] == 'c') { +800009a2: 06300493 li s1,99 + printf_c(va_arg(ap,int)); + break; + } + if (format[i] == 's') { +800009a6: 07300913 li s2,115 + printf_s(va_arg(ap,char*)); + break; + } + if (format[i] == 'd') { +800009aa: 06400993 li s3,100 + if (format[i] == '%') { +800009ae: 03550263 beq a0,s5,800009d2 + printf_d(va_arg(ap,int)); + break; + } + } + } else + printf_c(format[i]); +800009b2: 37a1 jal 800008fa + for (i = 0; format[i]; i++) +800009b4: 0405 addi s0,s0,1 +800009b6: 008a07b3 add a5,s4,s0 +800009ba: 0007c503 lbu a0,0(a5) +800009be: f965 bnez a0,800009ae + + va_end(ap); +} +800009c0: 50b2 lw ra,44(sp) +800009c2: 5422 lw s0,40(sp) +800009c4: 5492 lw s1,36(sp) +800009c6: 5902 lw s2,32(sp) +800009c8: 49f2 lw s3,28(sp) +800009ca: 4a62 lw s4,24(sp) +800009cc: 4ad2 lw s5,20(sp) +800009ce: 6161 addi sp,sp,80 +800009d0: 8082 ret +800009d2: 00140693 addi a3,s0,1 +800009d6: 00da0733 add a4,s4,a3 +800009da: a031 j 800009e6 + if (format[i] == 's') { +800009dc: 03278263 beq a5,s2,80000a00 + if (format[i] == 'd') { +800009e0: 03378663 beq a5,s3,80000a0c +800009e4: 0685 addi a3,a3,1 + while (format[++i]) { +800009e6: 00074783 lbu a5,0(a4) +800009ea: 8436 mv s0,a3 +800009ec: 0705 addi a4,a4,1 +800009ee: d3f9 beqz a5,800009b4 + if (format[i] == 'c') { +800009f0: fe9796e3 bne a5,s1,800009dc + printf_c(va_arg(ap,int)); +800009f4: 47b2 lw a5,12(sp) +800009f6: 4388 lw a0,0(a5) +800009f8: 0791 addi a5,a5,4 +800009fa: c63e sw a5,12(sp) +800009fc: 3dfd jal 800008fa + break; +800009fe: bf5d j 800009b4 + printf_s(va_arg(ap,char*)); +80000a00: 47b2 lw a5,12(sp) +80000a02: 4388 lw a0,0(a5) +80000a04: 0791 addi a5,a5,4 +80000a06: c63e sw a5,12(sp) +80000a08: 3dc9 jal 800008da + break; +80000a0a: b76d j 800009b4 + printf_d(va_arg(ap,int)); +80000a0c: 47b2 lw a5,12(sp) +80000a0e: 4388 lw a0,0(a5) +80000a10: 0791 addi a5,a5,4 +80000a12: c63e sw a5,12(sp) +80000a14: 35e5 jal 800008fc + break; +80000a16: bf79 j 800009b4 + +80000a18 : + + +int puts(char *s){ +80000a18: 1141 addi sp,sp,-16 +80000a1a: c422 sw s0,8(sp) +80000a1c: c606 sw ra,12(sp) +80000a1e: 842a mv s0,a0 + while (*s) { +80000a20: 00054503 lbu a0,0(a0) +80000a24: c511 beqz a0,80000a30 + putchar(*s); + s++; +80000a26: 0405 addi s0,s0,1 + putchar(*s); +80000a28: 2819 jal 80000a3e + while (*s) { +80000a2a: 00044503 lbu a0,0(s0) +80000a2e: fd65 bnez a0,80000a26 + } + putchar('\n'); +80000a30: 4529 li a0,10 +80000a32: 2031 jal 80000a3e + return 0; +} +80000a34: 40b2 lw ra,12(sp) +80000a36: 4422 lw s0,8(sp) +80000a38: 4501 li a0,0 +80000a3a: 0141 addi sp,sp,16 +80000a3c: 8082 ret + +80000a3e : + +void putchar(char c){ + TEST_COM_BASE[0] = c; +80000a3e: f01007b7 lui a5,0xf0100 +80000a42: f0a7a023 sw a0,-256(a5) # f00fff00 <_stack_start+0x700f9e30> +} +80000a46: 8082 ret + +80000a48 : + +#include +clock_t clock(){ + return TEST_COM_BASE[4]; +80000a48: f01007b7 lui a5,0xf0100 +80000a4c: f107a503 lw a0,-240(a5) # f00fff10 <_stack_start+0x700f9e40> +} +80000a50: 8082 ret + ... + +80000a54 : +80000a54: 00a5c7b3 xor a5,a1,a0 +80000a58: 0037f793 andi a5,a5,3 +80000a5c: 00c50733 add a4,a0,a2 +80000a60: 00079663 bnez a5,80000a6c +80000a64: 00300793 li a5,3 +80000a68: 02c7e463 bltu a5,a2,80000a90 +80000a6c: 00050793 mv a5,a0 +80000a70: 00e56c63 bltu a0,a4,80000a88 +80000a74: 00008067 ret +80000a78: 0005c683 lbu a3,0(a1) +80000a7c: 00178793 addi a5,a5,1 +80000a80: 00158593 addi a1,a1,1 +80000a84: fed78fa3 sb a3,-1(a5) +80000a88: fee7e8e3 bltu a5,a4,80000a78 +80000a8c: 00008067 ret +80000a90: 00357793 andi a5,a0,3 +80000a94: 08079263 bnez a5,80000b18 +80000a98: 00050793 mv a5,a0 +80000a9c: ffc77693 andi a3,a4,-4 +80000aa0: fe068613 addi a2,a3,-32 +80000aa4: 08c7f663 bleu a2,a5,80000b30 +80000aa8: 0005a383 lw t2,0(a1) +80000aac: 0045a283 lw t0,4(a1) +80000ab0: 0085af83 lw t6,8(a1) +80000ab4: 00c5af03 lw t5,12(a1) +80000ab8: 0105ae83 lw t4,16(a1) +80000abc: 0145ae03 lw t3,20(a1) +80000ac0: 0185a303 lw t1,24(a1) +80000ac4: 01c5a883 lw a7,28(a1) +80000ac8: 02458593 addi a1,a1,36 +80000acc: 02478793 addi a5,a5,36 +80000ad0: ffc5a803 lw a6,-4(a1) +80000ad4: fc77ae23 sw t2,-36(a5) +80000ad8: fe57a023 sw t0,-32(a5) +80000adc: fff7a223 sw t6,-28(a5) +80000ae0: ffe7a423 sw t5,-24(a5) +80000ae4: ffd7a623 sw t4,-20(a5) +80000ae8: ffc7a823 sw t3,-16(a5) +80000aec: fe67aa23 sw t1,-12(a5) +80000af0: ff17ac23 sw a7,-8(a5) +80000af4: ff07ae23 sw a6,-4(a5) +80000af8: fadff06f j 80000aa4 +80000afc: 0005c683 lbu a3,0(a1) +80000b00: 00178793 addi a5,a5,1 +80000b04: 00158593 addi a1,a1,1 +80000b08: fed78fa3 sb a3,-1(a5) +80000b0c: 0037f693 andi a3,a5,3 +80000b10: fe0696e3 bnez a3,80000afc +80000b14: f89ff06f j 80000a9c +80000b18: 00050793 mv a5,a0 +80000b1c: ff1ff06f j 80000b0c +80000b20: 0005a603 lw a2,0(a1) +80000b24: 00478793 addi a5,a5,4 +80000b28: 00458593 addi a1,a1,4 +80000b2c: fec7ae23 sw a2,-4(a5) +80000b30: fed7e8e3 bltu a5,a3,80000b20 +80000b34: f4e7eae3 bltu a5,a4,80000a88 +80000b38: 00008067 ret + +80000b3c : +80000b3c: 00b56733 or a4,a0,a1 +80000b40: fff00393 li t2,-1 +80000b44: 00377713 andi a4,a4,3 +80000b48: 10071063 bnez a4,80000c48 +80000b4c: 7f7f87b7 lui a5,0x7f7f8 +80000b50: f7f78793 addi a5,a5,-129 # 7f7f7f7f <_stack_size+0x7f7f7b7f> +80000b54: 00052603 lw a2,0(a0) +80000b58: 0005a683 lw a3,0(a1) +80000b5c: 00f672b3 and t0,a2,a5 +80000b60: 00f66333 or t1,a2,a5 +80000b64: 00f282b3 add t0,t0,a5 +80000b68: 0062e2b3 or t0,t0,t1 +80000b6c: 10729263 bne t0,t2,80000c70 +80000b70: 08d61663 bne a2,a3,80000bfc +80000b74: 00452603 lw a2,4(a0) +80000b78: 0045a683 lw a3,4(a1) +80000b7c: 00f672b3 and t0,a2,a5 +80000b80: 00f66333 or t1,a2,a5 +80000b84: 00f282b3 add t0,t0,a5 +80000b88: 0062e2b3 or t0,t0,t1 +80000b8c: 0c729e63 bne t0,t2,80000c68 +80000b90: 06d61663 bne a2,a3,80000bfc +80000b94: 00852603 lw a2,8(a0) +80000b98: 0085a683 lw a3,8(a1) +80000b9c: 00f672b3 and t0,a2,a5 +80000ba0: 00f66333 or t1,a2,a5 +80000ba4: 00f282b3 add t0,t0,a5 +80000ba8: 0062e2b3 or t0,t0,t1 +80000bac: 0c729863 bne t0,t2,80000c7c +80000bb0: 04d61663 bne a2,a3,80000bfc +80000bb4: 00c52603 lw a2,12(a0) +80000bb8: 00c5a683 lw a3,12(a1) +80000bbc: 00f672b3 and t0,a2,a5 +80000bc0: 00f66333 or t1,a2,a5 +80000bc4: 00f282b3 add t0,t0,a5 +80000bc8: 0062e2b3 or t0,t0,t1 +80000bcc: 0c729263 bne t0,t2,80000c90 +80000bd0: 02d61663 bne a2,a3,80000bfc +80000bd4: 01052603 lw a2,16(a0) +80000bd8: 0105a683 lw a3,16(a1) +80000bdc: 00f672b3 and t0,a2,a5 +80000be0: 00f66333 or t1,a2,a5 +80000be4: 00f282b3 add t0,t0,a5 +80000be8: 0062e2b3 or t0,t0,t1 +80000bec: 0a729c63 bne t0,t2,80000ca4 +80000bf0: 01450513 addi a0,a0,20 +80000bf4: 01458593 addi a1,a1,20 +80000bf8: f4d60ee3 beq a2,a3,80000b54 +80000bfc: 01061713 slli a4,a2,0x10 +80000c00: 01069793 slli a5,a3,0x10 +80000c04: 00f71e63 bne a4,a5,80000c20 +80000c08: 01065713 srli a4,a2,0x10 +80000c0c: 0106d793 srli a5,a3,0x10 +80000c10: 40f70533 sub a0,a4,a5 +80000c14: 0ff57593 andi a1,a0,255 +80000c18: 02059063 bnez a1,80000c38 +80000c1c: 00008067 ret +80000c20: 01075713 srli a4,a4,0x10 +80000c24: 0107d793 srli a5,a5,0x10 +80000c28: 40f70533 sub a0,a4,a5 +80000c2c: 0ff57593 andi a1,a0,255 +80000c30: 00059463 bnez a1,80000c38 +80000c34: 00008067 ret +80000c38: 0ff77713 andi a4,a4,255 +80000c3c: 0ff7f793 andi a5,a5,255 +80000c40: 40f70533 sub a0,a4,a5 +80000c44: 00008067 ret +80000c48: 00054603 lbu a2,0(a0) +80000c4c: 0005c683 lbu a3,0(a1) +80000c50: 00150513 addi a0,a0,1 +80000c54: 00158593 addi a1,a1,1 +80000c58: 00d61463 bne a2,a3,80000c60 +80000c5c: fe0616e3 bnez a2,80000c48 +80000c60: 40d60533 sub a0,a2,a3 +80000c64: 00008067 ret +80000c68: 00450513 addi a0,a0,4 +80000c6c: 00458593 addi a1,a1,4 +80000c70: fcd61ce3 bne a2,a3,80000c48 +80000c74: 00000513 li a0,0 +80000c78: 00008067 ret +80000c7c: 00850513 addi a0,a0,8 +80000c80: 00858593 addi a1,a1,8 +80000c84: fcd612e3 bne a2,a3,80000c48 +80000c88: 00000513 li a0,0 +80000c8c: 00008067 ret +80000c90: 00c50513 addi a0,a0,12 +80000c94: 00c58593 addi a1,a1,12 +80000c98: fad618e3 bne a2,a3,80000c48 +80000c9c: 00000513 li a0,0 +80000ca0: 00008067 ret +80000ca4: 01050513 addi a0,a0,16 +80000ca8: 01058593 addi a1,a1,16 +80000cac: f8d61ee3 bne a2,a3,80000c48 +80000cb0: 00000513 li a0,0 +80000cb4: 00008067 ret + +80000cb8 <__divdf3>: +80000cb8: fd010113 addi sp,sp,-48 +80000cbc: 02812423 sw s0,40(sp) +80000cc0: 02912223 sw s1,36(sp) +80000cc4: 01612823 sw s6,16(sp) +80000cc8: 00050413 mv s0,a0 +80000ccc: 00050b13 mv s6,a0 +80000cd0: 001004b7 lui s1,0x100 +80000cd4: 0145d513 srli a0,a1,0x14 +80000cd8: 03212023 sw s2,32(sp) +80000cdc: 01312e23 sw s3,28(sp) +80000ce0: 01712623 sw s7,12(sp) +80000ce4: fff48493 addi s1,s1,-1 # fffff <_stack_size+0xffbff> +80000ce8: 02112623 sw ra,44(sp) +80000cec: 01412c23 sw s4,24(sp) +80000cf0: 01512a23 sw s5,20(sp) +80000cf4: 7ff57513 andi a0,a0,2047 +80000cf8: 00060b93 mv s7,a2 +80000cfc: 00068993 mv s3,a3 +80000d00: 00b4f4b3 and s1,s1,a1 +80000d04: 01f5d913 srli s2,a1,0x1f +80000d08: 0a050663 beqz a0,80000db4 <__divdf3+0xfc> +80000d0c: 7ff00793 li a5,2047 +80000d10: 10f50663 beq a0,a5,80000e1c <__divdf3+0x164> +80000d14: 00349493 slli s1,s1,0x3 +80000d18: 008006b7 lui a3,0x800 +80000d1c: 00d4e4b3 or s1,s1,a3 +80000d20: 01db5a93 srli s5,s6,0x1d +80000d24: 009aeab3 or s5,s5,s1 +80000d28: 003b1413 slli s0,s6,0x3 +80000d2c: c0150a13 addi s4,a0,-1023 +80000d30: 00000b13 li s6,0 +80000d34: 0149d513 srli a0,s3,0x14 +80000d38: 001004b7 lui s1,0x100 +80000d3c: fff48493 addi s1,s1,-1 # fffff <_stack_size+0xffbff> +80000d40: 7ff57513 andi a0,a0,2047 +80000d44: 0134f4b3 and s1,s1,s3 +80000d48: 000b8f93 mv t6,s7 +80000d4c: 01f9d993 srli s3,s3,0x1f +80000d50: 10050263 beqz a0,80000e54 <__divdf3+0x19c> +80000d54: 7ff00793 li a5,2047 +80000d58: 16f50263 beq a0,a5,80000ebc <__divdf3+0x204> +80000d5c: 008007b7 lui a5,0x800 +80000d60: 00349493 slli s1,s1,0x3 +80000d64: 00f4e4b3 or s1,s1,a5 +80000d68: 01dbd793 srli a5,s7,0x1d +80000d6c: 0097e7b3 or a5,a5,s1 +80000d70: 003b9f93 slli t6,s7,0x3 +80000d74: c0150513 addi a0,a0,-1023 +80000d78: 00000713 li a4,0 +80000d7c: 002b1693 slli a3,s6,0x2 +80000d80: 00e6e6b3 or a3,a3,a4 +80000d84: fff68693 addi a3,a3,-1 # 7fffff <_stack_size+0x7ffbff> +80000d88: 00e00593 li a1,14 +80000d8c: 01394633 xor a2,s2,s3 +80000d90: 40aa0533 sub a0,s4,a0 +80000d94: 16d5e063 bltu a1,a3,80000ef4 <__divdf3+0x23c> +80000d98: 00002597 auipc a1,0x2 +80000d9c: 11c58593 addi a1,a1,284 # 80002eb4 +80000da0: 00269693 slli a3,a3,0x2 +80000da4: 00b686b3 add a3,a3,a1 +80000da8: 0006a683 lw a3,0(a3) +80000dac: 00b686b3 add a3,a3,a1 +80000db0: 00068067 jr a3 +80000db4: 0164eab3 or s5,s1,s6 +80000db8: 060a8e63 beqz s5,80000e34 <__divdf3+0x17c> +80000dbc: 04048063 beqz s1,80000dfc <__divdf3+0x144> +80000dc0: 00048513 mv a0,s1 +80000dc4: 2c5010ef jal ra,80002888 <__clzsi2> +80000dc8: ff550793 addi a5,a0,-11 +80000dcc: 01c00713 li a4,28 +80000dd0: 02f74e63 blt a4,a5,80000e0c <__divdf3+0x154> +80000dd4: 01d00a93 li s5,29 +80000dd8: ff850413 addi s0,a0,-8 +80000ddc: 40fa8ab3 sub s5,s5,a5 +80000de0: 008494b3 sll s1,s1,s0 +80000de4: 015b5ab3 srl s5,s6,s5 +80000de8: 009aeab3 or s5,s5,s1 +80000dec: 008b1433 sll s0,s6,s0 +80000df0: c0d00a13 li s4,-1011 +80000df4: 40aa0a33 sub s4,s4,a0 +80000df8: f39ff06f j 80000d30 <__divdf3+0x78> +80000dfc: 000b0513 mv a0,s6 +80000e00: 289010ef jal ra,80002888 <__clzsi2> +80000e04: 02050513 addi a0,a0,32 +80000e08: fc1ff06f j 80000dc8 <__divdf3+0x110> +80000e0c: fd850493 addi s1,a0,-40 +80000e10: 009b1ab3 sll s5,s6,s1 +80000e14: 00000413 li s0,0 +80000e18: fd9ff06f j 80000df0 <__divdf3+0x138> +80000e1c: 0164eab3 or s5,s1,s6 +80000e20: 020a8263 beqz s5,80000e44 <__divdf3+0x18c> +80000e24: 00048a93 mv s5,s1 +80000e28: 7ff00a13 li s4,2047 +80000e2c: 00300b13 li s6,3 +80000e30: f05ff06f j 80000d34 <__divdf3+0x7c> +80000e34: 00000413 li s0,0 +80000e38: 00000a13 li s4,0 +80000e3c: 00100b13 li s6,1 +80000e40: ef5ff06f j 80000d34 <__divdf3+0x7c> +80000e44: 00000413 li s0,0 +80000e48: 7ff00a13 li s4,2047 +80000e4c: 00200b13 li s6,2 +80000e50: ee5ff06f j 80000d34 <__divdf3+0x7c> +80000e54: 0174e7b3 or a5,s1,s7 +80000e58: 06078e63 beqz a5,80000ed4 <__divdf3+0x21c> +80000e5c: 04048063 beqz s1,80000e9c <__divdf3+0x1e4> +80000e60: 00048513 mv a0,s1 +80000e64: 225010ef jal ra,80002888 <__clzsi2> +80000e68: ff550713 addi a4,a0,-11 +80000e6c: 01c00793 li a5,28 +80000e70: 02e7ce63 blt a5,a4,80000eac <__divdf3+0x1f4> +80000e74: 01d00793 li a5,29 +80000e78: ff850f93 addi t6,a0,-8 +80000e7c: 40e787b3 sub a5,a5,a4 +80000e80: 01f494b3 sll s1,s1,t6 +80000e84: 00fbd7b3 srl a5,s7,a5 +80000e88: 0097e7b3 or a5,a5,s1 +80000e8c: 01fb9fb3 sll t6,s7,t6 +80000e90: c0d00613 li a2,-1011 +80000e94: 40a60533 sub a0,a2,a0 +80000e98: ee1ff06f j 80000d78 <__divdf3+0xc0> +80000e9c: 000b8513 mv a0,s7 +80000ea0: 1e9010ef jal ra,80002888 <__clzsi2> +80000ea4: 02050513 addi a0,a0,32 +80000ea8: fc1ff06f j 80000e68 <__divdf3+0x1b0> +80000eac: fd850793 addi a5,a0,-40 +80000eb0: 00fb97b3 sll a5,s7,a5 +80000eb4: 00000f93 li t6,0 +80000eb8: fd9ff06f j 80000e90 <__divdf3+0x1d8> +80000ebc: 0174e7b3 or a5,s1,s7 +80000ec0: 02078263 beqz a5,80000ee4 <__divdf3+0x22c> +80000ec4: 00048793 mv a5,s1 +80000ec8: 7ff00513 li a0,2047 +80000ecc: 00300713 li a4,3 +80000ed0: eadff06f j 80000d7c <__divdf3+0xc4> +80000ed4: 00000f93 li t6,0 +80000ed8: 00000513 li a0,0 +80000edc: 00100713 li a4,1 +80000ee0: e9dff06f j 80000d7c <__divdf3+0xc4> +80000ee4: 00000f93 li t6,0 +80000ee8: 7ff00513 li a0,2047 +80000eec: 00200713 li a4,2 +80000ef0: e8dff06f j 80000d7c <__divdf3+0xc4> +80000ef4: 0157e663 bltu a5,s5,80000f00 <__divdf3+0x248> +80000ef8: 36fa9063 bne s5,a5,80001258 <__divdf3+0x5a0> +80000efc: 35f46e63 bltu s0,t6,80001258 <__divdf3+0x5a0> +80000f00: 01fa9593 slli a1,s5,0x1f +80000f04: 00145693 srli a3,s0,0x1 +80000f08: 01f41713 slli a4,s0,0x1f +80000f0c: 001ada93 srli s5,s5,0x1 +80000f10: 00d5e433 or s0,a1,a3 +80000f14: 00879793 slli a5,a5,0x8 +80000f18: 018fd813 srli a6,t6,0x18 +80000f1c: 00f86833 or a6,a6,a5 +80000f20: 01085e93 srli t4,a6,0x10 +80000f24: 03dad5b3 divu a1,s5,t4 +80000f28: 01081e13 slli t3,a6,0x10 +80000f2c: 010e5e13 srli t3,t3,0x10 +80000f30: 01045693 srli a3,s0,0x10 +80000f34: 008f9893 slli a7,t6,0x8 +80000f38: 03daf4b3 remu s1,s5,t4 +80000f3c: 00058f93 mv t6,a1 +80000f40: 02be07b3 mul a5,t3,a1 +80000f44: 01049493 slli s1,s1,0x10 +80000f48: 0096e6b3 or a3,a3,s1 +80000f4c: 00f6fe63 bleu a5,a3,80000f68 <__divdf3+0x2b0> +80000f50: 010686b3 add a3,a3,a6 +80000f54: fff58f93 addi t6,a1,-1 +80000f58: 0106e863 bltu a3,a6,80000f68 <__divdf3+0x2b0> +80000f5c: 00f6f663 bleu a5,a3,80000f68 <__divdf3+0x2b0> +80000f60: ffe58f93 addi t6,a1,-2 +80000f64: 010686b3 add a3,a3,a6 +80000f68: 40f686b3 sub a3,a3,a5 +80000f6c: 03d6d333 divu t1,a3,t4 +80000f70: 01041413 slli s0,s0,0x10 +80000f74: 01045413 srli s0,s0,0x10 +80000f78: 03d6f6b3 remu a3,a3,t4 +80000f7c: 00030793 mv a5,t1 +80000f80: 026e05b3 mul a1,t3,t1 +80000f84: 01069693 slli a3,a3,0x10 +80000f88: 00d466b3 or a3,s0,a3 +80000f8c: 00b6fe63 bleu a1,a3,80000fa8 <__divdf3+0x2f0> +80000f90: 010686b3 add a3,a3,a6 +80000f94: fff30793 addi a5,t1,-1 +80000f98: 0106e863 bltu a3,a6,80000fa8 <__divdf3+0x2f0> +80000f9c: 00b6f663 bleu a1,a3,80000fa8 <__divdf3+0x2f0> +80000fa0: ffe30793 addi a5,t1,-2 +80000fa4: 010686b3 add a3,a3,a6 +80000fa8: 40b68433 sub s0,a3,a1 +80000fac: 010f9f93 slli t6,t6,0x10 +80000fb0: 000105b7 lui a1,0x10 +80000fb4: 00ffefb3 or t6,t6,a5 +80000fb8: fff58313 addi t1,a1,-1 # ffff <_stack_size+0xfbff> +80000fbc: 010fd693 srli a3,t6,0x10 +80000fc0: 006ff7b3 and a5,t6,t1 +80000fc4: 0108df13 srli t5,a7,0x10 +80000fc8: 0068f333 and t1,a7,t1 +80000fcc: 026783b3 mul t2,a5,t1 +80000fd0: 026684b3 mul s1,a3,t1 +80000fd4: 02ff07b3 mul a5,t5,a5 +80000fd8: 03e682b3 mul t0,a3,t5 +80000fdc: 009786b3 add a3,a5,s1 +80000fe0: 0103d793 srli a5,t2,0x10 +80000fe4: 00d787b3 add a5,a5,a3 +80000fe8: 0097f463 bleu s1,a5,80000ff0 <__divdf3+0x338> +80000fec: 00b282b3 add t0,t0,a1 +80000ff0: 0107d693 srli a3,a5,0x10 +80000ff4: 005686b3 add a3,a3,t0 +80000ff8: 000102b7 lui t0,0x10 +80000ffc: fff28293 addi t0,t0,-1 # ffff <_stack_size+0xfbff> +80001000: 0057f5b3 and a1,a5,t0 +80001004: 01059593 slli a1,a1,0x10 +80001008: 0053f3b3 and t2,t2,t0 +8000100c: 007585b3 add a1,a1,t2 +80001010: 00d46863 bltu s0,a3,80001020 <__divdf3+0x368> +80001014: 000f8793 mv a5,t6 +80001018: 04d41463 bne s0,a3,80001060 <__divdf3+0x3a8> +8000101c: 04b77263 bleu a1,a4,80001060 <__divdf3+0x3a8> +80001020: 01170733 add a4,a4,a7 +80001024: 011732b3 sltu t0,a4,a7 +80001028: 010282b3 add t0,t0,a6 +8000102c: 00540433 add s0,s0,t0 +80001030: ffff8793 addi a5,t6,-1 +80001034: 00886663 bltu a6,s0,80001040 <__divdf3+0x388> +80001038: 02881463 bne a6,s0,80001060 <__divdf3+0x3a8> +8000103c: 03176263 bltu a4,a7,80001060 <__divdf3+0x3a8> +80001040: 00d46663 bltu s0,a3,8000104c <__divdf3+0x394> +80001044: 00869e63 bne a3,s0,80001060 <__divdf3+0x3a8> +80001048: 00b77c63 bleu a1,a4,80001060 <__divdf3+0x3a8> +8000104c: 01170733 add a4,a4,a7 +80001050: ffef8793 addi a5,t6,-2 +80001054: 01173fb3 sltu t6,a4,a7 +80001058: 010f8fb3 add t6,t6,a6 +8000105c: 01f40433 add s0,s0,t6 +80001060: 40b705b3 sub a1,a4,a1 +80001064: 40d40433 sub s0,s0,a3 +80001068: 00b73733 sltu a4,a4,a1 +8000106c: 40e40433 sub s0,s0,a4 +80001070: fff00f93 li t6,-1 +80001074: 12880463 beq a6,s0,8000119c <__divdf3+0x4e4> +80001078: 03d452b3 divu t0,s0,t4 +8000107c: 0105d693 srli a3,a1,0x10 +80001080: 03d47433 remu s0,s0,t4 +80001084: 00028713 mv a4,t0 +80001088: 025e0fb3 mul t6,t3,t0 +8000108c: 01041413 slli s0,s0,0x10 +80001090: 0086e433 or s0,a3,s0 +80001094: 01f47e63 bleu t6,s0,800010b0 <__divdf3+0x3f8> +80001098: 01040433 add s0,s0,a6 +8000109c: fff28713 addi a4,t0,-1 +800010a0: 01046863 bltu s0,a6,800010b0 <__divdf3+0x3f8> +800010a4: 01f47663 bleu t6,s0,800010b0 <__divdf3+0x3f8> +800010a8: ffe28713 addi a4,t0,-2 +800010ac: 01040433 add s0,s0,a6 +800010b0: 41f40433 sub s0,s0,t6 +800010b4: 03d456b3 divu a3,s0,t4 +800010b8: 01059593 slli a1,a1,0x10 +800010bc: 0105d593 srli a1,a1,0x10 +800010c0: 03d47433 remu s0,s0,t4 +800010c4: 02de0e33 mul t3,t3,a3 +800010c8: 01041413 slli s0,s0,0x10 +800010cc: 0085e433 or s0,a1,s0 +800010d0: 00068593 mv a1,a3 +800010d4: 01c47e63 bleu t3,s0,800010f0 <__divdf3+0x438> +800010d8: 01040433 add s0,s0,a6 +800010dc: fff68593 addi a1,a3,-1 +800010e0: 01046863 bltu s0,a6,800010f0 <__divdf3+0x438> +800010e4: 01c47663 bleu t3,s0,800010f0 <__divdf3+0x438> +800010e8: ffe68593 addi a1,a3,-2 +800010ec: 01040433 add s0,s0,a6 +800010f0: 01071713 slli a4,a4,0x10 +800010f4: 00b765b3 or a1,a4,a1 +800010f8: 01059713 slli a4,a1,0x10 +800010fc: 01075713 srli a4,a4,0x10 +80001100: 41c40433 sub s0,s0,t3 +80001104: 0105de13 srli t3,a1,0x10 +80001108: 02670eb3 mul t4,a4,t1 +8000110c: 026e0333 mul t1,t3,t1 +80001110: 03cf0e33 mul t3,t5,t3 +80001114: 02ef0f33 mul t5,t5,a4 +80001118: 010ed713 srli a4,t4,0x10 +8000111c: 006f0f33 add t5,t5,t1 +80001120: 01e70733 add a4,a4,t5 +80001124: 00677663 bleu t1,a4,80001130 <__divdf3+0x478> +80001128: 000106b7 lui a3,0x10 +8000112c: 00de0e33 add t3,t3,a3 +80001130: 01075313 srli t1,a4,0x10 +80001134: 01c30333 add t1,t1,t3 +80001138: 00010e37 lui t3,0x10 +8000113c: fffe0e13 addi t3,t3,-1 # ffff <_stack_size+0xfbff> +80001140: 01c776b3 and a3,a4,t3 +80001144: 01069693 slli a3,a3,0x10 +80001148: 01cefeb3 and t4,t4,t3 +8000114c: 01d686b3 add a3,a3,t4 +80001150: 00646863 bltu s0,t1,80001160 <__divdf3+0x4a8> +80001154: 00058f93 mv t6,a1 +80001158: 04641063 bne s0,t1,80001198 <__divdf3+0x4e0> +8000115c: 04068063 beqz a3,8000119c <__divdf3+0x4e4> +80001160: 00880433 add s0,a6,s0 +80001164: fff58f93 addi t6,a1,-1 +80001168: 03046463 bltu s0,a6,80001190 <__divdf3+0x4d8> +8000116c: 00646663 bltu s0,t1,80001178 <__divdf3+0x4c0> +80001170: 02641463 bne s0,t1,80001198 <__divdf3+0x4e0> +80001174: 02d8f063 bleu a3,a7,80001194 <__divdf3+0x4dc> +80001178: 00189713 slli a4,a7,0x1 +8000117c: 011738b3 sltu a7,a4,a7 +80001180: 01088833 add a6,a7,a6 +80001184: ffe58f93 addi t6,a1,-2 +80001188: 01040433 add s0,s0,a6 +8000118c: 00070893 mv a7,a4 +80001190: 00641463 bne s0,t1,80001198 <__divdf3+0x4e0> +80001194: 01168463 beq a3,a7,8000119c <__divdf3+0x4e4> +80001198: 001fef93 ori t6,t6,1 +8000119c: 3ff50693 addi a3,a0,1023 +800011a0: 10d05a63 blez a3,800012b4 <__divdf3+0x5fc> +800011a4: 007ff713 andi a4,t6,7 +800011a8: 02070063 beqz a4,800011c8 <__divdf3+0x510> +800011ac: 00fff713 andi a4,t6,15 +800011b0: 00400593 li a1,4 +800011b4: 00b70a63 beq a4,a1,800011c8 <__divdf3+0x510> +800011b8: 004f8593 addi a1,t6,4 +800011bc: 01f5bfb3 sltu t6,a1,t6 +800011c0: 01f787b3 add a5,a5,t6 +800011c4: 00058f93 mv t6,a1 +800011c8: 00779713 slli a4,a5,0x7 +800011cc: 00075a63 bgez a4,800011e0 <__divdf3+0x528> +800011d0: ff000737 lui a4,0xff000 +800011d4: fff70713 addi a4,a4,-1 # feffffff <_stack_start+0x7eff9f2f> +800011d8: 00e7f7b3 and a5,a5,a4 +800011dc: 40050693 addi a3,a0,1024 +800011e0: 7fe00713 li a4,2046 +800011e4: 18d74a63 blt a4,a3,80001378 <__divdf3+0x6c0> +800011e8: 01d79713 slli a4,a5,0x1d +800011ec: 003fdf93 srli t6,t6,0x3 +800011f0: 01f76733 or a4,a4,t6 +800011f4: 0037d793 srli a5,a5,0x3 +800011f8: 001005b7 lui a1,0x100 +800011fc: fff58593 addi a1,a1,-1 # fffff <_stack_size+0xffbff> +80001200: 00b7f7b3 and a5,a5,a1 +80001204: 801005b7 lui a1,0x80100 +80001208: fff58593 addi a1,a1,-1 # 800fffff <_stack_start+0xf9f2f> +8000120c: 7ff6f693 andi a3,a3,2047 +80001210: 01469693 slli a3,a3,0x14 +80001214: 00b7f7b3 and a5,a5,a1 +80001218: 02c12083 lw ra,44(sp) +8000121c: 02812403 lw s0,40(sp) +80001220: 01f61613 slli a2,a2,0x1f +80001224: 00d7e7b3 or a5,a5,a3 +80001228: 00c7e6b3 or a3,a5,a2 +8000122c: 02412483 lw s1,36(sp) +80001230: 02012903 lw s2,32(sp) +80001234: 01c12983 lw s3,28(sp) +80001238: 01812a03 lw s4,24(sp) +8000123c: 01412a83 lw s5,20(sp) +80001240: 01012b03 lw s6,16(sp) +80001244: 00c12b83 lw s7,12(sp) +80001248: 00070513 mv a0,a4 +8000124c: 00068593 mv a1,a3 +80001250: 03010113 addi sp,sp,48 +80001254: 00008067 ret +80001258: fff50513 addi a0,a0,-1 +8000125c: 00000713 li a4,0 +80001260: cb5ff06f j 80000f14 <__divdf3+0x25c> +80001264: 00090613 mv a2,s2 +80001268: 000a8793 mv a5,s5 +8000126c: 00040f93 mv t6,s0 +80001270: 000b0713 mv a4,s6 +80001274: 00200693 li a3,2 +80001278: 10d70063 beq a4,a3,80001378 <__divdf3+0x6c0> +8000127c: 00300693 li a3,3 +80001280: 0ed70263 beq a4,a3,80001364 <__divdf3+0x6ac> +80001284: 00100693 li a3,1 +80001288: f0d71ae3 bne a4,a3,8000119c <__divdf3+0x4e4> +8000128c: 00000793 li a5,0 +80001290: 00000713 li a4,0 +80001294: 0940006f j 80001328 <__divdf3+0x670> +80001298: 00098613 mv a2,s3 +8000129c: fd9ff06f j 80001274 <__divdf3+0x5bc> +800012a0: 000807b7 lui a5,0x80 +800012a4: 00000f93 li t6,0 +800012a8: 00000613 li a2,0 +800012ac: 00300713 li a4,3 +800012b0: fc5ff06f j 80001274 <__divdf3+0x5bc> +800012b4: 00100593 li a1,1 +800012b8: 40d585b3 sub a1,a1,a3 +800012bc: 03800713 li a4,56 +800012c0: fcb746e3 blt a4,a1,8000128c <__divdf3+0x5d4> +800012c4: 01f00713 li a4,31 +800012c8: 06b74463 blt a4,a1,80001330 <__divdf3+0x678> +800012cc: 41e50513 addi a0,a0,1054 +800012d0: 00a79733 sll a4,a5,a0 +800012d4: 00bfd6b3 srl a3,t6,a1 +800012d8: 00af9533 sll a0,t6,a0 +800012dc: 00d76733 or a4,a4,a3 +800012e0: 00a03533 snez a0,a0 +800012e4: 00a76733 or a4,a4,a0 +800012e8: 00b7d7b3 srl a5,a5,a1 +800012ec: 00777693 andi a3,a4,7 +800012f0: 02068063 beqz a3,80001310 <__divdf3+0x658> +800012f4: 00f77693 andi a3,a4,15 +800012f8: 00400593 li a1,4 +800012fc: 00b68a63 beq a3,a1,80001310 <__divdf3+0x658> +80001300: 00470693 addi a3,a4,4 +80001304: 00e6b733 sltu a4,a3,a4 +80001308: 00e787b3 add a5,a5,a4 +8000130c: 00068713 mv a4,a3 +80001310: 00879693 slli a3,a5,0x8 +80001314: 0606ca63 bltz a3,80001388 <__divdf3+0x6d0> +80001318: 01d79693 slli a3,a5,0x1d +8000131c: 00375713 srli a4,a4,0x3 +80001320: 00e6e733 or a4,a3,a4 +80001324: 0037d793 srli a5,a5,0x3 +80001328: 00000693 li a3,0 +8000132c: ecdff06f j 800011f8 <__divdf3+0x540> +80001330: fe100713 li a4,-31 +80001334: 40d70733 sub a4,a4,a3 +80001338: 02000813 li a6,32 +8000133c: 00e7d733 srl a4,a5,a4 +80001340: 00000693 li a3,0 +80001344: 01058663 beq a1,a6,80001350 <__divdf3+0x698> +80001348: 43e50513 addi a0,a0,1086 +8000134c: 00a796b3 sll a3,a5,a0 +80001350: 01f6e533 or a0,a3,t6 +80001354: 00a03533 snez a0,a0 +80001358: 00a76733 or a4,a4,a0 +8000135c: 00000793 li a5,0 +80001360: f8dff06f j 800012ec <__divdf3+0x634> +80001364: 000807b7 lui a5,0x80 +80001368: 00000713 li a4,0 +8000136c: 7ff00693 li a3,2047 +80001370: 00000613 li a2,0 +80001374: e85ff06f j 800011f8 <__divdf3+0x540> +80001378: 00000793 li a5,0 +8000137c: 00000713 li a4,0 +80001380: 7ff00693 li a3,2047 +80001384: e75ff06f j 800011f8 <__divdf3+0x540> +80001388: 00000793 li a5,0 +8000138c: 00000713 li a4,0 +80001390: 00100693 li a3,1 +80001394: e65ff06f j 800011f8 <__divdf3+0x540> + +80001398 <__muldf3>: +80001398: fd010113 addi sp,sp,-48 +8000139c: 03212023 sw s2,32(sp) +800013a0: 01512a23 sw s5,20(sp) +800013a4: 00100937 lui s2,0x100 +800013a8: 0145da93 srli s5,a1,0x14 +800013ac: 01312e23 sw s3,28(sp) +800013b0: 01412c23 sw s4,24(sp) +800013b4: 01612823 sw s6,16(sp) +800013b8: 01712623 sw s7,12(sp) +800013bc: fff90913 addi s2,s2,-1 # fffff <_stack_size+0xffbff> +800013c0: 02112623 sw ra,44(sp) +800013c4: 02812423 sw s0,40(sp) +800013c8: 02912223 sw s1,36(sp) +800013cc: 7ffafa93 andi s5,s5,2047 +800013d0: 00050b13 mv s6,a0 +800013d4: 00060b93 mv s7,a2 +800013d8: 00068a13 mv s4,a3 +800013dc: 00b97933 and s2,s2,a1 +800013e0: 01f5d993 srli s3,a1,0x1f +800013e4: 0a0a8863 beqz s5,80001494 <__muldf3+0xfc> +800013e8: 7ff00793 li a5,2047 +800013ec: 10fa8663 beq s5,a5,800014f8 <__muldf3+0x160> +800013f0: 00800437 lui s0,0x800 +800013f4: 00391913 slli s2,s2,0x3 +800013f8: 00896933 or s2,s2,s0 +800013fc: 01d55413 srli s0,a0,0x1d +80001400: 01246433 or s0,s0,s2 +80001404: 00351493 slli s1,a0,0x3 +80001408: c01a8a93 addi s5,s5,-1023 +8000140c: 00000b13 li s6,0 +80001410: 014a5513 srli a0,s4,0x14 +80001414: 00100937 lui s2,0x100 +80001418: fff90913 addi s2,s2,-1 # fffff <_stack_size+0xffbff> +8000141c: 7ff57513 andi a0,a0,2047 +80001420: 01497933 and s2,s2,s4 +80001424: 000b8713 mv a4,s7 +80001428: 01fa5a13 srli s4,s4,0x1f +8000142c: 10050463 beqz a0,80001534 <__muldf3+0x19c> +80001430: 7ff00793 li a5,2047 +80001434: 16f50463 beq a0,a5,8000159c <__muldf3+0x204> +80001438: 008007b7 lui a5,0x800 +8000143c: 00391913 slli s2,s2,0x3 +80001440: 00f96933 or s2,s2,a5 +80001444: 01dbd793 srli a5,s7,0x1d +80001448: 0127e7b3 or a5,a5,s2 +8000144c: 003b9713 slli a4,s7,0x3 +80001450: c0150513 addi a0,a0,-1023 +80001454: 00000693 li a3,0 +80001458: 002b1593 slli a1,s6,0x2 +8000145c: 00d5e5b3 or a1,a1,a3 +80001460: 00aa8533 add a0,s5,a0 +80001464: fff58593 addi a1,a1,-1 +80001468: 00e00893 li a7,14 +8000146c: 0149c633 xor a2,s3,s4 +80001470: 00150813 addi a6,a0,1 +80001474: 16b8e063 bltu a7,a1,800015d4 <__muldf3+0x23c> +80001478: 00002517 auipc a0,0x2 +8000147c: a7850513 addi a0,a0,-1416 # 80002ef0 +80001480: 00259593 slli a1,a1,0x2 +80001484: 00a585b3 add a1,a1,a0 +80001488: 0005a583 lw a1,0(a1) +8000148c: 00a585b3 add a1,a1,a0 +80001490: 00058067 jr a1 +80001494: 00a96433 or s0,s2,a0 +80001498: 06040e63 beqz s0,80001514 <__muldf3+0x17c> +8000149c: 04090063 beqz s2,800014dc <__muldf3+0x144> +800014a0: 00090513 mv a0,s2 +800014a4: 3e4010ef jal ra,80002888 <__clzsi2> +800014a8: ff550793 addi a5,a0,-11 +800014ac: 01c00713 li a4,28 +800014b0: 02f74c63 blt a4,a5,800014e8 <__muldf3+0x150> +800014b4: 01d00413 li s0,29 +800014b8: ff850493 addi s1,a0,-8 +800014bc: 40f40433 sub s0,s0,a5 +800014c0: 00991933 sll s2,s2,s1 +800014c4: 008b5433 srl s0,s6,s0 +800014c8: 01246433 or s0,s0,s2 +800014cc: 009b14b3 sll s1,s6,s1 +800014d0: c0d00a93 li s5,-1011 +800014d4: 40aa8ab3 sub s5,s5,a0 +800014d8: f35ff06f j 8000140c <__muldf3+0x74> +800014dc: 3ac010ef jal ra,80002888 <__clzsi2> +800014e0: 02050513 addi a0,a0,32 +800014e4: fc5ff06f j 800014a8 <__muldf3+0x110> +800014e8: fd850413 addi s0,a0,-40 +800014ec: 008b1433 sll s0,s6,s0 +800014f0: 00000493 li s1,0 +800014f4: fddff06f j 800014d0 <__muldf3+0x138> +800014f8: 00a96433 or s0,s2,a0 +800014fc: 02040463 beqz s0,80001524 <__muldf3+0x18c> +80001500: 00050493 mv s1,a0 +80001504: 00090413 mv s0,s2 +80001508: 7ff00a93 li s5,2047 +8000150c: 00300b13 li s6,3 +80001510: f01ff06f j 80001410 <__muldf3+0x78> +80001514: 00000493 li s1,0 +80001518: 00000a93 li s5,0 +8000151c: 00100b13 li s6,1 +80001520: ef1ff06f j 80001410 <__muldf3+0x78> +80001524: 00000493 li s1,0 +80001528: 7ff00a93 li s5,2047 +8000152c: 00200b13 li s6,2 +80001530: ee1ff06f j 80001410 <__muldf3+0x78> +80001534: 017967b3 or a5,s2,s7 +80001538: 06078e63 beqz a5,800015b4 <__muldf3+0x21c> +8000153c: 04090063 beqz s2,8000157c <__muldf3+0x1e4> +80001540: 00090513 mv a0,s2 +80001544: 344010ef jal ra,80002888 <__clzsi2> +80001548: ff550693 addi a3,a0,-11 +8000154c: 01c00793 li a5,28 +80001550: 02d7ce63 blt a5,a3,8000158c <__muldf3+0x1f4> +80001554: 01d00793 li a5,29 +80001558: ff850713 addi a4,a0,-8 +8000155c: 40d787b3 sub a5,a5,a3 +80001560: 00e91933 sll s2,s2,a4 +80001564: 00fbd7b3 srl a5,s7,a5 +80001568: 0127e7b3 or a5,a5,s2 +8000156c: 00eb9733 sll a4,s7,a4 +80001570: c0d00693 li a3,-1011 +80001574: 40a68533 sub a0,a3,a0 +80001578: eddff06f j 80001454 <__muldf3+0xbc> +8000157c: 000b8513 mv a0,s7 +80001580: 308010ef jal ra,80002888 <__clzsi2> +80001584: 02050513 addi a0,a0,32 +80001588: fc1ff06f j 80001548 <__muldf3+0x1b0> +8000158c: fd850793 addi a5,a0,-40 +80001590: 00fb97b3 sll a5,s7,a5 +80001594: 00000713 li a4,0 +80001598: fd9ff06f j 80001570 <__muldf3+0x1d8> +8000159c: 017967b3 or a5,s2,s7 +800015a0: 02078263 beqz a5,800015c4 <__muldf3+0x22c> +800015a4: 00090793 mv a5,s2 +800015a8: 7ff00513 li a0,2047 +800015ac: 00300693 li a3,3 +800015b0: ea9ff06f j 80001458 <__muldf3+0xc0> +800015b4: 00000713 li a4,0 +800015b8: 00000513 li a0,0 +800015bc: 00100693 li a3,1 +800015c0: e99ff06f j 80001458 <__muldf3+0xc0> +800015c4: 00000713 li a4,0 +800015c8: 7ff00513 li a0,2047 +800015cc: 00200693 li a3,2 +800015d0: e89ff06f j 80001458 <__muldf3+0xc0> +800015d4: 00010fb7 lui t6,0x10 +800015d8: ffff8f13 addi t5,t6,-1 # ffff <_stack_size+0xfbff> +800015dc: 0104d693 srli a3,s1,0x10 +800015e0: 01075313 srli t1,a4,0x10 +800015e4: 01e4f4b3 and s1,s1,t5 +800015e8: 01e77733 and a4,a4,t5 +800015ec: 029308b3 mul a7,t1,s1 +800015f0: 029705b3 mul a1,a4,s1 +800015f4: 02e682b3 mul t0,a3,a4 +800015f8: 00588e33 add t3,a7,t0 +800015fc: 0105d893 srli a7,a1,0x10 +80001600: 01c888b3 add a7,a7,t3 +80001604: 02668eb3 mul t4,a3,t1 +80001608: 0058f463 bleu t0,a7,80001610 <__muldf3+0x278> +8000160c: 01fe8eb3 add t4,t4,t6 +80001610: 0108d913 srli s2,a7,0x10 +80001614: 01e8f8b3 and a7,a7,t5 +80001618: 0107df93 srli t6,a5,0x10 +8000161c: 01e5f5b3 and a1,a1,t5 +80001620: 01e7f3b3 and t2,a5,t5 +80001624: 01089893 slli a7,a7,0x10 +80001628: 00b888b3 add a7,a7,a1 +8000162c: 027687b3 mul a5,a3,t2 +80001630: 029385b3 mul a1,t2,s1 +80001634: 029f84b3 mul s1,t6,s1 +80001638: 00f48e33 add t3,s1,a5 +8000163c: 0105d493 srli s1,a1,0x10 +80001640: 01c484b3 add s1,s1,t3 +80001644: 03f686b3 mul a3,a3,t6 +80001648: 00f4f663 bleu a5,s1,80001654 <__muldf3+0x2bc> +8000164c: 000107b7 lui a5,0x10 +80001650: 00f686b3 add a3,a3,a5 +80001654: 0104df13 srli t5,s1,0x10 +80001658: 000109b7 lui s3,0x10 +8000165c: 00df0f33 add t5,t5,a3 +80001660: fff98693 addi a3,s3,-1 # ffff <_stack_size+0xfbff> +80001664: 00d4f4b3 and s1,s1,a3 +80001668: 00d5f5b3 and a1,a1,a3 +8000166c: 01045793 srli a5,s0,0x10 +80001670: 01049493 slli s1,s1,0x10 +80001674: 00d47433 and s0,s0,a3 +80001678: 00b484b3 add s1,s1,a1 +8000167c: 028706b3 mul a3,a4,s0 +80001680: 00990933 add s2,s2,s1 +80001684: 02e78e33 mul t3,a5,a4 +80001688: 028305b3 mul a1,t1,s0 +8000168c: 02f30733 mul a4,t1,a5 +80001690: 01c58333 add t1,a1,t3 +80001694: 0106d593 srli a1,a3,0x10 +80001698: 006585b3 add a1,a1,t1 +8000169c: 01c5f463 bleu t3,a1,800016a4 <__muldf3+0x30c> +800016a0: 01370733 add a4,a4,s3 +800016a4: 0105d313 srli t1,a1,0x10 +800016a8: 000109b7 lui s3,0x10 +800016ac: 00e302b3 add t0,t1,a4 +800016b0: fff98713 addi a4,s3,-1 # ffff <_stack_size+0xfbff> +800016b4: 00e5f5b3 and a1,a1,a4 +800016b8: 00e6f6b3 and a3,a3,a4 +800016bc: 02838333 mul t1,t2,s0 +800016c0: 01059593 slli a1,a1,0x10 +800016c4: 00d585b3 add a1,a1,a3 +800016c8: 02778733 mul a4,a5,t2 +800016cc: 028f8433 mul s0,t6,s0 +800016d0: 02ff8e33 mul t3,t6,a5 +800016d4: 00e40433 add s0,s0,a4 +800016d8: 01035793 srli a5,t1,0x10 +800016dc: 00878433 add s0,a5,s0 +800016e0: 00e47463 bleu a4,s0,800016e8 <__muldf3+0x350> +800016e4: 013e0e33 add t3,t3,s3 +800016e8: 000107b7 lui a5,0x10 +800016ec: fff78793 addi a5,a5,-1 # ffff <_stack_size+0xfbff> +800016f0: 00f476b3 and a3,s0,a5 +800016f4: 00f37333 and t1,t1,a5 +800016f8: 01069693 slli a3,a3,0x10 +800016fc: 012e8eb3 add t4,t4,s2 +80001700: 006686b3 add a3,a3,t1 +80001704: 009eb4b3 sltu s1,t4,s1 +80001708: 01e686b3 add a3,a3,t5 +8000170c: 009687b3 add a5,a3,s1 +80001710: 00be8eb3 add t4,t4,a1 +80001714: 00beb5b3 sltu a1,t4,a1 +80001718: 00578333 add t1,a5,t0 +8000171c: 00b30fb3 add t6,t1,a1 +80001720: 01e6b6b3 sltu a3,a3,t5 +80001724: 0097b7b3 sltu a5,a5,s1 +80001728: 00f6e7b3 or a5,a3,a5 +8000172c: 01045413 srli s0,s0,0x10 +80001730: 00533333 sltu t1,t1,t0 +80001734: 00bfb5b3 sltu a1,t6,a1 +80001738: 008787b3 add a5,a5,s0 +8000173c: 00b365b3 or a1,t1,a1 +80001740: 00b787b3 add a5,a5,a1 +80001744: 01c787b3 add a5,a5,t3 +80001748: 017fd713 srli a4,t6,0x17 +8000174c: 00979793 slli a5,a5,0x9 +80001750: 00e7e7b3 or a5,a5,a4 +80001754: 009e9713 slli a4,t4,0x9 +80001758: 01176733 or a4,a4,a7 +8000175c: 00e03733 snez a4,a4 +80001760: 017ede93 srli t4,t4,0x17 +80001764: 009f9693 slli a3,t6,0x9 +80001768: 01d76733 or a4,a4,t4 +8000176c: 00d76733 or a4,a4,a3 +80001770: 00779693 slli a3,a5,0x7 +80001774: 1006da63 bgez a3,80001888 <__muldf3+0x4f0> +80001778: 00175693 srli a3,a4,0x1 +8000177c: 00177713 andi a4,a4,1 +80001780: 00e6e733 or a4,a3,a4 +80001784: 01f79693 slli a3,a5,0x1f +80001788: 00d76733 or a4,a4,a3 +8000178c: 0017d793 srli a5,a5,0x1 +80001790: 3ff80593 addi a1,a6,1023 +80001794: 0eb05e63 blez a1,80001890 <__muldf3+0x4f8> +80001798: 00777693 andi a3,a4,7 +8000179c: 02068063 beqz a3,800017bc <__muldf3+0x424> +800017a0: 00f77693 andi a3,a4,15 +800017a4: 00400513 li a0,4 +800017a8: 00a68a63 beq a3,a0,800017bc <__muldf3+0x424> +800017ac: 00470693 addi a3,a4,4 +800017b0: 00e6b733 sltu a4,a3,a4 +800017b4: 00e787b3 add a5,a5,a4 +800017b8: 00068713 mv a4,a3 +800017bc: 00779693 slli a3,a5,0x7 +800017c0: 0006da63 bgez a3,800017d4 <__muldf3+0x43c> +800017c4: ff0006b7 lui a3,0xff000 +800017c8: fff68693 addi a3,a3,-1 # feffffff <_stack_start+0x7eff9f2f> +800017cc: 00d7f7b3 and a5,a5,a3 +800017d0: 40080593 addi a1,a6,1024 +800017d4: 7fe00693 li a3,2046 +800017d8: 16b6ce63 blt a3,a1,80001954 <__muldf3+0x5bc> +800017dc: 00375693 srli a3,a4,0x3 +800017e0: 01d79713 slli a4,a5,0x1d +800017e4: 00d76733 or a4,a4,a3 +800017e8: 0037d793 srli a5,a5,0x3 +800017ec: 001006b7 lui a3,0x100 +800017f0: fff68693 addi a3,a3,-1 # fffff <_stack_size+0xffbff> +800017f4: 00d7f7b3 and a5,a5,a3 +800017f8: 7ff5f693 andi a3,a1,2047 +800017fc: 801005b7 lui a1,0x80100 +80001800: fff58593 addi a1,a1,-1 # 800fffff <_stack_start+0xf9f2f> +80001804: 01469693 slli a3,a3,0x14 +80001808: 00b7f7b3 and a5,a5,a1 +8000180c: 02c12083 lw ra,44(sp) +80001810: 02812403 lw s0,40(sp) +80001814: 01f61613 slli a2,a2,0x1f +80001818: 00d7e7b3 or a5,a5,a3 +8000181c: 00c7e6b3 or a3,a5,a2 +80001820: 02412483 lw s1,36(sp) +80001824: 02012903 lw s2,32(sp) +80001828: 01c12983 lw s3,28(sp) +8000182c: 01812a03 lw s4,24(sp) +80001830: 01412a83 lw s5,20(sp) +80001834: 01012b03 lw s6,16(sp) +80001838: 00c12b83 lw s7,12(sp) +8000183c: 00070513 mv a0,a4 +80001840: 00068593 mv a1,a3 +80001844: 03010113 addi sp,sp,48 +80001848: 00008067 ret +8000184c: 00098613 mv a2,s3 +80001850: 00040793 mv a5,s0 +80001854: 00048713 mv a4,s1 +80001858: 000b0693 mv a3,s6 +8000185c: 00200593 li a1,2 +80001860: 0eb68a63 beq a3,a1,80001954 <__muldf3+0x5bc> +80001864: 00300593 li a1,3 +80001868: 0cb68c63 beq a3,a1,80001940 <__muldf3+0x5a8> +8000186c: 00100593 li a1,1 +80001870: f2b690e3 bne a3,a1,80001790 <__muldf3+0x3f8> +80001874: 00000793 li a5,0 +80001878: 00000713 li a4,0 +8000187c: 0880006f j 80001904 <__muldf3+0x56c> +80001880: 000a0613 mv a2,s4 +80001884: fd9ff06f j 8000185c <__muldf3+0x4c4> +80001888: 00050813 mv a6,a0 +8000188c: f05ff06f j 80001790 <__muldf3+0x3f8> +80001890: 00100513 li a0,1 +80001894: 40b50533 sub a0,a0,a1 +80001898: 03800693 li a3,56 +8000189c: fca6cce3 blt a3,a0,80001874 <__muldf3+0x4dc> +800018a0: 01f00693 li a3,31 +800018a4: 06a6c463 blt a3,a0,8000190c <__muldf3+0x574> +800018a8: 41e80813 addi a6,a6,1054 +800018ac: 010796b3 sll a3,a5,a6 +800018b0: 00a755b3 srl a1,a4,a0 +800018b4: 01071733 sll a4,a4,a6 +800018b8: 00b6e6b3 or a3,a3,a1 +800018bc: 00e03733 snez a4,a4 +800018c0: 00e6e733 or a4,a3,a4 +800018c4: 00a7d7b3 srl a5,a5,a0 +800018c8: 00777693 andi a3,a4,7 +800018cc: 02068063 beqz a3,800018ec <__muldf3+0x554> +800018d0: 00f77693 andi a3,a4,15 +800018d4: 00400593 li a1,4 +800018d8: 00b68a63 beq a3,a1,800018ec <__muldf3+0x554> +800018dc: 00470693 addi a3,a4,4 +800018e0: 00e6b733 sltu a4,a3,a4 +800018e4: 00e787b3 add a5,a5,a4 +800018e8: 00068713 mv a4,a3 +800018ec: 00879693 slli a3,a5,0x8 +800018f0: 0606ca63 bltz a3,80001964 <__muldf3+0x5cc> +800018f4: 01d79693 slli a3,a5,0x1d +800018f8: 00375713 srli a4,a4,0x3 +800018fc: 00e6e733 or a4,a3,a4 +80001900: 0037d793 srli a5,a5,0x3 +80001904: 00000593 li a1,0 +80001908: ee5ff06f j 800017ec <__muldf3+0x454> +8000190c: fe100693 li a3,-31 +80001910: 40b686b3 sub a3,a3,a1 +80001914: 02000893 li a7,32 +80001918: 00d7d6b3 srl a3,a5,a3 +8000191c: 00000593 li a1,0 +80001920: 01150663 beq a0,a7,8000192c <__muldf3+0x594> +80001924: 43e80813 addi a6,a6,1086 +80001928: 010795b3 sll a1,a5,a6 +8000192c: 00e5e733 or a4,a1,a4 +80001930: 00e03733 snez a4,a4 +80001934: 00e6e733 or a4,a3,a4 +80001938: 00000793 li a5,0 +8000193c: f8dff06f j 800018c8 <__muldf3+0x530> +80001940: 000807b7 lui a5,0x80 +80001944: 00000713 li a4,0 +80001948: 7ff00593 li a1,2047 +8000194c: 00000613 li a2,0 +80001950: e9dff06f j 800017ec <__muldf3+0x454> +80001954: 00000793 li a5,0 +80001958: 00000713 li a4,0 +8000195c: 7ff00593 li a1,2047 +80001960: e8dff06f j 800017ec <__muldf3+0x454> +80001964: 00000793 li a5,0 +80001968: 00000713 li a4,0 +8000196c: 00100593 li a1,1 +80001970: e7dff06f j 800017ec <__muldf3+0x454> + +80001974 <__divsf3>: +80001974: fe010113 addi sp,sp,-32 +80001978: 00912a23 sw s1,20(sp) +8000197c: 01312623 sw s3,12(sp) +80001980: 01755493 srli s1,a0,0x17 +80001984: 008009b7 lui s3,0x800 +80001988: 01212823 sw s2,16(sp) +8000198c: 01412423 sw s4,8(sp) +80001990: fff98993 addi s3,s3,-1 # 7fffff <_stack_size+0x7ffbff> +80001994: 00112e23 sw ra,28(sp) +80001998: 00812c23 sw s0,24(sp) +8000199c: 01512223 sw s5,4(sp) +800019a0: 0ff4f493 andi s1,s1,255 +800019a4: 00058a13 mv s4,a1 +800019a8: 00a9f9b3 and s3,s3,a0 +800019ac: 01f55913 srli s2,a0,0x1f +800019b0: 08048863 beqz s1,80001a40 <__divsf3+0xcc> +800019b4: 0ff00793 li a5,255 +800019b8: 0af48463 beq s1,a5,80001a60 <__divsf3+0xec> +800019bc: 00399993 slli s3,s3,0x3 +800019c0: 040007b7 lui a5,0x4000 +800019c4: 00f9e9b3 or s3,s3,a5 +800019c8: f8148493 addi s1,s1,-127 +800019cc: 00000a93 li s5,0 +800019d0: 017a5513 srli a0,s4,0x17 +800019d4: 00800437 lui s0,0x800 +800019d8: fff40413 addi s0,s0,-1 # 7fffff <_stack_size+0x7ffbff> +800019dc: 0ff57513 andi a0,a0,255 +800019e0: 01447433 and s0,s0,s4 +800019e4: 01fa5a13 srli s4,s4,0x1f +800019e8: 08050c63 beqz a0,80001a80 <__divsf3+0x10c> +800019ec: 0ff00793 li a5,255 +800019f0: 0af50863 beq a0,a5,80001aa0 <__divsf3+0x12c> +800019f4: 00341413 slli s0,s0,0x3 +800019f8: 040007b7 lui a5,0x4000 +800019fc: 00f46433 or s0,s0,a5 +80001a00: f8150513 addi a0,a0,-127 +80001a04: 00000793 li a5,0 +80001a08: 002a9693 slli a3,s5,0x2 +80001a0c: 00f6e6b3 or a3,a3,a5 +80001a10: fff68693 addi a3,a3,-1 +80001a14: 00e00713 li a4,14 +80001a18: 01494633 xor a2,s2,s4 +80001a1c: 40a48533 sub a0,s1,a0 +80001a20: 0ad76063 bltu a4,a3,80001ac0 <__divsf3+0x14c> +80001a24: 00001597 auipc a1,0x1 +80001a28: 50858593 addi a1,a1,1288 # 80002f2c +80001a2c: 00269693 slli a3,a3,0x2 +80001a30: 00b686b3 add a3,a3,a1 +80001a34: 0006a703 lw a4,0(a3) +80001a38: 00b70733 add a4,a4,a1 +80001a3c: 00070067 jr a4 +80001a40: 02098a63 beqz s3,80001a74 <__divsf3+0x100> +80001a44: 00098513 mv a0,s3 +80001a48: 641000ef jal ra,80002888 <__clzsi2> +80001a4c: ffb50793 addi a5,a0,-5 +80001a50: f8a00493 li s1,-118 +80001a54: 00f999b3 sll s3,s3,a5 +80001a58: 40a484b3 sub s1,s1,a0 +80001a5c: f71ff06f j 800019cc <__divsf3+0x58> +80001a60: 0ff00493 li s1,255 +80001a64: 00200a93 li s5,2 +80001a68: f60984e3 beqz s3,800019d0 <__divsf3+0x5c> +80001a6c: 00300a93 li s5,3 +80001a70: f61ff06f j 800019d0 <__divsf3+0x5c> +80001a74: 00000493 li s1,0 +80001a78: 00100a93 li s5,1 +80001a7c: f55ff06f j 800019d0 <__divsf3+0x5c> +80001a80: 02040a63 beqz s0,80001ab4 <__divsf3+0x140> +80001a84: 00040513 mv a0,s0 +80001a88: 601000ef jal ra,80002888 <__clzsi2> +80001a8c: ffb50793 addi a5,a0,-5 +80001a90: 00f41433 sll s0,s0,a5 +80001a94: f8a00793 li a5,-118 +80001a98: 40a78533 sub a0,a5,a0 +80001a9c: f69ff06f j 80001a04 <__divsf3+0x90> +80001aa0: 0ff00513 li a0,255 +80001aa4: 00200793 li a5,2 +80001aa8: f60400e3 beqz s0,80001a08 <__divsf3+0x94> +80001aac: 00300793 li a5,3 +80001ab0: f59ff06f j 80001a08 <__divsf3+0x94> +80001ab4: 00000513 li a0,0 +80001ab8: 00100793 li a5,1 +80001abc: f4dff06f j 80001a08 <__divsf3+0x94> +80001ac0: 00541813 slli a6,s0,0x5 +80001ac4: 0e89f663 bleu s0,s3,80001bb0 <__divsf3+0x23c> +80001ac8: fff50513 addi a0,a0,-1 +80001acc: 00000693 li a3,0 +80001ad0: 01085413 srli s0,a6,0x10 +80001ad4: 0289d333 divu t1,s3,s0 +80001ad8: 000107b7 lui a5,0x10 +80001adc: fff78793 addi a5,a5,-1 # ffff <_stack_size+0xfbff> +80001ae0: 00f877b3 and a5,a6,a5 +80001ae4: 0106d693 srli a3,a3,0x10 +80001ae8: 0289f733 remu a4,s3,s0 +80001aec: 00030593 mv a1,t1 +80001af0: 026788b3 mul a7,a5,t1 +80001af4: 01071713 slli a4,a4,0x10 +80001af8: 00e6e733 or a4,a3,a4 +80001afc: 01177e63 bleu a7,a4,80001b18 <__divsf3+0x1a4> +80001b00: 01070733 add a4,a4,a6 +80001b04: fff30593 addi a1,t1,-1 +80001b08: 01076863 bltu a4,a6,80001b18 <__divsf3+0x1a4> +80001b0c: 01177663 bleu a7,a4,80001b18 <__divsf3+0x1a4> +80001b10: ffe30593 addi a1,t1,-2 +80001b14: 01070733 add a4,a4,a6 +80001b18: 41170733 sub a4,a4,a7 +80001b1c: 028758b3 divu a7,a4,s0 +80001b20: 02877733 remu a4,a4,s0 +80001b24: 031786b3 mul a3,a5,a7 +80001b28: 01071793 slli a5,a4,0x10 +80001b2c: 00088713 mv a4,a7 +80001b30: 00d7fe63 bleu a3,a5,80001b4c <__divsf3+0x1d8> +80001b34: 010787b3 add a5,a5,a6 +80001b38: fff88713 addi a4,a7,-1 +80001b3c: 0107e863 bltu a5,a6,80001b4c <__divsf3+0x1d8> +80001b40: 00d7f663 bleu a3,a5,80001b4c <__divsf3+0x1d8> +80001b44: ffe88713 addi a4,a7,-2 +80001b48: 010787b3 add a5,a5,a6 +80001b4c: 01059413 slli s0,a1,0x10 +80001b50: 40d787b3 sub a5,a5,a3 +80001b54: 00e46433 or s0,s0,a4 +80001b58: 00f037b3 snez a5,a5 +80001b5c: 00f46433 or s0,s0,a5 +80001b60: 07f50713 addi a4,a0,127 +80001b64: 0ae05063 blez a4,80001c04 <__divsf3+0x290> +80001b68: 00747793 andi a5,s0,7 +80001b6c: 00078a63 beqz a5,80001b80 <__divsf3+0x20c> +80001b70: 00f47793 andi a5,s0,15 +80001b74: 00400693 li a3,4 +80001b78: 00d78463 beq a5,a3,80001b80 <__divsf3+0x20c> +80001b7c: 00440413 addi s0,s0,4 +80001b80: 00441793 slli a5,s0,0x4 +80001b84: 0007da63 bgez a5,80001b98 <__divsf3+0x224> +80001b88: f80007b7 lui a5,0xf8000 +80001b8c: fff78793 addi a5,a5,-1 # f7ffffff <_stack_start+0x77ff9f2f> +80001b90: 00f47433 and s0,s0,a5 +80001b94: 08050713 addi a4,a0,128 +80001b98: 0fe00793 li a5,254 +80001b9c: 00345413 srli s0,s0,0x3 +80001ba0: 0ce7d263 ble a4,a5,80001c64 <__divsf3+0x2f0> +80001ba4: 00000413 li s0,0 +80001ba8: 0ff00713 li a4,255 +80001bac: 0b80006f j 80001c64 <__divsf3+0x2f0> +80001bb0: 01f99693 slli a3,s3,0x1f +80001bb4: 0019d993 srli s3,s3,0x1 +80001bb8: f19ff06f j 80001ad0 <__divsf3+0x15c> +80001bbc: 00090613 mv a2,s2 +80001bc0: 00098413 mv s0,s3 +80001bc4: 000a8793 mv a5,s5 +80001bc8: 00200713 li a4,2 +80001bcc: fce78ce3 beq a5,a4,80001ba4 <__divsf3+0x230> +80001bd0: 00300713 li a4,3 +80001bd4: 08e78263 beq a5,a4,80001c58 <__divsf3+0x2e4> +80001bd8: 00100713 li a4,1 +80001bdc: f8e792e3 bne a5,a4,80001b60 <__divsf3+0x1ec> +80001be0: 00000413 li s0,0 +80001be4: 00000713 li a4,0 +80001be8: 07c0006f j 80001c64 <__divsf3+0x2f0> +80001bec: 000a0613 mv a2,s4 +80001bf0: fd9ff06f j 80001bc8 <__divsf3+0x254> +80001bf4: 00400437 lui s0,0x400 +80001bf8: 00000613 li a2,0 +80001bfc: 00300793 li a5,3 +80001c00: fc9ff06f j 80001bc8 <__divsf3+0x254> +80001c04: 00100793 li a5,1 +80001c08: 40e787b3 sub a5,a5,a4 +80001c0c: 01b00713 li a4,27 +80001c10: fcf748e3 blt a4,a5,80001be0 <__divsf3+0x26c> +80001c14: 09e50513 addi a0,a0,158 +80001c18: 00f457b3 srl a5,s0,a5 +80001c1c: 00a41433 sll s0,s0,a0 +80001c20: 00803433 snez s0,s0 +80001c24: 0087e433 or s0,a5,s0 +80001c28: 00747793 andi a5,s0,7 +80001c2c: 00078a63 beqz a5,80001c40 <__divsf3+0x2cc> +80001c30: 00f47793 andi a5,s0,15 +80001c34: 00400713 li a4,4 +80001c38: 00e78463 beq a5,a4,80001c40 <__divsf3+0x2cc> +80001c3c: 00440413 addi s0,s0,4 # 400004 <_stack_size+0x3ffc04> +80001c40: 00541793 slli a5,s0,0x5 +80001c44: 00345413 srli s0,s0,0x3 +80001c48: f807dee3 bgez a5,80001be4 <__divsf3+0x270> +80001c4c: 00000413 li s0,0 +80001c50: 00100713 li a4,1 +80001c54: 0100006f j 80001c64 <__divsf3+0x2f0> +80001c58: 00400437 lui s0,0x400 +80001c5c: 0ff00713 li a4,255 +80001c60: 00000613 li a2,0 +80001c64: 00800537 lui a0,0x800 +80001c68: fff50513 addi a0,a0,-1 # 7fffff <_stack_size+0x7ffbff> +80001c6c: 00a47433 and s0,s0,a0 +80001c70: 80800537 lui a0,0x80800 +80001c74: fff50513 addi a0,a0,-1 # 807fffff <_stack_start+0x7f9f2f> +80001c78: 0ff77713 andi a4,a4,255 +80001c7c: 00a47433 and s0,s0,a0 +80001c80: 01771713 slli a4,a4,0x17 +80001c84: 00e46433 or s0,s0,a4 +80001c88: 01f61513 slli a0,a2,0x1f +80001c8c: 00a46533 or a0,s0,a0 +80001c90: 01c12083 lw ra,28(sp) +80001c94: 01812403 lw s0,24(sp) +80001c98: 01412483 lw s1,20(sp) +80001c9c: 01012903 lw s2,16(sp) +80001ca0: 00c12983 lw s3,12(sp) +80001ca4: 00812a03 lw s4,8(sp) +80001ca8: 00412a83 lw s5,4(sp) +80001cac: 02010113 addi sp,sp,32 +80001cb0: 00008067 ret + +80001cb4 <__mulsf3>: +80001cb4: fe010113 addi sp,sp,-32 +80001cb8: 00912a23 sw s1,20(sp) +80001cbc: 01212823 sw s2,16(sp) +80001cc0: 008004b7 lui s1,0x800 +80001cc4: 01755913 srli s2,a0,0x17 +80001cc8: 01312623 sw s3,12(sp) +80001ccc: 01512223 sw s5,4(sp) +80001cd0: fff48493 addi s1,s1,-1 # 7fffff <_stack_size+0x7ffbff> +80001cd4: 00112e23 sw ra,28(sp) +80001cd8: 00812c23 sw s0,24(sp) +80001cdc: 01412423 sw s4,8(sp) +80001ce0: 0ff97913 andi s2,s2,255 +80001ce4: 00058a93 mv s5,a1 +80001ce8: 00a4f4b3 and s1,s1,a0 +80001cec: 01f55993 srli s3,a0,0x1f +80001cf0: 08090a63 beqz s2,80001d84 <__mulsf3+0xd0> +80001cf4: 0ff00793 li a5,255 +80001cf8: 0af90663 beq s2,a5,80001da4 <__mulsf3+0xf0> +80001cfc: 00349493 slli s1,s1,0x3 +80001d00: 040007b7 lui a5,0x4000 +80001d04: 00f4e4b3 or s1,s1,a5 +80001d08: f8190913 addi s2,s2,-127 +80001d0c: 00000a13 li s4,0 +80001d10: 017ad513 srli a0,s5,0x17 +80001d14: 00800437 lui s0,0x800 +80001d18: fff40413 addi s0,s0,-1 # 7fffff <_stack_size+0x7ffbff> +80001d1c: 0ff57513 andi a0,a0,255 +80001d20: 01547433 and s0,s0,s5 +80001d24: 01fada93 srli s5,s5,0x1f +80001d28: 08050e63 beqz a0,80001dc4 <__mulsf3+0x110> +80001d2c: 0ff00793 li a5,255 +80001d30: 0af50a63 beq a0,a5,80001de4 <__mulsf3+0x130> +80001d34: 00341413 slli s0,s0,0x3 +80001d38: 040007b7 lui a5,0x4000 +80001d3c: 00f46433 or s0,s0,a5 +80001d40: f8150513 addi a0,a0,-127 +80001d44: 00000693 li a3,0 +80001d48: 002a1793 slli a5,s4,0x2 +80001d4c: 00d7e7b3 or a5,a5,a3 +80001d50: 00a90533 add a0,s2,a0 +80001d54: fff78793 addi a5,a5,-1 # 3ffffff <_stack_size+0x3fffbff> +80001d58: 00e00713 li a4,14 +80001d5c: 0159c633 xor a2,s3,s5 +80001d60: 00150813 addi a6,a0,1 +80001d64: 0af76063 bltu a4,a5,80001e04 <__mulsf3+0x150> +80001d68: 00001717 auipc a4,0x1 +80001d6c: 20070713 addi a4,a4,512 # 80002f68 +80001d70: 00279793 slli a5,a5,0x2 +80001d74: 00e787b3 add a5,a5,a4 +80001d78: 0007a783 lw a5,0(a5) +80001d7c: 00e787b3 add a5,a5,a4 +80001d80: 00078067 jr a5 +80001d84: 02048a63 beqz s1,80001db8 <__mulsf3+0x104> +80001d88: 00048513 mv a0,s1 +80001d8c: 2fd000ef jal ra,80002888 <__clzsi2> +80001d90: ffb50793 addi a5,a0,-5 +80001d94: f8a00913 li s2,-118 +80001d98: 00f494b3 sll s1,s1,a5 +80001d9c: 40a90933 sub s2,s2,a0 +80001da0: f6dff06f j 80001d0c <__mulsf3+0x58> +80001da4: 0ff00913 li s2,255 +80001da8: 00200a13 li s4,2 +80001dac: f60482e3 beqz s1,80001d10 <__mulsf3+0x5c> +80001db0: 00300a13 li s4,3 +80001db4: f5dff06f j 80001d10 <__mulsf3+0x5c> +80001db8: 00000913 li s2,0 +80001dbc: 00100a13 li s4,1 +80001dc0: f51ff06f j 80001d10 <__mulsf3+0x5c> +80001dc4: 02040a63 beqz s0,80001df8 <__mulsf3+0x144> +80001dc8: 00040513 mv a0,s0 +80001dcc: 2bd000ef jal ra,80002888 <__clzsi2> +80001dd0: ffb50793 addi a5,a0,-5 +80001dd4: 00f41433 sll s0,s0,a5 +80001dd8: f8a00793 li a5,-118 +80001ddc: 40a78533 sub a0,a5,a0 +80001de0: f65ff06f j 80001d44 <__mulsf3+0x90> +80001de4: 0ff00513 li a0,255 +80001de8: 00200693 li a3,2 +80001dec: f4040ee3 beqz s0,80001d48 <__mulsf3+0x94> +80001df0: 00300693 li a3,3 +80001df4: f55ff06f j 80001d48 <__mulsf3+0x94> +80001df8: 00000513 li a0,0 +80001dfc: 00100693 li a3,1 +80001e00: f49ff06f j 80001d48 <__mulsf3+0x94> +80001e04: 000107b7 lui a5,0x10 +80001e08: fff78313 addi t1,a5,-1 # ffff <_stack_size+0xfbff> +80001e0c: 0104d713 srli a4,s1,0x10 +80001e10: 01045693 srli a3,s0,0x10 +80001e14: 0064f4b3 and s1,s1,t1 +80001e18: 00647433 and s0,s0,t1 +80001e1c: 028488b3 mul a7,s1,s0 +80001e20: 028705b3 mul a1,a4,s0 +80001e24: 02d70433 mul s0,a4,a3 +80001e28: 029686b3 mul a3,a3,s1 +80001e2c: 0108d493 srli s1,a7,0x10 +80001e30: 00b686b3 add a3,a3,a1 +80001e34: 00d484b3 add s1,s1,a3 +80001e38: 00b4f463 bleu a1,s1,80001e40 <__mulsf3+0x18c> +80001e3c: 00f40433 add s0,s0,a5 +80001e40: 0064f7b3 and a5,s1,t1 +80001e44: 01079793 slli a5,a5,0x10 +80001e48: 0068f8b3 and a7,a7,t1 +80001e4c: 011787b3 add a5,a5,a7 +80001e50: 00679713 slli a4,a5,0x6 +80001e54: 0104d493 srli s1,s1,0x10 +80001e58: 00e03733 snez a4,a4 +80001e5c: 01a7d793 srli a5,a5,0x1a +80001e60: 00848433 add s0,s1,s0 +80001e64: 00f767b3 or a5,a4,a5 +80001e68: 00641413 slli s0,s0,0x6 +80001e6c: 00f46433 or s0,s0,a5 +80001e70: 00441793 slli a5,s0,0x4 +80001e74: 0c07de63 bgez a5,80001f50 <__mulsf3+0x29c> +80001e78: 00145793 srli a5,s0,0x1 +80001e7c: 00147413 andi s0,s0,1 +80001e80: 0087e433 or s0,a5,s0 +80001e84: 07f80713 addi a4,a6,127 +80001e88: 0ce05863 blez a4,80001f58 <__mulsf3+0x2a4> +80001e8c: 00747793 andi a5,s0,7 +80001e90: 00078a63 beqz a5,80001ea4 <__mulsf3+0x1f0> +80001e94: 00f47793 andi a5,s0,15 +80001e98: 00400693 li a3,4 +80001e9c: 00d78463 beq a5,a3,80001ea4 <__mulsf3+0x1f0> +80001ea0: 00440413 addi s0,s0,4 +80001ea4: 00441793 slli a5,s0,0x4 +80001ea8: 0007da63 bgez a5,80001ebc <__mulsf3+0x208> +80001eac: f80007b7 lui a5,0xf8000 +80001eb0: fff78793 addi a5,a5,-1 # f7ffffff <_stack_start+0x77ff9f2f> +80001eb4: 00f47433 and s0,s0,a5 +80001eb8: 08080713 addi a4,a6,128 +80001ebc: 0fe00793 li a5,254 +80001ec0: 0ee7cc63 blt a5,a4,80001fb8 <__mulsf3+0x304> +80001ec4: 00345793 srli a5,s0,0x3 +80001ec8: 0300006f j 80001ef8 <__mulsf3+0x244> +80001ecc: 00098613 mv a2,s3 +80001ed0: 00048413 mv s0,s1 +80001ed4: 000a0693 mv a3,s4 +80001ed8: 00200793 li a5,2 +80001edc: 0cf68e63 beq a3,a5,80001fb8 <__mulsf3+0x304> +80001ee0: 00300793 li a5,3 +80001ee4: 0cf68263 beq a3,a5,80001fa8 <__mulsf3+0x2f4> +80001ee8: 00100593 li a1,1 +80001eec: 00000793 li a5,0 +80001ef0: 00000713 li a4,0 +80001ef4: f8b698e3 bne a3,a1,80001e84 <__mulsf3+0x1d0> +80001ef8: 00800437 lui s0,0x800 +80001efc: fff40413 addi s0,s0,-1 # 7fffff <_stack_size+0x7ffbff> +80001f00: 80800537 lui a0,0x80800 +80001f04: 0087f7b3 and a5,a5,s0 +80001f08: fff50513 addi a0,a0,-1 # 807fffff <_stack_start+0x7f9f2f> +80001f0c: 01c12083 lw ra,28(sp) +80001f10: 01812403 lw s0,24(sp) +80001f14: 0ff77713 andi a4,a4,255 +80001f18: 00a7f7b3 and a5,a5,a0 +80001f1c: 01771713 slli a4,a4,0x17 +80001f20: 01f61513 slli a0,a2,0x1f +80001f24: 00e7e7b3 or a5,a5,a4 +80001f28: 01412483 lw s1,20(sp) +80001f2c: 01012903 lw s2,16(sp) +80001f30: 00c12983 lw s3,12(sp) +80001f34: 00812a03 lw s4,8(sp) +80001f38: 00412a83 lw s5,4(sp) +80001f3c: 00a7e533 or a0,a5,a0 +80001f40: 02010113 addi sp,sp,32 +80001f44: 00008067 ret +80001f48: 000a8613 mv a2,s5 +80001f4c: f8dff06f j 80001ed8 <__mulsf3+0x224> +80001f50: 00050813 mv a6,a0 +80001f54: f31ff06f j 80001e84 <__mulsf3+0x1d0> +80001f58: 00100793 li a5,1 +80001f5c: 40e787b3 sub a5,a5,a4 +80001f60: 01b00713 li a4,27 +80001f64: 06f74063 blt a4,a5,80001fc4 <__mulsf3+0x310> +80001f68: 09e80813 addi a6,a6,158 +80001f6c: 00f457b3 srl a5,s0,a5 +80001f70: 01041433 sll s0,s0,a6 +80001f74: 00803433 snez s0,s0 +80001f78: 0087e433 or s0,a5,s0 +80001f7c: 00747793 andi a5,s0,7 +80001f80: 00078a63 beqz a5,80001f94 <__mulsf3+0x2e0> +80001f84: 00f47793 andi a5,s0,15 +80001f88: 00400713 li a4,4 +80001f8c: 00e78463 beq a5,a4,80001f94 <__mulsf3+0x2e0> +80001f90: 00440413 addi s0,s0,4 +80001f94: 00541793 slli a5,s0,0x5 +80001f98: 0207ca63 bltz a5,80001fcc <__mulsf3+0x318> +80001f9c: 00345793 srli a5,s0,0x3 +80001fa0: 00000713 li a4,0 +80001fa4: f55ff06f j 80001ef8 <__mulsf3+0x244> +80001fa8: 004007b7 lui a5,0x400 +80001fac: 0ff00713 li a4,255 +80001fb0: 00000613 li a2,0 +80001fb4: f45ff06f j 80001ef8 <__mulsf3+0x244> +80001fb8: 00000793 li a5,0 +80001fbc: 0ff00713 li a4,255 +80001fc0: f39ff06f j 80001ef8 <__mulsf3+0x244> +80001fc4: 00000793 li a5,0 +80001fc8: fd9ff06f j 80001fa0 <__mulsf3+0x2ec> +80001fcc: 00000793 li a5,0 +80001fd0: 00100713 li a4,1 +80001fd4: f25ff06f j 80001ef8 <__mulsf3+0x244> + +80001fd8 <__subsf3>: +80001fd8: 008007b7 lui a5,0x800 +80001fdc: fff78793 addi a5,a5,-1 # 7fffff <_stack_size+0x7ffbff> +80001fe0: ff010113 addi sp,sp,-16 +80001fe4: 00a7f733 and a4,a5,a0 +80001fe8: 01755693 srli a3,a0,0x17 +80001fec: 0175d613 srli a2,a1,0x17 +80001ff0: 00b7f7b3 and a5,a5,a1 +80001ff4: 00912223 sw s1,4(sp) +80001ff8: 01212023 sw s2,0(sp) +80001ffc: 0ff6f693 andi a3,a3,255 +80002000: 00371813 slli a6,a4,0x3 +80002004: 0ff67613 andi a2,a2,255 +80002008: 00112623 sw ra,12(sp) +8000200c: 00812423 sw s0,8(sp) +80002010: 0ff00713 li a4,255 +80002014: 01f55493 srli s1,a0,0x1f +80002018: 00068913 mv s2,a3 +8000201c: 00060513 mv a0,a2 +80002020: 01f5d593 srli a1,a1,0x1f +80002024: 00379793 slli a5,a5,0x3 +80002028: 00e61463 bne a2,a4,80002030 <__subsf3+0x58> +8000202c: 00079463 bnez a5,80002034 <__subsf3+0x5c> +80002030: 0015c593 xori a1,a1,1 +80002034: 40c68733 sub a4,a3,a2 +80002038: 1a959a63 bne a1,s1,800021ec <__subsf3+0x214> +8000203c: 0ae05663 blez a4,800020e8 <__subsf3+0x110> +80002040: 06061663 bnez a2,800020ac <__subsf3+0xd4> +80002044: 00079c63 bnez a5,8000205c <__subsf3+0x84> +80002048: 0ff00793 li a5,255 +8000204c: 04f68c63 beq a3,a5,800020a4 <__subsf3+0xcc> +80002050: 00080793 mv a5,a6 +80002054: 00068513 mv a0,a3 +80002058: 14c0006f j 800021a4 <__subsf3+0x1cc> +8000205c: fff70713 addi a4,a4,-1 +80002060: 02071e63 bnez a4,8000209c <__subsf3+0xc4> +80002064: 010787b3 add a5,a5,a6 +80002068: 00068513 mv a0,a3 +8000206c: 00579713 slli a4,a5,0x5 +80002070: 12075a63 bgez a4,800021a4 <__subsf3+0x1cc> +80002074: 00150513 addi a0,a0,1 +80002078: 0ff00713 li a4,255 +8000207c: 32e50e63 beq a0,a4,800023b8 <__subsf3+0x3e0> +80002080: 7e000737 lui a4,0x7e000 +80002084: 0017f693 andi a3,a5,1 +80002088: fff70713 addi a4,a4,-1 # 7dffffff <_stack_size+0x7dfffbff> +8000208c: 0017d793 srli a5,a5,0x1 +80002090: 00e7f7b3 and a5,a5,a4 +80002094: 00d7e7b3 or a5,a5,a3 +80002098: 10c0006f j 800021a4 <__subsf3+0x1cc> +8000209c: 0ff00613 li a2,255 +800020a0: 00c69e63 bne a3,a2,800020bc <__subsf3+0xe4> +800020a4: 00080793 mv a5,a6 +800020a8: 0740006f j 8000211c <__subsf3+0x144> +800020ac: 0ff00613 li a2,255 +800020b0: fec68ae3 beq a3,a2,800020a4 <__subsf3+0xcc> +800020b4: 04000637 lui a2,0x4000 +800020b8: 00c7e7b3 or a5,a5,a2 +800020bc: 01b00613 li a2,27 +800020c0: 00e65663 ble a4,a2,800020cc <__subsf3+0xf4> +800020c4: 00100793 li a5,1 +800020c8: f9dff06f j 80002064 <__subsf3+0x8c> +800020cc: 02000613 li a2,32 +800020d0: 40e60633 sub a2,a2,a4 +800020d4: 00e7d5b3 srl a1,a5,a4 +800020d8: 00c797b3 sll a5,a5,a2 +800020dc: 00f037b3 snez a5,a5 +800020e0: 00f5e7b3 or a5,a1,a5 +800020e4: f81ff06f j 80002064 <__subsf3+0x8c> +800020e8: 08070063 beqz a4,80002168 <__subsf3+0x190> +800020ec: 02069c63 bnez a3,80002124 <__subsf3+0x14c> +800020f0: 00081863 bnez a6,80002100 <__subsf3+0x128> +800020f4: 0ff00713 li a4,255 +800020f8: 0ae61663 bne a2,a4,800021a4 <__subsf3+0x1cc> +800020fc: 0200006f j 8000211c <__subsf3+0x144> +80002100: fff00693 li a3,-1 +80002104: 00d71663 bne a4,a3,80002110 <__subsf3+0x138> +80002108: 010787b3 add a5,a5,a6 +8000210c: f61ff06f j 8000206c <__subsf3+0x94> +80002110: 0ff00693 li a3,255 +80002114: fff74713 not a4,a4 +80002118: 02d61063 bne a2,a3,80002138 <__subsf3+0x160> +8000211c: 0ff00513 li a0,255 +80002120: 0840006f j 800021a4 <__subsf3+0x1cc> +80002124: 0ff00693 li a3,255 +80002128: fed60ae3 beq a2,a3,8000211c <__subsf3+0x144> +8000212c: 040006b7 lui a3,0x4000 +80002130: 40e00733 neg a4,a4 +80002134: 00d86833 or a6,a6,a3 +80002138: 01b00693 li a3,27 +8000213c: 00e6d663 ble a4,a3,80002148 <__subsf3+0x170> +80002140: 00100713 li a4,1 +80002144: 01c0006f j 80002160 <__subsf3+0x188> +80002148: 02000693 li a3,32 +8000214c: 00e85633 srl a2,a6,a4 +80002150: 40e68733 sub a4,a3,a4 +80002154: 00e81733 sll a4,a6,a4 +80002158: 00e03733 snez a4,a4 +8000215c: 00e66733 or a4,a2,a4 +80002160: 00e787b3 add a5,a5,a4 +80002164: f09ff06f j 8000206c <__subsf3+0x94> +80002168: 00168513 addi a0,a3,1 # 4000001 <_stack_size+0x3fffc01> +8000216c: 0ff57613 andi a2,a0,255 +80002170: 00100713 li a4,1 +80002174: 06c74263 blt a4,a2,800021d8 <__subsf3+0x200> +80002178: 04069463 bnez a3,800021c0 <__subsf3+0x1e8> +8000217c: 00000513 li a0,0 +80002180: 02080263 beqz a6,800021a4 <__subsf3+0x1cc> +80002184: 22078663 beqz a5,800023b0 <__subsf3+0x3d8> +80002188: 010787b3 add a5,a5,a6 +8000218c: 00579713 slli a4,a5,0x5 +80002190: 00075a63 bgez a4,800021a4 <__subsf3+0x1cc> +80002194: fc000737 lui a4,0xfc000 +80002198: fff70713 addi a4,a4,-1 # fbffffff <_stack_start+0x7bff9f2f> +8000219c: 00e7f7b3 and a5,a5,a4 +800021a0: 00100513 li a0,1 +800021a4: 0077f713 andi a4,a5,7 +800021a8: 20070a63 beqz a4,800023bc <__subsf3+0x3e4> +800021ac: 00f7f713 andi a4,a5,15 +800021b0: 00400693 li a3,4 +800021b4: 20d70463 beq a4,a3,800023bc <__subsf3+0x3e4> +800021b8: 00478793 addi a5,a5,4 +800021bc: 2000006f j 800023bc <__subsf3+0x3e4> +800021c0: f4080ee3 beqz a6,8000211c <__subsf3+0x144> +800021c4: ee0780e3 beqz a5,800020a4 <__subsf3+0xcc> +800021c8: 020007b7 lui a5,0x2000 +800021cc: 0ff00513 li a0,255 +800021d0: 00000493 li s1,0 +800021d4: 1e80006f j 800023bc <__subsf3+0x3e4> +800021d8: 0ff00713 li a4,255 +800021dc: 1ce50e63 beq a0,a4,800023b8 <__subsf3+0x3e0> +800021e0: 00f80733 add a4,a6,a5 +800021e4: 00175793 srli a5,a4,0x1 +800021e8: fbdff06f j 800021a4 <__subsf3+0x1cc> +800021ec: 08e05063 blez a4,8000226c <__subsf3+0x294> +800021f0: 04061663 bnez a2,8000223c <__subsf3+0x264> +800021f4: e4078ae3 beqz a5,80002048 <__subsf3+0x70> +800021f8: fff70713 addi a4,a4,-1 +800021fc: 02071463 bnez a4,80002224 <__subsf3+0x24c> +80002200: 40f807b3 sub a5,a6,a5 +80002204: 00068513 mv a0,a3 +80002208: 00579713 slli a4,a5,0x5 +8000220c: f8075ce3 bgez a4,800021a4 <__subsf3+0x1cc> +80002210: 04000437 lui s0,0x4000 +80002214: fff40413 addi s0,s0,-1 # 3ffffff <_stack_size+0x3fffbff> +80002218: 0087f433 and s0,a5,s0 +8000221c: 00050913 mv s2,a0 +80002220: 1380006f j 80002358 <__subsf3+0x380> +80002224: 0ff00613 li a2,255 +80002228: e6c68ee3 beq a3,a2,800020a4 <__subsf3+0xcc> +8000222c: 01b00613 li a2,27 +80002230: 02e65063 ble a4,a2,80002250 <__subsf3+0x278> +80002234: 00100793 li a5,1 +80002238: fc9ff06f j 80002200 <__subsf3+0x228> +8000223c: 0ff00613 li a2,255 +80002240: e6c682e3 beq a3,a2,800020a4 <__subsf3+0xcc> +80002244: 04000637 lui a2,0x4000 +80002248: 00c7e7b3 or a5,a5,a2 +8000224c: fe1ff06f j 8000222c <__subsf3+0x254> +80002250: 02000613 li a2,32 +80002254: 00e7d5b3 srl a1,a5,a4 +80002258: 40e60733 sub a4,a2,a4 +8000225c: 00e797b3 sll a5,a5,a4 +80002260: 00f037b3 snez a5,a5 +80002264: 00f5e7b3 or a5,a1,a5 +80002268: f99ff06f j 80002200 <__subsf3+0x228> +8000226c: 08070263 beqz a4,800022f0 <__subsf3+0x318> +80002270: 02069e63 bnez a3,800022ac <__subsf3+0x2d4> +80002274: 00081863 bnez a6,80002284 <__subsf3+0x2ac> +80002278: 0ff00713 li a4,255 +8000227c: 00058493 mv s1,a1 +80002280: e79ff06f j 800020f8 <__subsf3+0x120> +80002284: fff00693 li a3,-1 +80002288: 00d71863 bne a4,a3,80002298 <__subsf3+0x2c0> +8000228c: 410787b3 sub a5,a5,a6 +80002290: 00058493 mv s1,a1 +80002294: f75ff06f j 80002208 <__subsf3+0x230> +80002298: 0ff00693 li a3,255 +8000229c: fff74713 not a4,a4 +800022a0: 02d61063 bne a2,a3,800022c0 <__subsf3+0x2e8> +800022a4: 00058493 mv s1,a1 +800022a8: e75ff06f j 8000211c <__subsf3+0x144> +800022ac: 0ff00693 li a3,255 +800022b0: fed60ae3 beq a2,a3,800022a4 <__subsf3+0x2cc> +800022b4: 040006b7 lui a3,0x4000 +800022b8: 40e00733 neg a4,a4 +800022bc: 00d86833 or a6,a6,a3 +800022c0: 01b00693 li a3,27 +800022c4: 00e6d663 ble a4,a3,800022d0 <__subsf3+0x2f8> +800022c8: 00100713 li a4,1 +800022cc: 01c0006f j 800022e8 <__subsf3+0x310> +800022d0: 02000693 li a3,32 +800022d4: 00e85633 srl a2,a6,a4 +800022d8: 40e68733 sub a4,a3,a4 +800022dc: 00e81733 sll a4,a6,a4 +800022e0: 00e03733 snez a4,a4 +800022e4: 00e66733 or a4,a2,a4 +800022e8: 40e787b3 sub a5,a5,a4 +800022ec: fa5ff06f j 80002290 <__subsf3+0x2b8> +800022f0: 00168713 addi a4,a3,1 # 4000001 <_stack_size+0x3fffc01> +800022f4: 0ff77713 andi a4,a4,255 +800022f8: 00100613 li a2,1 +800022fc: 04e64463 blt a2,a4,80002344 <__subsf3+0x36c> +80002300: 02069c63 bnez a3,80002338 <__subsf3+0x360> +80002304: 00081863 bnez a6,80002314 <__subsf3+0x33c> +80002308: 12079863 bnez a5,80002438 <__subsf3+0x460> +8000230c: 00000513 li a0,0 +80002310: ec1ff06f j 800021d0 <__subsf3+0x1f8> +80002314: 12078663 beqz a5,80002440 <__subsf3+0x468> +80002318: 40f80733 sub a4,a6,a5 +8000231c: 00571693 slli a3,a4,0x5 +80002320: 410787b3 sub a5,a5,a6 +80002324: 1006ca63 bltz a3,80002438 <__subsf3+0x460> +80002328: 00070793 mv a5,a4 +8000232c: 06071063 bnez a4,8000238c <__subsf3+0x3b4> +80002330: 00000793 li a5,0 +80002334: fd9ff06f j 8000230c <__subsf3+0x334> +80002338: e80816e3 bnez a6,800021c4 <__subsf3+0x1ec> +8000233c: f60794e3 bnez a5,800022a4 <__subsf3+0x2cc> +80002340: e89ff06f j 800021c8 <__subsf3+0x1f0> +80002344: 40f80433 sub s0,a6,a5 +80002348: 00541713 slli a4,s0,0x5 +8000234c: 04075463 bgez a4,80002394 <__subsf3+0x3bc> +80002350: 41078433 sub s0,a5,a6 +80002354: 00058493 mv s1,a1 +80002358: 00040513 mv a0,s0 +8000235c: 52c000ef jal ra,80002888 <__clzsi2> +80002360: ffb50513 addi a0,a0,-5 +80002364: 00a41433 sll s0,s0,a0 +80002368: 03254a63 blt a0,s2,8000239c <__subsf3+0x3c4> +8000236c: 41250533 sub a0,a0,s2 +80002370: 00150513 addi a0,a0,1 +80002374: 02000713 li a4,32 +80002378: 00a457b3 srl a5,s0,a0 +8000237c: 40a70533 sub a0,a4,a0 +80002380: 00a41433 sll s0,s0,a0 +80002384: 00803433 snez s0,s0 +80002388: 0087e7b3 or a5,a5,s0 +8000238c: 00000513 li a0,0 +80002390: e15ff06f j 800021a4 <__subsf3+0x1cc> +80002394: f8040ee3 beqz s0,80002330 <__subsf3+0x358> +80002398: fc1ff06f j 80002358 <__subsf3+0x380> +8000239c: fc0007b7 lui a5,0xfc000 +800023a0: fff78793 addi a5,a5,-1 # fbffffff <_stack_start+0x7bff9f2f> +800023a4: 40a90533 sub a0,s2,a0 +800023a8: 00f477b3 and a5,s0,a5 +800023ac: df9ff06f j 800021a4 <__subsf3+0x1cc> +800023b0: 00080793 mv a5,a6 +800023b4: df1ff06f j 800021a4 <__subsf3+0x1cc> +800023b8: 00000793 li a5,0 +800023bc: 00579713 slli a4,a5,0x5 +800023c0: 00075e63 bgez a4,800023dc <__subsf3+0x404> +800023c4: 00150513 addi a0,a0,1 +800023c8: 0ff00713 li a4,255 +800023cc: 06e50e63 beq a0,a4,80002448 <__subsf3+0x470> +800023d0: fc000737 lui a4,0xfc000 +800023d4: fff70713 addi a4,a4,-1 # fbffffff <_stack_start+0x7bff9f2f> +800023d8: 00e7f7b3 and a5,a5,a4 +800023dc: 0ff00713 li a4,255 +800023e0: 0037d793 srli a5,a5,0x3 +800023e4: 00e51863 bne a0,a4,800023f4 <__subsf3+0x41c> +800023e8: 00078663 beqz a5,800023f4 <__subsf3+0x41c> +800023ec: 004007b7 lui a5,0x400 +800023f0: 00000493 li s1,0 +800023f4: 00800737 lui a4,0x800 +800023f8: fff70713 addi a4,a4,-1 # 7fffff <_stack_size+0x7ffbff> +800023fc: 0ff57513 andi a0,a0,255 +80002400: 00e7f7b3 and a5,a5,a4 +80002404: 01751713 slli a4,a0,0x17 +80002408: 80800537 lui a0,0x80800 +8000240c: 00c12083 lw ra,12(sp) +80002410: 00812403 lw s0,8(sp) +80002414: fff50513 addi a0,a0,-1 # 807fffff <_stack_start+0x7f9f2f> +80002418: 00a7f533 and a0,a5,a0 +8000241c: 01f49493 slli s1,s1,0x1f +80002420: 00e56533 or a0,a0,a4 +80002424: 00956533 or a0,a0,s1 +80002428: 00012903 lw s2,0(sp) +8000242c: 00412483 lw s1,4(sp) +80002430: 01010113 addi sp,sp,16 +80002434: 00008067 ret +80002438: 00058493 mv s1,a1 +8000243c: f51ff06f j 8000238c <__subsf3+0x3b4> +80002440: 00080793 mv a5,a6 +80002444: f49ff06f j 8000238c <__subsf3+0x3b4> +80002448: 00000793 li a5,0 +8000244c: f91ff06f j 800023dc <__subsf3+0x404> + +80002450 <__fixsfsi>: +80002450: 00800637 lui a2,0x800 +80002454: 01755713 srli a4,a0,0x17 +80002458: fff60793 addi a5,a2,-1 # 7fffff <_stack_size+0x7ffbff> +8000245c: 0ff77713 andi a4,a4,255 +80002460: 07e00593 li a1,126 +80002464: 00a7f7b3 and a5,a5,a0 +80002468: 01f55693 srli a3,a0,0x1f +8000246c: 04e5f663 bleu a4,a1,800024b8 <__fixsfsi+0x68> +80002470: 09d00593 li a1,157 +80002474: 00e5fa63 bleu a4,a1,80002488 <__fixsfsi+0x38> +80002478: 80000537 lui a0,0x80000 +8000247c: fff54513 not a0,a0 +80002480: 00a68533 add a0,a3,a0 +80002484: 00008067 ret +80002488: 00c7e533 or a0,a5,a2 +8000248c: 09500793 li a5,149 +80002490: 00e7dc63 ble a4,a5,800024a8 <__fixsfsi+0x58> +80002494: f6a70713 addi a4,a4,-150 +80002498: 00e51533 sll a0,a0,a4 +8000249c: 02068063 beqz a3,800024bc <__fixsfsi+0x6c> +800024a0: 40a00533 neg a0,a0 +800024a4: 00008067 ret +800024a8: 09600793 li a5,150 +800024ac: 40e78733 sub a4,a5,a4 +800024b0: 00e55533 srl a0,a0,a4 +800024b4: fe9ff06f j 8000249c <__fixsfsi+0x4c> +800024b8: 00000513 li a0,0 +800024bc: 00008067 ret + +800024c0 <__floatsisf>: +800024c0: ff010113 addi sp,sp,-16 +800024c4: 00112623 sw ra,12(sp) +800024c8: 00812423 sw s0,8(sp) +800024cc: 00912223 sw s1,4(sp) +800024d0: 10050263 beqz a0,800025d4 <__floatsisf+0x114> +800024d4: 00050413 mv s0,a0 +800024d8: 01f55493 srli s1,a0,0x1f +800024dc: 00055463 bgez a0,800024e4 <__floatsisf+0x24> +800024e0: 40a00433 neg s0,a0 +800024e4: 00040513 mv a0,s0 +800024e8: 3a0000ef jal ra,80002888 <__clzsi2> +800024ec: 09e00793 li a5,158 +800024f0: 40a787b3 sub a5,a5,a0 +800024f4: 09600713 li a4,150 +800024f8: 06f74063 blt a4,a5,80002558 <__floatsisf+0x98> +800024fc: 00800713 li a4,8 +80002500: 00a75663 ble a0,a4,8000250c <__floatsisf+0x4c> +80002504: ff850513 addi a0,a0,-8 # 7ffffff8 <_stack_start+0xffff9f28> +80002508: 00a41433 sll s0,s0,a0 +8000250c: 00800537 lui a0,0x800 +80002510: fff50513 addi a0,a0,-1 # 7fffff <_stack_size+0x7ffbff> +80002514: 0ff7f793 andi a5,a5,255 +80002518: 00a47433 and s0,s0,a0 +8000251c: 01779513 slli a0,a5,0x17 +80002520: 808007b7 lui a5,0x80800 +80002524: fff78793 addi a5,a5,-1 # 807fffff <_stack_start+0x7f9f2f> +80002528: 00f47433 and s0,s0,a5 +8000252c: 800007b7 lui a5,0x80000 +80002530: 00a46433 or s0,s0,a0 +80002534: fff7c793 not a5,a5 +80002538: 01f49513 slli a0,s1,0x1f +8000253c: 00f47433 and s0,s0,a5 +80002540: 00a46533 or a0,s0,a0 +80002544: 00c12083 lw ra,12(sp) +80002548: 00812403 lw s0,8(sp) +8000254c: 00412483 lw s1,4(sp) +80002550: 01010113 addi sp,sp,16 +80002554: 00008067 ret +80002558: 09900713 li a4,153 +8000255c: 02f75063 ble a5,a4,8000257c <__floatsisf+0xbc> +80002560: 00500713 li a4,5 +80002564: 40a70733 sub a4,a4,a0 +80002568: 01b50693 addi a3,a0,27 +8000256c: 00e45733 srl a4,s0,a4 +80002570: 00d41433 sll s0,s0,a3 +80002574: 00803433 snez s0,s0 +80002578: 00876433 or s0,a4,s0 +8000257c: 00500713 li a4,5 +80002580: 00a75663 ble a0,a4,8000258c <__floatsisf+0xcc> +80002584: ffb50713 addi a4,a0,-5 +80002588: 00e41433 sll s0,s0,a4 +8000258c: fc000737 lui a4,0xfc000 +80002590: fff70713 addi a4,a4,-1 # fbffffff <_stack_start+0x7bff9f2f> +80002594: 00747693 andi a3,s0,7 +80002598: 00e47733 and a4,s0,a4 +8000259c: 00068a63 beqz a3,800025b0 <__floatsisf+0xf0> +800025a0: 00f47413 andi s0,s0,15 +800025a4: 00400693 li a3,4 +800025a8: 00d40463 beq s0,a3,800025b0 <__floatsisf+0xf0> +800025ac: 00470713 addi a4,a4,4 +800025b0: 00571693 slli a3,a4,0x5 +800025b4: 0006dc63 bgez a3,800025cc <__floatsisf+0x10c> +800025b8: fc0007b7 lui a5,0xfc000 +800025bc: fff78793 addi a5,a5,-1 # fbffffff <_stack_start+0x7bff9f2f> +800025c0: 00f77733 and a4,a4,a5 +800025c4: 09f00793 li a5,159 +800025c8: 40a787b3 sub a5,a5,a0 +800025cc: 00375413 srli s0,a4,0x3 +800025d0: f3dff06f j 8000250c <__floatsisf+0x4c> +800025d4: 00000413 li s0,0 +800025d8: 00000793 li a5,0 +800025dc: 00000493 li s1,0 +800025e0: f2dff06f j 8000250c <__floatsisf+0x4c> + +800025e4 <__extendsfdf2>: +800025e4: 01755793 srli a5,a0,0x17 +800025e8: ff010113 addi sp,sp,-16 +800025ec: 0ff7f793 andi a5,a5,255 +800025f0: 00812423 sw s0,8(sp) +800025f4: 00178713 addi a4,a5,1 +800025f8: 00800437 lui s0,0x800 +800025fc: 00912223 sw s1,4(sp) +80002600: fff40413 addi s0,s0,-1 # 7fffff <_stack_size+0x7ffbff> +80002604: 00112623 sw ra,12(sp) +80002608: 0ff77713 andi a4,a4,255 +8000260c: 00100693 li a3,1 +80002610: 00a47433 and s0,s0,a0 +80002614: 01f55493 srli s1,a0,0x1f +80002618: 06e6d263 ble a4,a3,8000267c <__extendsfdf2+0x98> +8000261c: 38078513 addi a0,a5,896 +80002620: 00345793 srli a5,s0,0x3 +80002624: 01d41413 slli s0,s0,0x1d +80002628: 00100737 lui a4,0x100 +8000262c: fff70713 addi a4,a4,-1 # fffff <_stack_size+0xffbff> +80002630: 00e7f7b3 and a5,a5,a4 +80002634: 80100737 lui a4,0x80100 +80002638: fff70713 addi a4,a4,-1 # 800fffff <_stack_start+0xf9f2f> +8000263c: 7ff57513 andi a0,a0,2047 +80002640: 01451513 slli a0,a0,0x14 +80002644: 00e7f7b3 and a5,a5,a4 +80002648: 80000737 lui a4,0x80000 +8000264c: 00a7e7b3 or a5,a5,a0 +80002650: fff74713 not a4,a4 +80002654: 01f49513 slli a0,s1,0x1f +80002658: 00e7f7b3 and a5,a5,a4 +8000265c: 00a7e733 or a4,a5,a0 +80002660: 00c12083 lw ra,12(sp) +80002664: 00040513 mv a0,s0 +80002668: 00812403 lw s0,8(sp) +8000266c: 00412483 lw s1,4(sp) +80002670: 00070593 mv a1,a4 +80002674: 01010113 addi sp,sp,16 +80002678: 00008067 ret +8000267c: 04079463 bnez a5,800026c4 <__extendsfdf2+0xe0> +80002680: 06040263 beqz s0,800026e4 <__extendsfdf2+0x100> +80002684: 00040513 mv a0,s0 +80002688: 200000ef jal ra,80002888 <__clzsi2> +8000268c: 00a00793 li a5,10 +80002690: 02a7c263 blt a5,a0,800026b4 <__extendsfdf2+0xd0> +80002694: 00b00793 li a5,11 +80002698: 40a787b3 sub a5,a5,a0 +8000269c: 01550713 addi a4,a0,21 +800026a0: 00f457b3 srl a5,s0,a5 +800026a4: 00e41433 sll s0,s0,a4 +800026a8: 38900713 li a4,905 +800026ac: 40a70533 sub a0,a4,a0 +800026b0: f79ff06f j 80002628 <__extendsfdf2+0x44> +800026b4: ff550793 addi a5,a0,-11 +800026b8: 00f417b3 sll a5,s0,a5 +800026bc: 00000413 li s0,0 +800026c0: fe9ff06f j 800026a8 <__extendsfdf2+0xc4> +800026c4: 00000793 li a5,0 +800026c8: 00040a63 beqz s0,800026dc <__extendsfdf2+0xf8> +800026cc: 00345793 srli a5,s0,0x3 +800026d0: 00080737 lui a4,0x80 +800026d4: 01d41413 slli s0,s0,0x1d +800026d8: 00e7e7b3 or a5,a5,a4 +800026dc: 7ff00513 li a0,2047 +800026e0: f49ff06f j 80002628 <__extendsfdf2+0x44> +800026e4: 00000793 li a5,0 +800026e8: 00000513 li a0,0 +800026ec: f3dff06f j 80002628 <__extendsfdf2+0x44> + +800026f0 <__truncdfsf2>: +800026f0: 00100637 lui a2,0x100 +800026f4: fff60613 addi a2,a2,-1 # fffff <_stack_size+0xffbff> +800026f8: 00b67633 and a2,a2,a1 +800026fc: 0145d813 srli a6,a1,0x14 +80002700: 01d55793 srli a5,a0,0x1d +80002704: 7ff87813 andi a6,a6,2047 +80002708: 00361613 slli a2,a2,0x3 +8000270c: 00c7e633 or a2,a5,a2 +80002710: 00180793 addi a5,a6,1 +80002714: 7ff7f793 andi a5,a5,2047 +80002718: 00100693 li a3,1 +8000271c: 01f5d593 srli a1,a1,0x1f +80002720: 00351713 slli a4,a0,0x3 +80002724: 0af6d663 ble a5,a3,800027d0 <__truncdfsf2+0xe0> +80002728: c8080693 addi a3,a6,-896 +8000272c: 0fe00793 li a5,254 +80002730: 0cd7c263 blt a5,a3,800027f4 <__truncdfsf2+0x104> +80002734: 08d04063 bgtz a3,800027b4 <__truncdfsf2+0xc4> +80002738: fe900793 li a5,-23 +8000273c: 12f6c463 blt a3,a5,80002864 <__truncdfsf2+0x174> +80002740: 008007b7 lui a5,0x800 +80002744: 01e00513 li a0,30 +80002748: 00f66633 or a2,a2,a5 +8000274c: 40d50533 sub a0,a0,a3 +80002750: 01f00793 li a5,31 +80002754: 02a7c863 blt a5,a0,80002784 <__truncdfsf2+0x94> +80002758: c8280813 addi a6,a6,-894 +8000275c: 010717b3 sll a5,a4,a6 +80002760: 00f037b3 snez a5,a5 +80002764: 01061633 sll a2,a2,a6 +80002768: 00a75533 srl a0,a4,a0 +8000276c: 00c7e7b3 or a5,a5,a2 +80002770: 00f567b3 or a5,a0,a5 +80002774: 00000693 li a3,0 +80002778: 0077f713 andi a4,a5,7 +8000277c: 08070063 beqz a4,800027fc <__truncdfsf2+0x10c> +80002780: 0ec0006f j 8000286c <__truncdfsf2+0x17c> +80002784: ffe00793 li a5,-2 +80002788: 40d786b3 sub a3,a5,a3 +8000278c: 02000793 li a5,32 +80002790: 00d656b3 srl a3,a2,a3 +80002794: 00000893 li a7,0 +80002798: 00f50663 beq a0,a5,800027a4 <__truncdfsf2+0xb4> +8000279c: ca280813 addi a6,a6,-862 +800027a0: 010618b3 sll a7,a2,a6 +800027a4: 00e8e7b3 or a5,a7,a4 +800027a8: 00f037b3 snez a5,a5 +800027ac: 00f6e7b3 or a5,a3,a5 +800027b0: fc5ff06f j 80002774 <__truncdfsf2+0x84> +800027b4: 00651513 slli a0,a0,0x6 +800027b8: 00a03533 snez a0,a0 +800027bc: 00361613 slli a2,a2,0x3 +800027c0: 01d75793 srli a5,a4,0x1d +800027c4: 00c56633 or a2,a0,a2 +800027c8: 00f667b3 or a5,a2,a5 +800027cc: fadff06f j 80002778 <__truncdfsf2+0x88> +800027d0: 00e667b3 or a5,a2,a4 +800027d4: 00081663 bnez a6,800027e0 <__truncdfsf2+0xf0> +800027d8: 00f037b3 snez a5,a5 +800027dc: f99ff06f j 80002774 <__truncdfsf2+0x84> +800027e0: 0ff00693 li a3,255 +800027e4: 00078c63 beqz a5,800027fc <__truncdfsf2+0x10c> +800027e8: 00361613 slli a2,a2,0x3 +800027ec: 020007b7 lui a5,0x2000 +800027f0: fd9ff06f j 800027c8 <__truncdfsf2+0xd8> +800027f4: 00000793 li a5,0 +800027f8: 0ff00693 li a3,255 +800027fc: 00579713 slli a4,a5,0x5 +80002800: 00075e63 bgez a4,8000281c <__truncdfsf2+0x12c> +80002804: 00168693 addi a3,a3,1 +80002808: 0ff00713 li a4,255 +8000280c: 06e68a63 beq a3,a4,80002880 <__truncdfsf2+0x190> +80002810: fc000737 lui a4,0xfc000 +80002814: fff70713 addi a4,a4,-1 # fbffffff <_stack_start+0x7bff9f2f> +80002818: 00e7f7b3 and a5,a5,a4 +8000281c: 0ff00713 li a4,255 +80002820: 0037d793 srli a5,a5,0x3 +80002824: 00e69863 bne a3,a4,80002834 <__truncdfsf2+0x144> +80002828: 00078663 beqz a5,80002834 <__truncdfsf2+0x144> +8000282c: 004007b7 lui a5,0x400 +80002830: 00000593 li a1,0 +80002834: 00800537 lui a0,0x800 +80002838: fff50513 addi a0,a0,-1 # 7fffff <_stack_size+0x7ffbff> +8000283c: 00a7f7b3 and a5,a5,a0 +80002840: 80800537 lui a0,0x80800 +80002844: fff50513 addi a0,a0,-1 # 807fffff <_stack_start+0x7f9f2f> +80002848: 0ff6f693 andi a3,a3,255 +8000284c: 01769693 slli a3,a3,0x17 +80002850: 00a7f7b3 and a5,a5,a0 +80002854: 01f59593 slli a1,a1,0x1f +80002858: 00d7e7b3 or a5,a5,a3 +8000285c: 00b7e533 or a0,a5,a1 +80002860: 00008067 ret +80002864: 00100793 li a5,1 +80002868: 00000693 li a3,0 +8000286c: 00f7f713 andi a4,a5,15 +80002870: 00400613 li a2,4 +80002874: f8c704e3 beq a4,a2,800027fc <__truncdfsf2+0x10c> +80002878: 00478793 addi a5,a5,4 # 400004 <_stack_size+0x3ffc04> +8000287c: f81ff06f j 800027fc <__truncdfsf2+0x10c> +80002880: 00000793 li a5,0 +80002884: f99ff06f j 8000281c <__truncdfsf2+0x12c> + +80002888 <__clzsi2>: +80002888: 000107b7 lui a5,0x10 +8000288c: 02f57a63 bleu a5,a0,800028c0 <__clzsi2+0x38> +80002890: 0ff00793 li a5,255 +80002894: 00a7b7b3 sltu a5,a5,a0 +80002898: 00379793 slli a5,a5,0x3 +8000289c: 02000713 li a4,32 +800028a0: 40f70733 sub a4,a4,a5 +800028a4: 00f557b3 srl a5,a0,a5 +800028a8: 00000517 auipc a0,0x0 +800028ac: 6fc50513 addi a0,a0,1788 # 80002fa4 <__clz_tab> +800028b0: 00f507b3 add a5,a0,a5 +800028b4: 0007c503 lbu a0,0(a5) # 10000 <_stack_size+0xfc00> +800028b8: 40a70533 sub a0,a4,a0 +800028bc: 00008067 ret +800028c0: 01000737 lui a4,0x1000 +800028c4: 01000793 li a5,16 +800028c8: fce56ae3 bltu a0,a4,8000289c <__clzsi2+0x14> +800028cc: 01800793 li a5,24 +800028d0: fcdff06f j 8000289c <__clzsi2+0x14> + +Disassembly of section .text.startup: + +800028d4
: +int main() { +800028d4: 1141 addi sp,sp,-16 +800028d6: c606 sw ra,12(sp) + main2(); +800028d8: 945fd0ef jal ra,8000021c +} +800028dc: 40b2 lw ra,12(sp) + TEST_COM_BASE[8] = 0; +800028de: f01007b7 lui a5,0xf0100 +800028e2: f207a023 sw zero,-224(a5) # f00fff20 <_stack_start+0x700f9e50> +} +800028e6: 4501 li a0,0 +800028e8: 0141 addi sp,sp,16 +800028ea: 8082 ret diff --git a/VexRiscv/src/test/resources/asm/machineCsr.asm b/VexRiscv/src/test/resources/asm/machineCsr.asm new file mode 100644 index 0000000..eede723 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/machineCsr.asm @@ -0,0 +1,12550 @@ + +build/machineCsr.elf: file format elf32-littleriscv + + +Disassembly of section .yolo: + +00000000 : + j _start + 0: 0900006f j 90 <_start> + nop + 4: 00000013 nop + nop + 8: 00000013 nop + nop + c: 00000013 nop + nop + 10: 00000013 nop + nop + 14: 00000013 nop + nop + 18: 00000013 nop + nop + 1c: 00000013 nop + +00000020 : + +.global trap_entry +trap_entry: + csrr x28, mcause + 20: 34202e73 csrr t3,mcause + + bnez x28, notICmdAlignementException + 24: 000e1e63 bnez t3,40 + li x30, 0xFFFFFFFC + 28: ffc00f13 li t5,-4 + csrr x29, mepc + 2c: 34102ef3 csrr t4,mepc + and x29,x29,x30 + 30: 01eefeb3 and t4,t4,t5 + addi x29, x29, 4 + 34: 004e8e93 addi t4,t4,4 + csrw mepc, x29 + 38: 341e9073 csrw mepc,t4 + j mepcFixed + 3c: 01c0006f j 58 + +00000040 : + +notICmdAlignementException: + li x29, 0x80000000 + 40: 80000eb7 lui t4,0x80000 + and x30, x28, x29 + 44: 01de7f33 and t5,t3,t4 + bnez x30, mepcFixed + 48: 000f1863 bnez t5,58 + csrr x29, mepc + 4c: 34102ef3 csrr t4,mepc + addi x29, x29, 4 + 50: 004e8e93 addi t4,t4,4 # 80000004 <_bss_end+0x3fff397c> + csrw mepc, x29 + 54: 341e9073 csrw mepc,t4 + +00000058 : +mepcFixed: + + + li x29, 0x80000003u + 58: 80000eb7 lui t4,0x80000 + 5c: 003e8e93 addi t4,t4,3 # 80000003 <_bss_end+0x3fff397b> + bne x29, x28, noSoftwareInterrupt + 60: 01ce9663 bne t4,t3,6c + li x29, 0x008 + 64: 00800e93 li t4,8 + csrc mip, x29 + 68: 344eb073 csrc mip,t4 + +0000006c : + +noSoftwareInterrupt: + + li x29, 0x80000007u + 6c: 80000eb7 lui t4,0x80000 + 70: 007e8e93 addi t4,t4,7 # 80000007 <_bss_end+0x3fff397f> + bne x29, x28, noTimerInterrupt + 74: 01ce9463 bne t4,t3,7c + csrw mie, 0 + 78: 30405073 csrwi mie,0 + +0000007c : +noTimerInterrupt: + + li x29, 0x8000000bu + 7c: 80000eb7 lui t4,0x80000 + 80: 00be8e93 addi t4,t4,11 # 8000000b <_bss_end+0x3fff3983> + bne x29, x28, noExernalInterrupt + 84: 01ce9463 bne t4,t3,8c + csrw mie, 0 + 88: 30405073 csrwi mie,0 + +0000008c : +noExernalInterrupt: + + mret + 8c: 30200073 mret + +00000090 <_start>: + + + .text + .globl _start +_start: + li x28, 1 + 90: 00100e13 li t3,1 + scall + 94: 00000073 ecall + + li x28, 2 + 98: 00200e13 li t3,2 + li t0, 0x008 + 9c: 00800293 li t0,8 + csrs mstatus,t0 + a0: 3002a073 csrs mstatus,t0 + li t0, 0x008 + a4: 00800293 li t0,8 + csrw mie,t0 + a8: 30429073 csrw mie,t0 + li t0, 0x008 + ac: 00800293 li t0,8 + csrs mip,t0 + b0: 3442a073 csrs mip,t0 + nop + b4: 00000013 nop + nop + b8: 00000013 nop + nop + bc: 00000013 nop + nop + c0: 00000013 nop + nop + c4: 00000013 nop + nop + c8: 00000013 nop + nop + cc: 00000013 nop + nop + d0: 00000013 nop + nop + d4: 00000013 nop + nop + d8: 00000013 nop + nop + dc: 00000013 nop + nop + e0: 00000013 nop + + + li x28, 3 + e4: 00300e13 li t3,3 + li t0, 0x080 + e8: 08000293 li t0,128 + csrw mie,t0 + ec: 30429073 csrw mie,t0 + nop + f0: 00000013 nop + nop + f4: 00000013 nop + nop + f8: 00000013 nop + nop + fc: 00000013 nop + nop + 100: 00000013 nop + nop + 104: 00000013 nop + nop + 108: 00000013 nop + + li x28, 4 + 10c: 00400e13 li t3,4 + li t0, 0x800 + 110: 000012b7 lui t0,0x1 + 114: 80028293 addi t0,t0,-2048 # 800 <_stack_size> + csrw mie,t0 + 118: 30429073 csrw mie,t0 + nop + 11c: 00000013 nop + nop + 120: 00000013 nop + nop + 124: 00000013 nop + nop + 128: 00000013 nop + nop + 12c: 00000013 nop + nop + 130: 00000013 nop + nop + 134: 00000013 nop + + li x28, 5 + 138: 00500e13 li t3,5 + li x3, 0xF00FFF40 + 13c: f01001b7 lui gp,0xf0100 + 140: f4018193 addi gp,gp,-192 # f00fff40 <_bss_end+0xb00f38b8> + lw x4, 0(x3) + 144: 0001a203 lw tp,0(gp) + lw x5, 4(x3) + 148: 0041a283 lw t0,4(gp) + addi x4, x4, 1023 + 14c: 3ff20213 addi tp,tp,1023 # 3ff + sw x4, 8(x3) + 150: 0041a423 sw tp,8(gp) + sw x5, 12(x3) + 154: 0051a623 sw t0,12(gp) + li x28, 6 + 158: 00600e13 li t3,6 + li x4, 0x080 + 15c: 08000213 li tp,128 + csrw mie,x4 + 160: 30421073 csrw mie,tp + li x28, 7 + 164: 00700e13 li t3,7 + wfi + 168: 10500073 wfi + + + li x28, 8 + 16c: 00800e13 li t3,8 + li x3, 1 + 170: 00100193 li gp,1 + sw x4,0(x3) + 174: 0041a023 sw tp,0(gp) + li x28, 9 + 178: 00900e13 li t3,9 + sh x4,0(x3) + 17c: 00419023 sh tp,0(gp) + li x28, 10 + 180: 00a00e13 li t3,10 + lw x4,0(x3) + 184: 0001a203 lw tp,0(gp) + li x28, 11 + 188: 00b00e13 li t3,11 + lh x4,0(x3) + 18c: 00019203 lh tp,0(gp) + li x28, 12 + 190: 00c00e13 li t3,12 + + + + li x28, 13 + 194: 00d00e13 li t3,13 + lw x1,0(x0) + 198: 00002083 lw ra,0(zero) # 0 + +0000019c : +unalignedPcA: + j unalignedPcA+2 + 19c: 0020006f j 19e + lw x1,0(x0) + 1a0: 00002083 lw ra,0(zero) # 0 + + li x28, 14 + 1a4: 00e00e13 li t3,14 + hret + 1a8: 20200073 hret + li x28, 15 + 1ac: 00f00e13 li t3,15 + + + li x1, 0xF00FFF60 + 1b0: f01000b7 lui ra,0xf0100 + 1b4: f6008093 addi ra,ra,-160 # f00fff60 <_bss_end+0xb00f38d8> + lw x2, 0(x1) + 1b8: 0000a103 lw sp,0(ra) + li x28, 16 + 1bc: 01000e13 li t3,16 + sw x2, 0(x1) + 1c0: 0020a023 sw sp,0(ra) + li x28, 17 + 1c4: 01100e13 li t3,17 + jr x1 + 1c8: 00008067 ret + +Disassembly of section .text: + +40000000 : + return 0; +} + +int fstat(int fd, struct _stat *buffer) { + return 0; +} +40000000: 00000513 li a0,0 +40000004: 00008067 ret + +40000008 : + +int isatty(int fd) { + return 0; +} +40000008: 00000513 li a0,0 +4000000c: 00008067 ret + +40000010 : +40000010: 00000297 auipc t0,0x0 +40000014: ff828067 jr -8(t0) # 40000008 + +40000018 : + +long lseek(int fd, long offset, int origin) { + return 0; +} +40000018: 00000513 li a0,0 +4000001c: 00008067 ret + +40000020 : + +int read(int fd, void *buffer, unsigned int count) { + return 0; +} +40000020: 00000513 li a0,0 +40000024: 00008067 ret + +40000028 : + +extern UartCtrl *uartStdio; +void writeChar(char value) { + TEST_COM_BASE[0] = value; +40000028: f01007b7 lui a5,0xf0100 +4000002c: f0a7a023 sw a0,-256(a5) # f00fff00 <_bss_end+0xb00f3878> +40000030: 00008067 ret + +40000034 : +} + +void writeChars(char* value) { +40000034: ff010113 addi sp,sp,-16 +40000038: 00812423 sw s0,8(sp) +4000003c: 00112623 sw ra,12(sp) +40000040: 00050413 mv s0,a0 + while (*value) { +40000044: 00054503 lbu a0,0(a0) +40000048: 00050c63 beqz a0,40000060 + writeChar(*(value++)); +4000004c: 00140413 addi s0,s0,1 +40000050: 00000297 auipc t0,0x0 +40000054: fd8280e7 jalr -40(t0) # 40000028 + while (*value) { +40000058: 00044503 lbu a0,0(s0) +4000005c: fe0518e3 bnez a0,4000004c + } +} +40000060: 00c12083 lw ra,12(sp) +40000064: 00812403 lw s0,8(sp) +40000068: 01010113 addi sp,sp,16 +4000006c: 00008067 ret + +40000070 : + +int write(int fd, const void *buffer, unsigned int count) { +40000070: ff010113 addi sp,sp,-16 +40000074: 00912223 sw s1,4(sp) +40000078: 01212023 sw s2,0(sp) +4000007c: 00112623 sw ra,12(sp) +40000080: 00812423 sw s0,8(sp) +40000084: 00060913 mv s2,a2 +40000088: 00c584b3 add s1,a1,a2 + for (int idx = 0; idx < count; idx++) { +4000008c: 00060e63 beqz a2,400000a8 +40000090: 00058413 mv s0,a1 + writeChar(((char*) buffer)[idx]); +40000094: 00044503 lbu a0,0(s0) +40000098: 00140413 addi s0,s0,1 +4000009c: 00000297 auipc t0,0x0 +400000a0: f8c280e7 jalr -116(t0) # 40000028 + for (int idx = 0; idx < count; idx++) { +400000a4: fe8498e3 bne s1,s0,40000094 + } + return count; +} +400000a8: 00c12083 lw ra,12(sp) +400000ac: 00090513 mv a0,s2 +400000b0: 00812403 lw s0,8(sp) +400000b4: 00412483 lw s1,4(sp) +400000b8: 00012903 lw s2,0(sp) +400000bc: 01010113 addi sp,sp,16 +400000c0: 00008067 ret + +400000c4 : + printf("Miaou !!"); + TEST_COM_BASE[8] = 0; +} + + +void irqCpp(uint32_t irq){ +400000c4: 00008067 ret + +400000c8
: + printf("Miaou !!"); +400000c8: 4000b537 lui a0,0x4000b +int main() { +400000cc: ff010113 addi sp,sp,-16 + printf("Miaou !!"); +400000d0: 61050513 addi a0,a0,1552 # 4000b610 <__clzsi2+0x70> +int main() { +400000d4: 00112623 sw ra,12(sp) + printf("Miaou !!"); +400000d8: 00000297 auipc t0,0x0 +400000dc: 064280e7 jalr 100(t0) # 4000013c +} +400000e0: 00c12083 lw ra,12(sp) + TEST_COM_BASE[8] = 0; +400000e4: f01007b7 lui a5,0xf0100 +} +400000e8: 00000513 li a0,0 + TEST_COM_BASE[8] = 0; +400000ec: f207a023 sw zero,-224(a5) # f00fff20 <_bss_end+0xb00f3898> +} +400000f0: 01010113 addi sp,sp,16 +400000f4: 00008067 ret + +400000f8 <_printf_r>: +400000f8: fc010113 addi sp,sp,-64 +400000fc: 02c12423 sw a2,40(sp) +40000100: 02d12623 sw a3,44(sp) +40000104: 02f12a23 sw a5,52(sp) +40000108: 02e12823 sw a4,48(sp) +4000010c: 03012c23 sw a6,56(sp) +40000110: 03112e23 sw a7,60(sp) +40000114: 00058613 mv a2,a1 +40000118: 00852583 lw a1,8(a0) +4000011c: 02810793 addi a5,sp,40 +40000120: 00078693 mv a3,a5 +40000124: 00112e23 sw ra,28(sp) +40000128: 00f12623 sw a5,12(sp) +4000012c: 064000ef jal ra,40000190 <_vfprintf_r> +40000130: 01c12083 lw ra,28(sp) +40000134: 04010113 addi sp,sp,64 +40000138: 00008067 ret + +4000013c : +4000013c: 4000c337 lui t1,0x4000c +40000140: 62c32303 lw t1,1580(t1) # 4000c62c <_impure_ptr> +40000144: fc010113 addi sp,sp,-64 +40000148: 02c12423 sw a2,40(sp) +4000014c: 02d12623 sw a3,44(sp) +40000150: 02f12a23 sw a5,52(sp) +40000154: 02b12223 sw a1,36(sp) +40000158: 02e12823 sw a4,48(sp) +4000015c: 03012c23 sw a6,56(sp) +40000160: 03112e23 sw a7,60(sp) +40000164: 00832583 lw a1,8(t1) +40000168: 02410793 addi a5,sp,36 +4000016c: 00050613 mv a2,a0 +40000170: 00078693 mv a3,a5 +40000174: 00030513 mv a0,t1 +40000178: 00112e23 sw ra,28(sp) +4000017c: 00f12623 sw a5,12(sp) +40000180: 010000ef jal ra,40000190 <_vfprintf_r> +40000184: 01c12083 lw ra,28(sp) +40000188: 04010113 addi sp,sp,64 +4000018c: 00008067 ret + +40000190 <_vfprintf_r>: +40000190: eb010113 addi sp,sp,-336 +40000194: 14112623 sw ra,332(sp) +40000198: 14812423 sw s0,328(sp) +4000019c: 13412c23 sw s4,312(sp) +400001a0: 13512a23 sw s5,308(sp) +400001a4: 00058a13 mv s4,a1 +400001a8: 00060413 mv s0,a2 +400001ac: 02d12023 sw a3,32(sp) +400001b0: 14912223 sw s1,324(sp) +400001b4: 15212023 sw s2,320(sp) +400001b8: 13312e23 sw s3,316(sp) +400001bc: 13612823 sw s6,304(sp) +400001c0: 13712623 sw s7,300(sp) +400001c4: 13812423 sw s8,296(sp) +400001c8: 13912223 sw s9,292(sp) +400001cc: 13a12023 sw s10,288(sp) +400001d0: 11b12e23 sw s11,284(sp) +400001d4: 00050a93 mv s5,a0 +400001d8: 1bc040ef jal ra,40004394 <_localeconv_r> +400001dc: 00052783 lw a5,0(a0) +400001e0: 00078513 mv a0,a5 +400001e4: 04f12423 sw a5,72(sp) +400001e8: 12c060ef jal ra,40006314 +400001ec: 04a12023 sw a0,64(sp) +400001f0: 000a8663 beqz s5,400001fc <_vfprintf_r+0x6c> +400001f4: 038aa783 lw a5,56(s5) +400001f8: 220784e3 beqz a5,40000c20 <_vfprintf_r+0xa90> +400001fc: 00ca1703 lh a4,12(s4) +40000200: 01071793 slli a5,a4,0x10 +40000204: 0107d793 srli a5,a5,0x10 +40000208: 01279693 slli a3,a5,0x12 +4000020c: 0206c663 bltz a3,40000238 <_vfprintf_r+0xa8> +40000210: 064a2683 lw a3,100(s4) +40000214: 000027b7 lui a5,0x2 +40000218: 00f767b3 or a5,a4,a5 +4000021c: ffffe737 lui a4,0xffffe +40000220: fff70713 addi a4,a4,-1 # ffffdfff <_bss_end+0xbfff1977> +40000224: 00e6f733 and a4,a3,a4 +40000228: 00fa1623 sh a5,12(s4) +4000022c: 01079793 slli a5,a5,0x10 +40000230: 06ea2223 sw a4,100(s4) +40000234: 0107d793 srli a5,a5,0x10 +40000238: 0087f713 andi a4,a5,8 +4000023c: 7a070c63 beqz a4,400009f4 <_vfprintf_r+0x864> +40000240: 010a2703 lw a4,16(s4) +40000244: 7a070863 beqz a4,400009f4 <_vfprintf_r+0x864> +40000248: 01a7f793 andi a5,a5,26 +4000024c: 00a00713 li a4,10 +40000250: 7ce78263 beq a5,a4,40000a14 <_vfprintf_r+0x884> +40000254: 4000c7b7 lui a5,0x4000c +40000258: c387a703 lw a4,-968(a5) # 4000bc38 <__clz_tab+0x104> +4000025c: c3c7a783 lw a5,-964(a5) +40000260: 0d010c13 addi s8,sp,208 +40000264: 04e12823 sw a4,80(sp) +40000268: 00078693 mv a3,a5 +4000026c: 04f12a23 sw a5,84(sp) +40000270: 4000b7b7 lui a5,0x4000b +40000274: 61c78793 addi a5,a5,1564 # 4000b61c <__clzsi2+0x7c> +40000278: 09812e23 sw s8,156(sp) +4000027c: 0a012223 sw zero,164(sp) +40000280: 0a012023 sw zero,160(sp) +40000284: 02012a23 sw zero,52(sp) +40000288: 02012c23 sw zero,56(sp) +4000028c: 02012e23 sw zero,60(sp) +40000290: 000c0313 mv t1,s8 +40000294: 04012223 sw zero,68(sp) +40000298: 04012623 sw zero,76(sp) +4000029c: 00012c23 sw zero,24(sp) +400002a0: 02f12223 sw a5,36(sp) +400002a4: 04e12c23 sw a4,88(sp) +400002a8: 04d12e23 sw a3,92(sp) +400002ac: 00044783 lbu a5,0(s0) +400002b0: 4e078e63 beqz a5,400007ac <_vfprintf_r+0x61c> +400002b4: 02500713 li a4,37 +400002b8: 00040493 mv s1,s0 +400002bc: 00e79663 bne a5,a4,400002c8 <_vfprintf_r+0x138> +400002c0: 0540006f j 40000314 <_vfprintf_r+0x184> +400002c4: 00e78863 beq a5,a4,400002d4 <_vfprintf_r+0x144> +400002c8: 00148493 addi s1,s1,1 +400002cc: 0004c783 lbu a5,0(s1) +400002d0: fe079ae3 bnez a5,400002c4 <_vfprintf_r+0x134> +400002d4: 40848933 sub s2,s1,s0 +400002d8: 02090e63 beqz s2,40000314 <_vfprintf_r+0x184> +400002dc: 0a412703 lw a4,164(sp) +400002e0: 0a012783 lw a5,160(sp) +400002e4: 00832023 sw s0,0(t1) +400002e8: 01270733 add a4,a4,s2 +400002ec: 00178793 addi a5,a5,1 +400002f0: 01232223 sw s2,4(t1) +400002f4: 0ae12223 sw a4,164(sp) +400002f8: 0af12023 sw a5,160(sp) +400002fc: 00700713 li a4,7 +40000300: 00830313 addi t1,t1,8 +40000304: 06f744e3 blt a4,a5,40000b6c <_vfprintf_r+0x9dc> +40000308: 01812783 lw a5,24(sp) +4000030c: 012787b3 add a5,a5,s2 +40000310: 00f12c23 sw a5,24(sp) +40000314: 0004c783 lbu a5,0(s1) +40000318: 52078663 beqz a5,40000844 <_vfprintf_r+0x6b4> +4000031c: fff00c93 li s9,-1 +40000320: 00148413 addi s0,s1,1 +40000324: 06010fa3 sb zero,127(sp) +40000328: 00000613 li a2,0 +4000032c: 00000593 li a1,0 +40000330: 00000493 li s1,0 +40000334: 00000d93 li s11,0 +40000338: 05800713 li a4,88 +4000033c: 00900693 li a3,9 +40000340: 02a00893 li a7,42 +40000344: 000c8f13 mv t5,s9 +40000348: 00100513 li a0,1 +4000034c: 02000e93 li t4,32 +40000350: 02b00813 li a6,43 +40000354: 00044983 lbu s3,0(s0) +40000358: 00140413 addi s0,s0,1 +4000035c: fe098793 addi a5,s3,-32 +40000360: 56f768e3 bltu a4,a5,400010d0 <_vfprintf_r+0xf40> +40000364: 02412e03 lw t3,36(sp) +40000368: 00279793 slli a5,a5,0x2 +4000036c: 01c787b3 add a5,a5,t3 +40000370: 0007a783 lw a5,0(a5) +40000374: 00078067 jr a5 +40000378: 010ded93 ori s11,s11,16 +4000037c: fd9ff06f j 40000354 <_vfprintf_r+0x1c4> +40000380: 010ded93 ori s11,s11,16 +40000384: 010df793 andi a5,s11,16 +40000388: 00078463 beqz a5,40000390 <_vfprintf_r+0x200> +4000038c: 0980106f j 40001424 <_vfprintf_r+0x1294> +40000390: 040df793 andi a5,s11,64 +40000394: 02012683 lw a3,32(sp) +40000398: 520792e3 bnez a5,400010bc <_vfprintf_r+0xf2c> +4000039c: 0006a783 lw a5,0(a3) +400003a0: 00468693 addi a3,a3,4 +400003a4: 00000713 li a4,0 +400003a8: 02d12023 sw a3,32(sp) +400003ac: 06010fa3 sb zero,127(sp) +400003b0: 00000613 li a2,0 +400003b4: fff00693 li a3,-1 +400003b8: 08dc8e63 beq s9,a3,40000454 <_vfprintf_r+0x2c4> +400003bc: f7fdf693 andi a3,s11,-129 +400003c0: 00d12823 sw a3,16(sp) +400003c4: 08079c63 bnez a5,4000045c <_vfprintf_r+0x2cc> +400003c8: 780c9263 bnez s9,40000b4c <_vfprintf_r+0x9bc> +400003cc: 120710e3 bnez a4,40000cec <_vfprintf_r+0xb5c> +400003d0: 001df793 andi a5,s11,1 +400003d4: 00f12e23 sw a5,28(sp) +400003d8: 000c0913 mv s2,s8 +400003dc: 0a078e63 beqz a5,40000498 <_vfprintf_r+0x308> +400003e0: 03000793 li a5,48 +400003e4: 0cf107a3 sb a5,207(sp) +400003e8: 0cf10913 addi s2,sp,207 +400003ec: 0ac0006f j 40000498 <_vfprintf_r+0x308> +400003f0: 010ded93 ori s11,s11,16 +400003f4: 010df793 andi a5,s11,16 +400003f8: 04079263 bnez a5,4000043c <_vfprintf_r+0x2ac> +400003fc: 040df793 andi a5,s11,64 +40000400: 02012683 lw a3,32(sp) +40000404: 02078e63 beqz a5,40000440 <_vfprintf_r+0x2b0> +40000408: 0006d783 lhu a5,0(a3) +4000040c: 00468693 addi a3,a3,4 +40000410: 00100713 li a4,1 +40000414: 02d12023 sw a3,32(sp) +40000418: f95ff06f j 400003ac <_vfprintf_r+0x21c> +4000041c: 02012783 lw a5,32(sp) +40000420: 0007a483 lw s1,0(a5) +40000424: 00478793 addi a5,a5,4 +40000428: 02f12023 sw a5,32(sp) +4000042c: f204d4e3 bgez s1,40000354 <_vfprintf_r+0x1c4> +40000430: 409004b3 neg s1,s1 +40000434: 004ded93 ori s11,s11,4 +40000438: f1dff06f j 40000354 <_vfprintf_r+0x1c4> +4000043c: 02012683 lw a3,32(sp) +40000440: 0006a783 lw a5,0(a3) +40000444: 00468693 addi a3,a3,4 +40000448: 00100713 li a4,1 +4000044c: 02d12023 sw a3,32(sp) +40000450: f5dff06f j 400003ac <_vfprintf_r+0x21c> +40000454: 6e078e63 beqz a5,40000b50 <_vfprintf_r+0x9c0> +40000458: 01b12823 sw s11,16(sp) +4000045c: 00100693 li a3,1 +40000460: 48d704e3 beq a4,a3,400010e8 <_vfprintf_r+0xf58> +40000464: 00200693 li a3,2 +40000468: 76d71463 bne a4,a3,40000bd0 <_vfprintf_r+0xa40> +4000046c: 04412683 lw a3,68(sp) +40000470: 000c0913 mv s2,s8 +40000474: 00f7f713 andi a4,a5,15 +40000478: 00e68733 add a4,a3,a4 +4000047c: 00074703 lbu a4,0(a4) +40000480: fff90913 addi s2,s2,-1 +40000484: 0047d793 srli a5,a5,0x4 +40000488: 00e90023 sb a4,0(s2) +4000048c: fe0794e3 bnez a5,40000474 <_vfprintf_r+0x2e4> +40000490: 412c07b3 sub a5,s8,s2 +40000494: 00f12e23 sw a5,28(sp) +40000498: 01c12783 lw a5,28(sp) +4000049c: 000c8b93 mv s7,s9 +400004a0: 00fcd463 ble a5,s9,400004a8 <_vfprintf_r+0x318> +400004a4: 00078b93 mv s7,a5 +400004a8: 02012823 sw zero,48(sp) +400004ac: 30061c63 bnez a2,400007c4 <_vfprintf_r+0x634> +400004b0: 01012783 lw a5,16(sp) +400004b4: 0027f793 andi a5,a5,2 +400004b8: 02f12423 sw a5,40(sp) +400004bc: 00078463 beqz a5,400004c4 <_vfprintf_r+0x334> +400004c0: 002b8b93 addi s7,s7,2 +400004c4: 01012783 lw a5,16(sp) +400004c8: 0847f793 andi a5,a5,132 +400004cc: 02f12623 sw a5,44(sp) +400004d0: 2e079e63 bnez a5,400007cc <_vfprintf_r+0x63c> +400004d4: 41748b33 sub s6,s1,s7 +400004d8: 2f605a63 blez s6,400007cc <_vfprintf_r+0x63c> +400004dc: 4000b6b7 lui a3,0x4000b +400004e0: 01000813 li a6,16 +400004e4: 0a412783 lw a5,164(sp) +400004e8: 0a012703 lw a4,160(sp) +400004ec: 78068d13 addi s10,a3,1920 # 4000b780 +400004f0: 07685263 ble s6,a6,40000554 <_vfprintf_r+0x3c4> +400004f4: 00700d93 li s11,7 +400004f8: 00c0006f j 40000504 <_vfprintf_r+0x374> +400004fc: ff0b0b13 addi s6,s6,-16 +40000500: 05685a63 ble s6,a6,40000554 <_vfprintf_r+0x3c4> +40000504: 01078793 addi a5,a5,16 +40000508: 00170713 addi a4,a4,1 +4000050c: 01a32023 sw s10,0(t1) +40000510: 01032223 sw a6,4(t1) +40000514: 0af12223 sw a5,164(sp) +40000518: 0ae12023 sw a4,160(sp) +4000051c: 00830313 addi t1,t1,8 +40000520: fceddee3 ble a4,s11,400004fc <_vfprintf_r+0x36c> +40000524: 09c10613 addi a2,sp,156 +40000528: 000a0593 mv a1,s4 +4000052c: 000a8513 mv a0,s5 +40000530: 01012a23 sw a6,20(sp) +40000534: 769050ef jal ra,4000649c <__sprint_r> +40000538: 32051263 bnez a0,4000085c <_vfprintf_r+0x6cc> +4000053c: 01412803 lw a6,20(sp) +40000540: ff0b0b13 addi s6,s6,-16 +40000544: 0a412783 lw a5,164(sp) +40000548: 0a012703 lw a4,160(sp) +4000054c: 000c0313 mv t1,s8 +40000550: fb684ae3 blt a6,s6,40000504 <_vfprintf_r+0x374> +40000554: 00fb07b3 add a5,s6,a5 +40000558: 00170713 addi a4,a4,1 +4000055c: 01a32023 sw s10,0(t1) +40000560: 01632223 sw s6,4(t1) +40000564: 0af12223 sw a5,164(sp) +40000568: 0ae12023 sw a4,160(sp) +4000056c: 00700693 li a3,7 +40000570: 3ae6c2e3 blt a3,a4,40001114 <_vfprintf_r+0xf84> +40000574: 07f14603 lbu a2,127(sp) +40000578: 00830313 addi t1,t1,8 +4000057c: 02060a63 beqz a2,400005b0 <_vfprintf_r+0x420> +40000580: 0a012703 lw a4,160(sp) +40000584: 07f10693 addi a3,sp,127 +40000588: 00d32023 sw a3,0(t1) +4000058c: 00178793 addi a5,a5,1 +40000590: 00100693 li a3,1 +40000594: 00170713 addi a4,a4,1 +40000598: 00d32223 sw a3,4(t1) +4000059c: 0af12223 sw a5,164(sp) +400005a0: 0ae12023 sw a4,160(sp) +400005a4: 00700693 li a3,7 +400005a8: 00830313 addi t1,t1,8 +400005ac: 5ce6ce63 blt a3,a4,40000b88 <_vfprintf_r+0x9f8> +400005b0: 02812703 lw a4,40(sp) +400005b4: 02070a63 beqz a4,400005e8 <_vfprintf_r+0x458> +400005b8: 0a012703 lw a4,160(sp) +400005bc: 08010693 addi a3,sp,128 +400005c0: 00d32023 sw a3,0(t1) +400005c4: 00278793 addi a5,a5,2 +400005c8: 00200693 li a3,2 +400005cc: 00170713 addi a4,a4,1 +400005d0: 00d32223 sw a3,4(t1) +400005d4: 0af12223 sw a5,164(sp) +400005d8: 0ae12023 sw a4,160(sp) +400005dc: 00700693 li a3,7 +400005e0: 00830313 addi t1,t1,8 +400005e4: 5ce6c263 blt a3,a4,40000ba8 <_vfprintf_r+0xa18> +400005e8: 02c12683 lw a3,44(sp) +400005ec: 08000713 li a4,128 +400005f0: 34e68263 beq a3,a4,40000934 <_vfprintf_r+0x7a4> +400005f4: 01c12703 lw a4,28(sp) +400005f8: 40ec8cb3 sub s9,s9,a4 +400005fc: 0b905863 blez s9,400006ac <_vfprintf_r+0x51c> +40000600: 4000b6b7 lui a3,0x4000b +40000604: 01000d93 li s11,16 +40000608: 0a012703 lw a4,160(sp) +4000060c: 79068b13 addi s6,a3,1936 # 4000b790 +40000610: 059dde63 ble s9,s11,4000066c <_vfprintf_r+0x4dc> +40000614: 00700d13 li s10,7 +40000618: 00c0006f j 40000624 <_vfprintf_r+0x494> +4000061c: ff0c8c93 addi s9,s9,-16 +40000620: 059dd663 ble s9,s11,4000066c <_vfprintf_r+0x4dc> +40000624: 01078793 addi a5,a5,16 +40000628: 00170713 addi a4,a4,1 +4000062c: 01632023 sw s6,0(t1) +40000630: 01b32223 sw s11,4(t1) +40000634: 0af12223 sw a5,164(sp) +40000638: 0ae12023 sw a4,160(sp) +4000063c: 00830313 addi t1,t1,8 +40000640: fced5ee3 ble a4,s10,4000061c <_vfprintf_r+0x48c> +40000644: 09c10613 addi a2,sp,156 +40000648: 000a0593 mv a1,s4 +4000064c: 000a8513 mv a0,s5 +40000650: 64d050ef jal ra,4000649c <__sprint_r> +40000654: 20051463 bnez a0,4000085c <_vfprintf_r+0x6cc> +40000658: ff0c8c93 addi s9,s9,-16 +4000065c: 0a412783 lw a5,164(sp) +40000660: 0a012703 lw a4,160(sp) +40000664: 000c0313 mv t1,s8 +40000668: fb9dcee3 blt s11,s9,40000624 <_vfprintf_r+0x494> +4000066c: 019787b3 add a5,a5,s9 +40000670: 00170713 addi a4,a4,1 +40000674: 01632023 sw s6,0(t1) +40000678: 01932223 sw s9,4(t1) +4000067c: 0af12223 sw a5,164(sp) +40000680: 0ae12023 sw a4,160(sp) +40000684: 00700693 li a3,7 +40000688: 00830313 addi t1,t1,8 +4000068c: 02e6d063 ble a4,a3,400006ac <_vfprintf_r+0x51c> +40000690: 09c10613 addi a2,sp,156 +40000694: 000a0593 mv a1,s4 +40000698: 000a8513 mv a0,s5 +4000069c: 601050ef jal ra,4000649c <__sprint_r> +400006a0: 1a051e63 bnez a0,4000085c <_vfprintf_r+0x6cc> +400006a4: 0a412783 lw a5,164(sp) +400006a8: 000c0313 mv t1,s8 +400006ac: 01012703 lw a4,16(sp) +400006b0: 10077713 andi a4,a4,256 +400006b4: 1e071a63 bnez a4,400008a8 <_vfprintf_r+0x718> +400006b8: 01c12683 lw a3,28(sp) +400006bc: 0a012703 lw a4,160(sp) +400006c0: 01232023 sw s2,0(t1) +400006c4: 00d787b3 add a5,a5,a3 +400006c8: 00170713 addi a4,a4,1 +400006cc: 00d32223 sw a3,4(t1) +400006d0: 0af12223 sw a5,164(sp) +400006d4: 0ae12023 sw a4,160(sp) +400006d8: 00700693 li a3,7 +400006dc: 14e6c463 blt a3,a4,40000824 <_vfprintf_r+0x694> +400006e0: 00830313 addi t1,t1,8 +400006e4: 01012703 lw a4,16(sp) +400006e8: 00477b13 andi s6,a4,4 +400006ec: 080b0c63 beqz s6,40000784 <_vfprintf_r+0x5f4> +400006f0: 41748933 sub s2,s1,s7 +400006f4: 09205863 blez s2,40000784 <_vfprintf_r+0x5f4> +400006f8: 4000b6b7 lui a3,0x4000b +400006fc: 01000993 li s3,16 +40000700: 0a012703 lw a4,160(sp) +40000704: 78068d13 addi s10,a3,1920 # 4000b780 +40000708: 0529de63 ble s2,s3,40000764 <_vfprintf_r+0x5d4> +4000070c: 00700b13 li s6,7 +40000710: 00c0006f j 4000071c <_vfprintf_r+0x58c> +40000714: ff090913 addi s2,s2,-16 +40000718: 0529d663 ble s2,s3,40000764 <_vfprintf_r+0x5d4> +4000071c: 01078793 addi a5,a5,16 +40000720: 00170713 addi a4,a4,1 +40000724: 01a32023 sw s10,0(t1) +40000728: 01332223 sw s3,4(t1) +4000072c: 0af12223 sw a5,164(sp) +40000730: 0ae12023 sw a4,160(sp) +40000734: 00830313 addi t1,t1,8 +40000738: fceb5ee3 ble a4,s6,40000714 <_vfprintf_r+0x584> +4000073c: 09c10613 addi a2,sp,156 +40000740: 000a0593 mv a1,s4 +40000744: 000a8513 mv a0,s5 +40000748: 555050ef jal ra,4000649c <__sprint_r> +4000074c: 10051863 bnez a0,4000085c <_vfprintf_r+0x6cc> +40000750: ff090913 addi s2,s2,-16 +40000754: 0a412783 lw a5,164(sp) +40000758: 0a012703 lw a4,160(sp) +4000075c: 000c0313 mv t1,s8 +40000760: fb29cee3 blt s3,s2,4000071c <_vfprintf_r+0x58c> +40000764: 012787b3 add a5,a5,s2 +40000768: 00170713 addi a4,a4,1 +4000076c: 01a32023 sw s10,0(t1) +40000770: 01232223 sw s2,4(t1) +40000774: 0af12223 sw a5,164(sp) +40000778: 0ae12023 sw a4,160(sp) +4000077c: 00700693 li a3,7 +40000780: 12e6c0e3 blt a3,a4,400010a0 <_vfprintf_r+0xf10> +40000784: 0174d463 ble s7,s1,4000078c <_vfprintf_r+0x5fc> +40000788: 000b8493 mv s1,s7 +4000078c: 01812703 lw a4,24(sp) +40000790: 00970733 add a4,a4,s1 +40000794: 00e12c23 sw a4,24(sp) +40000798: 38079e63 bnez a5,40000b34 <_vfprintf_r+0x9a4> +4000079c: 00044783 lbu a5,0(s0) +400007a0: 0a012023 sw zero,160(sp) +400007a4: 000c0313 mv t1,s8 +400007a8: b00796e3 bnez a5,400002b4 <_vfprintf_r+0x124> +400007ac: 00040493 mv s1,s0 +400007b0: b65ff06f j 40000314 <_vfprintf_r+0x184> +400007b4: 02d00793 li a5,45 +400007b8: 06f10fa3 sb a5,127(sp) +400007bc: 02d00613 li a2,45 +400007c0: 00000c93 li s9,0 +400007c4: 001b8b93 addi s7,s7,1 +400007c8: ce9ff06f j 400004b0 <_vfprintf_r+0x320> +400007cc: 0a412783 lw a5,164(sp) +400007d0: dadff06f j 4000057c <_vfprintf_r+0x3ec> +400007d4: 012787b3 add a5,a5,s2 +400007d8: 00198993 addi s3,s3,1 +400007dc: 016ca023 sw s6,0(s9) +400007e0: 012ca223 sw s2,4(s9) +400007e4: 0af12223 sw a5,164(sp) +400007e8: 0b312023 sw s3,160(sp) +400007ec: 00700713 li a4,7 +400007f0: 53374863 blt a4,s3,40000d20 <_vfprintf_r+0xb90> +400007f4: 008c8c93 addi s9,s9,8 +400007f8: 04c12683 lw a3,76(sp) +400007fc: 08c10713 addi a4,sp,140 +40000800: 00198993 addi s3,s3,1 +40000804: 00f687b3 add a5,a3,a5 +40000808: 00eca023 sw a4,0(s9) +4000080c: 00dca223 sw a3,4(s9) +40000810: 0af12223 sw a5,164(sp) +40000814: 0b312023 sw s3,160(sp) +40000818: 00700713 li a4,7 +4000081c: 008c8313 addi t1,s9,8 +40000820: ed3752e3 ble s3,a4,400006e4 <_vfprintf_r+0x554> +40000824: 09c10613 addi a2,sp,156 +40000828: 000a0593 mv a1,s4 +4000082c: 000a8513 mv a0,s5 +40000830: 46d050ef jal ra,4000649c <__sprint_r> +40000834: 02051463 bnez a0,4000085c <_vfprintf_r+0x6cc> +40000838: 0a412783 lw a5,164(sp) +4000083c: 000c0313 mv t1,s8 +40000840: ea5ff06f j 400006e4 <_vfprintf_r+0x554> +40000844: 0a412783 lw a5,164(sp) +40000848: 00078a63 beqz a5,4000085c <_vfprintf_r+0x6cc> +4000084c: 09c10613 addi a2,sp,156 +40000850: 000a0593 mv a1,s4 +40000854: 000a8513 mv a0,s5 +40000858: 445050ef jal ra,4000649c <__sprint_r> +4000085c: 00ca5783 lhu a5,12(s4) +40000860: 0407f793 andi a5,a5,64 +40000864: 680794e3 bnez a5,400016ec <_vfprintf_r+0x155c> +40000868: 14c12083 lw ra,332(sp) +4000086c: 01812503 lw a0,24(sp) +40000870: 14812403 lw s0,328(sp) +40000874: 14412483 lw s1,324(sp) +40000878: 14012903 lw s2,320(sp) +4000087c: 13c12983 lw s3,316(sp) +40000880: 13812a03 lw s4,312(sp) +40000884: 13412a83 lw s5,308(sp) +40000888: 13012b03 lw s6,304(sp) +4000088c: 12c12b83 lw s7,300(sp) +40000890: 12812c03 lw s8,296(sp) +40000894: 12412c83 lw s9,292(sp) +40000898: 12012d03 lw s10,288(sp) +4000089c: 11c12d83 lw s11,284(sp) +400008a0: 15010113 addi sp,sp,336 +400008a4: 00008067 ret +400008a8: 06500713 li a4,101 +400008ac: 19375663 ble s3,a4,40000a38 <_vfprintf_r+0x8a8> +400008b0: 03812683 lw a3,56(sp) +400008b4: 03c12703 lw a4,60(sp) +400008b8: 00000613 li a2,0 +400008bc: 00068513 mv a0,a3 +400008c0: 00070593 mv a1,a4 +400008c4: 00000693 li a3,0 +400008c8: 00612e23 sw t1,28(sp) +400008cc: 00f12a23 sw a5,20(sp) +400008d0: 354090ef jal ra,40009c24 <__eqdf2> +400008d4: 01412783 lw a5,20(sp) +400008d8: 01c12303 lw t1,28(sp) +400008dc: 34051863 bnez a0,40000c2c <_vfprintf_r+0xa9c> +400008e0: 0a012703 lw a4,160(sp) +400008e4: 4000b6b7 lui a3,0x4000b +400008e8: 7e068693 addi a3,a3,2016 # 4000b7e0 +400008ec: 00178793 addi a5,a5,1 +400008f0: 00d32023 sw a3,0(t1) +400008f4: 00170713 addi a4,a4,1 +400008f8: 00100693 li a3,1 +400008fc: 00d32223 sw a3,4(t1) +40000900: 0af12223 sw a5,164(sp) +40000904: 0ae12023 sw a4,160(sp) +40000908: 00700793 li a5,7 +4000090c: 00830313 addi t1,t1,8 +40000910: 52e7c4e3 blt a5,a4,40001638 <_vfprintf_r+0x14a8> +40000914: 08412783 lw a5,132(sp) +40000918: 03412703 lw a4,52(sp) +4000091c: 00e7cee3 blt a5,a4,40001138 <_vfprintf_r+0xfa8> +40000920: 01012783 lw a5,16(sp) +40000924: 0017f793 andi a5,a5,1 +40000928: 000798e3 bnez a5,40001138 <_vfprintf_r+0xfa8> +4000092c: 0a412783 lw a5,164(sp) +40000930: db5ff06f j 400006e4 <_vfprintf_r+0x554> +40000934: 41748d33 sub s10,s1,s7 +40000938: cba05ee3 blez s10,400005f4 <_vfprintf_r+0x464> +4000093c: 4000b6b7 lui a3,0x4000b +40000940: 01000d93 li s11,16 +40000944: 0a012703 lw a4,160(sp) +40000948: 79068b13 addi s6,a3,1936 # 4000b790 +4000094c: 07add263 ble s10,s11,400009b0 <_vfprintf_r+0x820> +40000950: 00700813 li a6,7 +40000954: 00c0006f j 40000960 <_vfprintf_r+0x7d0> +40000958: ff0d0d13 addi s10,s10,-16 +4000095c: 05adda63 ble s10,s11,400009b0 <_vfprintf_r+0x820> +40000960: 01078793 addi a5,a5,16 +40000964: 00170713 addi a4,a4,1 +40000968: 01632023 sw s6,0(t1) +4000096c: 01b32223 sw s11,4(t1) +40000970: 0af12223 sw a5,164(sp) +40000974: 0ae12023 sw a4,160(sp) +40000978: 00830313 addi t1,t1,8 +4000097c: fce85ee3 ble a4,a6,40000958 <_vfprintf_r+0x7c8> +40000980: 09c10613 addi a2,sp,156 +40000984: 000a0593 mv a1,s4 +40000988: 000a8513 mv a0,s5 +4000098c: 01012a23 sw a6,20(sp) +40000990: 30d050ef jal ra,4000649c <__sprint_r> +40000994: ec0514e3 bnez a0,4000085c <_vfprintf_r+0x6cc> +40000998: ff0d0d13 addi s10,s10,-16 +4000099c: 0a412783 lw a5,164(sp) +400009a0: 0a012703 lw a4,160(sp) +400009a4: 000c0313 mv t1,s8 +400009a8: 01412803 lw a6,20(sp) +400009ac: fbadcae3 blt s11,s10,40000960 <_vfprintf_r+0x7d0> +400009b0: 01a787b3 add a5,a5,s10 +400009b4: 00170713 addi a4,a4,1 +400009b8: 01632023 sw s6,0(t1) +400009bc: 01a32223 sw s10,4(t1) +400009c0: 0af12223 sw a5,164(sp) +400009c4: 0ae12023 sw a4,160(sp) +400009c8: 00700693 li a3,7 +400009cc: 00830313 addi t1,t1,8 +400009d0: c2e6d2e3 ble a4,a3,400005f4 <_vfprintf_r+0x464> +400009d4: 09c10613 addi a2,sp,156 +400009d8: 000a0593 mv a1,s4 +400009dc: 000a8513 mv a0,s5 +400009e0: 2bd050ef jal ra,4000649c <__sprint_r> +400009e4: e6051ce3 bnez a0,4000085c <_vfprintf_r+0x6cc> +400009e8: 0a412783 lw a5,164(sp) +400009ec: 000c0313 mv t1,s8 +400009f0: c05ff06f j 400005f4 <_vfprintf_r+0x464> +400009f4: 000a0593 mv a1,s4 +400009f8: 000a8513 mv a0,s5 +400009fc: 404010ef jal ra,40001e00 <__swsetup_r> +40000a00: 4e0516e3 bnez a0,400016ec <_vfprintf_r+0x155c> +40000a04: 00ca5783 lhu a5,12(s4) +40000a08: 00a00713 li a4,10 +40000a0c: 01a7f793 andi a5,a5,26 +40000a10: 84e792e3 bne a5,a4,40000254 <_vfprintf_r+0xc4> +40000a14: 00ea1783 lh a5,14(s4) +40000a18: 8207cee3 bltz a5,40000254 <_vfprintf_r+0xc4> +40000a1c: 02012683 lw a3,32(sp) +40000a20: 00040613 mv a2,s0 +40000a24: 000a0593 mv a1,s4 +40000a28: 000a8513 mv a0,s5 +40000a2c: 314010ef jal ra,40001d40 <__sbprintf> +40000a30: 00a12c23 sw a0,24(sp) +40000a34: e35ff06f j 40000868 <_vfprintf_r+0x6d8> +40000a38: 03412683 lw a3,52(sp) +40000a3c: 00100713 li a4,1 +40000a40: 00178793 addi a5,a5,1 +40000a44: 18d754e3 ble a3,a4,400013cc <_vfprintf_r+0x123c> +40000a48: 0a012983 lw s3,160(sp) +40000a4c: 00100713 li a4,1 +40000a50: 00e32223 sw a4,4(t1) +40000a54: 00198993 addi s3,s3,1 +40000a58: 01232023 sw s2,0(t1) +40000a5c: 0af12223 sw a5,164(sp) +40000a60: 0b312023 sw s3,160(sp) +40000a64: 00700713 li a4,7 +40000a68: 00830313 addi t1,t1,8 +40000a6c: 19374ae3 blt a4,s3,40001400 <_vfprintf_r+0x1270> +40000a70: 04012703 lw a4,64(sp) +40000a74: 04812683 lw a3,72(sp) +40000a78: 00198993 addi s3,s3,1 +40000a7c: 00f707b3 add a5,a4,a5 +40000a80: 00e32223 sw a4,4(t1) +40000a84: 00d32023 sw a3,0(t1) +40000a88: 0af12223 sw a5,164(sp) +40000a8c: 0b312023 sw s3,160(sp) +40000a90: 00700713 li a4,7 +40000a94: 00830c93 addi s9,t1,8 +40000a98: 19374ae3 blt a4,s3,4000142c <_vfprintf_r+0x129c> +40000a9c: 03c12703 lw a4,60(sp) +40000aa0: 03812683 lw a3,56(sp) +40000aa4: 00000613 li a2,0 +40000aa8: 00070593 mv a1,a4 +40000aac: 00068513 mv a0,a3 +40000ab0: 00000693 li a3,0 +40000ab4: 00f12a23 sw a5,20(sp) +40000ab8: 16c090ef jal ra,40009c24 <__eqdf2> +40000abc: 01412783 lw a5,20(sp) +40000ac0: 03412703 lw a4,52(sp) +40000ac4: 22051a63 bnez a0,40000cf8 <_vfprintf_r+0xb68> +40000ac8: fff70913 addi s2,a4,-1 +40000acc: d32056e3 blez s2,400007f8 <_vfprintf_r+0x668> +40000ad0: 4000b6b7 lui a3,0x4000b +40000ad4: 01000d13 li s10,16 +40000ad8: 79068b13 addi s6,a3,1936 # 4000b790 +40000adc: cf2d5ce3 ble s2,s10,400007d4 <_vfprintf_r+0x644> +40000ae0: 00700d93 li s11,7 +40000ae4: 00c0006f j 40000af0 <_vfprintf_r+0x960> +40000ae8: ff090913 addi s2,s2,-16 +40000aec: cf2d54e3 ble s2,s10,400007d4 <_vfprintf_r+0x644> +40000af0: 01078793 addi a5,a5,16 +40000af4: 00198993 addi s3,s3,1 +40000af8: 016ca023 sw s6,0(s9) +40000afc: 01aca223 sw s10,4(s9) +40000b00: 0af12223 sw a5,164(sp) +40000b04: 0b312023 sw s3,160(sp) +40000b08: 008c8c93 addi s9,s9,8 +40000b0c: fd3ddee3 ble s3,s11,40000ae8 <_vfprintf_r+0x958> +40000b10: 09c10613 addi a2,sp,156 +40000b14: 000a0593 mv a1,s4 +40000b18: 000a8513 mv a0,s5 +40000b1c: 181050ef jal ra,4000649c <__sprint_r> +40000b20: d2051ee3 bnez a0,4000085c <_vfprintf_r+0x6cc> +40000b24: 0a412783 lw a5,164(sp) +40000b28: 0a012983 lw s3,160(sp) +40000b2c: 000c0c93 mv s9,s8 +40000b30: fb9ff06f j 40000ae8 <_vfprintf_r+0x958> +40000b34: 09c10613 addi a2,sp,156 +40000b38: 000a0593 mv a1,s4 +40000b3c: 000a8513 mv a0,s5 +40000b40: 15d050ef jal ra,4000649c <__sprint_r> +40000b44: c4050ce3 beqz a0,4000079c <_vfprintf_r+0x60c> +40000b48: d15ff06f j 4000085c <_vfprintf_r+0x6cc> +40000b4c: 01012d83 lw s11,16(sp) +40000b50: 00100693 li a3,1 +40000b54: 6ad70a63 beq a4,a3,40001208 <_vfprintf_r+0x1078> +40000b58: 00200793 li a5,2 +40000b5c: 06f71663 bne a4,a5,40000bc8 <_vfprintf_r+0xa38> +40000b60: 01b12823 sw s11,16(sp) +40000b64: 00000793 li a5,0 +40000b68: 905ff06f j 4000046c <_vfprintf_r+0x2dc> +40000b6c: 09c10613 addi a2,sp,156 +40000b70: 000a0593 mv a1,s4 +40000b74: 000a8513 mv a0,s5 +40000b78: 125050ef jal ra,4000649c <__sprint_r> +40000b7c: ce0510e3 bnez a0,4000085c <_vfprintf_r+0x6cc> +40000b80: 000c0313 mv t1,s8 +40000b84: f84ff06f j 40000308 <_vfprintf_r+0x178> +40000b88: 09c10613 addi a2,sp,156 +40000b8c: 000a0593 mv a1,s4 +40000b90: 000a8513 mv a0,s5 +40000b94: 109050ef jal ra,4000649c <__sprint_r> +40000b98: cc0512e3 bnez a0,4000085c <_vfprintf_r+0x6cc> +40000b9c: 0a412783 lw a5,164(sp) +40000ba0: 000c0313 mv t1,s8 +40000ba4: a0dff06f j 400005b0 <_vfprintf_r+0x420> +40000ba8: 09c10613 addi a2,sp,156 +40000bac: 000a0593 mv a1,s4 +40000bb0: 000a8513 mv a0,s5 +40000bb4: 0e9050ef jal ra,4000649c <__sprint_r> +40000bb8: ca0512e3 bnez a0,4000085c <_vfprintf_r+0x6cc> +40000bbc: 0a412783 lw a5,164(sp) +40000bc0: 000c0313 mv t1,s8 +40000bc4: a25ff06f j 400005e8 <_vfprintf_r+0x458> +40000bc8: 01b12823 sw s11,16(sp) +40000bcc: 00000793 li a5,0 +40000bd0: 000c0693 mv a3,s8 +40000bd4: 0080006f j 40000bdc <_vfprintf_r+0xa4c> +40000bd8: 00090693 mv a3,s2 +40000bdc: 0077f713 andi a4,a5,7 +40000be0: 03070713 addi a4,a4,48 +40000be4: fee68fa3 sb a4,-1(a3) +40000be8: 0037d793 srli a5,a5,0x3 +40000bec: fff68913 addi s2,a3,-1 +40000bf0: fe0794e3 bnez a5,40000bd8 <_vfprintf_r+0xa48> +40000bf4: 01012783 lw a5,16(sp) +40000bf8: 0017f793 andi a5,a5,1 +40000bfc: 88078ae3 beqz a5,40000490 <_vfprintf_r+0x300> +40000c00: 03000793 li a5,48 +40000c04: 88f706e3 beq a4,a5,40000490 <_vfprintf_r+0x300> +40000c08: ffe68693 addi a3,a3,-2 +40000c0c: fef90fa3 sb a5,-1(s2) +40000c10: 40dc07b3 sub a5,s8,a3 +40000c14: 00f12e23 sw a5,28(sp) +40000c18: 00068913 mv s2,a3 +40000c1c: 87dff06f j 40000498 <_vfprintf_r+0x308> +40000c20: 000a8513 mv a0,s5 +40000c24: 0dc030ef jal ra,40003d00 <__sinit> +40000c28: dd4ff06f j 400001fc <_vfprintf_r+0x6c> +40000c2c: 08412683 lw a3,132(sp) +40000c30: 22d052e3 blez a3,40001654 <_vfprintf_r+0x14c4> +40000c34: 03012703 lw a4,48(sp) +40000c38: 03412683 lw a3,52(sp) +40000c3c: 00070993 mv s3,a4 +40000c40: 00e6d463 ble a4,a3,40000c48 <_vfprintf_r+0xab8> +40000c44: 00068993 mv s3,a3 +40000c48: 03305663 blez s3,40000c74 <_vfprintf_r+0xae4> +40000c4c: 0a012703 lw a4,160(sp) +40000c50: 013787b3 add a5,a5,s3 +40000c54: 01232023 sw s2,0(t1) +40000c58: 00170713 addi a4,a4,1 +40000c5c: 01332223 sw s3,4(t1) +40000c60: 0af12223 sw a5,164(sp) +40000c64: 0ae12023 sw a4,160(sp) +40000c68: 00700693 li a3,7 +40000c6c: 00830313 addi t1,t1,8 +40000c70: 28e6cae3 blt a3,a4,40001704 <_vfprintf_r+0x1574> +40000c74: 5009cee3 bltz s3,40001990 <_vfprintf_r+0x1800> +40000c78: 03012703 lw a4,48(sp) +40000c7c: 413709b3 sub s3,a4,s3 +40000c80: 5f305263 blez s3,40001264 <_vfprintf_r+0x10d4> +40000c84: 4000b6b7 lui a3,0x4000b +40000c88: 01000c93 li s9,16 +40000c8c: 0a012703 lw a4,160(sp) +40000c90: 79068b13 addi s6,a3,1936 # 4000b790 +40000c94: 593cd863 ble s3,s9,40001224 <_vfprintf_r+0x1094> +40000c98: 00700d13 li s10,7 +40000c9c: 00c0006f j 40000ca8 <_vfprintf_r+0xb18> +40000ca0: ff098993 addi s3,s3,-16 +40000ca4: 593cd063 ble s3,s9,40001224 <_vfprintf_r+0x1094> +40000ca8: 01078793 addi a5,a5,16 +40000cac: 00170713 addi a4,a4,1 +40000cb0: 01632023 sw s6,0(t1) +40000cb4: 01932223 sw s9,4(t1) +40000cb8: 0af12223 sw a5,164(sp) +40000cbc: 0ae12023 sw a4,160(sp) +40000cc0: 00830313 addi t1,t1,8 +40000cc4: fced5ee3 ble a4,s10,40000ca0 <_vfprintf_r+0xb10> +40000cc8: 09c10613 addi a2,sp,156 +40000ccc: 000a0593 mv a1,s4 +40000cd0: 000a8513 mv a0,s5 +40000cd4: 7c8050ef jal ra,4000649c <__sprint_r> +40000cd8: b80512e3 bnez a0,4000085c <_vfprintf_r+0x6cc> +40000cdc: 0a412783 lw a5,164(sp) +40000ce0: 0a012703 lw a4,160(sp) +40000ce4: 000c0313 mv t1,s8 +40000ce8: fb9ff06f j 40000ca0 <_vfprintf_r+0xb10> +40000cec: 00012e23 sw zero,28(sp) +40000cf0: 000c0913 mv s2,s8 +40000cf4: fa4ff06f j 40000498 <_vfprintf_r+0x308> +40000cf8: fff70713 addi a4,a4,-1 +40000cfc: 00e787b3 add a5,a5,a4 +40000d00: 00190913 addi s2,s2,1 +40000d04: 00198993 addi s3,s3,1 +40000d08: 00eca223 sw a4,4(s9) +40000d0c: 012ca023 sw s2,0(s9) +40000d10: 0af12223 sw a5,164(sp) +40000d14: 0b312023 sw s3,160(sp) +40000d18: 00700713 li a4,7 +40000d1c: ad375ce3 ble s3,a4,400007f4 <_vfprintf_r+0x664> +40000d20: 09c10613 addi a2,sp,156 +40000d24: 000a0593 mv a1,s4 +40000d28: 000a8513 mv a0,s5 +40000d2c: 770050ef jal ra,4000649c <__sprint_r> +40000d30: b20516e3 bnez a0,4000085c <_vfprintf_r+0x6cc> +40000d34: 0a412783 lw a5,164(sp) +40000d38: 0a012983 lw s3,160(sp) +40000d3c: 000c0c93 mv s9,s8 +40000d40: ab9ff06f j 400007f8 <_vfprintf_r+0x668> +40000d44: 00050613 mv a2,a0 +40000d48: 00080593 mv a1,a6 +40000d4c: e08ff06f j 40000354 <_vfprintf_r+0x1c4> +40000d50: 00060463 beqz a2,40000d58 <_vfprintf_r+0xbc8> +40000d54: 7a50006f j 40001cf8 <_vfprintf_r+0x1b68> +40000d58: 010df793 andi a5,s11,16 +40000d5c: 64079a63 bnez a5,400013b0 <_vfprintf_r+0x1220> +40000d60: 040dfd93 andi s11,s11,64 +40000d64: 640d8663 beqz s11,400013b0 <_vfprintf_r+0x1220> +40000d68: 02012703 lw a4,32(sp) +40000d6c: 00072783 lw a5,0(a4) +40000d70: 00470713 addi a4,a4,4 +40000d74: 02e12023 sw a4,32(sp) +40000d78: 01815703 lhu a4,24(sp) +40000d7c: 00e79023 sh a4,0(a5) +40000d80: d2cff06f j 400002ac <_vfprintf_r+0x11c> +40000d84: 02012783 lw a5,32(sp) +40000d88: 06010fa3 sb zero,127(sp) +40000d8c: 0007a903 lw s2,0(a5) +40000d90: 00478b13 addi s6,a5,4 +40000d94: 400902e3 beqz s2,40001998 <_vfprintf_r+0x1808> +40000d98: fff00793 li a5,-1 +40000d9c: 00612823 sw t1,16(sp) +40000da0: 2cfc8ae3 beq s9,a5,40001874 <_vfprintf_r+0x16e4> +40000da4: 000c8613 mv a2,s9 +40000da8: 00000593 li a1,0 +40000dac: 00090513 mv a0,s2 +40000db0: 735030ef jal ra,40004ce4 +40000db4: 01012303 lw t1,16(sp) +40000db8: 52050ae3 beqz a0,40001aec <_vfprintf_r+0x195c> +40000dbc: 412507b3 sub a5,a0,s2 +40000dc0: 00f12e23 sw a5,28(sp) +40000dc4: 00078b93 mv s7,a5 +40000dc8: 2c07c2e3 bltz a5,4000188c <_vfprintf_r+0x16fc> +40000dcc: 07f14603 lbu a2,127(sp) +40000dd0: 03612023 sw s6,32(sp) +40000dd4: 01b12823 sw s11,16(sp) +40000dd8: 02012823 sw zero,48(sp) +40000ddc: 00000c93 li s9,0 +40000de0: ec060863 beqz a2,400004b0 <_vfprintf_r+0x320> +40000de4: 9e1ff06f j 400007c4 <_vfprintf_r+0x634> +40000de8: 720610e3 bnez a2,40001d08 <_vfprintf_r+0x1b78> +40000dec: 010ded93 ori s11,s11,16 +40000df0: 010df793 andi a5,s11,16 +40000df4: 64079e63 bnez a5,40001450 <_vfprintf_r+0x12c0> +40000df8: 040df793 andi a5,s11,64 +40000dfc: 02012703 lw a4,32(sp) +40000e00: 58078863 beqz a5,40001390 <_vfprintf_r+0x1200> +40000e04: 00071783 lh a5,0(a4) +40000e08: 00470713 addi a4,a4,4 +40000e0c: 02e12023 sw a4,32(sp) +40000e10: 6607c463 bltz a5,40001478 <_vfprintf_r+0x12e8> +40000e14: 07f14603 lbu a2,127(sp) +40000e18: 00100713 li a4,1 +40000e1c: d98ff06f j 400003b4 <_vfprintf_r+0x224> +40000e20: 6e0610e3 bnez a2,40001d00 <_vfprintf_r+0x1b70> +40000e24: 008df793 andi a5,s11,8 +40000e28: 7e078463 beqz a5,40001610 <_vfprintf_r+0x1480> +40000e2c: 02012703 lw a4,32(sp) +40000e30: 06010513 addi a0,sp,96 +40000e34: 00612823 sw t1,16(sp) +40000e38: 00072783 lw a5,0(a4) +40000e3c: 00470693 addi a3,a4,4 +40000e40: 02d12023 sw a3,32(sp) +40000e44: 0007a703 lw a4,0(a5) +40000e48: 06e12023 sw a4,96(sp) +40000e4c: 0047a703 lw a4,4(a5) +40000e50: 06e12223 sw a4,100(sp) +40000e54: 0087a703 lw a4,8(a5) +40000e58: 06e12423 sw a4,104(sp) +40000e5c: 00c7a783 lw a5,12(a5) +40000e60: 06f12623 sw a5,108(sp) +40000e64: 33c0a0ef jal ra,4000b1a0 <__trunctfdf2> +40000e68: 01012303 lw t1,16(sp) +40000e6c: 02a12c23 sw a0,56(sp) +40000e70: 02b12e23 sw a1,60(sp) +40000e74: 03c12783 lw a5,60(sp) +40000e78: 80000937 lui s2,0x80000 +40000e7c: 03812b03 lw s6,56(sp) +40000e80: fff94913 not s2,s2 +40000e84: 05012603 lw a2,80(sp) +40000e88: 05412683 lw a3,84(sp) +40000e8c: 0127f933 and s2,a5,s2 +40000e90: 000b0513 mv a0,s6 +40000e94: 00090593 mv a1,s2 +40000e98: 00612823 sw t1,16(sp) +40000e9c: 06c0a0ef jal ra,4000af08 <__unorddf2> +40000ea0: 01012303 lw t1,16(sp) +40000ea4: 5e051663 bnez a0,40001490 <_vfprintf_r+0x1300> +40000ea8: 05812603 lw a2,88(sp) +40000eac: 05c12683 lw a3,92(sp) +40000eb0: 000b0513 mv a0,s6 +40000eb4: 00090593 mv a1,s2 +40000eb8: 6fd080ef jal ra,40009db4 <__ledf2> +40000ebc: 01012303 lw t1,16(sp) +40000ec0: 5ca05863 blez a0,40001490 <_vfprintf_r+0x1300> +40000ec4: 03812703 lw a4,56(sp) +40000ec8: 03c12783 lw a5,60(sp) +40000ecc: 00000613 li a2,0 +40000ed0: 00070513 mv a0,a4 +40000ed4: 00078593 mv a1,a5 +40000ed8: 00000693 li a3,0 +40000edc: 00612823 sw t1,16(sp) +40000ee0: 6d5080ef jal ra,40009db4 <__ledf2> +40000ee4: 01012303 lw t1,16(sp) +40000ee8: 2e0546e3 bltz a0,400019d4 <_vfprintf_r+0x1844> +40000eec: 07f14603 lbu a2,127(sp) +40000ef0: 04700793 li a5,71 +40000ef4: 0137d2e3 ble s3,a5,400016f8 <_vfprintf_r+0x1568> +40000ef8: 4000b937 lui s2,0x4000b +40000efc: 7a490913 addi s2,s2,1956 # 4000b7a4 +40000f00: 00300b93 li s7,3 +40000f04: f7fdf793 andi a5,s11,-129 +40000f08: 00f12823 sw a5,16(sp) +40000f0c: 01712e23 sw s7,28(sp) +40000f10: 02012823 sw zero,48(sp) +40000f14: 00000c93 li s9,0 +40000f18: d8060c63 beqz a2,400004b0 <_vfprintf_r+0x320> +40000f1c: 8a9ff06f j 400007c4 <_vfprintf_r+0x634> +40000f20: 008ded93 ori s11,s11,8 +40000f24: c30ff06f j 40000354 <_vfprintf_r+0x1c4> +40000f28: 00044983 lbu s3,0(s0) +40000f2c: 00140413 addi s0,s0,1 +40000f30: 59198ae3 beq s3,a7,40001cc4 <_vfprintf_r+0x1b34> +40000f34: fd098e13 addi t3,s3,-48 +40000f38: 00000c93 li s9,0 +40000f3c: c3c6e063 bltu a3,t3,4000035c <_vfprintf_r+0x1cc> +40000f40: 00140413 addi s0,s0,1 +40000f44: 002c9793 slli a5,s9,0x2 +40000f48: fff44983 lbu s3,-1(s0) +40000f4c: 019787b3 add a5,a5,s9 +40000f50: 00179793 slli a5,a5,0x1 +40000f54: 01c78cb3 add s9,a5,t3 +40000f58: fd098e13 addi t3,s3,-48 +40000f5c: ffc6f2e3 bleu t3,a3,40000f40 <_vfprintf_r+0xdb0> +40000f60: bfcff06f j 4000035c <_vfprintf_r+0x1cc> +40000f64: 080ded93 ori s11,s11,128 +40000f68: becff06f j 40000354 <_vfprintf_r+0x1c4> +40000f6c: 02012683 lw a3,32(sp) +40000f70: 03000713 li a4,48 +40000f74: 08e10023 sb a4,128(sp) +40000f78: 07800713 li a4,120 +40000f7c: 08e100a3 sb a4,129(sp) +40000f80: 00468713 addi a4,a3,4 +40000f84: 02e12023 sw a4,32(sp) +40000f88: 4000b737 lui a4,0x4000b +40000f8c: 7c470713 addi a4,a4,1988 # 4000b7c4 +40000f90: 04e12223 sw a4,68(sp) +40000f94: 0006a783 lw a5,0(a3) +40000f98: 002ded93 ori s11,s11,2 +40000f9c: 00200713 li a4,2 +40000fa0: 07800993 li s3,120 +40000fa4: c08ff06f j 400003ac <_vfprintf_r+0x21c> +40000fa8: 00000493 li s1,0 +40000fac: fd098e13 addi t3,s3,-48 +40000fb0: 00140413 addi s0,s0,1 +40000fb4: 00249793 slli a5,s1,0x2 +40000fb8: fff44983 lbu s3,-1(s0) +40000fbc: 009787b3 add a5,a5,s1 +40000fc0: 00179793 slli a5,a5,0x1 +40000fc4: 00fe04b3 add s1,t3,a5 +40000fc8: fd098e13 addi t3,s3,-48 +40000fcc: ffc6f2e3 bleu t3,a3,40000fb0 <_vfprintf_r+0xe20> +40000fd0: b8cff06f j 4000035c <_vfprintf_r+0x1cc> +40000fd4: 001ded93 ori s11,s11,1 +40000fd8: b7cff06f j 40000354 <_vfprintf_r+0x1c4> +40000fdc: b6059c63 bnez a1,40000354 <_vfprintf_r+0x1c4> +40000fe0: 00050613 mv a2,a0 +40000fe4: 000e8593 mv a1,t4 +40000fe8: b6cff06f j 40000354 <_vfprintf_r+0x1c4> +40000fec: 040ded93 ori s11,s11,64 +40000ff0: b64ff06f j 40000354 <_vfprintf_r+0x1c4> +40000ff4: 520616e3 bnez a2,40001d20 <_vfprintf_r+0x1b90> +40000ff8: 4000b7b7 lui a5,0x4000b +40000ffc: 7c478793 addi a5,a5,1988 # 4000b7c4 +40001000: 04f12223 sw a5,68(sp) +40001004: 010df793 andi a5,s11,16 +40001008: 44079e63 bnez a5,40001464 <_vfprintf_r+0x12d4> +4000100c: 040df793 andi a5,s11,64 +40001010: 02012703 lw a4,32(sp) +40001014: 38078663 beqz a5,400013a0 <_vfprintf_r+0x1210> +40001018: 00075783 lhu a5,0(a4) +4000101c: 00470713 addi a4,a4,4 +40001020: 02e12023 sw a4,32(sp) +40001024: 001df693 andi a3,s11,1 +40001028: 00200713 li a4,2 +4000102c: b8068063 beqz a3,400003ac <_vfprintf_r+0x21c> +40001030: b6078e63 beqz a5,400003ac <_vfprintf_r+0x21c> +40001034: 03000693 li a3,48 +40001038: 08d10023 sb a3,128(sp) +4000103c: 093100a3 sb s3,129(sp) +40001040: 00ededb3 or s11,s11,a4 +40001044: b68ff06f j 400003ac <_vfprintf_r+0x21c> +40001048: 4c0618e3 bnez a2,40001d18 <_vfprintf_r+0x1b88> +4000104c: 4000b7b7 lui a5,0x4000b +40001050: 7b078793 addi a5,a5,1968 # 4000b7b0 +40001054: 04f12223 sw a5,68(sp) +40001058: fadff06f j 40001004 <_vfprintf_r+0xe74> +4000105c: 02012703 lw a4,32(sp) +40001060: 00100b93 li s7,1 +40001064: 06010fa3 sb zero,127(sp) +40001068: 00072783 lw a5,0(a4) +4000106c: 0af10423 sb a5,168(sp) +40001070: 00470793 addi a5,a4,4 +40001074: 02f12023 sw a5,32(sp) +40001078: 01b12823 sw s11,16(sp) +4000107c: 00000613 li a2,0 +40001080: 01712e23 sw s7,28(sp) +40001084: 00000c93 li s9,0 +40001088: 02012823 sw zero,48(sp) +4000108c: 0a810913 addi s2,sp,168 +40001090: c20ff06f j 400004b0 <_vfprintf_r+0x320> +40001094: d4060ee3 beqz a2,40000df0 <_vfprintf_r+0xc60> +40001098: 06b10fa3 sb a1,127(sp) +4000109c: d55ff06f j 40000df0 <_vfprintf_r+0xc60> +400010a0: 09c10613 addi a2,sp,156 +400010a4: 000a0593 mv a1,s4 +400010a8: 000a8513 mv a0,s5 +400010ac: 3f0050ef jal ra,4000649c <__sprint_r> +400010b0: fa051663 bnez a0,4000085c <_vfprintf_r+0x6cc> +400010b4: 0a412783 lw a5,164(sp) +400010b8: eccff06f j 40000784 <_vfprintf_r+0x5f4> +400010bc: 0006d783 lhu a5,0(a3) +400010c0: 00468693 addi a3,a3,4 +400010c4: 00000713 li a4,0 +400010c8: 02d12023 sw a3,32(sp) +400010cc: ae0ff06f j 400003ac <_vfprintf_r+0x21c> +400010d0: 440610e3 bnez a2,40001d10 <_vfprintf_r+0x1b80> +400010d4: f6098863 beqz s3,40000844 <_vfprintf_r+0x6b4> +400010d8: 00100b93 li s7,1 +400010dc: 0b310423 sb s3,168(sp) +400010e0: 06010fa3 sb zero,127(sp) +400010e4: f95ff06f j 40001078 <_vfprintf_r+0xee8> +400010e8: 00900713 li a4,9 +400010ec: 000c0913 mv s2,s8 +400010f0: 00a00693 li a3,10 +400010f4: 10f77863 bleu a5,a4,40001204 <_vfprintf_r+0x1074> +400010f8: 02d7f733 remu a4,a5,a3 +400010fc: fff90913 addi s2,s2,-1 +40001100: 02d7d7b3 divu a5,a5,a3 +40001104: 03070713 addi a4,a4,48 +40001108: 00e90023 sb a4,0(s2) +4000110c: fe0796e3 bnez a5,400010f8 <_vfprintf_r+0xf68> +40001110: b80ff06f j 40000490 <_vfprintf_r+0x300> +40001114: 09c10613 addi a2,sp,156 +40001118: 000a0593 mv a1,s4 +4000111c: 000a8513 mv a0,s5 +40001120: 37c050ef jal ra,4000649c <__sprint_r> +40001124: f2051c63 bnez a0,4000085c <_vfprintf_r+0x6cc> +40001128: 07f14603 lbu a2,127(sp) +4000112c: 0a412783 lw a5,164(sp) +40001130: 000c0313 mv t1,s8 +40001134: c48ff06f j 4000057c <_vfprintf_r+0x3ec> +40001138: 04812783 lw a5,72(sp) +4000113c: 04012683 lw a3,64(sp) +40001140: 0a012703 lw a4,160(sp) +40001144: 00f32023 sw a5,0(t1) +40001148: 0a412783 lw a5,164(sp) +4000114c: 00170713 addi a4,a4,1 +40001150: 00d32223 sw a3,4(t1) +40001154: 00f687b3 add a5,a3,a5 +40001158: 0af12223 sw a5,164(sp) +4000115c: 0ae12023 sw a4,160(sp) +40001160: 00700693 li a3,7 +40001164: 00830313 addi t1,t1,8 +40001168: 6ee6c463 blt a3,a4,40001850 <_vfprintf_r+0x16c0> +4000116c: 03412703 lw a4,52(sp) +40001170: fff70913 addi s2,a4,-1 +40001174: d7205863 blez s2,400006e4 <_vfprintf_r+0x554> +40001178: 4000b6b7 lui a3,0x4000b +4000117c: 01000993 li s3,16 +40001180: 0a012703 lw a4,160(sp) +40001184: 79068b13 addi s6,a3,1936 # 4000b790 +40001188: 0529dc63 ble s2,s3,400011e0 <_vfprintf_r+0x1050> +4000118c: 00700c93 li s9,7 +40001190: 00c0006f j 4000119c <_vfprintf_r+0x100c> +40001194: ff090913 addi s2,s2,-16 +40001198: 0529d463 ble s2,s3,400011e0 <_vfprintf_r+0x1050> +4000119c: 01078793 addi a5,a5,16 +400011a0: 00170713 addi a4,a4,1 +400011a4: 01632023 sw s6,0(t1) +400011a8: 01332223 sw s3,4(t1) +400011ac: 0af12223 sw a5,164(sp) +400011b0: 0ae12023 sw a4,160(sp) +400011b4: 00830313 addi t1,t1,8 +400011b8: fcecdee3 ble a4,s9,40001194 <_vfprintf_r+0x1004> +400011bc: 09c10613 addi a2,sp,156 +400011c0: 000a0593 mv a1,s4 +400011c4: 000a8513 mv a0,s5 +400011c8: 2d4050ef jal ra,4000649c <__sprint_r> +400011cc: e8051863 bnez a0,4000085c <_vfprintf_r+0x6cc> +400011d0: 0a412783 lw a5,164(sp) +400011d4: 0a012703 lw a4,160(sp) +400011d8: 000c0313 mv t1,s8 +400011dc: fb9ff06f j 40001194 <_vfprintf_r+0x1004> +400011e0: 01632023 sw s6,0(t1) +400011e4: 01232223 sw s2,4(t1) +400011e8: 012787b3 add a5,a5,s2 +400011ec: 00170713 addi a4,a4,1 +400011f0: 0af12223 sw a5,164(sp) +400011f4: 0ae12023 sw a4,160(sp) +400011f8: 00700693 li a3,7 +400011fc: cee6d263 ble a4,a3,400006e0 <_vfprintf_r+0x550> +40001200: e24ff06f j 40000824 <_vfprintf_r+0x694> +40001204: 01012d83 lw s11,16(sp) +40001208: 03078793 addi a5,a5,48 +4000120c: 0cf107a3 sb a5,207(sp) +40001210: 00100793 li a5,1 +40001214: 01b12823 sw s11,16(sp) +40001218: 00f12e23 sw a5,28(sp) +4000121c: 0cf10913 addi s2,sp,207 +40001220: a78ff06f j 40000498 <_vfprintf_r+0x308> +40001224: 013787b3 add a5,a5,s3 +40001228: 00170713 addi a4,a4,1 +4000122c: 01632023 sw s6,0(t1) +40001230: 01332223 sw s3,4(t1) +40001234: 0af12223 sw a5,164(sp) +40001238: 0ae12023 sw a4,160(sp) +4000123c: 00700693 li a3,7 +40001240: 00830313 addi t1,t1,8 +40001244: 02e6d063 ble a4,a3,40001264 <_vfprintf_r+0x10d4> +40001248: 09c10613 addi a2,sp,156 +4000124c: 000a0593 mv a1,s4 +40001250: 000a8513 mv a0,s5 +40001254: 248050ef jal ra,4000649c <__sprint_r> +40001258: e0051263 bnez a0,4000085c <_vfprintf_r+0x6cc> +4000125c: 0a412783 lw a5,164(sp) +40001260: 000c0313 mv t1,s8 +40001264: 08412703 lw a4,132(sp) +40001268: 03412683 lw a3,52(sp) +4000126c: 0cd74863 blt a4,a3,4000133c <_vfprintf_r+0x11ac> +40001270: 01012683 lw a3,16(sp) +40001274: 0016f693 andi a3,a3,1 +40001278: 0c069263 bnez a3,4000133c <_vfprintf_r+0x11ac> +4000127c: 03412683 lw a3,52(sp) +40001280: 03012603 lw a2,48(sp) +40001284: 40e68733 sub a4,a3,a4 +40001288: 40c689b3 sub s3,a3,a2 +4000128c: 01375463 ble s3,a4,40001294 <_vfprintf_r+0x1104> +40001290: 00070993 mv s3,a4 +40001294: 03305a63 blez s3,400012c8 <_vfprintf_r+0x1138> +40001298: 0a012603 lw a2,160(sp) +4000129c: 03012683 lw a3,48(sp) +400012a0: 013787b3 add a5,a5,s3 +400012a4: 00160613 addi a2,a2,1 +400012a8: 00d906b3 add a3,s2,a3 +400012ac: 00d32023 sw a3,0(t1) +400012b0: 01332223 sw s3,4(t1) +400012b4: 0af12223 sw a5,164(sp) +400012b8: 0ac12023 sw a2,160(sp) +400012bc: 00700693 li a3,7 +400012c0: 00830313 addi t1,t1,8 +400012c4: 46c6c063 blt a3,a2,40001724 <_vfprintf_r+0x1594> +400012c8: 7009c263 bltz s3,400019cc <_vfprintf_r+0x183c> +400012cc: 41370933 sub s2,a4,s3 +400012d0: c1205a63 blez s2,400006e4 <_vfprintf_r+0x554> +400012d4: 4000b6b7 lui a3,0x4000b +400012d8: 01000993 li s3,16 +400012dc: 0a012703 lw a4,160(sp) +400012e0: 79068b13 addi s6,a3,1936 # 4000b790 +400012e4: ef29dee3 ble s2,s3,400011e0 <_vfprintf_r+0x1050> +400012e8: 00700c93 li s9,7 +400012ec: 00c0006f j 400012f8 <_vfprintf_r+0x1168> +400012f0: ff090913 addi s2,s2,-16 +400012f4: ef29d6e3 ble s2,s3,400011e0 <_vfprintf_r+0x1050> +400012f8: 01078793 addi a5,a5,16 +400012fc: 00170713 addi a4,a4,1 +40001300: 01632023 sw s6,0(t1) +40001304: 01332223 sw s3,4(t1) +40001308: 0af12223 sw a5,164(sp) +4000130c: 0ae12023 sw a4,160(sp) +40001310: 00830313 addi t1,t1,8 +40001314: fcecdee3 ble a4,s9,400012f0 <_vfprintf_r+0x1160> +40001318: 09c10613 addi a2,sp,156 +4000131c: 000a0593 mv a1,s4 +40001320: 000a8513 mv a0,s5 +40001324: 178050ef jal ra,4000649c <__sprint_r> +40001328: d2051a63 bnez a0,4000085c <_vfprintf_r+0x6cc> +4000132c: 0a412783 lw a5,164(sp) +40001330: 0a012703 lw a4,160(sp) +40001334: 000c0313 mv t1,s8 +40001338: fb9ff06f j 400012f0 <_vfprintf_r+0x1160> +4000133c: 04812683 lw a3,72(sp) +40001340: 04012603 lw a2,64(sp) +40001344: 00830313 addi t1,t1,8 +40001348: fed32c23 sw a3,-8(t1) +4000134c: 0a012683 lw a3,160(sp) +40001350: 00c787b3 add a5,a5,a2 +40001354: fec32e23 sw a2,-4(t1) +40001358: 00168693 addi a3,a3,1 +4000135c: 0af12223 sw a5,164(sp) +40001360: 0ad12023 sw a3,160(sp) +40001364: 00700613 li a2,7 +40001368: f0d65ae3 ble a3,a2,4000127c <_vfprintf_r+0x10ec> +4000136c: 09c10613 addi a2,sp,156 +40001370: 000a0593 mv a1,s4 +40001374: 000a8513 mv a0,s5 +40001378: 124050ef jal ra,4000649c <__sprint_r> +4000137c: ce051063 bnez a0,4000085c <_vfprintf_r+0x6cc> +40001380: 08412703 lw a4,132(sp) +40001384: 0a412783 lw a5,164(sp) +40001388: 000c0313 mv t1,s8 +4000138c: ef1ff06f j 4000127c <_vfprintf_r+0x10ec> +40001390: 00072783 lw a5,0(a4) +40001394: 00470713 addi a4,a4,4 +40001398: 02e12023 sw a4,32(sp) +4000139c: a75ff06f j 40000e10 <_vfprintf_r+0xc80> +400013a0: 00072783 lw a5,0(a4) +400013a4: 00470713 addi a4,a4,4 +400013a8: 02e12023 sw a4,32(sp) +400013ac: c79ff06f j 40001024 <_vfprintf_r+0xe94> +400013b0: 02012703 lw a4,32(sp) +400013b4: 00072783 lw a5,0(a4) +400013b8: 00470713 addi a4,a4,4 +400013bc: 02e12023 sw a4,32(sp) +400013c0: 01812703 lw a4,24(sp) +400013c4: 00e7a023 sw a4,0(a5) +400013c8: ee5fe06f j 400002ac <_vfprintf_r+0x11c> +400013cc: 01012683 lw a3,16(sp) +400013d0: 00e6f6b3 and a3,a3,a4 +400013d4: e6069a63 bnez a3,40000a48 <_vfprintf_r+0x8b8> +400013d8: 0a012983 lw s3,160(sp) +400013dc: 00e32223 sw a4,4(t1) +400013e0: 01232023 sw s2,0(t1) +400013e4: 00198993 addi s3,s3,1 +400013e8: 0af12223 sw a5,164(sp) +400013ec: 0b312023 sw s3,160(sp) +400013f0: 00700713 li a4,7 +400013f4: 00830c93 addi s9,t1,8 +400013f8: c1375063 ble s3,a4,400007f8 <_vfprintf_r+0x668> +400013fc: 925ff06f j 40000d20 <_vfprintf_r+0xb90> +40001400: 09c10613 addi a2,sp,156 +40001404: 000a0593 mv a1,s4 +40001408: 000a8513 mv a0,s5 +4000140c: 090050ef jal ra,4000649c <__sprint_r> +40001410: c4051663 bnez a0,4000085c <_vfprintf_r+0x6cc> +40001414: 0a412783 lw a5,164(sp) +40001418: 0a012983 lw s3,160(sp) +4000141c: 000c0313 mv t1,s8 +40001420: e50ff06f j 40000a70 <_vfprintf_r+0x8e0> +40001424: 02012683 lw a3,32(sp) +40001428: f75fe06f j 4000039c <_vfprintf_r+0x20c> +4000142c: 09c10613 addi a2,sp,156 +40001430: 000a0593 mv a1,s4 +40001434: 000a8513 mv a0,s5 +40001438: 064050ef jal ra,4000649c <__sprint_r> +4000143c: c2051063 bnez a0,4000085c <_vfprintf_r+0x6cc> +40001440: 0a412783 lw a5,164(sp) +40001444: 0a012983 lw s3,160(sp) +40001448: 000c0c93 mv s9,s8 +4000144c: e50ff06f j 40000a9c <_vfprintf_r+0x90c> +40001450: 02012703 lw a4,32(sp) +40001454: 00072783 lw a5,0(a4) +40001458: 00470713 addi a4,a4,4 +4000145c: 02e12023 sw a4,32(sp) +40001460: 9b1ff06f j 40000e10 <_vfprintf_r+0xc80> +40001464: 02012703 lw a4,32(sp) +40001468: 00072783 lw a5,0(a4) +4000146c: 00470713 addi a4,a4,4 +40001470: 02e12023 sw a4,32(sp) +40001474: bb1ff06f j 40001024 <_vfprintf_r+0xe94> +40001478: 02d00713 li a4,45 +4000147c: 06e10fa3 sb a4,127(sp) +40001480: 40f007b3 neg a5,a5 +40001484: 02d00613 li a2,45 +40001488: 00100713 li a4,1 +4000148c: f29fe06f j 400003b4 <_vfprintf_r+0x224> +40001490: 03812803 lw a6,56(sp) +40001494: 03c12583 lw a1,60(sp) +40001498: 00612823 sw t1,16(sp) +4000149c: 00080613 mv a2,a6 +400014a0: 00080513 mv a0,a6 +400014a4: 00058693 mv a3,a1 +400014a8: 261090ef jal ra,4000af08 <__unorddf2> +400014ac: 01012303 lw t1,16(sp) +400014b0: 72051663 bnez a0,40001bdc <_vfprintf_r+0x1a4c> +400014b4: fff00793 li a5,-1 +400014b8: 52fc8663 beq s9,a5,400019e4 <_vfprintf_r+0x1854> +400014bc: fdf9f793 andi a5,s3,-33 +400014c0: 00078713 mv a4,a5 +400014c4: 00f12a23 sw a5,20(sp) +400014c8: 04700793 li a5,71 +400014cc: 32f70c63 beq a4,a5,40001804 <_vfprintf_r+0x1674> +400014d0: 100de793 ori a5,s11,256 +400014d4: 00f12823 sw a5,16(sp) +400014d8: 03c12783 lw a5,60(sp) +400014dc: 00000b13 li s6,0 +400014e0: 00078b93 mv s7,a5 +400014e4: 5407ca63 bltz a5,40001a38 <_vfprintf_r+0x18a8> +400014e8: 06600793 li a5,102 +400014ec: 50f98463 beq s3,a5,400019f4 <_vfprintf_r+0x1864> +400014f0: 04600793 li a5,70 +400014f4: 28f98263 beq s3,a5,40001778 <_vfprintf_r+0x15e8> +400014f8: 01412783 lw a5,20(sp) +400014fc: 03812703 lw a4,56(sp) +40001500: 000b8693 mv a3,s7 +40001504: fbb78f13 addi t5,a5,-69 +40001508: 001f3f13 seqz t5,t5 +4000150c: 01ec8f33 add t5,s9,t5 +40001510: 09410793 addi a5,sp,148 +40001514: 00070613 mv a2,a4 +40001518: 00f12023 sw a5,0(sp) +4000151c: 08810893 addi a7,sp,136 +40001520: 000f0793 mv a5,t5 +40001524: 08410813 addi a6,sp,132 +40001528: 00200713 li a4,2 +4000152c: 000a8513 mv a0,s5 +40001530: 02612423 sw t1,40(sp) +40001534: 01e12e23 sw t5,28(sp) +40001538: 409000ef jal ra,40002140 <_dtoa_r> +4000153c: 06700793 li a5,103 +40001540: 00050913 mv s2,a0 +40001544: 01c12f03 lw t5,28(sp) +40001548: 02812303 lw t1,40(sp) +4000154c: 56f99663 bne s3,a5,40001ab8 <_vfprintf_r+0x1928> +40001550: 001df793 andi a5,s11,1 +40001554: 01e50d33 add s10,a0,t5 +40001558: 66078263 beqz a5,40001bbc <_vfprintf_r+0x1a2c> +4000155c: 03812783 lw a5,56(sp) +40001560: 000b8593 mv a1,s7 +40001564: 00000613 li a2,0 +40001568: 00078513 mv a0,a5 +4000156c: 00000693 li a3,0 +40001570: 00612e23 sw t1,28(sp) +40001574: 6b0080ef jal ra,40009c24 <__eqdf2> +40001578: 000d0793 mv a5,s10 +4000157c: 01c12303 lw t1,28(sp) +40001580: 02050263 beqz a0,400015a4 <_vfprintf_r+0x1414> +40001584: 09412783 lw a5,148(sp) +40001588: 01a7fe63 bleu s10,a5,400015a4 <_vfprintf_r+0x1414> +4000158c: 03000693 li a3,48 +40001590: 00178713 addi a4,a5,1 +40001594: 08e12a23 sw a4,148(sp) +40001598: 00d78023 sb a3,0(a5) +4000159c: 09412783 lw a5,148(sp) +400015a0: ffa7e8e3 bltu a5,s10,40001590 <_vfprintf_r+0x1400> +400015a4: 412787b3 sub a5,a5,s2 +400015a8: 02f12a23 sw a5,52(sp) +400015ac: 01412783 lw a5,20(sp) +400015b0: 04700713 li a4,71 +400015b4: 2ee78063 beq a5,a4,40001894 <_vfprintf_r+0x1704> +400015b8: 06500793 li a5,101 +400015bc: 5337d263 ble s3,a5,40001ae0 <_vfprintf_r+0x1950> +400015c0: 06600793 li a5,102 +400015c4: 4cf98863 beq s3,a5,40001a94 <_vfprintf_r+0x1904> +400015c8: 08412783 lw a5,132(sp) +400015cc: 02f12823 sw a5,48(sp) +400015d0: 03412703 lw a4,52(sp) +400015d4: 03012783 lw a5,48(sp) +400015d8: 46e7c863 blt a5,a4,40001a48 <_vfprintf_r+0x18b8> +400015dc: 001dfd93 andi s11,s11,1 +400015e0: 480d9863 bnez s11,40001a70 <_vfprintf_r+0x18e0> +400015e4: 00078b93 mv s7,a5 +400015e8: 6e07ca63 bltz a5,40001cdc <_vfprintf_r+0x1b4c> +400015ec: 03012783 lw a5,48(sp) +400015f0: 06700993 li s3,103 +400015f4: 00f12e23 sw a5,28(sp) +400015f8: 9a0b1e63 bnez s6,400007b4 <_vfprintf_r+0x624> +400015fc: 07f14603 lbu a2,127(sp) +40001600: 00000c93 li s9,0 +40001604: 00061463 bnez a2,4000160c <_vfprintf_r+0x147c> +40001608: ea9fe06f j 400004b0 <_vfprintf_r+0x320> +4000160c: 9b8ff06f j 400007c4 <_vfprintf_r+0x634> +40001610: 02012783 lw a5,32(sp) +40001614: 00778793 addi a5,a5,7 +40001618: ff87f793 andi a5,a5,-8 +4000161c: 0007a703 lw a4,0(a5) +40001620: 00878793 addi a5,a5,8 +40001624: 02e12c23 sw a4,56(sp) +40001628: ffc7a703 lw a4,-4(a5) +4000162c: 02f12023 sw a5,32(sp) +40001630: 02e12e23 sw a4,60(sp) +40001634: 841ff06f j 40000e74 <_vfprintf_r+0xce4> +40001638: 09c10613 addi a2,sp,156 +4000163c: 000a0593 mv a1,s4 +40001640: 000a8513 mv a0,s5 +40001644: 659040ef jal ra,4000649c <__sprint_r> +40001648: a0051a63 bnez a0,4000085c <_vfprintf_r+0x6cc> +4000164c: 000c0313 mv t1,s8 +40001650: ac4ff06f j 40000914 <_vfprintf_r+0x784> +40001654: 0a012703 lw a4,160(sp) +40001658: 4000b637 lui a2,0x4000b +4000165c: 7e060613 addi a2,a2,2016 # 4000b7e0 +40001660: 00c32023 sw a2,0(t1) +40001664: 00178793 addi a5,a5,1 +40001668: 00100613 li a2,1 +4000166c: 00170713 addi a4,a4,1 +40001670: 00c32223 sw a2,4(t1) +40001674: 0af12223 sw a5,164(sp) +40001678: 0ae12023 sw a4,160(sp) +4000167c: 00700613 li a2,7 +40001680: 00830313 addi t1,t1,8 +40001684: 0ce64663 blt a2,a4,40001750 <_vfprintf_r+0x15c0> +40001688: 00069e63 bnez a3,400016a4 <_vfprintf_r+0x1514> +4000168c: 03412703 lw a4,52(sp) +40001690: 00071a63 bnez a4,400016a4 <_vfprintf_r+0x1514> +40001694: 01012703 lw a4,16(sp) +40001698: 00177713 andi a4,a4,1 +4000169c: 00071463 bnez a4,400016a4 <_vfprintf_r+0x1514> +400016a0: 844ff06f j 400006e4 <_vfprintf_r+0x554> +400016a4: 04812703 lw a4,72(sp) +400016a8: 04012603 lw a2,64(sp) +400016ac: 00830313 addi t1,t1,8 +400016b0: fee32c23 sw a4,-8(t1) +400016b4: 0a012703 lw a4,160(sp) +400016b8: 00f607b3 add a5,a2,a5 +400016bc: fec32e23 sw a2,-4(t1) +400016c0: 00170713 addi a4,a4,1 +400016c4: 0af12223 sw a5,164(sp) +400016c8: 0ae12023 sw a4,160(sp) +400016cc: 00700613 li a2,7 +400016d0: 10e64463 blt a2,a4,400017d8 <_vfprintf_r+0x1648> +400016d4: 4206c263 bltz a3,40001af8 <_vfprintf_r+0x1968> +400016d8: 03412683 lw a3,52(sp) +400016dc: 00170713 addi a4,a4,1 +400016e0: 01232023 sw s2,0(t1) +400016e4: 00f687b3 add a5,a3,a5 +400016e8: fe5fe06f j 400006cc <_vfprintf_r+0x53c> +400016ec: fff00793 li a5,-1 +400016f0: 00f12c23 sw a5,24(sp) +400016f4: 974ff06f j 40000868 <_vfprintf_r+0x6d8> +400016f8: 4000b937 lui s2,0x4000b +400016fc: 7a090913 addi s2,s2,1952 # 4000b7a0 +40001700: 801ff06f j 40000f00 <_vfprintf_r+0xd70> +40001704: 09c10613 addi a2,sp,156 +40001708: 000a0593 mv a1,s4 +4000170c: 000a8513 mv a0,s5 +40001710: 58d040ef jal ra,4000649c <__sprint_r> +40001714: 94051463 bnez a0,4000085c <_vfprintf_r+0x6cc> +40001718: 0a412783 lw a5,164(sp) +4000171c: 000c0313 mv t1,s8 +40001720: d54ff06f j 40000c74 <_vfprintf_r+0xae4> +40001724: 09c10613 addi a2,sp,156 +40001728: 000a0593 mv a1,s4 +4000172c: 000a8513 mv a0,s5 +40001730: 56d040ef jal ra,4000649c <__sprint_r> +40001734: 92051463 bnez a0,4000085c <_vfprintf_r+0x6cc> +40001738: 08412703 lw a4,132(sp) +4000173c: 03412683 lw a3,52(sp) +40001740: 0a412783 lw a5,164(sp) +40001744: 000c0313 mv t1,s8 +40001748: 40e68733 sub a4,a3,a4 +4000174c: b7dff06f j 400012c8 <_vfprintf_r+0x1138> +40001750: 09c10613 addi a2,sp,156 +40001754: 000a0593 mv a1,s4 +40001758: 000a8513 mv a0,s5 +4000175c: 541040ef jal ra,4000649c <__sprint_r> +40001760: 8e051e63 bnez a0,4000085c <_vfprintf_r+0x6cc> +40001764: 08412683 lw a3,132(sp) +40001768: 0a412783 lw a5,164(sp) +4000176c: 000c0313 mv t1,s8 +40001770: f2069ae3 bnez a3,400016a4 <_vfprintf_r+0x1514> +40001774: f19ff06f j 4000168c <_vfprintf_r+0x14fc> +40001778: 03812703 lw a4,56(sp) +4000177c: 09410793 addi a5,sp,148 +40001780: 00f12023 sw a5,0(sp) +40001784: 00070613 mv a2,a4 +40001788: 000b8693 mv a3,s7 +4000178c: 08810893 addi a7,sp,136 +40001790: 08410813 addi a6,sp,132 +40001794: 000c8793 mv a5,s9 +40001798: 00300713 li a4,3 +4000179c: 000a8513 mv a0,s5 +400017a0: 00612e23 sw t1,28(sp) +400017a4: 19d000ef jal ra,40002140 <_dtoa_r> +400017a8: 01c12303 lw t1,28(sp) +400017ac: 00050913 mv s2,a0 +400017b0: 000c8f13 mv t5,s9 +400017b4: 04600793 li a5,70 +400017b8: 01e90d33 add s10,s2,t5 +400017bc: daf990e3 bne s3,a5,4000155c <_vfprintf_r+0x13cc> +400017c0: 00094703 lbu a4,0(s2) +400017c4: 03000793 li a5,48 +400017c8: 04f70463 beq a4,a5,40001810 <_vfprintf_r+0x1680> +400017cc: 08412f03 lw t5,132(sp) +400017d0: 01ed0d33 add s10,s10,t5 +400017d4: d89ff06f j 4000155c <_vfprintf_r+0x13cc> +400017d8: 09c10613 addi a2,sp,156 +400017dc: 000a0593 mv a1,s4 +400017e0: 000a8513 mv a0,s5 +400017e4: 4b9040ef jal ra,4000649c <__sprint_r> +400017e8: 00050463 beqz a0,400017f0 <_vfprintf_r+0x1660> +400017ec: 870ff06f j 4000085c <_vfprintf_r+0x6cc> +400017f0: 08412683 lw a3,132(sp) +400017f4: 0a412783 lw a5,164(sp) +400017f8: 0a012703 lw a4,160(sp) +400017fc: 000c0313 mv t1,s8 +40001800: ed5ff06f j 400016d4 <_vfprintf_r+0x1544> +40001804: cc0c96e3 bnez s9,400014d0 <_vfprintf_r+0x1340> +40001808: 00100c93 li s9,1 +4000180c: cc5ff06f j 400014d0 <_vfprintf_r+0x1340> +40001810: 03812703 lw a4,56(sp) +40001814: 000b8593 mv a1,s7 +40001818: 00000613 li a2,0 +4000181c: 00070513 mv a0,a4 +40001820: 00000693 li a3,0 +40001824: 02612423 sw t1,40(sp) +40001828: 01e12e23 sw t5,28(sp) +4000182c: 3f8080ef jal ra,40009c24 <__eqdf2> +40001830: 02812303 lw t1,40(sp) +40001834: f8050ce3 beqz a0,400017cc <_vfprintf_r+0x163c> +40001838: 01c12f03 lw t5,28(sp) +4000183c: 00100793 li a5,1 +40001840: 41e78f33 sub t5,a5,t5 +40001844: 09e12223 sw t5,132(sp) +40001848: 01ed0d33 add s10,s10,t5 +4000184c: d11ff06f j 4000155c <_vfprintf_r+0x13cc> +40001850: 09c10613 addi a2,sp,156 +40001854: 000a0593 mv a1,s4 +40001858: 000a8513 mv a0,s5 +4000185c: 441040ef jal ra,4000649c <__sprint_r> +40001860: 00050463 beqz a0,40001868 <_vfprintf_r+0x16d8> +40001864: ff9fe06f j 4000085c <_vfprintf_r+0x6cc> +40001868: 0a412783 lw a5,164(sp) +4000186c: 000c0313 mv t1,s8 +40001870: 8fdff06f j 4000116c <_vfprintf_r+0xfdc> +40001874: 00090513 mv a0,s2 +40001878: 29d040ef jal ra,40006314 +4000187c: 00a12e23 sw a0,28(sp) +40001880: 00050b93 mv s7,a0 +40001884: 01012303 lw t1,16(sp) +40001888: d4055263 bgez a0,40000dcc <_vfprintf_r+0xc3c> +4000188c: 00000b93 li s7,0 +40001890: d3cff06f j 40000dcc <_vfprintf_r+0xc3c> +40001894: 08412783 lw a5,132(sp) +40001898: 00078713 mv a4,a5 +4000189c: 02f12823 sw a5,48(sp) +400018a0: ffd00793 li a5,-3 +400018a4: 00f74463 blt a4,a5,400018ac <_vfprintf_r+0x171c> +400018a8: d2ecd4e3 ble a4,s9,400015d0 <_vfprintf_r+0x1440> +400018ac: ffe98993 addi s3,s3,-2 +400018b0: 03012783 lw a5,48(sp) +400018b4: 09310623 sb s3,140(sp) +400018b8: fff78793 addi a5,a5,-1 +400018bc: 08f12223 sw a5,132(sp) +400018c0: 3a07c663 bltz a5,40001c6c <_vfprintf_r+0x1adc> +400018c4: 02b00713 li a4,43 +400018c8: 08e106a3 sb a4,141(sp) +400018cc: 00900513 li a0,9 +400018d0: 2ef55a63 ble a5,a0,40001bc4 <_vfprintf_r+0x1a34> +400018d4: 09b10813 addi a6,sp,155 +400018d8: 00080713 mv a4,a6 +400018dc: 00a00593 li a1,10 +400018e0: 0080006f j 400018e8 <_vfprintf_r+0x1758> +400018e4: 00060713 mv a4,a2 +400018e8: 02b7e6b3 rem a3,a5,a1 +400018ec: fff70613 addi a2,a4,-1 +400018f0: 02b7c7b3 div a5,a5,a1 +400018f4: 03068693 addi a3,a3,48 +400018f8: fed70fa3 sb a3,-1(a4) +400018fc: fef544e3 blt a0,a5,400018e4 <_vfprintf_r+0x1754> +40001900: 03078793 addi a5,a5,48 +40001904: 0ff7f793 andi a5,a5,255 +40001908: ffe70713 addi a4,a4,-2 +4000190c: fef60fa3 sb a5,-1(a2) +40001910: 3d077a63 bleu a6,a4,40001ce4 <_vfprintf_r+0x1b54> +40001914: 08e10693 addi a3,sp,142 +40001918: 0080006f j 40001920 <_vfprintf_r+0x1790> +4000191c: 00074783 lbu a5,0(a4) +40001920: 00168693 addi a3,a3,1 +40001924: 00170713 addi a4,a4,1 +40001928: fef68fa3 sb a5,-1(a3) +4000192c: ff0718e3 bne a4,a6,4000191c <_vfprintf_r+0x178c> +40001930: 09c10793 addi a5,sp,156 +40001934: 40c787b3 sub a5,a5,a2 +40001938: 08e10713 addi a4,sp,142 +4000193c: 00f707b3 add a5,a4,a5 +40001940: 08c10713 addi a4,sp,140 +40001944: 03412683 lw a3,52(sp) +40001948: 40e787b3 sub a5,a5,a4 +4000194c: 00078713 mv a4,a5 +40001950: 00e68733 add a4,a3,a4 +40001954: 04f12623 sw a5,76(sp) +40001958: 00e12e23 sw a4,28(sp) +4000195c: 00100793 li a5,1 +40001960: 2ed7d863 ble a3,a5,40001c50 <_vfprintf_r+0x1ac0> +40001964: 01c12783 lw a5,28(sp) +40001968: 04012703 lw a4,64(sp) +4000196c: 00e787b3 add a5,a5,a4 +40001970: 00f12e23 sw a5,28(sp) +40001974: 00078b93 mv s7,a5 +40001978: 0007c663 bltz a5,40001984 <_vfprintf_r+0x17f4> +4000197c: 02012823 sw zero,48(sp) +40001980: c79ff06f j 400015f8 <_vfprintf_r+0x1468> +40001984: 00000b93 li s7,0 +40001988: 02012823 sw zero,48(sp) +4000198c: c6dff06f j 400015f8 <_vfprintf_r+0x1468> +40001990: 00000993 li s3,0 +40001994: ae4ff06f j 40000c78 <_vfprintf_r+0xae8> +40001998: 00600793 li a5,6 +4000199c: 000c8b93 mv s7,s9 +400019a0: 0197f463 bleu s9,a5,400019a8 <_vfprintf_r+0x1818> +400019a4: 00078b93 mv s7,a5 +400019a8: 4000b937 lui s2,0x4000b +400019ac: 03612023 sw s6,32(sp) +400019b0: 01712e23 sw s7,28(sp) +400019b4: 01b12823 sw s11,16(sp) +400019b8: 00000613 li a2,0 +400019bc: 00000c93 li s9,0 +400019c0: 02012823 sw zero,48(sp) +400019c4: 7d890913 addi s2,s2,2008 # 4000b7d8 +400019c8: ae9fe06f j 400004b0 <_vfprintf_r+0x320> +400019cc: 00000993 li s3,0 +400019d0: 8fdff06f j 400012cc <_vfprintf_r+0x113c> +400019d4: 02d00793 li a5,45 +400019d8: 06f10fa3 sb a5,127(sp) +400019dc: 02d00613 li a2,45 +400019e0: d10ff06f j 40000ef0 <_vfprintf_r+0xd60> +400019e4: fdf9f793 andi a5,s3,-33 +400019e8: 00f12a23 sw a5,20(sp) +400019ec: 00600c93 li s9,6 +400019f0: ae1ff06f j 400014d0 <_vfprintf_r+0x1340> +400019f4: 03812703 lw a4,56(sp) +400019f8: 09410793 addi a5,sp,148 +400019fc: 00f12023 sw a5,0(sp) +40001a00: 00070613 mv a2,a4 +40001a04: 000b8693 mv a3,s7 +40001a08: 08810893 addi a7,sp,136 +40001a0c: 08410813 addi a6,sp,132 +40001a10: 000c8793 mv a5,s9 +40001a14: 00300713 li a4,3 +40001a18: 000a8513 mv a0,s5 +40001a1c: 00612e23 sw t1,28(sp) +40001a20: 720000ef jal ra,40002140 <_dtoa_r> +40001a24: 00050913 mv s2,a0 +40001a28: 01950d33 add s10,a0,s9 +40001a2c: 000c8f13 mv t5,s9 +40001a30: 01c12303 lw t1,28(sp) +40001a34: d8dff06f j 400017c0 <_vfprintf_r+0x1630> +40001a38: 80000eb7 lui t4,0x80000 +40001a3c: 01d7cbb3 xor s7,a5,t4 +40001a40: 02d00b13 li s6,45 +40001a44: aa5ff06f j 400014e8 <_vfprintf_r+0x1358> +40001a48: 04012703 lw a4,64(sp) +40001a4c: 03412783 lw a5,52(sp) +40001a50: 00e787b3 add a5,a5,a4 +40001a54: 03012703 lw a4,48(sp) +40001a58: 00f12e23 sw a5,28(sp) +40001a5c: 1ce05e63 blez a4,40001c38 <_vfprintf_r+0x1aa8> +40001a60: 00078b93 mv s7,a5 +40001a64: 0207c263 bltz a5,40001a88 <_vfprintf_r+0x18f8> +40001a68: 06700993 li s3,103 +40001a6c: b8dff06f j 400015f8 <_vfprintf_r+0x1468> +40001a70: 03012783 lw a5,48(sp) +40001a74: 04012703 lw a4,64(sp) +40001a78: 00e787b3 add a5,a5,a4 +40001a7c: 00f12e23 sw a5,28(sp) +40001a80: 00078b93 mv s7,a5 +40001a84: fe07d2e3 bgez a5,40001a68 <_vfprintf_r+0x18d8> +40001a88: 00000b93 li s7,0 +40001a8c: 06700993 li s3,103 +40001a90: b69ff06f j 400015f8 <_vfprintf_r+0x1468> +40001a94: 08412783 lw a5,132(sp) +40001a98: 02f12823 sw a5,48(sp) +40001a9c: 1ef05a63 blez a5,40001c90 <_vfprintf_r+0x1b00> +40001aa0: 160c9a63 bnez s9,40001c14 <_vfprintf_r+0x1a84> +40001aa4: 001dfd93 andi s11,s11,1 +40001aa8: 160d9663 bnez s11,40001c14 <_vfprintf_r+0x1a84> +40001aac: 00078b93 mv s7,a5 +40001ab0: 00f12e23 sw a5,28(sp) +40001ab4: b45ff06f j 400015f8 <_vfprintf_r+0x1468> +40001ab8: 04700793 li a5,71 +40001abc: 01e50d33 add s10,a0,t5 +40001ac0: a8f99ee3 bne s3,a5,4000155c <_vfprintf_r+0x13cc> +40001ac4: 001df793 andi a5,s11,1 +40001ac8: ce0796e3 bnez a5,400017b4 <_vfprintf_r+0x1624> +40001acc: 09412783 lw a5,148(sp) +40001ad0: 412787b3 sub a5,a5,s2 +40001ad4: 02f12a23 sw a5,52(sp) +40001ad8: 01412783 lw a5,20(sp) +40001adc: db378ce3 beq a5,s3,40001894 <_vfprintf_r+0x1704> +40001ae0: 08412783 lw a5,132(sp) +40001ae4: 02f12823 sw a5,48(sp) +40001ae8: dc9ff06f j 400018b0 <_vfprintf_r+0x1720> +40001aec: 000c8b93 mv s7,s9 +40001af0: 01912e23 sw s9,28(sp) +40001af4: ad8ff06f j 40000dcc <_vfprintf_r+0xc3c> +40001af8: ff000613 li a2,-16 +40001afc: 40d009b3 neg s3,a3 +40001b00: 06c6d463 ble a2,a3,40001b68 <_vfprintf_r+0x19d8> +40001b04: 4000b6b7 lui a3,0x4000b +40001b08: 79068b13 addi s6,a3,1936 # 4000b790 +40001b0c: 01000c93 li s9,16 +40001b10: 00700d13 li s10,7 +40001b14: 00c0006f j 40001b20 <_vfprintf_r+0x1990> +40001b18: ff098993 addi s3,s3,-16 +40001b1c: 053cda63 ble s3,s9,40001b70 <_vfprintf_r+0x19e0> +40001b20: 01078793 addi a5,a5,16 +40001b24: 00170713 addi a4,a4,1 +40001b28: 01632023 sw s6,0(t1) +40001b2c: 01932223 sw s9,4(t1) +40001b30: 0af12223 sw a5,164(sp) +40001b34: 0ae12023 sw a4,160(sp) +40001b38: 00830313 addi t1,t1,8 +40001b3c: fced5ee3 ble a4,s10,40001b18 <_vfprintf_r+0x1988> +40001b40: 09c10613 addi a2,sp,156 +40001b44: 000a0593 mv a1,s4 +40001b48: 000a8513 mv a0,s5 +40001b4c: 151040ef jal ra,4000649c <__sprint_r> +40001b50: 00050463 beqz a0,40001b58 <_vfprintf_r+0x19c8> +40001b54: d09fe06f j 4000085c <_vfprintf_r+0x6cc> +40001b58: 0a412783 lw a5,164(sp) +40001b5c: 0a012703 lw a4,160(sp) +40001b60: 000c0313 mv t1,s8 +40001b64: fb5ff06f j 40001b18 <_vfprintf_r+0x1988> +40001b68: 4000b6b7 lui a3,0x4000b +40001b6c: 79068b13 addi s6,a3,1936 # 4000b790 +40001b70: 013787b3 add a5,a5,s3 +40001b74: 00170713 addi a4,a4,1 +40001b78: 01632023 sw s6,0(t1) +40001b7c: 01332223 sw s3,4(t1) +40001b80: 0af12223 sw a5,164(sp) +40001b84: 0ae12023 sw a4,160(sp) +40001b88: 00700693 li a3,7 +40001b8c: 00830313 addi t1,t1,8 +40001b90: b4e6d4e3 ble a4,a3,400016d8 <_vfprintf_r+0x1548> +40001b94: 09c10613 addi a2,sp,156 +40001b98: 000a0593 mv a1,s4 +40001b9c: 000a8513 mv a0,s5 +40001ba0: 0fd040ef jal ra,4000649c <__sprint_r> +40001ba4: 00050463 beqz a0,40001bac <_vfprintf_r+0x1a1c> +40001ba8: cb5fe06f j 4000085c <_vfprintf_r+0x6cc> +40001bac: 0a412783 lw a5,164(sp) +40001bb0: 0a012703 lw a4,160(sp) +40001bb4: 000c0313 mv t1,s8 +40001bb8: b21ff06f j 400016d8 <_vfprintf_r+0x1548> +40001bbc: 09412783 lw a5,148(sp) +40001bc0: 9e5ff06f j 400015a4 <_vfprintf_r+0x1414> +40001bc4: 03078793 addi a5,a5,48 +40001bc8: 03000713 li a4,48 +40001bcc: 08f107a3 sb a5,143(sp) +40001bd0: 08e10723 sb a4,142(sp) +40001bd4: 09010793 addi a5,sp,144 +40001bd8: d69ff06f j 40001940 <_vfprintf_r+0x17b0> +40001bdc: 04700793 li a5,71 +40001be0: 0b37c263 blt a5,s3,40001c84 <_vfprintf_r+0x1af4> +40001be4: 4000b937 lui s2,0x4000b +40001be8: 7a890913 addi s2,s2,1960 # 4000b7a8 +40001bec: 07f14603 lbu a2,127(sp) +40001bf0: 00300b93 li s7,3 +40001bf4: f7fdf793 andi a5,s11,-129 +40001bf8: 00f12823 sw a5,16(sp) +40001bfc: 01712e23 sw s7,28(sp) +40001c00: 02012823 sw zero,48(sp) +40001c04: 00000c93 li s9,0 +40001c08: 00061463 bnez a2,40001c10 <_vfprintf_r+0x1a80> +40001c0c: 8a5fe06f j 400004b0 <_vfprintf_r+0x320> +40001c10: bb5fe06f j 400007c4 <_vfprintf_r+0x634> +40001c14: 03012783 lw a5,48(sp) +40001c18: 04012703 lw a4,64(sp) +40001c1c: 00e787b3 add a5,a5,a4 +40001c20: 019787b3 add a5,a5,s9 +40001c24: 00f12e23 sw a5,28(sp) +40001c28: 00078b93 mv s7,a5 +40001c2c: 9c07d6e3 bgez a5,400015f8 <_vfprintf_r+0x1468> +40001c30: 00000b93 li s7,0 +40001c34: 9c5ff06f j 400015f8 <_vfprintf_r+0x1468> +40001c38: 01c12783 lw a5,28(sp) +40001c3c: 03012703 lw a4,48(sp) +40001c40: 40e78cb3 sub s9,a5,a4 +40001c44: 001c8793 addi a5,s9,1 +40001c48: 00f12e23 sw a5,28(sp) +40001c4c: e15ff06f j 40001a60 <_vfprintf_r+0x18d0> +40001c50: 00fdf7b3 and a5,s11,a5 +40001c54: 02f12823 sw a5,48(sp) +40001c58: d00796e3 bnez a5,40001964 <_vfprintf_r+0x17d4> +40001c5c: 00070b93 mv s7,a4 +40001c60: 98075ce3 bgez a4,400015f8 <_vfprintf_r+0x1468> +40001c64: 00000b93 li s7,0 +40001c68: 991ff06f j 400015f8 <_vfprintf_r+0x1468> +40001c6c: 03012703 lw a4,48(sp) +40001c70: 00100793 li a5,1 +40001c74: 40e787b3 sub a5,a5,a4 +40001c78: 02d00713 li a4,45 +40001c7c: 08e106a3 sb a4,141(sp) +40001c80: c4dff06f j 400018cc <_vfprintf_r+0x173c> +40001c84: 4000b937 lui s2,0x4000b +40001c88: 7ac90913 addi s2,s2,1964 # 4000b7ac +40001c8c: f61ff06f j 40001bec <_vfprintf_r+0x1a5c> +40001c90: 000c9a63 bnez s9,40001ca4 <_vfprintf_r+0x1b14> +40001c94: 00100b93 li s7,1 +40001c98: 017dfdb3 and s11,s11,s7 +40001c9c: 01712e23 sw s7,28(sp) +40001ca0: 940d8ce3 beqz s11,400015f8 <_vfprintf_r+0x1468> +40001ca4: 04012783 lw a5,64(sp) +40001ca8: 00178793 addi a5,a5,1 +40001cac: 019787b3 add a5,a5,s9 +40001cb0: 00f12e23 sw a5,28(sp) +40001cb4: 00078b93 mv s7,a5 +40001cb8: 9407d0e3 bgez a5,400015f8 <_vfprintf_r+0x1468> +40001cbc: 00000b93 li s7,0 +40001cc0: 939ff06f j 400015f8 <_vfprintf_r+0x1468> +40001cc4: 02012783 lw a5,32(sp) +40001cc8: 0007ac83 lw s9,0(a5) +40001ccc: 00478793 addi a5,a5,4 +40001cd0: 000cce63 bltz s9,40001cec <_vfprintf_r+0x1b5c> +40001cd4: 02f12023 sw a5,32(sp) +40001cd8: e7cfe06f j 40000354 <_vfprintf_r+0x1c4> +40001cdc: 00000b93 li s7,0 +40001ce0: 90dff06f j 400015ec <_vfprintf_r+0x145c> +40001ce4: 08e10793 addi a5,sp,142 +40001ce8: c59ff06f j 40001940 <_vfprintf_r+0x17b0> +40001cec: 000f0c93 mv s9,t5 +40001cf0: 02f12023 sw a5,32(sp) +40001cf4: e60fe06f j 40000354 <_vfprintf_r+0x1c4> +40001cf8: 06b10fa3 sb a1,127(sp) +40001cfc: 85cff06f j 40000d58 <_vfprintf_r+0xbc8> +40001d00: 06b10fa3 sb a1,127(sp) +40001d04: 920ff06f j 40000e24 <_vfprintf_r+0xc94> +40001d08: 06b10fa3 sb a1,127(sp) +40001d0c: 8e0ff06f j 40000dec <_vfprintf_r+0xc5c> +40001d10: 06b10fa3 sb a1,127(sp) +40001d14: bc0ff06f j 400010d4 <_vfprintf_r+0xf44> +40001d18: 06b10fa3 sb a1,127(sp) +40001d1c: b30ff06f j 4000104c <_vfprintf_r+0xebc> +40001d20: 06b10fa3 sb a1,127(sp) +40001d24: ad4ff06f j 40000ff8 <_vfprintf_r+0xe68> + +40001d28 : +40001d28: 4000c7b7 lui a5,0x4000c +40001d2c: 00060693 mv a3,a2 +40001d30: 00058613 mv a2,a1 +40001d34: 00050593 mv a1,a0 +40001d38: 62c7a503 lw a0,1580(a5) # 4000c62c <_impure_ptr> +40001d3c: c54fe06f j 40000190 <_vfprintf_r> + +40001d40 <__sbprintf>: +40001d40: 00c5d783 lhu a5,12(a1) +40001d44: 0645ae03 lw t3,100(a1) +40001d48: 00e5d303 lhu t1,14(a1) +40001d4c: 01c5a883 lw a7,28(a1) +40001d50: 0245a803 lw a6,36(a1) +40001d54: b8010113 addi sp,sp,-1152 +40001d58: ffd7f793 andi a5,a5,-3 +40001d5c: 40000713 li a4,1024 +40001d60: 46812c23 sw s0,1144(sp) +40001d64: 00f11a23 sh a5,20(sp) +40001d68: 00058413 mv s0,a1 +40001d6c: 07010793 addi a5,sp,112 +40001d70: 00810593 addi a1,sp,8 +40001d74: 46912a23 sw s1,1140(sp) +40001d78: 47212823 sw s2,1136(sp) +40001d7c: 46112e23 sw ra,1148(sp) +40001d80: 00050913 mv s2,a0 +40001d84: 07c12623 sw t3,108(sp) +40001d88: 00611b23 sh t1,22(sp) +40001d8c: 03112223 sw a7,36(sp) +40001d90: 03012623 sw a6,44(sp) +40001d94: 00f12423 sw a5,8(sp) +40001d98: 00f12c23 sw a5,24(sp) +40001d9c: 00e12823 sw a4,16(sp) +40001da0: 00e12e23 sw a4,28(sp) +40001da4: 02012023 sw zero,32(sp) +40001da8: be8fe0ef jal ra,40000190 <_vfprintf_r> +40001dac: 00050493 mv s1,a0 +40001db0: 00054a63 bltz a0,40001dc4 <__sbprintf+0x84> +40001db4: 00810593 addi a1,sp,8 +40001db8: 00090513 mv a0,s2 +40001dbc: 381010ef jal ra,4000393c <_fflush_r> +40001dc0: 02051c63 bnez a0,40001df8 <__sbprintf+0xb8> +40001dc4: 01415783 lhu a5,20(sp) +40001dc8: 0407f793 andi a5,a5,64 +40001dcc: 00078863 beqz a5,40001ddc <__sbprintf+0x9c> +40001dd0: 00c45783 lhu a5,12(s0) +40001dd4: 0407e793 ori a5,a5,64 +40001dd8: 00f41623 sh a5,12(s0) +40001ddc: 47c12083 lw ra,1148(sp) +40001de0: 00048513 mv a0,s1 +40001de4: 47812403 lw s0,1144(sp) +40001de8: 47412483 lw s1,1140(sp) +40001dec: 47012903 lw s2,1136(sp) +40001df0: 48010113 addi sp,sp,1152 +40001df4: 00008067 ret +40001df8: fff00493 li s1,-1 +40001dfc: fc9ff06f j 40001dc4 <__sbprintf+0x84> + +40001e00 <__swsetup_r>: +40001e00: 4000c7b7 lui a5,0x4000c +40001e04: 62c7a783 lw a5,1580(a5) # 4000c62c <_impure_ptr> +40001e08: ff010113 addi sp,sp,-16 +40001e0c: 00812423 sw s0,8(sp) +40001e10: 00912223 sw s1,4(sp) +40001e14: 00112623 sw ra,12(sp) +40001e18: 00050493 mv s1,a0 +40001e1c: 00058413 mv s0,a1 +40001e20: 00078663 beqz a5,40001e2c <__swsetup_r+0x2c> +40001e24: 0387a703 lw a4,56(a5) +40001e28: 0c070c63 beqz a4,40001f00 <__swsetup_r+0x100> +40001e2c: 00c41703 lh a4,12(s0) +40001e30: 01071793 slli a5,a4,0x10 +40001e34: 0107d793 srli a5,a5,0x10 +40001e38: 0087f693 andi a3,a5,8 +40001e3c: 04068063 beqz a3,40001e7c <__swsetup_r+0x7c> +40001e40: 01042683 lw a3,16(s0) +40001e44: 06068063 beqz a3,40001ea4 <__swsetup_r+0xa4> +40001e48: 0017f713 andi a4,a5,1 +40001e4c: 06070e63 beqz a4,40001ec8 <__swsetup_r+0xc8> +40001e50: 01442783 lw a5,20(s0) +40001e54: 00042423 sw zero,8(s0) +40001e58: 00000513 li a0,0 +40001e5c: 40f007b3 neg a5,a5 +40001e60: 00f42c23 sw a5,24(s0) +40001e64: 08068063 beqz a3,40001ee4 <__swsetup_r+0xe4> +40001e68: 00c12083 lw ra,12(sp) +40001e6c: 00812403 lw s0,8(sp) +40001e70: 00412483 lw s1,4(sp) +40001e74: 01010113 addi sp,sp,16 +40001e78: 00008067 ret +40001e7c: 0107f693 andi a3,a5,16 +40001e80: 0c068063 beqz a3,40001f40 <__swsetup_r+0x140> +40001e84: 0047f793 andi a5,a5,4 +40001e88: 08079263 bnez a5,40001f0c <__swsetup_r+0x10c> +40001e8c: 01042683 lw a3,16(s0) +40001e90: 00876793 ori a5,a4,8 +40001e94: 00f41623 sh a5,12(s0) +40001e98: 01079793 slli a5,a5,0x10 +40001e9c: 0107d793 srli a5,a5,0x10 +40001ea0: fa0694e3 bnez a3,40001e48 <__swsetup_r+0x48> +40001ea4: 2807f713 andi a4,a5,640 +40001ea8: 20000613 li a2,512 +40001eac: f8c70ee3 beq a4,a2,40001e48 <__swsetup_r+0x48> +40001eb0: 00040593 mv a1,s0 +40001eb4: 00048513 mv a0,s1 +40001eb8: 5d8020ef jal ra,40004490 <__smakebuf_r> +40001ebc: 00c45783 lhu a5,12(s0) +40001ec0: 01042683 lw a3,16(s0) +40001ec4: f85ff06f j 40001e48 <__swsetup_r+0x48> +40001ec8: 0027f793 andi a5,a5,2 +40001ecc: 00000713 li a4,0 +40001ed0: 00079463 bnez a5,40001ed8 <__swsetup_r+0xd8> +40001ed4: 01442703 lw a4,20(s0) +40001ed8: 00e42423 sw a4,8(s0) +40001edc: 00000513 li a0,0 +40001ee0: f80694e3 bnez a3,40001e68 <__swsetup_r+0x68> +40001ee4: 00c41783 lh a5,12(s0) +40001ee8: 0807f713 andi a4,a5,128 +40001eec: f6070ee3 beqz a4,40001e68 <__swsetup_r+0x68> +40001ef0: 0407e793 ori a5,a5,64 +40001ef4: 00f41623 sh a5,12(s0) +40001ef8: fff00513 li a0,-1 +40001efc: f6dff06f j 40001e68 <__swsetup_r+0x68> +40001f00: 00078513 mv a0,a5 +40001f04: 5fd010ef jal ra,40003d00 <__sinit> +40001f08: f25ff06f j 40001e2c <__swsetup_r+0x2c> +40001f0c: 03042583 lw a1,48(s0) +40001f10: 00058e63 beqz a1,40001f2c <__swsetup_r+0x12c> +40001f14: 04040793 addi a5,s0,64 +40001f18: 00f58863 beq a1,a5,40001f28 <__swsetup_r+0x128> +40001f1c: 00048513 mv a0,s1 +40001f20: 765010ef jal ra,40003e84 <_free_r> +40001f24: 00c41703 lh a4,12(s0) +40001f28: 02042823 sw zero,48(s0) +40001f2c: 01042683 lw a3,16(s0) +40001f30: fdb77713 andi a4,a4,-37 +40001f34: 00042223 sw zero,4(s0) +40001f38: 00d42023 sw a3,0(s0) +40001f3c: f55ff06f j 40001e90 <__swsetup_r+0x90> +40001f40: 00900793 li a5,9 +40001f44: 00f4a023 sw a5,0(s1) +40001f48: 04076713 ori a4,a4,64 +40001f4c: 00e41623 sh a4,12(s0) +40001f50: fff00513 li a0,-1 +40001f54: f15ff06f j 40001e68 <__swsetup_r+0x68> + +40001f58 : +40001f58: fe010113 addi sp,sp,-32 +40001f5c: 01212823 sw s2,16(sp) +40001f60: 01052783 lw a5,16(a0) +40001f64: 0105a903 lw s2,16(a1) +40001f68: 00112e23 sw ra,28(sp) +40001f6c: 00812c23 sw s0,24(sp) +40001f70: 00912a23 sw s1,20(sp) +40001f74: 01312623 sw s3,12(sp) +40001f78: 01412423 sw s4,8(sp) +40001f7c: 01512223 sw s5,4(sp) +40001f80: 1b27cc63 blt a5,s2,40002138 +40001f84: fff90913 addi s2,s2,-1 +40001f88: 00291e93 slli t4,s2,0x2 +40001f8c: 01458413 addi s0,a1,20 +40001f90: 01d409b3 add s3,s0,t4 +40001f94: 01450a13 addi s4,a0,20 +40001f98: 01da0eb3 add t4,s4,t4 +40001f9c: 0009a783 lw a5,0(s3) +40001fa0: 000ea483 lw s1,0(t4) # 80000000 <_bss_end+0x3fff3978> +40001fa4: 00178793 addi a5,a5,1 +40001fa8: 02f4d4b3 divu s1,s1,a5 +40001fac: 0a048e63 beqz s1,40002068 +40001fb0: 000108b7 lui a7,0x10 +40001fb4: 00040e13 mv t3,s0 +40001fb8: 000a0313 mv t1,s4 +40001fbc: 00000f13 li t5,0 +40001fc0: 00000793 li a5,0 +40001fc4: fff88893 addi a7,a7,-1 # ffff <_heap_size+0xdfff> +40001fc8: 004e0e13 addi t3,t3,4 +40001fcc: ffce2603 lw a2,-4(t3) +40001fd0: 00032703 lw a4,0(t1) +40001fd4: 00430313 addi t1,t1,4 +40001fd8: 01167833 and a6,a2,a7 +40001fdc: 02980833 mul a6,a6,s1 +40001fe0: 01065693 srli a3,a2,0x10 +40001fe4: 01177fb3 and t6,a4,a7 +40001fe8: 01075713 srli a4,a4,0x10 +40001fec: 029686b3 mul a3,a3,s1 +40001ff0: 01e80833 add a6,a6,t5 +40001ff4: 01085f13 srli t5,a6,0x10 +40001ff8: 01187633 and a2,a6,a7 +40001ffc: 40c787b3 sub a5,a5,a2 +40002000: 01f78633 add a2,a5,t6 +40002004: 41065813 srai a6,a2,0x10 +40002008: 01167633 and a2,a2,a7 +4000200c: 01e686b3 add a3,a3,t5 +40002010: 0116f7b3 and a5,a3,a7 +40002014: 40f707b3 sub a5,a4,a5 +40002018: 010787b3 add a5,a5,a6 +4000201c: 01079713 slli a4,a5,0x10 +40002020: 00c76633 or a2,a4,a2 +40002024: fec32e23 sw a2,-4(t1) +40002028: 0106df13 srli t5,a3,0x10 +4000202c: 4107d793 srai a5,a5,0x10 +40002030: f9c9fce3 bleu t3,s3,40001fc8 +40002034: 000ea783 lw a5,0(t4) +40002038: 02079863 bnez a5,40002068 +4000203c: ffce8793 addi a5,t4,-4 +40002040: 02fa7263 bleu a5,s4,40002064 +40002044: ffcea703 lw a4,-4(t4) +40002048: 00070863 beqz a4,40002058 +4000204c: 0180006f j 40002064 +40002050: 0007a703 lw a4,0(a5) +40002054: 00071863 bnez a4,40002064 +40002058: ffc78793 addi a5,a5,-4 +4000205c: fff90913 addi s2,s2,-1 +40002060: fefa68e3 bltu s4,a5,40002050 +40002064: 01252823 sw s2,16(a0) +40002068: 00050a93 mv s5,a0 +4000206c: 015030ef jal ra,40005880 <__mcmp> +40002070: 0a054063 bltz a0,40002110 +40002074: 00010837 lui a6,0x10 +40002078: 00148493 addi s1,s1,1 +4000207c: 000a0593 mv a1,s4 +40002080: 00000793 li a5,0 +40002084: fff80813 addi a6,a6,-1 # ffff <_heap_size+0xdfff> +40002088: 00440413 addi s0,s0,4 +4000208c: ffc42603 lw a2,-4(s0) +40002090: 0005a703 lw a4,0(a1) +40002094: 00458593 addi a1,a1,4 +40002098: 010676b3 and a3,a2,a6 +4000209c: 40d787b3 sub a5,a5,a3 +400020a0: 010776b3 and a3,a4,a6 +400020a4: 00d786b3 add a3,a5,a3 +400020a8: 01065613 srli a2,a2,0x10 +400020ac: 01075793 srli a5,a4,0x10 +400020b0: 40c787b3 sub a5,a5,a2 +400020b4: 4106d713 srai a4,a3,0x10 +400020b8: 00e787b3 add a5,a5,a4 +400020bc: 01079713 slli a4,a5,0x10 +400020c0: 0106f6b3 and a3,a3,a6 +400020c4: 00d766b3 or a3,a4,a3 +400020c8: fed5ae23 sw a3,-4(a1) +400020cc: 4107d793 srai a5,a5,0x10 +400020d0: fa89fce3 bleu s0,s3,40002088 +400020d4: 00291713 slli a4,s2,0x2 +400020d8: 00ea0733 add a4,s4,a4 +400020dc: 00072783 lw a5,0(a4) +400020e0: 02079863 bnez a5,40002110 +400020e4: ffc70793 addi a5,a4,-4 +400020e8: 02fa7263 bleu a5,s4,4000210c +400020ec: ffc72703 lw a4,-4(a4) +400020f0: 00070863 beqz a4,40002100 +400020f4: 0180006f j 4000210c +400020f8: 0007a703 lw a4,0(a5) +400020fc: 00071863 bnez a4,4000210c +40002100: ffc78793 addi a5,a5,-4 +40002104: fff90913 addi s2,s2,-1 +40002108: fefa68e3 bltu s4,a5,400020f8 +4000210c: 012aa823 sw s2,16(s5) +40002110: 00048513 mv a0,s1 +40002114: 01c12083 lw ra,28(sp) +40002118: 01812403 lw s0,24(sp) +4000211c: 01412483 lw s1,20(sp) +40002120: 01012903 lw s2,16(sp) +40002124: 00c12983 lw s3,12(sp) +40002128: 00812a03 lw s4,8(sp) +4000212c: 00412a83 lw s5,4(sp) +40002130: 02010113 addi sp,sp,32 +40002134: 00008067 ret +40002138: 00000513 li a0,0 +4000213c: fd9ff06f j 40002114 + +40002140 <_dtoa_r>: +40002140: 04052303 lw t1,64(a0) +40002144: f4010113 addi sp,sp,-192 +40002148: 0a812c23 sw s0,184(sp) +4000214c: 0a912a23 sw s1,180(sp) +40002150: 0b212823 sw s2,176(sp) +40002154: 0b312623 sw s3,172(sp) +40002158: 0b412423 sw s4,168(sp) +4000215c: 0b612023 sw s6,160(sp) +40002160: 09912a23 sw s9,148(sp) +40002164: 09b12623 sw s11,140(sp) +40002168: 0a112e23 sw ra,188(sp) +4000216c: 0b512223 sw s5,164(sp) +40002170: 09712e23 sw s7,156(sp) +40002174: 09812c23 sw s8,152(sp) +40002178: 09a12823 sw s10,144(sp) +4000217c: 01012623 sw a6,12(sp) +40002180: 00050d93 mv s11,a0 +40002184: 00060493 mv s1,a2 +40002188: 00068913 mv s2,a3 +4000218c: 00070c93 mv s9,a4 +40002190: 00078b13 mv s6,a5 +40002194: 00088993 mv s3,a7 +40002198: 00060a13 mv s4,a2 +4000219c: 00068413 mv s0,a3 +400021a0: 02030263 beqz t1,400021c4 <_dtoa_r+0x84> +400021a4: 04452703 lw a4,68(a0) +400021a8: 00100793 li a5,1 +400021ac: 00030593 mv a1,t1 +400021b0: 00e797b3 sll a5,a5,a4 +400021b4: 00e32223 sw a4,4(t1) +400021b8: 00f32423 sw a5,8(t1) +400021bc: 6a9020ef jal ra,40005064 <_Bfree> +400021c0: 040da023 sw zero,64(s11) +400021c4: 00090a93 mv s5,s2 +400021c8: 0e044863 bltz s0,400022b8 <_dtoa_r+0x178> +400021cc: 0009a023 sw zero,0(s3) +400021d0: 7ff007b7 lui a5,0x7ff00 +400021d4: 00faf733 and a4,s5,a5 +400021d8: 08f70263 beq a4,a5,4000225c <_dtoa_r+0x11c> +400021dc: 00048513 mv a0,s1 +400021e0: 00040593 mv a1,s0 +400021e4: 00000613 li a2,0 +400021e8: 00000693 li a3,0 +400021ec: 239070ef jal ra,40009c24 <__eqdf2> +400021f0: 0e051263 bnez a0,400022d4 <_dtoa_r+0x194> +400021f4: 00c12703 lw a4,12(sp) +400021f8: 00100793 li a5,1 +400021fc: 00f72023 sw a5,0(a4) +40002200: 0c012783 lw a5,192(sp) +40002204: 6a078263 beqz a5,400028a8 <_dtoa_r+0x768> +40002208: 0c012703 lw a4,192(sp) +4000220c: 4000b7b7 lui a5,0x4000b +40002210: 7e178793 addi a5,a5,2017 # 4000b7e1 +40002214: 4000b537 lui a0,0x4000b +40002218: 00f72023 sw a5,0(a4) +4000221c: 7e050513 addi a0,a0,2016 # 4000b7e0 +40002220: 0bc12083 lw ra,188(sp) +40002224: 0b812403 lw s0,184(sp) +40002228: 0b412483 lw s1,180(sp) +4000222c: 0b012903 lw s2,176(sp) +40002230: 0ac12983 lw s3,172(sp) +40002234: 0a812a03 lw s4,168(sp) +40002238: 0a412a83 lw s5,164(sp) +4000223c: 0a012b03 lw s6,160(sp) +40002240: 09c12b83 lw s7,156(sp) +40002244: 09812c03 lw s8,152(sp) +40002248: 09412c83 lw s9,148(sp) +4000224c: 09012d03 lw s10,144(sp) +40002250: 08c12d83 lw s11,140(sp) +40002254: 0c010113 addi sp,sp,192 +40002258: 00008067 ret +4000225c: 00c12703 lw a4,12(sp) +40002260: 000027b7 lui a5,0x2 +40002264: 70f78793 addi a5,a5,1807 # 270f <_heap_size+0x70f> +40002268: 00f72023 sw a5,0(a4) +4000226c: 020a1863 bnez s4,4000229c <_dtoa_r+0x15c> +40002270: 00ca9793 slli a5,s5,0xc +40002274: 02079463 bnez a5,4000229c <_dtoa_r+0x15c> +40002278: 0c012783 lw a5,192(sp) +4000227c: 4000b537 lui a0,0x4000b +40002280: 7e450513 addi a0,a0,2020 # 4000b7e4 +40002284: f8078ee3 beqz a5,40002220 <_dtoa_r+0xe0> +40002288: 4000b7b7 lui a5,0x4000b +4000228c: 7ec78793 addi a5,a5,2028 # 4000b7ec +40002290: 0c012703 lw a4,192(sp) +40002294: 00f72023 sw a5,0(a4) +40002298: f89ff06f j 40002220 <_dtoa_r+0xe0> +4000229c: 0c012783 lw a5,192(sp) +400022a0: 4000b537 lui a0,0x4000b +400022a4: 7f050513 addi a0,a0,2032 # 4000b7f0 +400022a8: f6078ce3 beqz a5,40002220 <_dtoa_r+0xe0> +400022ac: 4000b7b7 lui a5,0x4000b +400022b0: 7f378793 addi a5,a5,2035 # 4000b7f3 +400022b4: fddff06f j 40002290 <_dtoa_r+0x150> +400022b8: 80000437 lui s0,0x80000 +400022bc: fff44413 not s0,s0 +400022c0: 01247433 and s0,s0,s2 +400022c4: 00100793 li a5,1 +400022c8: 00f9a023 sw a5,0(s3) +400022cc: 00040a93 mv s5,s0 +400022d0: f01ff06f j 400021d0 <_dtoa_r+0x90> +400022d4: 00048613 mv a2,s1 +400022d8: 00040693 mv a3,s0 +400022dc: 07810793 addi a5,sp,120 +400022e0: 07c10713 addi a4,sp,124 +400022e4: 000d8513 mv a0,s11 +400022e8: 185030ef jal ra,40005c6c <__d2b> +400022ec: 014ad913 srli s2,s5,0x14 +400022f0: 00050d13 mv s10,a0 +400022f4: 56090463 beqz s2,4000285c <_dtoa_r+0x71c> +400022f8: 001005b7 lui a1,0x100 +400022fc: fff58593 addi a1,a1,-1 # fffff <_heap_size+0xfdfff> +40002300: 07812983 lw s3,120(sp) +40002304: 0085f5b3 and a1,a1,s0 +40002308: 3ff00bb7 lui s7,0x3ff00 +4000230c: 00048793 mv a5,s1 +40002310: 0175e5b3 or a1,a1,s7 +40002314: c0190913 addi s2,s2,-1023 +40002318: 00000a93 li s5,0 +4000231c: 4000c737 lui a4,0x4000c +40002320: c4072603 lw a2,-960(a4) # 4000bc40 <__clz_tab+0x10c> +40002324: c4472683 lw a3,-956(a4) +40002328: 00078513 mv a0,a5 +4000232c: 25c080ef jal ra,4000a588 <__subdf3> +40002330: 4000c7b7 lui a5,0x4000c +40002334: c487a603 lw a2,-952(a5) # 4000bc48 <__clz_tab+0x114> +40002338: c4c7a683 lw a3,-948(a5) +4000233c: 37d070ef jal ra,40009eb8 <__muldf3> +40002340: 4000c7b7 lui a5,0x4000c +40002344: c507a603 lw a2,-944(a5) # 4000bc50 <__clz_tab+0x11c> +40002348: c547a683 lw a3,-940(a5) +4000234c: 750060ef jal ra,40008a9c <__adddf3> +40002350: 00a12823 sw a0,16(sp) +40002354: 00090513 mv a0,s2 +40002358: 00b12a23 sw a1,20(sp) +4000235c: 485080ef jal ra,4000afe0 <__floatsidf> +40002360: 4000c7b7 lui a5,0x4000c +40002364: c587a603 lw a2,-936(a5) # 4000bc58 <__clz_tab+0x124> +40002368: c5c7a683 lw a3,-932(a5) +4000236c: 34d070ef jal ra,40009eb8 <__muldf3> +40002370: 01012803 lw a6,16(sp) +40002374: 01412883 lw a7,20(sp) +40002378: 00050613 mv a2,a0 +4000237c: 00058693 mv a3,a1 +40002380: 00080513 mv a0,a6 +40002384: 00088593 mv a1,a7 +40002388: 714060ef jal ra,40008a9c <__adddf3> +4000238c: 00b12e23 sw a1,28(sp) +40002390: 00a12c23 sw a0,24(sp) +40002394: 3c9080ef jal ra,4000af5c <__fixdfsi> +40002398: 00a12823 sw a0,16(sp) +4000239c: 01c12583 lw a1,28(sp) +400023a0: 01812503 lw a0,24(sp) +400023a4: 00000613 li a2,0 +400023a8: 00000693 li a3,0 +400023ac: 209070ef jal ra,40009db4 <__ledf2> +400023b0: 02054ee3 bltz a0,40002bec <_dtoa_r+0xaac> +400023b4: 01012b83 lw s7,16(sp) +400023b8: 00100713 li a4,1 +400023bc: 01600793 li a5,22 +400023c0: 02e12423 sw a4,40(sp) +400023c4: 0377ec63 bltu a5,s7,400023fc <_dtoa_r+0x2bc> +400023c8: 4000c737 lui a4,0x4000c +400023cc: 003b9793 slli a5,s7,0x3 +400023d0: 81870713 addi a4,a4,-2024 # 4000b818 <__mprec_tens> +400023d4: 00e787b3 add a5,a5,a4 +400023d8: 0007a503 lw a0,0(a5) +400023dc: 0047a583 lw a1,4(a5) +400023e0: 00048613 mv a2,s1 +400023e4: 00040693 mv a3,s0 +400023e8: 0c9070ef jal ra,40009cb0 <__gedf2> +400023ec: 04a05ee3 blez a0,40002c48 <_dtoa_r+0xb08> +400023f0: fffb8793 addi a5,s7,-1 # 3fefffff <_heap_size+0x3fefdfff> +400023f4: 00f12823 sw a5,16(sp) +400023f8: 02012423 sw zero,40(sp) +400023fc: 41298933 sub s2,s3,s2 +40002400: fff90b93 addi s7,s2,-1 +40002404: 00000c13 li s8,0 +40002408: 000bcae3 bltz s7,40002c1c <_dtoa_r+0xadc> +4000240c: 01012783 lw a5,16(sp) +40002410: 7c07c463 bltz a5,40002bd8 <_dtoa_r+0xa98> +40002414: 00fb8bb3 add s7,s7,a5 +40002418: 02f12623 sw a5,44(sp) +4000241c: 00000993 li s3,0 +40002420: 00900793 li a5,9 +40002424: 4997e863 bltu a5,s9,400028b4 <_dtoa_r+0x774> +40002428: 00500793 li a5,5 +4000242c: 00100913 li s2,1 +40002430: 0197d663 ble s9,a5,4000243c <_dtoa_r+0x2fc> +40002434: ffcc8c93 addi s9,s9,-4 +40002438: 00000913 li s2,0 +4000243c: 00300793 li a5,3 +40002440: 56fc8ae3 beq s9,a5,400031b4 <_dtoa_r+0x1074> +40002444: 4b97dee3 ble s9,a5,40003100 <_dtoa_r+0xfc0> +40002448: 00400793 li a5,4 +4000244c: 34fc86e3 beq s9,a5,40002f98 <_dtoa_r+0xe58> +40002450: 00100713 li a4,1 +40002454: 00500793 li a5,5 +40002458: 02e12223 sw a4,36(sp) +4000245c: 4afc98e3 bne s9,a5,4000310c <_dtoa_r+0xfcc> +40002460: 01012783 lw a5,16(sp) +40002464: 016787b3 add a5,a5,s6 +40002468: 02f12c23 sw a5,56(sp) +4000246c: 00178793 addi a5,a5,1 +40002470: 00f12c23 sw a5,24(sp) +40002474: 00078613 mv a2,a5 +40002478: 3ef058e3 blez a5,40003068 <_dtoa_r+0xf28> +4000247c: 01812803 lw a6,24(sp) +40002480: 040da223 sw zero,68(s11) +40002484: 01700793 li a5,23 +40002488: 00000593 li a1,0 +4000248c: 02c7f263 bleu a2,a5,400024b0 <_dtoa_r+0x370> +40002490: 00100713 li a4,1 +40002494: 00400793 li a5,4 +40002498: 00179793 slli a5,a5,0x1 +4000249c: 01478693 addi a3,a5,20 +400024a0: 00070593 mv a1,a4 +400024a4: 00170713 addi a4,a4,1 +400024a8: fed678e3 bleu a3,a2,40002498 <_dtoa_r+0x358> +400024ac: 04bda223 sw a1,68(s11) +400024b0: 000d8513 mv a0,s11 +400024b4: 03012823 sw a6,48(sp) +400024b8: 309020ef jal ra,40004fc0 <_Balloc> +400024bc: 03012803 lw a6,48(sp) +400024c0: 02a12023 sw a0,32(sp) +400024c4: 04ada023 sw a0,64(s11) +400024c8: 00e00793 li a5,14 +400024cc: 4107ee63 bltu a5,a6,400028e8 <_dtoa_r+0x7a8> +400024d0: 40090c63 beqz s2,400028e8 <_dtoa_r+0x7a8> +400024d4: 01012703 lw a4,16(sp) +400024d8: 02912e23 sw s1,60(sp) +400024dc: 04812423 sw s0,72(sp) +400024e0: 5ee050e3 blez a4,400032c0 <_dtoa_r+0x1180> +400024e4: 00f77793 andi a5,a4,15 +400024e8: 40475a13 srai s4,a4,0x4 +400024ec: 4000c737 lui a4,0x4000c +400024f0: 81870713 addi a4,a4,-2024 # 4000b818 <__mprec_tens> +400024f4: 00379793 slli a5,a5,0x3 +400024f8: 00e787b3 add a5,a5,a4 +400024fc: 02912823 sw s1,48(sp) +40002500: 010a7713 andi a4,s4,16 +40002504: 02812a23 sw s0,52(sp) +40002508: 0007a803 lw a6,0(a5) +4000250c: 0047a883 lw a7,4(a5) +40002510: 00200913 li s2,2 +40002514: 02070e63 beqz a4,40002550 <_dtoa_r+0x410> +40002518: 4000c7b7 lui a5,0x4000c +4000251c: 9287a603 lw a2,-1752(a5) # 4000b928 <__mprec_bigtens+0x20> +40002520: 92c7a683 lw a3,-1748(a5) +40002524: 00048513 mv a0,s1 +40002528: 00040593 mv a1,s0 +4000252c: 05012023 sw a6,64(sp) +40002530: 05112223 sw a7,68(sp) +40002534: 6b5060ef jal ra,400093e8 <__divdf3> +40002538: 04012803 lw a6,64(sp) +4000253c: 04412883 lw a7,68(sp) +40002540: 02a12823 sw a0,48(sp) +40002544: 02b12a23 sw a1,52(sp) +40002548: 00fa7a13 andi s4,s4,15 +4000254c: 00300913 li s2,3 +40002550: 040a0063 beqz s4,40002590 <_dtoa_r+0x450> +40002554: 4000c437 lui s0,0x4000c +40002558: 90840413 addi s0,s0,-1784 # 4000b908 <__mprec_bigtens> +4000255c: 001a7793 andi a5,s4,1 +40002560: 00080513 mv a0,a6 +40002564: 401a5a13 srai s4,s4,0x1 +40002568: 00088593 mv a1,a7 +4000256c: 00078e63 beqz a5,40002588 <_dtoa_r+0x448> +40002570: 00042603 lw a2,0(s0) +40002574: 00442683 lw a3,4(s0) +40002578: 00190913 addi s2,s2,1 +4000257c: 13d070ef jal ra,40009eb8 <__muldf3> +40002580: 00050813 mv a6,a0 +40002584: 00058893 mv a7,a1 +40002588: 00840413 addi s0,s0,8 +4000258c: fc0a18e3 bnez s4,4000255c <_dtoa_r+0x41c> +40002590: 03012503 lw a0,48(sp) +40002594: 03412583 lw a1,52(sp) +40002598: 00080613 mv a2,a6 +4000259c: 00088693 mv a3,a7 +400025a0: 649060ef jal ra,400093e8 <__divdf3> +400025a4: 02a12823 sw a0,48(sp) +400025a8: 02b12a23 sw a1,52(sp) +400025ac: 02812783 lw a5,40(sp) +400025b0: 02078263 beqz a5,400025d4 <_dtoa_r+0x494> +400025b4: 4000c7b7 lui a5,0x4000c +400025b8: c607a603 lw a2,-928(a5) # 4000bc60 <__clz_tab+0x12c> +400025bc: c647a683 lw a3,-924(a5) +400025c0: 03012503 lw a0,48(sp) +400025c4: 03412583 lw a1,52(sp) +400025c8: 7ec070ef jal ra,40009db4 <__ledf2> +400025cc: 00055463 bgez a0,400025d4 <_dtoa_r+0x494> +400025d0: 7910006f j 40003560 <_dtoa_r+0x1420> +400025d4: 00090513 mv a0,s2 +400025d8: 209080ef jal ra,4000afe0 <__floatsidf> +400025dc: 03012603 lw a2,48(sp) +400025e0: 03412683 lw a3,52(sp) +400025e4: fcc004b7 lui s1,0xfcc00 +400025e8: 0d1070ef jal ra,40009eb8 <__muldf3> +400025ec: 4000c7b7 lui a5,0x4000c +400025f0: c707a603 lw a2,-912(a5) # 4000bc70 <__clz_tab+0x13c> +400025f4: c747a683 lw a3,-908(a5) +400025f8: 4a4060ef jal ra,40008a9c <__adddf3> +400025fc: 01812783 lw a5,24(sp) +40002600: 00050413 mv s0,a0 +40002604: 00b484b3 add s1,s1,a1 +40002608: 3e0782e3 beqz a5,400031ec <_dtoa_r+0x10ac> +4000260c: 01012783 lw a5,16(sp) +40002610: 01812903 lw s2,24(sp) +40002614: 04f12623 sw a5,76(sp) +40002618: 02412783 lw a5,36(sp) +4000261c: 5a0782e3 beqz a5,400033c0 <_dtoa_r+0x1280> +40002620: fff90793 addi a5,s2,-1 +40002624: 4000c737 lui a4,0x4000c +40002628: 81870713 addi a4,a4,-2024 # 4000b818 <__mprec_tens> +4000262c: 00379793 slli a5,a5,0x3 +40002630: 00e787b3 add a5,a5,a4 +40002634: 0007a603 lw a2,0(a5) +40002638: 0047a683 lw a3,4(a5) +4000263c: 4000c7b7 lui a5,0x4000c +40002640: c807a503 lw a0,-896(a5) # 4000bc80 <__clz_tab+0x14c> +40002644: c847a583 lw a1,-892(a5) +40002648: 02012783 lw a5,32(sp) +4000264c: 00178a13 addi s4,a5,1 +40002650: 599060ef jal ra,400093e8 <__divdf3> +40002654: 00040613 mv a2,s0 +40002658: 00048693 mv a3,s1 +4000265c: 72d070ef jal ra,4000a588 <__subdf3> +40002660: 04a12023 sw a0,64(sp) +40002664: 04b12223 sw a1,68(sp) +40002668: 03012503 lw a0,48(sp) +4000266c: 03412583 lw a1,52(sp) +40002670: 0ed080ef jal ra,4000af5c <__fixdfsi> +40002674: 00050413 mv s0,a0 +40002678: 169080ef jal ra,4000afe0 <__floatsidf> +4000267c: 00050613 mv a2,a0 +40002680: 00058693 mv a3,a1 +40002684: 03012503 lw a0,48(sp) +40002688: 03412583 lw a1,52(sp) +4000268c: 6fd070ef jal ra,4000a588 <__subdf3> +40002690: 02012783 lw a5,32(sp) +40002694: 00050613 mv a2,a0 +40002698: 00058693 mv a3,a1 +4000269c: 03040713 addi a4,s0,48 +400026a0: 04a12823 sw a0,80(sp) +400026a4: 04b12a23 sw a1,84(sp) +400026a8: 04012503 lw a0,64(sp) +400026ac: 04412583 lw a1,68(sp) +400026b0: 0ff77413 andi s0,a4,255 +400026b4: 00878023 sb s0,0(a5) +400026b8: 5f8070ef jal ra,40009cb0 <__gedf2> +400026bc: 16a04263 bgtz a0,40002820 <_dtoa_r+0x6e0> +400026c0: 4000c7b7 lui a5,0x4000c +400026c4: 05012603 lw a2,80(sp) +400026c8: 05412683 lw a3,84(sp) +400026cc: c607a503 lw a0,-928(a5) # 4000bc60 <__clz_tab+0x12c> +400026d0: c647a583 lw a1,-924(a5) +400026d4: 02f12823 sw a5,48(sp) +400026d8: 6b1070ef jal ra,4000a588 <__subdf3> +400026dc: 04012603 lw a2,64(sp) +400026e0: 04412683 lw a3,68(sp) +400026e4: 6d0070ef jal ra,40009db4 <__ledf2> +400026e8: 00055463 bgez a0,400026f0 <_dtoa_r+0x5b0> +400026ec: 7490006f j 40003634 <_dtoa_r+0x14f4> +400026f0: 00100713 li a4,1 +400026f4: 03012783 lw a5,48(sp) +400026f8: 3ae90ee3 beq s2,a4,400032b4 <_dtoa_r+0x1174> +400026fc: 4000c4b7 lui s1,0x4000c +40002700: c684a703 lw a4,-920(s1) # 4000bc68 <__clz_tab+0x134> +40002704: c6c4a483 lw s1,-916(s1) +40002708: 05812e23 sw s8,92(sp) +4000270c: 00070693 mv a3,a4 +40002710: c607a703 lw a4,-928(a5) +40002714: c647a783 lw a5,-924(a5) +40002718: 07312023 sw s3,96(sp) +4000271c: 02e12823 sw a4,48(sp) +40002720: 02f12a23 sw a5,52(sp) +40002724: 02012783 lw a5,32(sp) +40002728: 00068713 mv a4,a3 +4000272c: 07712223 sw s7,100(sp) +40002730: 012787b3 add a5,a5,s2 +40002734: 07512423 sw s5,104(sp) +40002738: 05a12c23 sw s10,88(sp) +4000273c: 07912623 sw s9,108(sp) +40002740: 04012c03 lw s8,64(sp) +40002744: 00068913 mv s2,a3 +40002748: 05612023 sw s6,64(sp) +4000274c: 00078a93 mv s5,a5 +40002750: 000d8b13 mv s6,s11 +40002754: 04412c83 lw s9,68(sp) +40002758: 05012d03 lw s10,80(sp) +4000275c: 05412d83 lw s11,84(sp) +40002760: 00070993 mv s3,a4 +40002764: 00048b93 mv s7,s1 +40002768: 0280006f j 40002790 <_dtoa_r+0x650> +4000276c: 03012503 lw a0,48(sp) +40002770: 03412583 lw a1,52(sp) +40002774: 615070ef jal ra,4000a588 <__subdf3> +40002778: 000c0613 mv a2,s8 +4000277c: 000c8693 mv a3,s9 +40002780: 634070ef jal ra,40009db4 <__ledf2> +40002784: 00055463 bgez a0,4000278c <_dtoa_r+0x64c> +40002788: 6a50006f j 4000362c <_dtoa_r+0x14ec> +4000278c: 315a04e3 beq s4,s5,40003294 <_dtoa_r+0x1154> +40002790: 00098613 mv a2,s3 +40002794: 00048693 mv a3,s1 +40002798: 000c0513 mv a0,s8 +4000279c: 000c8593 mv a1,s9 +400027a0: 718070ef jal ra,40009eb8 <__muldf3> +400027a4: 00090613 mv a2,s2 +400027a8: 000b8693 mv a3,s7 +400027ac: 00050c13 mv s8,a0 +400027b0: 00058c93 mv s9,a1 +400027b4: 000d0513 mv a0,s10 +400027b8: 000d8593 mv a1,s11 +400027bc: 6fc070ef jal ra,40009eb8 <__muldf3> +400027c0: 00058d93 mv s11,a1 +400027c4: 00050d13 mv s10,a0 +400027c8: 794080ef jal ra,4000af5c <__fixdfsi> +400027cc: 00050413 mv s0,a0 +400027d0: 011080ef jal ra,4000afe0 <__floatsidf> +400027d4: 00050613 mv a2,a0 +400027d8: 00058693 mv a3,a1 +400027dc: 000d0513 mv a0,s10 +400027e0: 000d8593 mv a1,s11 +400027e4: 03040413 addi s0,s0,48 +400027e8: 5a1070ef jal ra,4000a588 <__subdf3> +400027ec: 001a0a13 addi s4,s4,1 +400027f0: 0ff47413 andi s0,s0,255 +400027f4: 000c0613 mv a2,s8 +400027f8: 000c8693 mv a3,s9 +400027fc: fe8a0fa3 sb s0,-1(s4) +40002800: 00050d13 mv s10,a0 +40002804: 00058d93 mv s11,a1 +40002808: 5ac070ef jal ra,40009db4 <__ledf2> +4000280c: 000d0613 mv a2,s10 +40002810: 000d8693 mv a3,s11 +40002814: f4055ce3 bgez a0,4000276c <_dtoa_r+0x62c> +40002818: 05812d03 lw s10,88(sp) +4000281c: 000b0d93 mv s11,s6 +40002820: 04c12783 lw a5,76(sp) +40002824: 00f12823 sw a5,16(sp) +40002828: 000d0593 mv a1,s10 +4000282c: 000d8513 mv a0,s11 +40002830: 035020ef jal ra,40005064 <_Bfree> +40002834: 01012783 lw a5,16(sp) +40002838: 000a0023 sb zero,0(s4) +4000283c: 00178713 addi a4,a5,1 +40002840: 00c12783 lw a5,12(sp) +40002844: 00e7a023 sw a4,0(a5) +40002848: 0c012783 lw a5,192(sp) +4000284c: 1e078ee3 beqz a5,40003248 <_dtoa_r+0x1108> +40002850: 0147a023 sw s4,0(a5) +40002854: 02012503 lw a0,32(sp) +40002858: 9c9ff06f j 40002220 <_dtoa_r+0xe0> +4000285c: 07812983 lw s3,120(sp) +40002860: 07c12903 lw s2,124(sp) +40002864: 02000793 li a5,32 +40002868: 01298933 add s2,s3,s2 +4000286c: 43290713 addi a4,s2,1074 +40002870: 3ce7d663 ble a4,a5,40002c3c <_dtoa_r+0xafc> +40002874: 04000793 li a5,64 +40002878: 41290513 addi a0,s2,1042 +4000287c: 40e787b3 sub a5,a5,a4 +40002880: 00a4d533 srl a0,s1,a0 +40002884: 00fa9ab3 sll s5,s5,a5 +40002888: 01556533 or a0,a0,s5 +4000288c: 04d080ef jal ra,4000b0d8 <__floatunsidf> +40002890: fe100bb7 lui s7,0xfe100 +40002894: 00050793 mv a5,a0 +40002898: 00bb85b3 add a1,s7,a1 +4000289c: fff90913 addi s2,s2,-1 +400028a0: 00100a93 li s5,1 +400028a4: a79ff06f j 4000231c <_dtoa_r+0x1dc> +400028a8: 4000b537 lui a0,0x4000b +400028ac: 7e050513 addi a0,a0,2016 # 4000b7e0 +400028b0: 971ff06f j 40002220 <_dtoa_r+0xe0> +400028b4: 040da223 sw zero,68(s11) +400028b8: 00000593 li a1,0 +400028bc: 000d8513 mv a0,s11 +400028c0: 700020ef jal ra,40004fc0 <_Balloc> +400028c4: fff00793 li a5,-1 +400028c8: 02f12c23 sw a5,56(sp) +400028cc: 00f12c23 sw a5,24(sp) +400028d0: 00100793 li a5,1 +400028d4: 02a12023 sw a0,32(sp) +400028d8: 04ada023 sw a0,64(s11) +400028dc: 00000c93 li s9,0 +400028e0: 00000b13 li s6,0 +400028e4: 02f12223 sw a5,36(sp) +400028e8: 07c12783 lw a5,124(sp) +400028ec: 1c07cc63 bltz a5,40002ac4 <_dtoa_r+0x984> +400028f0: 01012683 lw a3,16(sp) +400028f4: 00e00713 li a4,14 +400028f8: 1cd74663 blt a4,a3,40002ac4 <_dtoa_r+0x984> +400028fc: 4000c737 lui a4,0x4000c +40002900: 00369793 slli a5,a3,0x3 +40002904: 81870713 addi a4,a4,-2024 # 4000b818 <__mprec_tens> +40002908: 00e787b3 add a5,a5,a4 +4000290c: 0007ac03 lw s8,0(a5) +40002910: 0047ac83 lw s9,4(a5) +40002914: 6a0b4263 bltz s6,40002fb8 <_dtoa_r+0xe78> +40002918: 000c0613 mv a2,s8 +4000291c: 000c8693 mv a3,s9 +40002920: 000a0513 mv a0,s4 +40002924: 00040593 mv a1,s0 +40002928: 2c1060ef jal ra,400093e8 <__divdf3> +4000292c: 630080ef jal ra,4000af5c <__fixdfsi> +40002930: 00050493 mv s1,a0 +40002934: 6ac080ef jal ra,4000afe0 <__floatsidf> +40002938: 000c0613 mv a2,s8 +4000293c: 000c8693 mv a3,s9 +40002940: 578070ef jal ra,40009eb8 <__muldf3> +40002944: 00058693 mv a3,a1 +40002948: 00050613 mv a2,a0 +4000294c: 00040593 mv a1,s0 +40002950: 000a0513 mv a0,s4 +40002954: 435070ef jal ra,4000a588 <__subdf3> +40002958: 02012683 lw a3,32(sp) +4000295c: 03048793 addi a5,s1,48 +40002960: 00100713 li a4,1 +40002964: 00f68023 sb a5,0(a3) +40002968: 01812783 lw a5,24(sp) +4000296c: 00050813 mv a6,a0 +40002970: 00058893 mv a7,a1 +40002974: 00e68a33 add s4,a3,a4 +40002978: 0ce78463 beq a5,a4,40002a40 <_dtoa_r+0x900> +4000297c: 4000c4b7 lui s1,0x4000c +40002980: c684a603 lw a2,-920(s1) # 4000bc68 <__clz_tab+0x134> +40002984: c6c4a683 lw a3,-916(s1) +40002988: 530070ef jal ra,40009eb8 <__muldf3> +4000298c: 00000613 li a2,0 +40002990: 00000693 li a3,0 +40002994: 00050913 mv s2,a0 +40002998: 00058993 mv s3,a1 +4000299c: 288070ef jal ra,40009c24 <__eqdf2> +400029a0: e80504e3 beqz a0,40002828 <_dtoa_r+0x6e8> +400029a4: 02012783 lw a5,32(sp) +400029a8: 01812703 lw a4,24(sp) +400029ac: c684ab03 lw s6,-920(s1) +400029b0: c6c4ab83 lw s7,-916(s1) +400029b4: 00278413 addi s0,a5,2 +400029b8: 00e78ab3 add s5,a5,a4 +400029bc: 0240006f j 400029e0 <_dtoa_r+0x8a0> +400029c0: 4f8070ef jal ra,40009eb8 <__muldf3> +400029c4: 00000613 li a2,0 +400029c8: 00000693 li a3,0 +400029cc: 00050913 mv s2,a0 +400029d0: 00058993 mv s3,a1 +400029d4: 00140413 addi s0,s0,1 +400029d8: 24c070ef jal ra,40009c24 <__eqdf2> +400029dc: e40506e3 beqz a0,40002828 <_dtoa_r+0x6e8> +400029e0: 000c0613 mv a2,s8 +400029e4: 000c8693 mv a3,s9 +400029e8: 00090513 mv a0,s2 +400029ec: 00098593 mv a1,s3 +400029f0: 1f9060ef jal ra,400093e8 <__divdf3> +400029f4: 568080ef jal ra,4000af5c <__fixdfsi> +400029f8: 00050493 mv s1,a0 +400029fc: 5e4080ef jal ra,4000afe0 <__floatsidf> +40002a00: 000c0613 mv a2,s8 +40002a04: 000c8693 mv a3,s9 +40002a08: 4b0070ef jal ra,40009eb8 <__muldf3> +40002a0c: 00050613 mv a2,a0 +40002a10: 00058693 mv a3,a1 +40002a14: 00090513 mv a0,s2 +40002a18: 00098593 mv a1,s3 +40002a1c: 36d070ef jal ra,4000a588 <__subdf3> +40002a20: 03048793 addi a5,s1,48 +40002a24: fef40fa3 sb a5,-1(s0) +40002a28: 00050813 mv a6,a0 +40002a2c: 00058893 mv a7,a1 +40002a30: 000b0613 mv a2,s6 +40002a34: 000b8693 mv a3,s7 +40002a38: 00040a13 mv s4,s0 +40002a3c: f88a92e3 bne s5,s0,400029c0 <_dtoa_r+0x880> +40002a40: 00080613 mv a2,a6 +40002a44: 00088693 mv a3,a7 +40002a48: 00080513 mv a0,a6 +40002a4c: 00088593 mv a1,a7 +40002a50: 04c060ef jal ra,40008a9c <__adddf3> +40002a54: 00050913 mv s2,a0 +40002a58: 00058993 mv s3,a1 +40002a5c: 00050613 mv a2,a0 +40002a60: 00058693 mv a3,a1 +40002a64: 000c0513 mv a0,s8 +40002a68: 000c8593 mv a1,s9 +40002a6c: 348070ef jal ra,40009db4 <__ledf2> +40002a70: 02054263 bltz a0,40002a94 <_dtoa_r+0x954> +40002a74: 00090613 mv a2,s2 +40002a78: 00098693 mv a3,s3 +40002a7c: 000c0513 mv a0,s8 +40002a80: 000c8593 mv a1,s9 +40002a84: 1a0070ef jal ra,40009c24 <__eqdf2> +40002a88: da0510e3 bnez a0,40002828 <_dtoa_r+0x6e8> +40002a8c: 0014f493 andi s1,s1,1 +40002a90: d8048ce3 beqz s1,40002828 <_dtoa_r+0x6e8> +40002a94: fffa4403 lbu s0,-1(s4) +40002a98: 03900613 li a2,57 +40002a9c: 02012783 lw a5,32(sp) +40002aa0: 0100006f j 40002ab0 <_dtoa_r+0x970> +40002aa4: 0af68ee3 beq a3,a5,40003360 <_dtoa_r+0x1220> +40002aa8: fff6c403 lbu s0,-1(a3) +40002aac: 00068a13 mv s4,a3 +40002ab0: fffa0693 addi a3,s4,-1 +40002ab4: fec408e3 beq s0,a2,40002aa4 <_dtoa_r+0x964> +40002ab8: 00140713 addi a4,s0,1 +40002abc: 00e68023 sb a4,0(a3) +40002ac0: d69ff06f j 40002828 <_dtoa_r+0x6e8> +40002ac4: 02412703 lw a4,36(sp) +40002ac8: 16070263 beqz a4,40002c2c <_dtoa_r+0xaec> +40002acc: 00100713 li a4,1 +40002ad0: 59975263 ble s9,a4,40003054 <_dtoa_r+0xf14> +40002ad4: 01812783 lw a5,24(sp) +40002ad8: fff78913 addi s2,a5,-1 +40002adc: 7729ca63 blt s3,s2,40003250 <_dtoa_r+0x1110> +40002ae0: 41298933 sub s2,s3,s2 +40002ae4: 01812703 lw a4,24(sp) +40002ae8: 000c0a93 mv s5,s8 +40002aec: 00070793 mv a5,a4 +40002af0: 240742e3 bltz a4,40003534 <_dtoa_r+0x13f4> +40002af4: 00100593 li a1,1 +40002af8: 000d8513 mv a0,s11 +40002afc: 00fc0c33 add s8,s8,a5 +40002b00: 00fb8bb3 add s7,s7,a5 +40002b04: 0d9020ef jal ra,400053dc <__i2b> +40002b08: 00050493 mv s1,a0 +40002b0c: 01505e63 blez s5,40002b28 <_dtoa_r+0x9e8> +40002b10: 01705c63 blez s7,40002b28 <_dtoa_r+0x9e8> +40002b14: 000a8793 mv a5,s5 +40002b18: 455bc663 blt s7,s5,40002f64 <_dtoa_r+0xe24> +40002b1c: 40fc0c33 sub s8,s8,a5 +40002b20: 40fa8ab3 sub s5,s5,a5 +40002b24: 40fb8bb3 sub s7,s7,a5 +40002b28: 04098a63 beqz s3,40002b7c <_dtoa_r+0xa3c> +40002b2c: 02412783 lw a5,36(sp) +40002b30: 4e078263 beqz a5,40003014 <_dtoa_r+0xed4> +40002b34: 05205063 blez s2,40002b74 <_dtoa_r+0xa34> +40002b38: 00048593 mv a1,s1 +40002b3c: 00090613 mv a2,s2 +40002b40: 000d8513 mv a0,s11 +40002b44: 2ad020ef jal ra,400055f0 <__pow5mult> +40002b48: 000d0613 mv a2,s10 +40002b4c: 00050593 mv a1,a0 +40002b50: 00050493 mv s1,a0 +40002b54: 000d8513 mv a0,s11 +40002b58: 0b9020ef jal ra,40005410 <__multiply> +40002b5c: 02a12823 sw a0,48(sp) +40002b60: 000d0593 mv a1,s10 +40002b64: 000d8513 mv a0,s11 +40002b68: 4fc020ef jal ra,40005064 <_Bfree> +40002b6c: 03012783 lw a5,48(sp) +40002b70: 00078d13 mv s10,a5 +40002b74: 41298633 sub a2,s3,s2 +40002b78: 4a061063 bnez a2,40003018 <_dtoa_r+0xed8> +40002b7c: 00100593 li a1,1 +40002b80: 000d8513 mv a0,s11 +40002b84: 059020ef jal ra,400053dc <__i2b> +40002b88: 02c12783 lw a5,44(sp) +40002b8c: 00050993 mv s3,a0 +40002b90: 0cf05063 blez a5,40002c50 <_dtoa_r+0xb10> +40002b94: 00078613 mv a2,a5 +40002b98: 00050593 mv a1,a0 +40002b9c: 000d8513 mv a0,s11 +40002ba0: 251020ef jal ra,400055f0 <__pow5mult> +40002ba4: 00100793 li a5,1 +40002ba8: 00050993 mv s3,a0 +40002bac: 3d97d063 ble s9,a5,40002f6c <_dtoa_r+0xe2c> +40002bb0: 00000913 li s2,0 +40002bb4: 0109a783 lw a5,16(s3) +40002bb8: 00378793 addi a5,a5,3 +40002bbc: 00279793 slli a5,a5,0x2 +40002bc0: 00f987b3 add a5,s3,a5 +40002bc4: 0047a503 lw a0,4(a5) +40002bc8: 6e4020ef jal ra,400052ac <__hi0bits> +40002bcc: 02000793 li a5,32 +40002bd0: 40a787b3 sub a5,a5,a0 +40002bd4: 0940006f j 40002c68 <_dtoa_r+0xb28> +40002bd8: 01012783 lw a5,16(sp) +40002bdc: 02012623 sw zero,44(sp) +40002be0: 40fc0c33 sub s8,s8,a5 +40002be4: 40f009b3 neg s3,a5 +40002be8: 839ff06f j 40002420 <_dtoa_r+0x2e0> +40002bec: 01012b83 lw s7,16(sp) +40002bf0: 000b8513 mv a0,s7 +40002bf4: 3ec080ef jal ra,4000afe0 <__floatsidf> +40002bf8: 00050613 mv a2,a0 +40002bfc: 00058693 mv a3,a1 +40002c00: 01812503 lw a0,24(sp) +40002c04: 01c12583 lw a1,28(sp) +40002c08: 01c070ef jal ra,40009c24 <__eqdf2> +40002c0c: 00a03533 snez a0,a0 +40002c10: 40ab87b3 sub a5,s7,a0 +40002c14: 00f12823 sw a5,16(sp) +40002c18: f9cff06f j 400023b4 <_dtoa_r+0x274> +40002c1c: 00100c13 li s8,1 +40002c20: 412c0c33 sub s8,s8,s2 +40002c24: 00000b93 li s7,0 +40002c28: fe4ff06f j 4000240c <_dtoa_r+0x2cc> +40002c2c: 00098913 mv s2,s3 +40002c30: 000c0a93 mv s5,s8 +40002c34: 00000493 li s1,0 +40002c38: ed5ff06f j 40002b0c <_dtoa_r+0x9cc> +40002c3c: 40e787b3 sub a5,a5,a4 +40002c40: 00f49533 sll a0,s1,a5 +40002c44: c49ff06f j 4000288c <_dtoa_r+0x74c> +40002c48: 02012423 sw zero,40(sp) +40002c4c: fb0ff06f j 400023fc <_dtoa_r+0x2bc> +40002c50: 00100793 li a5,1 +40002c54: 00000913 li s2,0 +40002c58: 4997d663 ble s9,a5,400030e4 <_dtoa_r+0xfa4> +40002c5c: 02c12703 lw a4,44(sp) +40002c60: 00100793 li a5,1 +40002c64: f40718e3 bnez a4,40002bb4 <_dtoa_r+0xa74> +40002c68: 017787b3 add a5,a5,s7 +40002c6c: 01f7f793 andi a5,a5,31 +40002c70: 1a078663 beqz a5,40002e1c <_dtoa_r+0xcdc> +40002c74: 02000713 li a4,32 +40002c78: 40f70733 sub a4,a4,a5 +40002c7c: 00400693 li a3,4 +40002c80: 20e6dce3 ble a4,a3,40003698 <_dtoa_r+0x1558> +40002c84: 01c00713 li a4,28 +40002c88: 40f707b3 sub a5,a4,a5 +40002c8c: 00fc0c33 add s8,s8,a5 +40002c90: 00fa8ab3 add s5,s5,a5 +40002c94: 00fb8bb3 add s7,s7,a5 +40002c98: 01805c63 blez s8,40002cb0 <_dtoa_r+0xb70> +40002c9c: 000d0593 mv a1,s10 +40002ca0: 000c0613 mv a2,s8 +40002ca4: 000d8513 mv a0,s11 +40002ca8: 291020ef jal ra,40005738 <__lshift> +40002cac: 00050d13 mv s10,a0 +40002cb0: 01705c63 blez s7,40002cc8 <_dtoa_r+0xb88> +40002cb4: 00098593 mv a1,s3 +40002cb8: 000b8613 mv a2,s7 +40002cbc: 000d8513 mv a0,s11 +40002cc0: 279020ef jal ra,40005738 <__lshift> +40002cc4: 00050993 mv s3,a0 +40002cc8: 02812783 lw a5,40(sp) +40002ccc: 16079263 bnez a5,40002e30 <_dtoa_r+0xcf0> +40002cd0: 01812783 lw a5,24(sp) +40002cd4: 46f05663 blez a5,40003140 <_dtoa_r+0x1000> +40002cd8: 02412783 lw a5,36(sp) +40002cdc: 1a078463 beqz a5,40002e84 <_dtoa_r+0xd44> +40002ce0: 01505c63 blez s5,40002cf8 <_dtoa_r+0xbb8> +40002ce4: 00048593 mv a1,s1 +40002ce8: 000a8613 mv a2,s5 +40002cec: 000d8513 mv a0,s11 +40002cf0: 249020ef jal ra,40005738 <__lshift> +40002cf4: 00050493 mv s1,a0 +40002cf8: 00048b13 mv s6,s1 +40002cfc: 68091263 bnez s2,40003380 <_dtoa_r+0x1240> +40002d00: 02012783 lw a5,32(sp) +40002d04: 01812703 lw a4,24(sp) +40002d08: 00a00b93 li s7,10 +40002d0c: 00178413 addi s0,a5,1 +40002d10: 00e787b3 add a5,a5,a4 +40002d14: 02f12623 sw a5,44(sp) +40002d18: 001a7793 andi a5,s4,1 +40002d1c: 02f12223 sw a5,36(sp) +40002d20: 00098593 mv a1,s3 +40002d24: 000d0513 mv a0,s10 +40002d28: a30ff0ef jal ra,40001f58 +40002d2c: 00050c13 mv s8,a0 +40002d30: 00048593 mv a1,s1 +40002d34: 000d0513 mv a0,s10 +40002d38: 349020ef jal ra,40005880 <__mcmp> +40002d3c: 00050913 mv s2,a0 +40002d40: 000b0613 mv a2,s6 +40002d44: 00098593 mv a1,s3 +40002d48: 000d8513 mv a0,s11 +40002d4c: 38d020ef jal ra,400058d8 <__mdiff> +40002d50: 00c52683 lw a3,12(a0) +40002d54: fff40713 addi a4,s0,-1 +40002d58: 02e12423 sw a4,40(sp) +40002d5c: 00050793 mv a5,a0 +40002d60: 030c0a93 addi s5,s8,48 +40002d64: 00100a13 li s4,1 +40002d68: 00069e63 bnez a3,40002d84 <_dtoa_r+0xc44> +40002d6c: 00050593 mv a1,a0 +40002d70: 00a12c23 sw a0,24(sp) +40002d74: 000d0513 mv a0,s10 +40002d78: 309020ef jal ra,40005880 <__mcmp> +40002d7c: 01812783 lw a5,24(sp) +40002d80: 00050a13 mv s4,a0 +40002d84: 00078593 mv a1,a5 +40002d88: 000d8513 mv a0,s11 +40002d8c: 2d8020ef jal ra,40005064 <_Bfree> +40002d90: 019a67b3 or a5,s4,s9 +40002d94: 00079663 bnez a5,40002da0 <_dtoa_r+0xc60> +40002d98: 02412783 lw a5,36(sp) +40002d9c: 2c078a63 beqz a5,40003070 <_dtoa_r+0xf30> +40002da0: 2e094c63 bltz s2,40003098 <_dtoa_r+0xf58> +40002da4: 01996933 or s2,s2,s9 +40002da8: 00091663 bnez s2,40002db4 <_dtoa_r+0xc74> +40002dac: 02412783 lw a5,36(sp) +40002db0: 2e078463 beqz a5,40003098 <_dtoa_r+0xf58> +40002db4: 77404263 bgtz s4,40003518 <_dtoa_r+0x13d8> +40002db8: 02c12783 lw a5,44(sp) +40002dbc: ff540fa3 sb s5,-1(s0) +40002dc0: 00040a13 mv s4,s0 +40002dc4: 76878263 beq a5,s0,40003528 <_dtoa_r+0x13e8> +40002dc8: 000d0593 mv a1,s10 +40002dcc: 00000693 li a3,0 +40002dd0: 000b8613 mv a2,s7 +40002dd4: 000d8513 mv a0,s11 +40002dd8: 2b0020ef jal ra,40005088 <__multadd> +40002ddc: 00050d13 mv s10,a0 +40002de0: 00000693 li a3,0 +40002de4: 000b8613 mv a2,s7 +40002de8: 00048593 mv a1,s1 +40002dec: 000d8513 mv a0,s11 +40002df0: 2f648e63 beq s1,s6,400030ec <_dtoa_r+0xfac> +40002df4: 294020ef jal ra,40005088 <__multadd> +40002df8: 000b0593 mv a1,s6 +40002dfc: 00050493 mv s1,a0 +40002e00: 00000693 li a3,0 +40002e04: 000b8613 mv a2,s7 +40002e08: 000d8513 mv a0,s11 +40002e0c: 27c020ef jal ra,40005088 <__multadd> +40002e10: 00050b13 mv s6,a0 +40002e14: 00140413 addi s0,s0,1 +40002e18: f09ff06f j 40002d20 <_dtoa_r+0xbe0> +40002e1c: 01c00793 li a5,28 +40002e20: 00fc0c33 add s8,s8,a5 +40002e24: 00fa8ab3 add s5,s5,a5 +40002e28: 00fb8bb3 add s7,s7,a5 +40002e2c: e6dff06f j 40002c98 <_dtoa_r+0xb58> +40002e30: 00098593 mv a1,s3 +40002e34: 000d0513 mv a0,s10 +40002e38: 249020ef jal ra,40005880 <__mcmp> +40002e3c: e8055ae3 bgez a0,40002cd0 <_dtoa_r+0xb90> +40002e40: 000d0593 mv a1,s10 +40002e44: 00000693 li a3,0 +40002e48: 00a00613 li a2,10 +40002e4c: 000d8513 mv a0,s11 +40002e50: 238020ef jal ra,40005088 <__multadd> +40002e54: 01012783 lw a5,16(sp) +40002e58: 00050d13 mv s10,a0 +40002e5c: fff78793 addi a5,a5,-1 +40002e60: 00f12823 sw a5,16(sp) +40002e64: 02412783 lw a5,36(sp) +40002e68: 7e079463 bnez a5,40003650 <_dtoa_r+0x1510> +40002e6c: 03812783 lw a5,56(sp) +40002e70: 00f04863 bgtz a5,40002e80 <_dtoa_r+0xd40> +40002e74: 00200793 li a5,2 +40002e78: 0197cae3 blt a5,s9,4000368c <_dtoa_r+0x154c> +40002e7c: 03812783 lw a5,56(sp) +40002e80: 00f12c23 sw a5,24(sp) +40002e84: 02012b03 lw s6,32(sp) +40002e88: 00a00913 li s2,10 +40002e8c: 01812a03 lw s4,24(sp) +40002e90: 000b0413 mv s0,s6 +40002e94: 00c0006f j 40002ea0 <_dtoa_r+0xd60> +40002e98: 1f0020ef jal ra,40005088 <__multadd> +40002e9c: 00050d13 mv s10,a0 +40002ea0: 00098593 mv a1,s3 +40002ea4: 000d0513 mv a0,s10 +40002ea8: 8b0ff0ef jal ra,40001f58 +40002eac: 00140413 addi s0,s0,1 +40002eb0: 03050a93 addi s5,a0,48 +40002eb4: ff540fa3 sb s5,-1(s0) +40002eb8: 416407b3 sub a5,s0,s6 +40002ebc: 00000693 li a3,0 +40002ec0: 00090613 mv a2,s2 +40002ec4: 000d0593 mv a1,s10 +40002ec8: 000d8513 mv a0,s11 +40002ecc: fd47c6e3 blt a5,s4,40002e98 <_dtoa_r+0xd58> +40002ed0: 01812783 lw a5,24(sp) +40002ed4: 66f05663 blez a5,40003540 <_dtoa_r+0x1400> +40002ed8: 02012703 lw a4,32(sp) +40002edc: 00000413 li s0,0 +40002ee0: 00f70a33 add s4,a4,a5 +40002ee4: 000d0593 mv a1,s10 +40002ee8: 00100613 li a2,1 +40002eec: 000d8513 mv a0,s11 +40002ef0: 049020ef jal ra,40005738 <__lshift> +40002ef4: 00098593 mv a1,s3 +40002ef8: 00050d13 mv s10,a0 +40002efc: 185020ef jal ra,40005880 <__mcmp> +40002f00: 12a05663 blez a0,4000302c <_dtoa_r+0xeec> +40002f04: fffa4683 lbu a3,-1(s4) +40002f08: 03900613 li a2,57 +40002f0c: 02012783 lw a5,32(sp) +40002f10: 0100006f j 40002f20 <_dtoa_r+0xde0> +40002f14: 28f70263 beq a4,a5,40003198 <_dtoa_r+0x1058> +40002f18: fff74683 lbu a3,-1(a4) +40002f1c: 00070a13 mv s4,a4 +40002f20: fffa0713 addi a4,s4,-1 +40002f24: fec688e3 beq a3,a2,40002f14 <_dtoa_r+0xdd4> +40002f28: 00168693 addi a3,a3,1 +40002f2c: 00d70023 sb a3,0(a4) +40002f30: 00098593 mv a1,s3 +40002f34: 000d8513 mv a0,s11 +40002f38: 12c020ef jal ra,40005064 <_Bfree> +40002f3c: 8e0486e3 beqz s1,40002828 <_dtoa_r+0x6e8> +40002f40: 00040a63 beqz s0,40002f54 <_dtoa_r+0xe14> +40002f44: 00940863 beq s0,s1,40002f54 <_dtoa_r+0xe14> +40002f48: 00040593 mv a1,s0 +40002f4c: 000d8513 mv a0,s11 +40002f50: 114020ef jal ra,40005064 <_Bfree> +40002f54: 00048593 mv a1,s1 +40002f58: 000d8513 mv a0,s11 +40002f5c: 108020ef jal ra,40005064 <_Bfree> +40002f60: 8c9ff06f j 40002828 <_dtoa_r+0x6e8> +40002f64: 000b8793 mv a5,s7 +40002f68: bb5ff06f j 40002b1c <_dtoa_r+0x9dc> +40002f6c: c40a12e3 bnez s4,40002bb0 <_dtoa_r+0xa70> +40002f70: 00c41793 slli a5,s0,0xc +40002f74: 00000913 li s2,0 +40002f78: ce0792e3 bnez a5,40002c5c <_dtoa_r+0xb1c> +40002f7c: 7ff007b7 lui a5,0x7ff00 +40002f80: 00f47433 and s0,s0,a5 +40002f84: cc040ce3 beqz s0,40002c5c <_dtoa_r+0xb1c> +40002f88: 001c0c13 addi s8,s8,1 +40002f8c: 001b8b93 addi s7,s7,1 # fe100001 <_bss_end+0xbe0f3979> +40002f90: 00100913 li s2,1 +40002f94: cc9ff06f j 40002c5c <_dtoa_r+0xb1c> +40002f98: 00100793 li a5,1 +40002f9c: 02f12223 sw a5,36(sp) +40002fa0: 2d605663 blez s6,4000326c <_dtoa_r+0x112c> +40002fa4: 000b0613 mv a2,s6 +40002fa8: 000b0813 mv a6,s6 +40002fac: 03612c23 sw s6,56(sp) +40002fb0: 01612c23 sw s6,24(sp) +40002fb4: cccff06f j 40002480 <_dtoa_r+0x340> +40002fb8: 01812783 lw a5,24(sp) +40002fbc: 94f04ee3 bgtz a5,40002918 <_dtoa_r+0x7d8> +40002fc0: 26079e63 bnez a5,4000323c <_dtoa_r+0x10fc> +40002fc4: 4000c7b7 lui a5,0x4000c +40002fc8: c787a603 lw a2,-904(a5) # 4000bc78 <__clz_tab+0x144> +40002fcc: c7c7a683 lw a3,-900(a5) +40002fd0: 000c0513 mv a0,s8 +40002fd4: 000c8593 mv a1,s9 +40002fd8: 6e1060ef jal ra,40009eb8 <__muldf3> +40002fdc: 000a0613 mv a2,s4 +40002fe0: 00040693 mv a3,s0 +40002fe4: 4cd060ef jal ra,40009cb0 <__gedf2> +40002fe8: 00000993 li s3,0 +40002fec: 00000493 li s1,0 +40002ff0: 18054463 bltz a0,40003178 <_dtoa_r+0x1038> +40002ff4: 02012a03 lw s4,32(sp) +40002ff8: fffb4793 not a5,s6 +40002ffc: 00f12823 sw a5,16(sp) +40003000: 00098593 mv a1,s3 +40003004: 000d8513 mv a0,s11 +40003008: 05c020ef jal ra,40005064 <_Bfree> +4000300c: 80048ee3 beqz s1,40002828 <_dtoa_r+0x6e8> +40003010: f45ff06f j 40002f54 <_dtoa_r+0xe14> +40003014: 00098613 mv a2,s3 +40003018: 000d0593 mv a1,s10 +4000301c: 000d8513 mv a0,s11 +40003020: 5d0020ef jal ra,400055f0 <__pow5mult> +40003024: 00050d13 mv s10,a0 +40003028: b55ff06f j 40002b7c <_dtoa_r+0xa3c> +4000302c: 00051663 bnez a0,40003038 <_dtoa_r+0xef8> +40003030: 001afa93 andi s5,s5,1 +40003034: ec0a98e3 bnez s5,40002f04 <_dtoa_r+0xdc4> +40003038: 03000613 li a2,48 +4000303c: 0080006f j 40003044 <_dtoa_r+0xf04> +40003040: 00070a13 mv s4,a4 +40003044: fffa4783 lbu a5,-1(s4) +40003048: fffa0713 addi a4,s4,-1 +4000304c: fec78ae3 beq a5,a2,40003040 <_dtoa_r+0xf00> +40003050: ee1ff06f j 40002f30 <_dtoa_r+0xdf0> +40003054: 4e0a8a63 beqz s5,40003548 <_dtoa_r+0x1408> +40003058: 43378793 addi a5,a5,1075 +4000305c: 00098913 mv s2,s3 +40003060: 000c0a93 mv s5,s8 +40003064: a91ff06f j 40002af4 <_dtoa_r+0x9b4> +40003068: 00100613 li a2,1 +4000306c: c10ff06f j 4000247c <_dtoa_r+0x33c> +40003070: 03900793 li a5,57 +40003074: 04fa8863 beq s5,a5,400030c4 <_dtoa_r+0xf84> +40003078: 01205463 blez s2,40003080 <_dtoa_r+0xf40> +4000307c: 031c0a93 addi s5,s8,49 +40003080: 02812783 lw a5,40(sp) +40003084: 00048413 mv s0,s1 +40003088: 000b0493 mv s1,s6 +4000308c: 00178a13 addi s4,a5,1 +40003090: 01578023 sb s5,0(a5) +40003094: e9dff06f j 40002f30 <_dtoa_r+0xdf0> +40003098: ff4054e3 blez s4,40003080 <_dtoa_r+0xf40> +4000309c: 000d0593 mv a1,s10 +400030a0: 00100613 li a2,1 +400030a4: 000d8513 mv a0,s11 +400030a8: 690020ef jal ra,40005738 <__lshift> +400030ac: 00098593 mv a1,s3 +400030b0: 00050d13 mv s10,a0 +400030b4: 7cc020ef jal ra,40005880 <__mcmp> +400030b8: 58a05463 blez a0,40003640 <_dtoa_r+0x1500> +400030bc: 03900793 li a5,57 +400030c0: fafa9ee3 bne s5,a5,4000307c <_dtoa_r+0xf3c> +400030c4: 02812783 lw a5,40(sp) +400030c8: 03900713 li a4,57 +400030cc: 00048413 mv s0,s1 +400030d0: 00178a13 addi s4,a5,1 +400030d4: 00e78023 sb a4,0(a5) +400030d8: 000b0493 mv s1,s6 +400030dc: 03900693 li a3,57 +400030e0: e29ff06f j 40002f08 <_dtoa_r+0xdc8> +400030e4: b60a1ce3 bnez s4,40002c5c <_dtoa_r+0xb1c> +400030e8: e89ff06f j 40002f70 <_dtoa_r+0xe30> +400030ec: 79d010ef jal ra,40005088 <__multadd> +400030f0: 00050493 mv s1,a0 +400030f4: 00050b13 mv s6,a0 +400030f8: 00140413 addi s0,s0,1 +400030fc: c25ff06f j 40002d20 <_dtoa_r+0xbe0> +40003100: 00200793 li a5,2 +40003104: 02012223 sw zero,36(sp) +40003108: e8fc8ce3 beq s9,a5,40002fa0 <_dtoa_r+0xe60> +4000310c: 040da223 sw zero,68(s11) +40003110: 00000593 li a1,0 +40003114: 000d8513 mv a0,s11 +40003118: 6a9010ef jal ra,40004fc0 <_Balloc> +4000311c: fff00793 li a5,-1 +40003120: 02f12c23 sw a5,56(sp) +40003124: 00f12c23 sw a5,24(sp) +40003128: 00100793 li a5,1 +4000312c: 02a12023 sw a0,32(sp) +40003130: 04ada023 sw a0,64(s11) +40003134: 00000b13 li s6,0 +40003138: 02f12223 sw a5,36(sp) +4000313c: facff06f j 400028e8 <_dtoa_r+0x7a8> +40003140: 00200793 li a5,2 +40003144: b997dae3 ble s9,a5,40002cd8 <_dtoa_r+0xb98> +40003148: 01812783 lw a5,24(sp) +4000314c: ea0794e3 bnez a5,40002ff4 <_dtoa_r+0xeb4> +40003150: 00098593 mv a1,s3 +40003154: 00000693 li a3,0 +40003158: 00500613 li a2,5 +4000315c: 000d8513 mv a0,s11 +40003160: 729010ef jal ra,40005088 <__multadd> +40003164: 00050993 mv s3,a0 +40003168: 00050593 mv a1,a0 +4000316c: 000d0513 mv a0,s10 +40003170: 710020ef jal ra,40005880 <__mcmp> +40003174: e8a050e3 blez a0,40002ff4 <_dtoa_r+0xeb4> +40003178: 02012783 lw a5,32(sp) +4000317c: 03100713 li a4,49 +40003180: 00178a13 addi s4,a5,1 +40003184: 00e78023 sb a4,0(a5) +40003188: 01012783 lw a5,16(sp) +4000318c: 00178793 addi a5,a5,1 +40003190: 00f12823 sw a5,16(sp) +40003194: e6dff06f j 40003000 <_dtoa_r+0xec0> +40003198: 01012783 lw a5,16(sp) +4000319c: 03100713 li a4,49 +400031a0: 00178793 addi a5,a5,1 +400031a4: 00f12823 sw a5,16(sp) +400031a8: 02012783 lw a5,32(sp) +400031ac: 00e78023 sb a4,0(a5) +400031b0: d81ff06f j 40002f30 <_dtoa_r+0xdf0> +400031b4: 02012223 sw zero,36(sp) +400031b8: aa8ff06f j 40002460 <_dtoa_r+0x320> +400031bc: 00090513 mv a0,s2 +400031c0: 621070ef jal ra,4000afe0 <__floatsidf> +400031c4: 03012603 lw a2,48(sp) +400031c8: 03412683 lw a3,52(sp) +400031cc: fcc004b7 lui s1,0xfcc00 +400031d0: 4e9060ef jal ra,40009eb8 <__muldf3> +400031d4: 4000c7b7 lui a5,0x4000c +400031d8: c707a603 lw a2,-912(a5) # 4000bc70 <__clz_tab+0x13c> +400031dc: c747a683 lw a3,-908(a5) +400031e0: 0bd050ef jal ra,40008a9c <__adddf3> +400031e4: 00050413 mv s0,a0 +400031e8: 00b484b3 add s1,s1,a1 +400031ec: 4000c7b7 lui a5,0x4000c +400031f0: c787a603 lw a2,-904(a5) # 4000bc78 <__clz_tab+0x144> +400031f4: c7c7a683 lw a3,-900(a5) +400031f8: 03012503 lw a0,48(sp) +400031fc: 03412583 lw a1,52(sp) +40003200: 388070ef jal ra,4000a588 <__subdf3> +40003204: 00040613 mv a2,s0 +40003208: 00048693 mv a3,s1 +4000320c: 02a12823 sw a0,48(sp) +40003210: 02b12a23 sw a1,52(sp) +40003214: 29d060ef jal ra,40009cb0 <__gedf2> +40003218: 2ea04a63 bgtz a0,4000350c <_dtoa_r+0x13cc> +4000321c: 800007b7 lui a5,0x80000 +40003220: 03012503 lw a0,48(sp) +40003224: 03412583 lw a1,52(sp) +40003228: 00f4c4b3 xor s1,s1,a5 +4000322c: 00040613 mv a2,s0 +40003230: 00048693 mv a3,s1 +40003234: 381060ef jal ra,40009db4 <__ledf2> +40003238: 06055e63 bgez a0,400032b4 <_dtoa_r+0x1174> +4000323c: 00000993 li s3,0 +40003240: 00000493 li s1,0 +40003244: db1ff06f j 40002ff4 <_dtoa_r+0xeb4> +40003248: 02012503 lw a0,32(sp) +4000324c: fd5fe06f j 40002220 <_dtoa_r+0xe0> +40003250: 02c12783 lw a5,44(sp) +40003254: 413909b3 sub s3,s2,s3 +40003258: 013787b3 add a5,a5,s3 +4000325c: 02f12623 sw a5,44(sp) +40003260: 00090993 mv s3,s2 +40003264: 00000913 li s2,0 +40003268: 87dff06f j 40002ae4 <_dtoa_r+0x9a4> +4000326c: 040da223 sw zero,68(s11) +40003270: 00000593 li a1,0 +40003274: 000d8513 mv a0,s11 +40003278: 549010ef jal ra,40004fc0 <_Balloc> +4000327c: 00100b13 li s6,1 +40003280: 02a12023 sw a0,32(sp) +40003284: 04ada023 sw a0,64(s11) +40003288: 03612c23 sw s6,56(sp) +4000328c: 01612c23 sw s6,24(sp) +40003290: a40ff06f j 400024d0 <_dtoa_r+0x390> +40003294: 000b0d93 mv s11,s6 +40003298: 05c12c03 lw s8,92(sp) +4000329c: 06012983 lw s3,96(sp) +400032a0: 06412b83 lw s7,100(sp) +400032a4: 06812a83 lw s5,104(sp) +400032a8: 05812d03 lw s10,88(sp) +400032ac: 06c12c83 lw s9,108(sp) +400032b0: 04012b03 lw s6,64(sp) +400032b4: 03c12a03 lw s4,60(sp) +400032b8: 04812403 lw s0,72(sp) +400032bc: e2cff06f j 400028e8 <_dtoa_r+0x7a8> +400032c0: 01012783 lw a5,16(sp) +400032c4: 02912823 sw s1,48(sp) +400032c8: 02812a23 sw s0,52(sp) +400032cc: 00200913 li s2,2 +400032d0: ac078e63 beqz a5,400025ac <_dtoa_r+0x46c> +400032d4: 40f007b3 neg a5,a5 +400032d8: 00f7f713 andi a4,a5,15 +400032dc: 4000c6b7 lui a3,0x4000c +400032e0: 81868693 addi a3,a3,-2024 # 4000b818 <__mprec_tens> +400032e4: 00371713 slli a4,a4,0x3 +400032e8: 00d70733 add a4,a4,a3 +400032ec: 00072603 lw a2,0(a4) +400032f0: 00472683 lw a3,4(a4) +400032f4: 00040593 mv a1,s0 +400032f8: 00048513 mv a0,s1 +400032fc: 4047d413 srai s0,a5,0x4 +40003300: 3b9060ef jal ra,40009eb8 <__muldf3> +40003304: 02a12823 sw a0,48(sp) +40003308: 02b12a23 sw a1,52(sp) +4000330c: aa040063 beqz s0,400025ac <_dtoa_r+0x46c> +40003310: 4000c4b7 lui s1,0x4000c +40003314: 90848493 addi s1,s1,-1784 # 4000b908 <__mprec_bigtens> +40003318: 00050613 mv a2,a0 +4000331c: 00058693 mv a3,a1 +40003320: 00147793 andi a5,s0,1 +40003324: 00060513 mv a0,a2 +40003328: 40145413 srai s0,s0,0x1 +4000332c: 00068593 mv a1,a3 +40003330: 00078e63 beqz a5,4000334c <_dtoa_r+0x120c> +40003334: 0004a603 lw a2,0(s1) +40003338: 0044a683 lw a3,4(s1) +4000333c: 00190913 addi s2,s2,1 +40003340: 379060ef jal ra,40009eb8 <__muldf3> +40003344: 00050613 mv a2,a0 +40003348: 00058693 mv a3,a1 +4000334c: 00848493 addi s1,s1,8 +40003350: fc0418e3 bnez s0,40003320 <_dtoa_r+0x11e0> +40003354: 02c12823 sw a2,48(sp) +40003358: 02d12a23 sw a3,52(sp) +4000335c: a50ff06f j 400025ac <_dtoa_r+0x46c> +40003360: 02012783 lw a5,32(sp) +40003364: 03000713 li a4,48 +40003368: 00e78023 sb a4,0(a5) # 80000000 <_bss_end+0x3fff3978> +4000336c: 01012783 lw a5,16(sp) +40003370: fffa4403 lbu s0,-1(s4) +40003374: 00178793 addi a5,a5,1 +40003378: 00f12823 sw a5,16(sp) +4000337c: f3cff06f j 40002ab8 <_dtoa_r+0x978> +40003380: 0044a583 lw a1,4(s1) +40003384: 000d8513 mv a0,s11 +40003388: 439010ef jal ra,40004fc0 <_Balloc> +4000338c: 0104a603 lw a2,16(s1) +40003390: 00050413 mv s0,a0 +40003394: 00c48593 addi a1,s1,12 +40003398: 00260613 addi a2,a2,2 +4000339c: 00261613 slli a2,a2,0x2 +400033a0: 00c50513 addi a0,a0,12 +400033a4: 21d010ef jal ra,40004dc0 +400033a8: 00100613 li a2,1 +400033ac: 00040593 mv a1,s0 +400033b0: 000d8513 mv a0,s11 +400033b4: 384020ef jal ra,40005738 <__lshift> +400033b8: 00050b13 mv s6,a0 +400033bc: 945ff06f j 40002d00 <_dtoa_r+0xbc0> +400033c0: fff90793 addi a5,s2,-1 +400033c4: 4000c737 lui a4,0x4000c +400033c8: 81870713 addi a4,a4,-2024 # 4000b818 <__mprec_tens> +400033cc: 00379793 slli a5,a5,0x3 +400033d0: 00e787b3 add a5,a5,a4 +400033d4: 0007a503 lw a0,0(a5) +400033d8: 0047a583 lw a1,4(a5) +400033dc: 00040613 mv a2,s0 +400033e0: 00048693 mv a3,s1 +400033e4: 2d5060ef jal ra,40009eb8 <__muldf3> +400033e8: 04a12023 sw a0,64(sp) +400033ec: 04b12223 sw a1,68(sp) +400033f0: 03012503 lw a0,48(sp) +400033f4: 03412583 lw a1,52(sp) +400033f8: 4000c4b7 lui s1,0x4000c +400033fc: 361070ef jal ra,4000af5c <__fixdfsi> +40003400: 00050413 mv s0,a0 +40003404: 3dd070ef jal ra,4000afe0 <__floatsidf> +40003408: 00050613 mv a2,a0 +4000340c: 00058693 mv a3,a1 +40003410: 03012503 lw a0,48(sp) +40003414: 03412583 lw a1,52(sp) +40003418: 03040413 addi s0,s0,48 +4000341c: 16c070ef jal ra,4000a588 <__subdf3> +40003420: 02012783 lw a5,32(sp) +40003424: 00100713 li a4,1 +40003428: 00050813 mv a6,a0 +4000342c: 00878023 sb s0,0(a5) +40003430: 00058893 mv a7,a1 +40003434: 00e78a33 add s4,a5,a4 +40003438: 01278433 add s0,a5,s2 +4000343c: 08e90063 beq s2,a4,400034bc <_dtoa_r+0x137c> +40003440: c684a703 lw a4,-920(s1) # 4000bc68 <__clz_tab+0x134> +40003444: c6c4a783 lw a5,-916(s1) +40003448: 05612823 sw s6,80(sp) +4000344c: 02e12823 sw a4,48(sp) +40003450: 000a8b13 mv s6,s5 +40003454: 02f12a23 sw a5,52(sp) +40003458: 00098a93 mv s5,s3 +4000345c: 03012603 lw a2,48(sp) +40003460: 03412683 lw a3,52(sp) +40003464: 00080513 mv a0,a6 +40003468: 00088593 mv a1,a7 +4000346c: 24d060ef jal ra,40009eb8 <__muldf3> +40003470: 00058993 mv s3,a1 +40003474: 00050913 mv s2,a0 +40003478: 2e5070ef jal ra,4000af5c <__fixdfsi> +4000347c: 00050493 mv s1,a0 +40003480: 361070ef jal ra,4000afe0 <__floatsidf> +40003484: 00050613 mv a2,a0 +40003488: 00058693 mv a3,a1 +4000348c: 00090513 mv a0,s2 +40003490: 00098593 mv a1,s3 +40003494: 001a0a13 addi s4,s4,1 +40003498: 03048493 addi s1,s1,48 +4000349c: 0ec070ef jal ra,4000a588 <__subdf3> +400034a0: fe9a0fa3 sb s1,-1(s4) +400034a4: 00050813 mv a6,a0 +400034a8: 00058893 mv a7,a1 +400034ac: fa8a18e3 bne s4,s0,4000345c <_dtoa_r+0x131c> +400034b0: 000a8993 mv s3,s5 +400034b4: 000b0a93 mv s5,s6 +400034b8: 05012b03 lw s6,80(sp) +400034bc: 4000c437 lui s0,0x4000c +400034c0: c8042603 lw a2,-896(s0) # 4000bc80 <__clz_tab+0x14c> +400034c4: c8442683 lw a3,-892(s0) +400034c8: 04012503 lw a0,64(sp) +400034cc: 04412583 lw a1,68(sp) +400034d0: 03012823 sw a6,48(sp) +400034d4: 03112a23 sw a7,52(sp) +400034d8: 5c4050ef jal ra,40008a9c <__adddf3> +400034dc: 03012803 lw a6,48(sp) +400034e0: 03412883 lw a7,52(sp) +400034e4: 00080613 mv a2,a6 +400034e8: 00088693 mv a3,a7 +400034ec: 0c9060ef jal ra,40009db4 <__ledf2> +400034f0: 03012803 lw a6,48(sp) +400034f4: 03412883 lw a7,52(sp) +400034f8: 0e055263 bgez a0,400035dc <_dtoa_r+0x149c> +400034fc: 04c12783 lw a5,76(sp) +40003500: fffa4403 lbu s0,-1(s4) +40003504: 00f12823 sw a5,16(sp) +40003508: d90ff06f j 40002a98 <_dtoa_r+0x958> +4000350c: 00000993 li s3,0 +40003510: 00000493 li s1,0 +40003514: c65ff06f j 40003178 <_dtoa_r+0x1038> +40003518: 03900793 li a5,57 +4000351c: bafa84e3 beq s5,a5,400030c4 <_dtoa_r+0xf84> +40003520: 001a8a93 addi s5,s5,1 +40003524: b5dff06f j 40003080 <_dtoa_r+0xf40> +40003528: 00048413 mv s0,s1 +4000352c: 000b0493 mv s1,s6 +40003530: 9b5ff06f j 40002ee4 <_dtoa_r+0xda4> +40003534: 40ec0ab3 sub s5,s8,a4 +40003538: 00000793 li a5,0 +4000353c: db8ff06f j 40002af4 <_dtoa_r+0x9b4> +40003540: 00100793 li a5,1 +40003544: 995ff06f j 40002ed8 <_dtoa_r+0xd98> +40003548: 07812703 lw a4,120(sp) +4000354c: 03600793 li a5,54 +40003550: 00098913 mv s2,s3 +40003554: 40e787b3 sub a5,a5,a4 +40003558: 000c0a93 mv s5,s8 +4000355c: d98ff06f j 40002af4 <_dtoa_r+0x9b4> +40003560: 01812783 lw a5,24(sp) +40003564: c4078ce3 beqz a5,400031bc <_dtoa_r+0x107c> +40003568: 03812a03 lw s4,56(sp) +4000356c: d54054e3 blez s4,400032b4 <_dtoa_r+0x1174> +40003570: 4000c4b7 lui s1,0x4000c +40003574: 01012783 lw a5,16(sp) +40003578: c684a603 lw a2,-920(s1) # 4000bc68 <__clz_tab+0x134> +4000357c: c6c4a683 lw a3,-916(s1) +40003580: 03012503 lw a0,48(sp) +40003584: 03412583 lw a1,52(sp) +40003588: fff78793 addi a5,a5,-1 +4000358c: 04f12623 sw a5,76(sp) +40003590: 129060ef jal ra,40009eb8 <__muldf3> +40003594: 00050413 mv s0,a0 +40003598: 02a12823 sw a0,48(sp) +4000359c: 00190513 addi a0,s2,1 +400035a0: 00058493 mv s1,a1 +400035a4: 02b12a23 sw a1,52(sp) +400035a8: 239070ef jal ra,4000afe0 <__floatsidf> +400035ac: 00040613 mv a2,s0 +400035b0: 00048693 mv a3,s1 +400035b4: 105060ef jal ra,40009eb8 <__muldf3> +400035b8: 4000c7b7 lui a5,0x4000c +400035bc: c707a603 lw a2,-912(a5) # 4000bc70 <__clz_tab+0x13c> +400035c0: c747a683 lw a3,-908(a5) +400035c4: fcc004b7 lui s1,0xfcc00 +400035c8: 000a0913 mv s2,s4 +400035cc: 4d0050ef jal ra,40008a9c <__adddf3> +400035d0: 00050413 mv s0,a0 +400035d4: 00b484b3 add s1,s1,a1 +400035d8: 840ff06f j 40002618 <_dtoa_r+0x4d8> +400035dc: 04012603 lw a2,64(sp) +400035e0: 04412683 lw a3,68(sp) +400035e4: c8042503 lw a0,-896(s0) +400035e8: c8442583 lw a1,-892(s0) +400035ec: 03012823 sw a6,48(sp) +400035f0: 03112a23 sw a7,52(sp) +400035f4: 795060ef jal ra,4000a588 <__subdf3> +400035f8: 03012803 lw a6,48(sp) +400035fc: 03412883 lw a7,52(sp) +40003600: 00080613 mv a2,a6 +40003604: 00088693 mv a3,a7 +40003608: 6a8060ef jal ra,40009cb0 <__gedf2> +4000360c: 03000613 li a2,48 +40003610: 00a04663 bgtz a0,4000361c <_dtoa_r+0x14dc> +40003614: ca1ff06f j 400032b4 <_dtoa_r+0x1174> +40003618: 00070a13 mv s4,a4 +4000361c: fffa4783 lbu a5,-1(s4) +40003620: fffa0713 addi a4,s4,-1 +40003624: fec78ae3 beq a5,a2,40003618 <_dtoa_r+0x14d8> +40003628: 9f8ff06f j 40002820 <_dtoa_r+0x6e0> +4000362c: 05812d03 lw s10,88(sp) +40003630: 000b0d93 mv s11,s6 +40003634: 04c12783 lw a5,76(sp) +40003638: 00f12823 sw a5,16(sp) +4000363c: c5cff06f j 40002a98 <_dtoa_r+0x958> +40003640: a40510e3 bnez a0,40003080 <_dtoa_r+0xf40> +40003644: 001af793 andi a5,s5,1 +40003648: a2078ce3 beqz a5,40003080 <_dtoa_r+0xf40> +4000364c: a71ff06f j 400030bc <_dtoa_r+0xf7c> +40003650: 00048593 mv a1,s1 +40003654: 00000693 li a3,0 +40003658: 00a00613 li a2,10 +4000365c: 000d8513 mv a0,s11 +40003660: 229010ef jal ra,40005088 <__multadd> +40003664: 03812783 lw a5,56(sp) +40003668: 00050493 mv s1,a0 +4000366c: 00f05663 blez a5,40003678 <_dtoa_r+0x1538> +40003670: 00f12c23 sw a5,24(sp) +40003674: e6cff06f j 40002ce0 <_dtoa_r+0xba0> +40003678: 00200793 li a5,2 +4000367c: 0197c863 blt a5,s9,4000368c <_dtoa_r+0x154c> +40003680: 03812783 lw a5,56(sp) +40003684: 00f12c23 sw a5,24(sp) +40003688: e58ff06f j 40002ce0 <_dtoa_r+0xba0> +4000368c: 03812783 lw a5,56(sp) +40003690: 00f12c23 sw a5,24(sp) +40003694: ab5ff06f j 40003148 <_dtoa_r+0x1008> +40003698: e0d70063 beq a4,a3,40002c98 <_dtoa_r+0xb58> +4000369c: 03c00713 li a4,60 +400036a0: 40f707b3 sub a5,a4,a5 +400036a4: f7cff06f j 40002e20 <_dtoa_r+0xce0> + +400036a8 <__sflush_r>: +400036a8: 00c59783 lh a5,12(a1) +400036ac: fe010113 addi sp,sp,-32 +400036b0: 00912a23 sw s1,20(sp) +400036b4: 01079713 slli a4,a5,0x10 +400036b8: 01075713 srli a4,a4,0x10 +400036bc: 01312623 sw s3,12(sp) +400036c0: 00112e23 sw ra,28(sp) +400036c4: 00812c23 sw s0,24(sp) +400036c8: 01212823 sw s2,16(sp) +400036cc: 00877693 andi a3,a4,8 +400036d0: 00058493 mv s1,a1 +400036d4: 00050993 mv s3,a0 +400036d8: 10069a63 bnez a3,400037ec <__sflush_r+0x144> +400036dc: 00001737 lui a4,0x1 +400036e0: 80070713 addi a4,a4,-2048 # 800 <_stack_size> +400036e4: 0045a683 lw a3,4(a1) +400036e8: 00e7e7b3 or a5,a5,a4 +400036ec: 00f59623 sh a5,12(a1) +400036f0: 1ed05263 blez a3,400038d4 <__sflush_r+0x22c> +400036f4: 0284a803 lw a6,40(s1) # fcc00028 <_bss_end+0xbcbf39a0> +400036f8: 0c080a63 beqz a6,400037cc <__sflush_r+0x124> +400036fc: 01079793 slli a5,a5,0x10 +40003700: 0107d793 srli a5,a5,0x10 +40003704: 0009a403 lw s0,0(s3) +40003708: 01379713 slli a4,a5,0x13 +4000370c: 0009a023 sw zero,0(s3) +40003710: 1c075863 bgez a4,400038e0 <__sflush_r+0x238> +40003714: 0504a603 lw a2,80(s1) +40003718: 41f65693 srai a3,a2,0x1f +4000371c: 0047f793 andi a5,a5,4 +40003720: 04078263 beqz a5,40003764 <__sflush_r+0xbc> +40003724: 0044a783 lw a5,4(s1) +40003728: 0304a583 lw a1,48(s1) +4000372c: 40f60733 sub a4,a2,a5 +40003730: 41f7d793 srai a5,a5,0x1f +40003734: 00e63533 sltu a0,a2,a4 +40003738: 40f686b3 sub a3,a3,a5 +4000373c: 00070613 mv a2,a4 +40003740: 40a686b3 sub a3,a3,a0 +40003744: 02058063 beqz a1,40003764 <__sflush_r+0xbc> +40003748: 03c4a783 lw a5,60(s1) +4000374c: 40f70733 sub a4,a4,a5 +40003750: 41f7d793 srai a5,a5,0x1f +40003754: 00e635b3 sltu a1,a2,a4 +40003758: 40f686b3 sub a3,a3,a5 +4000375c: 00070613 mv a2,a4 +40003760: 40b686b3 sub a3,a3,a1 +40003764: 01c4a583 lw a1,28(s1) +40003768: 00000713 li a4,0 +4000376c: 00098513 mv a0,s3 +40003770: 000800e7 jalr a6 +40003774: fff00793 li a5,-1 +40003778: 0ef50c63 beq a0,a5,40003870 <__sflush_r+0x1c8> +4000377c: 00c4d783 lhu a5,12(s1) +40003780: fffff737 lui a4,0xfffff +40003784: 7ff70713 addi a4,a4,2047 # fffff7ff <_bss_end+0xbfff3177> +40003788: 00e7f7b3 and a5,a5,a4 +4000378c: 0104a683 lw a3,16(s1) +40003790: 01079793 slli a5,a5,0x10 +40003794: 4107d793 srai a5,a5,0x10 +40003798: 00f49623 sh a5,12(s1) +4000379c: 0004a223 sw zero,4(s1) +400037a0: 00d4a023 sw a3,0(s1) +400037a4: 01379713 slli a4,a5,0x13 +400037a8: 12074263 bltz a4,400038cc <__sflush_r+0x224> +400037ac: 0304a583 lw a1,48(s1) +400037b0: 0089a023 sw s0,0(s3) +400037b4: 00058c63 beqz a1,400037cc <__sflush_r+0x124> +400037b8: 04048793 addi a5,s1,64 +400037bc: 00f58663 beq a1,a5,400037c8 <__sflush_r+0x120> +400037c0: 00098513 mv a0,s3 +400037c4: 6c0000ef jal ra,40003e84 <_free_r> +400037c8: 0204a823 sw zero,48(s1) +400037cc: 00000513 li a0,0 +400037d0: 01c12083 lw ra,28(sp) +400037d4: 01812403 lw s0,24(sp) +400037d8: 01412483 lw s1,20(sp) +400037dc: 01012903 lw s2,16(sp) +400037e0: 00c12983 lw s3,12(sp) +400037e4: 02010113 addi sp,sp,32 +400037e8: 00008067 ret +400037ec: 0105a903 lw s2,16(a1) +400037f0: fc090ee3 beqz s2,400037cc <__sflush_r+0x124> +400037f4: 0005a403 lw s0,0(a1) +400037f8: 00377713 andi a4,a4,3 +400037fc: 0125a023 sw s2,0(a1) +40003800: 41240433 sub s0,s0,s2 +40003804: 00000793 li a5,0 +40003808: 00071463 bnez a4,40003810 <__sflush_r+0x168> +4000380c: 0145a783 lw a5,20(a1) +40003810: 00f4a423 sw a5,8(s1) +40003814: 00804863 bgtz s0,40003824 <__sflush_r+0x17c> +40003818: fb5ff06f j 400037cc <__sflush_r+0x124> +4000381c: 00a90933 add s2,s2,a0 +40003820: fa8056e3 blez s0,400037cc <__sflush_r+0x124> +40003824: 0244a783 lw a5,36(s1) +40003828: 01c4a583 lw a1,28(s1) +4000382c: 00040693 mv a3,s0 +40003830: 00090613 mv a2,s2 +40003834: 00098513 mv a0,s3 +40003838: 000780e7 jalr a5 +4000383c: 40a40433 sub s0,s0,a0 +40003840: fca04ee3 bgtz a0,4000381c <__sflush_r+0x174> +40003844: 00c4d783 lhu a5,12(s1) +40003848: 01c12083 lw ra,28(sp) +4000384c: fff00513 li a0,-1 +40003850: 0407e793 ori a5,a5,64 +40003854: 00f49623 sh a5,12(s1) +40003858: 01812403 lw s0,24(sp) +4000385c: 01412483 lw s1,20(sp) +40003860: 01012903 lw s2,16(sp) +40003864: 00c12983 lw s3,12(sp) +40003868: 02010113 addi sp,sp,32 +4000386c: 00008067 ret +40003870: f0a596e3 bne a1,a0,4000377c <__sflush_r+0xd4> +40003874: 0009a683 lw a3,0(s3) +40003878: 01d00793 li a5,29 +4000387c: fcd7e4e3 bltu a5,a3,40003844 <__sflush_r+0x19c> +40003880: 204007b7 lui a5,0x20400 +40003884: 00178793 addi a5,a5,1 # 20400001 <_heap_size+0x203fe001> +40003888: 00d7d7b3 srl a5,a5,a3 +4000388c: fff7c793 not a5,a5 +40003890: 0017f793 andi a5,a5,1 +40003894: fa0798e3 bnez a5,40003844 <__sflush_r+0x19c> +40003898: 00c4d783 lhu a5,12(s1) +4000389c: fffff737 lui a4,0xfffff +400038a0: 7ff70713 addi a4,a4,2047 # fffff7ff <_bss_end+0xbfff3177> +400038a4: 00e7f7b3 and a5,a5,a4 +400038a8: 0104a603 lw a2,16(s1) +400038ac: 01079793 slli a5,a5,0x10 +400038b0: 4107d793 srai a5,a5,0x10 +400038b4: 00f49623 sh a5,12(s1) +400038b8: 0004a223 sw zero,4(s1) +400038bc: 00c4a023 sw a2,0(s1) +400038c0: 01379713 slli a4,a5,0x13 +400038c4: ee0754e3 bgez a4,400037ac <__sflush_r+0x104> +400038c8: ee0692e3 bnez a3,400037ac <__sflush_r+0x104> +400038cc: 04a4a823 sw a0,80(s1) +400038d0: eddff06f j 400037ac <__sflush_r+0x104> +400038d4: 03c5a703 lw a4,60(a1) +400038d8: e0e04ee3 bgtz a4,400036f4 <__sflush_r+0x4c> +400038dc: ef1ff06f j 400037cc <__sflush_r+0x124> +400038e0: 01c4a583 lw a1,28(s1) +400038e4: 00000613 li a2,0 +400038e8: 00000693 li a3,0 +400038ec: 00100713 li a4,1 +400038f0: 00098513 mv a0,s3 +400038f4: 000800e7 jalr a6 +400038f8: fff00793 li a5,-1 +400038fc: 00050613 mv a2,a0 +40003900: 00058693 mv a3,a1 +40003904: 00f50863 beq a0,a5,40003914 <__sflush_r+0x26c> +40003908: 00c4d783 lhu a5,12(s1) +4000390c: 0284a803 lw a6,40(s1) +40003910: e0dff06f j 4000371c <__sflush_r+0x74> +40003914: fea59ae3 bne a1,a0,40003908 <__sflush_r+0x260> +40003918: 0009a783 lw a5,0(s3) +4000391c: fe0786e3 beqz a5,40003908 <__sflush_r+0x260> +40003920: 01d00713 li a4,29 +40003924: 00e78663 beq a5,a4,40003930 <__sflush_r+0x288> +40003928: 01600713 li a4,22 +4000392c: f0e79ce3 bne a5,a4,40003844 <__sflush_r+0x19c> +40003930: 0089a023 sw s0,0(s3) +40003934: 00000513 li a0,0 +40003938: e99ff06f j 400037d0 <__sflush_r+0x128> + +4000393c <_fflush_r>: +4000393c: fe010113 addi sp,sp,-32 +40003940: 00812c23 sw s0,24(sp) +40003944: 00112e23 sw ra,28(sp) +40003948: 00050413 mv s0,a0 +4000394c: 00050663 beqz a0,40003958 <_fflush_r+0x1c> +40003950: 03852783 lw a5,56(a0) +40003954: 02078a63 beqz a5,40003988 <_fflush_r+0x4c> +40003958: 00c59783 lh a5,12(a1) +4000395c: 00079c63 bnez a5,40003974 <_fflush_r+0x38> +40003960: 01c12083 lw ra,28(sp) +40003964: 00000513 li a0,0 +40003968: 01812403 lw s0,24(sp) +4000396c: 02010113 addi sp,sp,32 +40003970: 00008067 ret +40003974: 00040513 mv a0,s0 +40003978: 01c12083 lw ra,28(sp) +4000397c: 01812403 lw s0,24(sp) +40003980: 02010113 addi sp,sp,32 +40003984: d25ff06f j 400036a8 <__sflush_r> +40003988: 00b12623 sw a1,12(sp) +4000398c: 374000ef jal ra,40003d00 <__sinit> +40003990: 00c12583 lw a1,12(sp) +40003994: fc5ff06f j 40003958 <_fflush_r+0x1c> + +40003998 : +40003998: 00050593 mv a1,a0 +4000399c: 00050863 beqz a0,400039ac +400039a0: 4000c7b7 lui a5,0x4000c +400039a4: 62c7a503 lw a0,1580(a5) # 4000c62c <_impure_ptr> +400039a8: f95ff06f j 4000393c <_fflush_r> +400039ac: 4000c7b7 lui a5,0x4000c +400039b0: 6287a503 lw a0,1576(a5) # 4000c628 <_global_impure_ptr> +400039b4: 400045b7 lui a1,0x40004 +400039b8: 93c58593 addi a1,a1,-1732 # 4000393c <_fflush_r> +400039bc: 0750006f j 40004230 <_fwalk_reent> + +400039c0 <__fp_unlock>: +400039c0: 00000513 li a0,0 +400039c4: 00008067 ret + +400039c8 <_cleanup_r>: +400039c8: 400075b7 lui a1,0x40007 +400039cc: 5fc58593 addi a1,a1,1532 # 400075fc <_fclose_r> +400039d0: 0610006f j 40004230 <_fwalk_reent> + +400039d4 <__sinit.part.1>: +400039d4: fe010113 addi sp,sp,-32 +400039d8: 400047b7 lui a5,0x40004 +400039dc: 00112e23 sw ra,28(sp) +400039e0: 00812c23 sw s0,24(sp) +400039e4: 00912a23 sw s1,20(sp) +400039e8: 00452403 lw s0,4(a0) +400039ec: 01212823 sw s2,16(sp) +400039f0: 01312623 sw s3,12(sp) +400039f4: 01412423 sw s4,8(sp) +400039f8: 01512223 sw s5,4(sp) +400039fc: 01612023 sw s6,0(sp) +40003a00: 9c878793 addi a5,a5,-1592 # 400039c8 <_cleanup_r> +40003a04: 02f52e23 sw a5,60(a0) +40003a08: 2ec50713 addi a4,a0,748 +40003a0c: 00300793 li a5,3 +40003a10: 2ee52423 sw a4,744(a0) +40003a14: 2ef52223 sw a5,740(a0) +40003a18: 2e052023 sw zero,736(a0) +40003a1c: 00400793 li a5,4 +40003a20: 00050913 mv s2,a0 +40003a24: 00f41623 sh a5,12(s0) +40003a28: 00800613 li a2,8 +40003a2c: 00000593 li a1,0 +40003a30: 00042023 sw zero,0(s0) +40003a34: 00042223 sw zero,4(s0) +40003a38: 00042423 sw zero,8(s0) +40003a3c: 06042223 sw zero,100(s0) +40003a40: 00041723 sh zero,14(s0) +40003a44: 00042823 sw zero,16(s0) +40003a48: 00042a23 sw zero,20(s0) +40003a4c: 00042c23 sw zero,24(s0) +40003a50: 05c40513 addi a0,s0,92 +40003a54: 488010ef jal ra,40004edc +40003a58: 40006b37 lui s6,0x40006 +40003a5c: 00892483 lw s1,8(s2) +40003a60: 40006ab7 lui s5,0x40006 +40003a64: 40006a37 lui s4,0x40006 +40003a68: 400069b7 lui s3,0x40006 +40003a6c: 034b0b13 addi s6,s6,52 # 40006034 <__sread> +40003a70: 098a8a93 addi s5,s5,152 # 40006098 <__swrite> +40003a74: 11ca0a13 addi s4,s4,284 # 4000611c <__sseek> +40003a78: 19098993 addi s3,s3,400 # 40006190 <__sclose> +40003a7c: 03642023 sw s6,32(s0) +40003a80: 03542223 sw s5,36(s0) +40003a84: 03442423 sw s4,40(s0) +40003a88: 03342623 sw s3,44(s0) +40003a8c: 00842e23 sw s0,28(s0) +40003a90: 00900793 li a5,9 +40003a94: 00f49623 sh a5,12(s1) +40003a98: 00100793 li a5,1 +40003a9c: 00f49723 sh a5,14(s1) +40003aa0: 00800613 li a2,8 +40003aa4: 00000593 li a1,0 +40003aa8: 0004a023 sw zero,0(s1) +40003aac: 0004a223 sw zero,4(s1) +40003ab0: 0004a423 sw zero,8(s1) +40003ab4: 0604a223 sw zero,100(s1) +40003ab8: 0004a823 sw zero,16(s1) +40003abc: 0004aa23 sw zero,20(s1) +40003ac0: 0004ac23 sw zero,24(s1) +40003ac4: 05c48513 addi a0,s1,92 +40003ac8: 414010ef jal ra,40004edc +40003acc: 00c92403 lw s0,12(s2) +40003ad0: 01200793 li a5,18 +40003ad4: 0364a023 sw s6,32(s1) +40003ad8: 0354a223 sw s5,36(s1) +40003adc: 0344a423 sw s4,40(s1) +40003ae0: 0334a623 sw s3,44(s1) +40003ae4: 0094ae23 sw s1,28(s1) +40003ae8: 00f41623 sh a5,12(s0) +40003aec: 00200793 li a5,2 +40003af0: 00f41723 sh a5,14(s0) +40003af4: 00042023 sw zero,0(s0) +40003af8: 00042223 sw zero,4(s0) +40003afc: 00042423 sw zero,8(s0) +40003b00: 06042223 sw zero,100(s0) +40003b04: 00042823 sw zero,16(s0) +40003b08: 00042a23 sw zero,20(s0) +40003b0c: 00042c23 sw zero,24(s0) +40003b10: 05c40513 addi a0,s0,92 +40003b14: 00800613 li a2,8 +40003b18: 00000593 li a1,0 +40003b1c: 3c0010ef jal ra,40004edc +40003b20: 01c12083 lw ra,28(sp) +40003b24: 03642023 sw s6,32(s0) +40003b28: 03542223 sw s5,36(s0) +40003b2c: 03442423 sw s4,40(s0) +40003b30: 03342623 sw s3,44(s0) +40003b34: 00842e23 sw s0,28(s0) +40003b38: 00100793 li a5,1 +40003b3c: 02f92c23 sw a5,56(s2) +40003b40: 01812403 lw s0,24(sp) +40003b44: 01412483 lw s1,20(sp) +40003b48: 01012903 lw s2,16(sp) +40003b4c: 00c12983 lw s3,12(sp) +40003b50: 00812a03 lw s4,8(sp) +40003b54: 00412a83 lw s5,4(sp) +40003b58: 00012b03 lw s6,0(sp) +40003b5c: 02010113 addi sp,sp,32 +40003b60: 00008067 ret + +40003b64 <__fp_lock>: +40003b64: 00000513 li a0,0 +40003b68: 00008067 ret + +40003b6c <__sfmoreglue>: +40003b6c: ff010113 addi sp,sp,-16 +40003b70: 00912223 sw s1,4(sp) +40003b74: 06800613 li a2,104 +40003b78: fff58493 addi s1,a1,-1 +40003b7c: 02c484b3 mul s1,s1,a2 +40003b80: 01212023 sw s2,0(sp) +40003b84: 00058913 mv s2,a1 +40003b88: 00812423 sw s0,8(sp) +40003b8c: 00112623 sw ra,12(sp) +40003b90: 07448593 addi a1,s1,116 +40003b94: 205000ef jal ra,40004598 <_malloc_r> +40003b98: 00050413 mv s0,a0 +40003b9c: 02050063 beqz a0,40003bbc <__sfmoreglue+0x50> +40003ba0: 00c50513 addi a0,a0,12 +40003ba4: 00042023 sw zero,0(s0) +40003ba8: 01242223 sw s2,4(s0) +40003bac: 00a42423 sw a0,8(s0) +40003bb0: 06848613 addi a2,s1,104 +40003bb4: 00000593 li a1,0 +40003bb8: 324010ef jal ra,40004edc +40003bbc: 00c12083 lw ra,12(sp) +40003bc0: 00040513 mv a0,s0 +40003bc4: 00412483 lw s1,4(sp) +40003bc8: 00812403 lw s0,8(sp) +40003bcc: 00012903 lw s2,0(sp) +40003bd0: 01010113 addi sp,sp,16 +40003bd4: 00008067 ret + +40003bd8 <__sfp>: +40003bd8: fe010113 addi sp,sp,-32 +40003bdc: 4000c7b7 lui a5,0x4000c +40003be0: 01212823 sw s2,16(sp) +40003be4: 6287a903 lw s2,1576(a5) # 4000c628 <_global_impure_ptr> +40003be8: 01312623 sw s3,12(sp) +40003bec: 00112e23 sw ra,28(sp) +40003bf0: 03892783 lw a5,56(s2) +40003bf4: 00812c23 sw s0,24(sp) +40003bf8: 00912a23 sw s1,20(sp) +40003bfc: 01412423 sw s4,8(sp) +40003c00: 00050993 mv s3,a0 +40003c04: 0a078c63 beqz a5,40003cbc <__sfp+0xe4> +40003c08: 2e090913 addi s2,s2,736 +40003c0c: fff00493 li s1,-1 +40003c10: 00400a13 li s4,4 +40003c14: 00492783 lw a5,4(s2) +40003c18: 00892403 lw s0,8(s2) +40003c1c: fff78793 addi a5,a5,-1 +40003c20: 0007da63 bgez a5,40003c34 <__sfp+0x5c> +40003c24: 0880006f j 40003cac <__sfp+0xd4> +40003c28: fff78793 addi a5,a5,-1 +40003c2c: 06840413 addi s0,s0,104 +40003c30: 06978e63 beq a5,s1,40003cac <__sfp+0xd4> +40003c34: 00c41703 lh a4,12(s0) +40003c38: fe0718e3 bnez a4,40003c28 <__sfp+0x50> +40003c3c: fff00793 li a5,-1 +40003c40: 00f41723 sh a5,14(s0) +40003c44: 00100793 li a5,1 +40003c48: 00f41623 sh a5,12(s0) +40003c4c: 06042223 sw zero,100(s0) +40003c50: 00042023 sw zero,0(s0) +40003c54: 00042423 sw zero,8(s0) +40003c58: 00042223 sw zero,4(s0) +40003c5c: 00042823 sw zero,16(s0) +40003c60: 00042a23 sw zero,20(s0) +40003c64: 00042c23 sw zero,24(s0) +40003c68: 00800613 li a2,8 +40003c6c: 00000593 li a1,0 +40003c70: 05c40513 addi a0,s0,92 +40003c74: 268010ef jal ra,40004edc +40003c78: 02042823 sw zero,48(s0) +40003c7c: 02042a23 sw zero,52(s0) +40003c80: 04042223 sw zero,68(s0) +40003c84: 04042423 sw zero,72(s0) +40003c88: 01c12083 lw ra,28(sp) +40003c8c: 00040513 mv a0,s0 +40003c90: 01412483 lw s1,20(sp) +40003c94: 01812403 lw s0,24(sp) +40003c98: 01012903 lw s2,16(sp) +40003c9c: 00c12983 lw s3,12(sp) +40003ca0: 00812a03 lw s4,8(sp) +40003ca4: 02010113 addi sp,sp,32 +40003ca8: 00008067 ret +40003cac: 00092503 lw a0,0(s2) +40003cb0: 00050c63 beqz a0,40003cc8 <__sfp+0xf0> +40003cb4: 00050913 mv s2,a0 +40003cb8: f5dff06f j 40003c14 <__sfp+0x3c> +40003cbc: 00090513 mv a0,s2 +40003cc0: d15ff0ef jal ra,400039d4 <__sinit.part.1> +40003cc4: f45ff06f j 40003c08 <__sfp+0x30> +40003cc8: 000a0593 mv a1,s4 +40003ccc: 00098513 mv a0,s3 +40003cd0: e9dff0ef jal ra,40003b6c <__sfmoreglue> +40003cd4: 00a92023 sw a0,0(s2) +40003cd8: fc051ee3 bnez a0,40003cb4 <__sfp+0xdc> +40003cdc: 00c00793 li a5,12 +40003ce0: 00f9a023 sw a5,0(s3) +40003ce4: 00000413 li s0,0 +40003ce8: fa1ff06f j 40003c88 <__sfp+0xb0> + +40003cec <_cleanup>: +40003cec: 4000c7b7 lui a5,0x4000c +40003cf0: 6287a503 lw a0,1576(a5) # 4000c628 <_global_impure_ptr> +40003cf4: 400075b7 lui a1,0x40007 +40003cf8: 5fc58593 addi a1,a1,1532 # 400075fc <_fclose_r> +40003cfc: 5340006f j 40004230 <_fwalk_reent> + +40003d00 <__sinit>: +40003d00: 03852783 lw a5,56(a0) +40003d04: 00078463 beqz a5,40003d0c <__sinit+0xc> +40003d08: 00008067 ret +40003d0c: cc9ff06f j 400039d4 <__sinit.part.1> + +40003d10 <__sfp_lock_acquire>: +40003d10: 00008067 ret + +40003d14 <__sfp_lock_release>: +40003d14: 00008067 ret + +40003d18 <__sinit_lock_acquire>: +40003d18: 00008067 ret + +40003d1c <__sinit_lock_release>: +40003d1c: 00008067 ret + +40003d20 <__fp_lock_all>: +40003d20: 4000c7b7 lui a5,0x4000c +40003d24: 62c7a503 lw a0,1580(a5) # 4000c62c <_impure_ptr> +40003d28: 400045b7 lui a1,0x40004 +40003d2c: b6458593 addi a1,a1,-1180 # 40003b64 <__fp_lock> +40003d30: 4500006f j 40004180 <_fwalk> + +40003d34 <__fp_unlock_all>: +40003d34: 4000c7b7 lui a5,0x4000c +40003d38: 62c7a503 lw a0,1580(a5) # 4000c62c <_impure_ptr> +40003d3c: 400045b7 lui a1,0x40004 +40003d40: 9c058593 addi a1,a1,-1600 # 400039c0 <__fp_unlock> +40003d44: 43c0006f j 40004180 <_fwalk> + +40003d48 <_malloc_trim_r>: +40003d48: fe010113 addi sp,sp,-32 +40003d4c: 01212823 sw s2,16(sp) +40003d50: 4000c937 lui s2,0x4000c +40003d54: 00812c23 sw s0,24(sp) +40003d58: 00912a23 sw s1,20(sp) +40003d5c: 01312623 sw s3,12(sp) +40003d60: 01412423 sw s4,8(sp) +40003d64: 00112e23 sw ra,28(sp) +40003d68: 00058a13 mv s4,a1 +40003d6c: 00050993 mv s3,a0 +40003d70: 22090913 addi s2,s2,544 # 4000c220 <__malloc_av_> +40003d74: 244010ef jal ra,40004fb8 <__malloc_lock> +40003d78: 00892703 lw a4,8(s2) +40003d7c: 000017b7 lui a5,0x1 +40003d80: fef78413 addi s0,a5,-17 # fef <_stack_size+0x7ef> +40003d84: 00472483 lw s1,4(a4) +40003d88: 41440433 sub s0,s0,s4 +40003d8c: ffc4f493 andi s1,s1,-4 +40003d90: 00940433 add s0,s0,s1 +40003d94: 00c45413 srli s0,s0,0xc +40003d98: fff40413 addi s0,s0,-1 +40003d9c: 00c41413 slli s0,s0,0xc +40003da0: 00f44e63 blt s0,a5,40003dbc <_malloc_trim_r+0x74> +40003da4: 00000593 li a1,0 +40003da8: 00098513 mv a0,s3 +40003dac: 228020ef jal ra,40005fd4 <_sbrk_r> +40003db0: 00892783 lw a5,8(s2) +40003db4: 009787b3 add a5,a5,s1 +40003db8: 02f50863 beq a0,a5,40003de8 <_malloc_trim_r+0xa0> +40003dbc: 00098513 mv a0,s3 +40003dc0: 1fc010ef jal ra,40004fbc <__malloc_unlock> +40003dc4: 01c12083 lw ra,28(sp) +40003dc8: 00000513 li a0,0 +40003dcc: 01812403 lw s0,24(sp) +40003dd0: 01412483 lw s1,20(sp) +40003dd4: 01012903 lw s2,16(sp) +40003dd8: 00c12983 lw s3,12(sp) +40003ddc: 00812a03 lw s4,8(sp) +40003de0: 02010113 addi sp,sp,32 +40003de4: 00008067 ret +40003de8: 408005b3 neg a1,s0 +40003dec: 00098513 mv a0,s3 +40003df0: 1e4020ef jal ra,40005fd4 <_sbrk_r> +40003df4: fff00793 li a5,-1 +40003df8: 04f50863 beq a0,a5,40003e48 <_malloc_trim_r+0x100> +40003dfc: 4000c737 lui a4,0x4000c +40003e00: 65c72783 lw a5,1628(a4) # 4000c65c <__malloc_current_mallinfo> +40003e04: 00892683 lw a3,8(s2) +40003e08: 408484b3 sub s1,s1,s0 +40003e0c: 0014e493 ori s1,s1,1 +40003e10: 40878433 sub s0,a5,s0 +40003e14: 00098513 mv a0,s3 +40003e18: 0096a223 sw s1,4(a3) +40003e1c: 64872e23 sw s0,1628(a4) +40003e20: 19c010ef jal ra,40004fbc <__malloc_unlock> +40003e24: 01c12083 lw ra,28(sp) +40003e28: 00100513 li a0,1 +40003e2c: 01812403 lw s0,24(sp) +40003e30: 01412483 lw s1,20(sp) +40003e34: 01012903 lw s2,16(sp) +40003e38: 00c12983 lw s3,12(sp) +40003e3c: 00812a03 lw s4,8(sp) +40003e40: 02010113 addi sp,sp,32 +40003e44: 00008067 ret +40003e48: 00000593 li a1,0 +40003e4c: 00098513 mv a0,s3 +40003e50: 184020ef jal ra,40005fd4 <_sbrk_r> +40003e54: 00892703 lw a4,8(s2) +40003e58: 00f00693 li a3,15 +40003e5c: 40e507b3 sub a5,a0,a4 +40003e60: f4f6dee3 ble a5,a3,40003dbc <_malloc_trim_r+0x74> +40003e64: 4000c6b7 lui a3,0x4000c +40003e68: 6346a683 lw a3,1588(a3) # 4000c634 <__malloc_sbrk_base> +40003e6c: 0017e793 ori a5,a5,1 +40003e70: 00f72223 sw a5,4(a4) +40003e74: 40d50533 sub a0,a0,a3 +40003e78: 4000c6b7 lui a3,0x4000c +40003e7c: 64a6ae23 sw a0,1628(a3) # 4000c65c <__malloc_current_mallinfo> +40003e80: f3dff06f j 40003dbc <_malloc_trim_r+0x74> + +40003e84 <_free_r>: +40003e84: 0e058e63 beqz a1,40003f80 <_free_r+0xfc> +40003e88: ff010113 addi sp,sp,-16 +40003e8c: 00812423 sw s0,8(sp) +40003e90: 00912223 sw s1,4(sp) +40003e94: 00058413 mv s0,a1 +40003e98: 00050493 mv s1,a0 +40003e9c: 00112623 sw ra,12(sp) +40003ea0: 118010ef jal ra,40004fb8 <__malloc_lock> +40003ea4: ffc42503 lw a0,-4(s0) +40003ea8: ff840693 addi a3,s0,-8 +40003eac: 4000c5b7 lui a1,0x4000c +40003eb0: ffe57793 andi a5,a0,-2 +40003eb4: 00f68633 add a2,a3,a5 +40003eb8: 22058593 addi a1,a1,544 # 4000c220 <__malloc_av_> +40003ebc: 00462703 lw a4,4(a2) +40003ec0: 0085a803 lw a6,8(a1) +40003ec4: ffc77713 andi a4,a4,-4 +40003ec8: 15060e63 beq a2,a6,40004024 <_free_r+0x1a0> +40003ecc: 00e62223 sw a4,4(a2) +40003ed0: 00157513 andi a0,a0,1 +40003ed4: 02051663 bnez a0,40003f00 <_free_r+0x7c> +40003ed8: ff842883 lw a7,-8(s0) +40003edc: 4000c537 lui a0,0x4000c +40003ee0: 22850513 addi a0,a0,552 # 4000c228 <__malloc_av_+0x8> +40003ee4: 411686b3 sub a3,a3,a7 +40003ee8: 0086a803 lw a6,8(a3) +40003eec: 011787b3 add a5,a5,a7 +40003ef0: 18a80863 beq a6,a0,40004080 <_free_r+0x1fc> +40003ef4: 00c6a503 lw a0,12(a3) +40003ef8: 00a82623 sw a0,12(a6) +40003efc: 01052423 sw a6,8(a0) +40003f00: 00e60533 add a0,a2,a4 +40003f04: 00452503 lw a0,4(a0) +40003f08: 00157513 andi a0,a0,1 +40003f0c: 0e050263 beqz a0,40003ff0 <_free_r+0x16c> +40003f10: 0017e713 ori a4,a5,1 +40003f14: 00e6a223 sw a4,4(a3) +40003f18: 00f68733 add a4,a3,a5 +40003f1c: 00f72023 sw a5,0(a4) +40003f20: 1ff00713 li a4,511 +40003f24: 06f76063 bltu a4,a5,40003f84 <_free_r+0x100> +40003f28: 0037d793 srli a5,a5,0x3 +40003f2c: 00178713 addi a4,a5,1 +40003f30: 00371713 slli a4,a4,0x3 +40003f34: 0045a803 lw a6,4(a1) +40003f38: 00e58733 add a4,a1,a4 +40003f3c: 00072503 lw a0,0(a4) +40003f40: 4027d613 srai a2,a5,0x2 +40003f44: 00100793 li a5,1 +40003f48: 00c797b3 sll a5,a5,a2 +40003f4c: 0107e7b3 or a5,a5,a6 +40003f50: ff870613 addi a2,a4,-8 +40003f54: 00c6a623 sw a2,12(a3) +40003f58: 00a6a423 sw a0,8(a3) +40003f5c: 00f5a223 sw a5,4(a1) +40003f60: 00d72023 sw a3,0(a4) +40003f64: 00d52623 sw a3,12(a0) +40003f68: 00048513 mv a0,s1 +40003f6c: 00c12083 lw ra,12(sp) +40003f70: 00812403 lw s0,8(sp) +40003f74: 00412483 lw s1,4(sp) +40003f78: 01010113 addi sp,sp,16 +40003f7c: 0400106f j 40004fbc <__malloc_unlock> +40003f80: 00008067 ret +40003f84: 0097d713 srli a4,a5,0x9 +40003f88: 00400613 li a2,4 +40003f8c: 12e66663 bltu a2,a4,400040b8 <_free_r+0x234> +40003f90: 0067d713 srli a4,a5,0x6 +40003f94: 03970513 addi a0,a4,57 +40003f98: 03870613 addi a2,a4,56 +40003f9c: 00351513 slli a0,a0,0x3 +40003fa0: 00a58533 add a0,a1,a0 +40003fa4: 00052703 lw a4,0(a0) +40003fa8: ff850513 addi a0,a0,-8 +40003fac: 12e50263 beq a0,a4,400040d0 <_free_r+0x24c> +40003fb0: 00472603 lw a2,4(a4) +40003fb4: ffc67613 andi a2,a2,-4 +40003fb8: 0cc7f063 bleu a2,a5,40004078 <_free_r+0x1f4> +40003fbc: 00872703 lw a4,8(a4) +40003fc0: fee518e3 bne a0,a4,40003fb0 <_free_r+0x12c> +40003fc4: 00c52783 lw a5,12(a0) +40003fc8: 00a6a423 sw a0,8(a3) +40003fcc: 00f6a623 sw a5,12(a3) +40003fd0: 00d7a423 sw a3,8(a5) +40003fd4: 00d52623 sw a3,12(a0) +40003fd8: 00c12083 lw ra,12(sp) +40003fdc: 00048513 mv a0,s1 +40003fe0: 00812403 lw s0,8(sp) +40003fe4: 00412483 lw s1,4(sp) +40003fe8: 01010113 addi sp,sp,16 +40003fec: 7d10006f j 40004fbc <__malloc_unlock> +40003ff0: 00862503 lw a0,8(a2) +40003ff4: 4000c837 lui a6,0x4000c +40003ff8: 22880813 addi a6,a6,552 # 4000c228 <__malloc_av_+0x8> +40003ffc: 00e787b3 add a5,a5,a4 +40004000: 0f050863 beq a0,a6,400040f0 <_free_r+0x26c> +40004004: 00c62803 lw a6,12(a2) +40004008: 0017e613 ori a2,a5,1 +4000400c: 00f68733 add a4,a3,a5 +40004010: 01052623 sw a6,12(a0) +40004014: 00a82423 sw a0,8(a6) +40004018: 00c6a223 sw a2,4(a3) +4000401c: 00f72023 sw a5,0(a4) +40004020: f01ff06f j 40003f20 <_free_r+0x9c> +40004024: 00157513 andi a0,a0,1 +40004028: 00e787b3 add a5,a5,a4 +4000402c: 02051063 bnez a0,4000404c <_free_r+0x1c8> +40004030: ff842503 lw a0,-8(s0) +40004034: 40a686b3 sub a3,a3,a0 +40004038: 00c6a703 lw a4,12(a3) +4000403c: 0086a603 lw a2,8(a3) +40004040: 00a787b3 add a5,a5,a0 +40004044: 00e62623 sw a4,12(a2) +40004048: 00c72423 sw a2,8(a4) +4000404c: 4000c737 lui a4,0x4000c +40004050: 0017e613 ori a2,a5,1 +40004054: 63872703 lw a4,1592(a4) # 4000c638 <__malloc_trim_threshold> +40004058: 00c6a223 sw a2,4(a3) +4000405c: 00d5a423 sw a3,8(a1) +40004060: f0e7e4e3 bltu a5,a4,40003f68 <_free_r+0xe4> +40004064: 4000c7b7 lui a5,0x4000c +40004068: 6547a583 lw a1,1620(a5) # 4000c654 <__malloc_top_pad> +4000406c: 00048513 mv a0,s1 +40004070: cd9ff0ef jal ra,40003d48 <_malloc_trim_r> +40004074: ef5ff06f j 40003f68 <_free_r+0xe4> +40004078: 00070513 mv a0,a4 +4000407c: f49ff06f j 40003fc4 <_free_r+0x140> +40004080: 00e605b3 add a1,a2,a4 +40004084: 0045a583 lw a1,4(a1) +40004088: 0015f593 andi a1,a1,1 +4000408c: 0e059263 bnez a1,40004170 <_free_r+0x2ec> +40004090: 00862583 lw a1,8(a2) +40004094: 00c62603 lw a2,12(a2) +40004098: 00f707b3 add a5,a4,a5 +4000409c: 0017e713 ori a4,a5,1 +400040a0: 00c5a623 sw a2,12(a1) +400040a4: 00b62423 sw a1,8(a2) +400040a8: 00e6a223 sw a4,4(a3) +400040ac: 00f686b3 add a3,a3,a5 +400040b0: 00f6a023 sw a5,0(a3) +400040b4: eb5ff06f j 40003f68 <_free_r+0xe4> +400040b8: 01400613 li a2,20 +400040bc: 04e66c63 bltu a2,a4,40004114 <_free_r+0x290> +400040c0: 05c70513 addi a0,a4,92 +400040c4: 05b70613 addi a2,a4,91 +400040c8: 00351513 slli a0,a0,0x3 +400040cc: ed5ff06f j 40003fa0 <_free_r+0x11c> +400040d0: 0045a803 lw a6,4(a1) +400040d4: 40265713 srai a4,a2,0x2 +400040d8: 00100793 li a5,1 +400040dc: 00e797b3 sll a5,a5,a4 +400040e0: 0107e7b3 or a5,a5,a6 +400040e4: 00f5a223 sw a5,4(a1) +400040e8: 00050793 mv a5,a0 +400040ec: eddff06f j 40003fc8 <_free_r+0x144> +400040f0: 00d5aa23 sw a3,20(a1) +400040f4: 00d5a823 sw a3,16(a1) +400040f8: 0017e713 ori a4,a5,1 +400040fc: 00a6a623 sw a0,12(a3) +40004100: 00a6a423 sw a0,8(a3) +40004104: 00e6a223 sw a4,4(a3) +40004108: 00f686b3 add a3,a3,a5 +4000410c: 00f6a023 sw a5,0(a3) +40004110: e59ff06f j 40003f68 <_free_r+0xe4> +40004114: 05400613 li a2,84 +40004118: 00e66c63 bltu a2,a4,40004130 <_free_r+0x2ac> +4000411c: 00c7d713 srli a4,a5,0xc +40004120: 06f70513 addi a0,a4,111 +40004124: 06e70613 addi a2,a4,110 +40004128: 00351513 slli a0,a0,0x3 +4000412c: e75ff06f j 40003fa0 <_free_r+0x11c> +40004130: 15400613 li a2,340 +40004134: 00e66c63 bltu a2,a4,4000414c <_free_r+0x2c8> +40004138: 00f7d713 srli a4,a5,0xf +4000413c: 07870513 addi a0,a4,120 +40004140: 07770613 addi a2,a4,119 +40004144: 00351513 slli a0,a0,0x3 +40004148: e59ff06f j 40003fa0 <_free_r+0x11c> +4000414c: 55400813 li a6,1364 +40004150: 3f800513 li a0,1016 +40004154: 07e00613 li a2,126 +40004158: e4e864e3 bltu a6,a4,40003fa0 <_free_r+0x11c> +4000415c: 0127d713 srli a4,a5,0x12 +40004160: 07d70513 addi a0,a4,125 +40004164: 07c70613 addi a2,a4,124 +40004168: 00351513 slli a0,a0,0x3 +4000416c: e35ff06f j 40003fa0 <_free_r+0x11c> +40004170: 0017e713 ori a4,a5,1 +40004174: 00e6a223 sw a4,4(a3) +40004178: 00f62023 sw a5,0(a2) +4000417c: dedff06f j 40003f68 <_free_r+0xe4> + +40004180 <_fwalk>: +40004180: fe010113 addi sp,sp,-32 +40004184: 01512223 sw s5,4(sp) +40004188: 00112e23 sw ra,28(sp) +4000418c: 00812c23 sw s0,24(sp) +40004190: 00912a23 sw s1,20(sp) +40004194: 01212823 sw s2,16(sp) +40004198: 01312623 sw s3,12(sp) +4000419c: 01412423 sw s4,8(sp) +400041a0: 01612023 sw s6,0(sp) +400041a4: 2e050a93 addi s5,a0,736 +400041a8: 080a8063 beqz s5,40004228 <_fwalk+0xa8> +400041ac: 00058b13 mv s6,a1 +400041b0: 00000a13 li s4,0 +400041b4: 00100993 li s3,1 +400041b8: fff00913 li s2,-1 +400041bc: 004aa483 lw s1,4(s5) +400041c0: 008aa403 lw s0,8(s5) +400041c4: fff48493 addi s1,s1,-1 +400041c8: 0204c663 bltz s1,400041f4 <_fwalk+0x74> +400041cc: 00c45783 lhu a5,12(s0) +400041d0: fff48493 addi s1,s1,-1 +400041d4: 00f9fc63 bleu a5,s3,400041ec <_fwalk+0x6c> +400041d8: 00e41783 lh a5,14(s0) +400041dc: 00040513 mv a0,s0 +400041e0: 01278663 beq a5,s2,400041ec <_fwalk+0x6c> +400041e4: 000b00e7 jalr s6 +400041e8: 00aa6a33 or s4,s4,a0 +400041ec: 06840413 addi s0,s0,104 +400041f0: fd249ee3 bne s1,s2,400041cc <_fwalk+0x4c> +400041f4: 000aaa83 lw s5,0(s5) +400041f8: fc0a92e3 bnez s5,400041bc <_fwalk+0x3c> +400041fc: 01c12083 lw ra,28(sp) +40004200: 000a0513 mv a0,s4 +40004204: 01812403 lw s0,24(sp) +40004208: 01412483 lw s1,20(sp) +4000420c: 01012903 lw s2,16(sp) +40004210: 00c12983 lw s3,12(sp) +40004214: 00812a03 lw s4,8(sp) +40004218: 00412a83 lw s5,4(sp) +4000421c: 00012b03 lw s6,0(sp) +40004220: 02010113 addi sp,sp,32 +40004224: 00008067 ret +40004228: 00000a13 li s4,0 +4000422c: fd1ff06f j 400041fc <_fwalk+0x7c> + +40004230 <_fwalk_reent>: +40004230: fd010113 addi sp,sp,-48 +40004234: 01612823 sw s6,16(sp) +40004238: 02112623 sw ra,44(sp) +4000423c: 02812423 sw s0,40(sp) +40004240: 02912223 sw s1,36(sp) +40004244: 03212023 sw s2,32(sp) +40004248: 01312e23 sw s3,28(sp) +4000424c: 01412c23 sw s4,24(sp) +40004250: 01512a23 sw s5,20(sp) +40004254: 01712623 sw s7,12(sp) +40004258: 2e050b13 addi s6,a0,736 +4000425c: 080b0663 beqz s6,400042e8 <_fwalk_reent+0xb8> +40004260: 00058b93 mv s7,a1 +40004264: 00050a93 mv s5,a0 +40004268: 00000a13 li s4,0 +4000426c: 00100993 li s3,1 +40004270: fff00913 li s2,-1 +40004274: 004b2483 lw s1,4(s6) +40004278: 008b2403 lw s0,8(s6) +4000427c: fff48493 addi s1,s1,-1 +40004280: 0204c863 bltz s1,400042b0 <_fwalk_reent+0x80> +40004284: 00c45783 lhu a5,12(s0) +40004288: fff48493 addi s1,s1,-1 +4000428c: 00f9fe63 bleu a5,s3,400042a8 <_fwalk_reent+0x78> +40004290: 00e41783 lh a5,14(s0) +40004294: 00040593 mv a1,s0 +40004298: 000a8513 mv a0,s5 +4000429c: 01278663 beq a5,s2,400042a8 <_fwalk_reent+0x78> +400042a0: 000b80e7 jalr s7 +400042a4: 00aa6a33 or s4,s4,a0 +400042a8: 06840413 addi s0,s0,104 +400042ac: fd249ce3 bne s1,s2,40004284 <_fwalk_reent+0x54> +400042b0: 000b2b03 lw s6,0(s6) +400042b4: fc0b10e3 bnez s6,40004274 <_fwalk_reent+0x44> +400042b8: 02c12083 lw ra,44(sp) +400042bc: 000a0513 mv a0,s4 +400042c0: 02812403 lw s0,40(sp) +400042c4: 02412483 lw s1,36(sp) +400042c8: 02012903 lw s2,32(sp) +400042cc: 01c12983 lw s3,28(sp) +400042d0: 01812a03 lw s4,24(sp) +400042d4: 01412a83 lw s5,20(sp) +400042d8: 01012b03 lw s6,16(sp) +400042dc: 00c12b83 lw s7,12(sp) +400042e0: 03010113 addi sp,sp,48 +400042e4: 00008067 ret +400042e8: 00000a13 li s4,0 +400042ec: fcdff06f j 400042b8 <_fwalk_reent+0x88> + +400042f0 <_setlocale_r>: +400042f0: ff010113 addi sp,sp,-16 +400042f4: 00912223 sw s1,4(sp) +400042f8: 00112623 sw ra,12(sp) +400042fc: 00812423 sw s0,8(sp) +40004300: 4000b4b7 lui s1,0x4000b +40004304: 02060063 beqz a2,40004324 <_setlocale_r+0x34> +40004308: 4000b5b7 lui a1,0x4000b +4000430c: 7f858593 addi a1,a1,2040 # 4000b7f8 +40004310: 00060513 mv a0,a2 +40004314: 00060413 mv s0,a2 +40004318: 681010ef jal ra,40006198 +4000431c: 4000b4b7 lui s1,0x4000b +40004320: 00051e63 bnez a0,4000433c <_setlocale_r+0x4c> +40004324: 7f448513 addi a0,s1,2036 # 4000b7f4 +40004328: 00c12083 lw ra,12(sp) +4000432c: 00812403 lw s0,8(sp) +40004330: 00412483 lw s1,4(sp) +40004334: 01010113 addi sp,sp,16 +40004338: 00008067 ret +4000433c: 7f448593 addi a1,s1,2036 +40004340: 00040513 mv a0,s0 +40004344: 655010ef jal ra,40006198 +40004348: fc050ee3 beqz a0,40004324 <_setlocale_r+0x34> +4000434c: 4000b5b7 lui a1,0x4000b +40004350: 61858593 addi a1,a1,1560 # 4000b618 <__clzsi2+0x78> +40004354: 00040513 mv a0,s0 +40004358: 641010ef jal ra,40006198 +4000435c: fc0504e3 beqz a0,40004324 <_setlocale_r+0x34> +40004360: 00000513 li a0,0 +40004364: fc5ff06f j 40004328 <_setlocale_r+0x38> + +40004368 <__locale_charset>: +40004368: 4000c537 lui a0,0x4000c +4000436c: 1a850513 addi a0,a0,424 # 4000c1a8 +40004370: 00008067 ret + +40004374 <__locale_mb_cur_max>: +40004374: 4000c7b7 lui a5,0x4000c +40004378: 6307a503 lw a0,1584(a5) # 4000c630 <__mb_cur_max> +4000437c: 00008067 ret + +40004380 <__locale_msgcharset>: +40004380: 4000c537 lui a0,0x4000c +40004384: 1c850513 addi a0,a0,456 # 4000c1c8 +40004388: 00008067 ret + +4000438c <__locale_cjk_lang>: +4000438c: 00000513 li a0,0 +40004390: 00008067 ret + +40004394 <_localeconv_r>: +40004394: 4000c537 lui a0,0x4000c +40004398: 1e850513 addi a0,a0,488 # 4000c1e8 +4000439c: 00008067 ret + +400043a0 : +400043a0: 4000c7b7 lui a5,0x4000c +400043a4: 00058613 mv a2,a1 +400043a8: 00050593 mv a1,a0 +400043ac: 62c7a503 lw a0,1580(a5) # 4000c62c <_impure_ptr> +400043b0: f41ff06f j 400042f0 <_setlocale_r> + +400043b4 : +400043b4: 4000c537 lui a0,0x4000c +400043b8: 1e850513 addi a0,a0,488 # 4000c1e8 +400043bc: 00008067 ret + +400043c0 <__swhatbuf_r>: +400043c0: f8010113 addi sp,sp,-128 +400043c4: 06812c23 sw s0,120(sp) +400043c8: 00058413 mv s0,a1 +400043cc: 00e59583 lh a1,14(a1) +400043d0: 06912a23 sw s1,116(sp) +400043d4: 07212823 sw s2,112(sp) +400043d8: 06112e23 sw ra,124(sp) +400043dc: 00060493 mv s1,a2 +400043e0: 00068913 mv s2,a3 +400043e4: 0405ca63 bltz a1,40004438 <__swhatbuf_r+0x78> +400043e8: 00810613 addi a2,sp,8 +400043ec: 500030ef jal ra,400078ec <_fstat_r> +400043f0: 04054463 bltz a0,40004438 <__swhatbuf_r+0x78> +400043f4: 01812783 lw a5,24(sp) +400043f8: 0000f737 lui a4,0xf +400043fc: 07c12083 lw ra,124(sp) +40004400: 00e7f7b3 and a5,a5,a4 +40004404: ffffe737 lui a4,0xffffe +40004408: 00e787b3 add a5,a5,a4 +4000440c: 0017b793 seqz a5,a5 +40004410: 00f92023 sw a5,0(s2) +40004414: 00001537 lui a0,0x1 +40004418: 40000793 li a5,1024 +4000441c: 00f4a023 sw a5,0(s1) +40004420: 80050513 addi a0,a0,-2048 # 800 <_stack_size> +40004424: 07812403 lw s0,120(sp) +40004428: 07412483 lw s1,116(sp) +4000442c: 07012903 lw s2,112(sp) +40004430: 08010113 addi sp,sp,128 +40004434: 00008067 ret +40004438: 00c45783 lhu a5,12(s0) +4000443c: 00092023 sw zero,0(s2) +40004440: 0807f793 andi a5,a5,128 +40004444: 02078463 beqz a5,4000446c <__swhatbuf_r+0xac> +40004448: 07c12083 lw ra,124(sp) +4000444c: 04000793 li a5,64 +40004450: 00f4a023 sw a5,0(s1) +40004454: 00000513 li a0,0 +40004458: 07812403 lw s0,120(sp) +4000445c: 07412483 lw s1,116(sp) +40004460: 07012903 lw s2,112(sp) +40004464: 08010113 addi sp,sp,128 +40004468: 00008067 ret +4000446c: 07c12083 lw ra,124(sp) +40004470: 40000793 li a5,1024 +40004474: 00f4a023 sw a5,0(s1) +40004478: 00000513 li a0,0 +4000447c: 07812403 lw s0,120(sp) +40004480: 07412483 lw s1,116(sp) +40004484: 07012903 lw s2,112(sp) +40004488: 08010113 addi sp,sp,128 +4000448c: 00008067 ret + +40004490 <__smakebuf_r>: +40004490: 00c5d703 lhu a4,12(a1) +40004494: fe010113 addi sp,sp,-32 +40004498: 00812c23 sw s0,24(sp) +4000449c: 00112e23 sw ra,28(sp) +400044a0: 00912a23 sw s1,20(sp) +400044a4: 01212823 sw s2,16(sp) +400044a8: 00277713 andi a4,a4,2 +400044ac: 00058413 mv s0,a1 +400044b0: 02070863 beqz a4,400044e0 <__smakebuf_r+0x50> +400044b4: 04358713 addi a4,a1,67 +400044b8: 00e5a023 sw a4,0(a1) +400044bc: 00e5a823 sw a4,16(a1) +400044c0: 00100713 li a4,1 +400044c4: 00e5aa23 sw a4,20(a1) +400044c8: 01c12083 lw ra,28(sp) +400044cc: 01812403 lw s0,24(sp) +400044d0: 01412483 lw s1,20(sp) +400044d4: 01012903 lw s2,16(sp) +400044d8: 02010113 addi sp,sp,32 +400044dc: 00008067 ret +400044e0: 00c10693 addi a3,sp,12 +400044e4: 00810613 addi a2,sp,8 +400044e8: 00050493 mv s1,a0 +400044ec: ed5ff0ef jal ra,400043c0 <__swhatbuf_r> +400044f0: 00812583 lw a1,8(sp) +400044f4: 00050913 mv s2,a0 +400044f8: 00048513 mv a0,s1 +400044fc: 09c000ef jal ra,40004598 <_malloc_r> +40004500: 00c41783 lh a5,12(s0) +40004504: 06050663 beqz a0,40004570 <__smakebuf_r+0xe0> +40004508: 40004737 lui a4,0x40004 +4000450c: 9c870713 addi a4,a4,-1592 # 400039c8 <_cleanup_r> +40004510: 02e4ae23 sw a4,60(s1) +40004514: 00812703 lw a4,8(sp) +40004518: 00c12683 lw a3,12(sp) +4000451c: 0807e793 ori a5,a5,128 +40004520: 00f41623 sh a5,12(s0) +40004524: 00a42023 sw a0,0(s0) +40004528: 00a42823 sw a0,16(s0) +4000452c: 00e42a23 sw a4,20(s0) +40004530: 02069263 bnez a3,40004554 <__smakebuf_r+0xc4> +40004534: 01c12083 lw ra,28(sp) +40004538: 0127e7b3 or a5,a5,s2 +4000453c: 00f41623 sh a5,12(s0) +40004540: 01412483 lw s1,20(sp) +40004544: 01812403 lw s0,24(sp) +40004548: 01012903 lw s2,16(sp) +4000454c: 02010113 addi sp,sp,32 +40004550: 00008067 ret +40004554: 00e41583 lh a1,14(s0) +40004558: 00048513 mv a0,s1 +4000455c: 09d030ef jal ra,40007df8 <_isatty_r> +40004560: 00c41783 lh a5,12(s0) +40004564: fc0508e3 beqz a0,40004534 <__smakebuf_r+0xa4> +40004568: 0017e793 ori a5,a5,1 +4000456c: fc9ff06f j 40004534 <__smakebuf_r+0xa4> +40004570: 2007f713 andi a4,a5,512 +40004574: f4071ae3 bnez a4,400044c8 <__smakebuf_r+0x38> +40004578: 0027e793 ori a5,a5,2 +4000457c: 04340713 addi a4,s0,67 +40004580: 00f41623 sh a5,12(s0) +40004584: 00100793 li a5,1 +40004588: 00e42023 sw a4,0(s0) +4000458c: 00e42823 sw a4,16(s0) +40004590: 00f42a23 sw a5,20(s0) +40004594: f35ff06f j 400044c8 <__smakebuf_r+0x38> + +40004598 <_malloc_r>: +40004598: fd010113 addi sp,sp,-48 +4000459c: 02912223 sw s1,36(sp) +400045a0: 01312e23 sw s3,28(sp) +400045a4: 02112623 sw ra,44(sp) +400045a8: 02812423 sw s0,40(sp) +400045ac: 03212023 sw s2,32(sp) +400045b0: 01412c23 sw s4,24(sp) +400045b4: 01512a23 sw s5,20(sp) +400045b8: 01612823 sw s6,16(sp) +400045bc: 01712623 sw s7,12(sp) +400045c0: 01812423 sw s8,8(sp) +400045c4: 01912223 sw s9,4(sp) +400045c8: 00b58493 addi s1,a1,11 +400045cc: 01600793 li a5,22 +400045d0: 00050993 mv s3,a0 +400045d4: 1a97fa63 bleu s1,a5,40004788 <_malloc_r+0x1f0> +400045d8: ff84f493 andi s1,s1,-8 +400045dc: 2404c063 bltz s1,4000481c <_malloc_r+0x284> +400045e0: 22b4ee63 bltu s1,a1,4000481c <_malloc_r+0x284> +400045e4: 1d5000ef jal ra,40004fb8 <__malloc_lock> +400045e8: 1f700793 li a5,503 +400045ec: 6e97f663 bleu s1,a5,40004cd8 <_malloc_r+0x740> +400045f0: 0094d793 srli a5,s1,0x9 +400045f4: 04000593 li a1,64 +400045f8: 20000693 li a3,512 +400045fc: 03f00513 li a0,63 +40004600: 22079663 bnez a5,4000482c <_malloc_r+0x294> +40004604: 4000c937 lui s2,0x4000c +40004608: 22090913 addi s2,s2,544 # 4000c220 <__malloc_av_> +4000460c: 00d906b3 add a3,s2,a3 +40004610: 0046a403 lw s0,4(a3) +40004614: ff868693 addi a3,a3,-8 +40004618: 02868c63 beq a3,s0,40004650 <_malloc_r+0xb8> +4000461c: 00442783 lw a5,4(s0) +40004620: 00f00613 li a2,15 +40004624: ffc7f793 andi a5,a5,-4 +40004628: 40978733 sub a4,a5,s1 +4000462c: 02e64063 blt a2,a4,4000464c <_malloc_r+0xb4> +40004630: 22075c63 bgez a4,40004868 <_malloc_r+0x2d0> +40004634: 00c42403 lw s0,12(s0) +40004638: 00868c63 beq a3,s0,40004650 <_malloc_r+0xb8> +4000463c: 00442783 lw a5,4(s0) +40004640: ffc7f793 andi a5,a5,-4 +40004644: 40978733 sub a4,a5,s1 +40004648: fee654e3 ble a4,a2,40004630 <_malloc_r+0x98> +4000464c: 00050593 mv a1,a0 +40004650: 01092403 lw s0,16(s2) +40004654: 00890813 addi a6,s2,8 +40004658: 45040c63 beq s0,a6,40004ab0 <_malloc_r+0x518> +4000465c: 00442783 lw a5,4(s0) +40004660: 00f00693 li a3,15 +40004664: ffc7f793 andi a5,a5,-4 +40004668: 40978733 sub a4,a5,s1 +4000466c: 42e6cc63 blt a3,a4,40004aa4 <_malloc_r+0x50c> +40004670: 01092a23 sw a6,20(s2) +40004674: 01092823 sw a6,16(s2) +40004678: 1c075863 bgez a4,40004848 <_malloc_r+0x2b0> +4000467c: 1ff00713 li a4,511 +40004680: 3cf76263 bltu a4,a5,40004a44 <_malloc_r+0x4ac> +40004684: 0037d793 srli a5,a5,0x3 +40004688: 00178713 addi a4,a5,1 +4000468c: 00371713 slli a4,a4,0x3 +40004690: 00492503 lw a0,4(s2) +40004694: 00e90733 add a4,s2,a4 +40004698: 00072603 lw a2,0(a4) +4000469c: 4027d693 srai a3,a5,0x2 +400046a0: 00100793 li a5,1 +400046a4: 00d797b3 sll a5,a5,a3 +400046a8: 00a7e7b3 or a5,a5,a0 +400046ac: ff870693 addi a3,a4,-8 +400046b0: 00d42623 sw a3,12(s0) +400046b4: 00c42423 sw a2,8(s0) +400046b8: 00f92223 sw a5,4(s2) +400046bc: 00872023 sw s0,0(a4) +400046c0: 00862623 sw s0,12(a2) +400046c4: 4025d713 srai a4,a1,0x2 +400046c8: 00100693 li a3,1 +400046cc: 00e696b3 sll a3,a3,a4 +400046d0: 1ad7e263 bltu a5,a3,40004874 <_malloc_r+0x2dc> +400046d4: 00f6f733 and a4,a3,a5 +400046d8: 02071463 bnez a4,40004700 <_malloc_r+0x168> +400046dc: 00169693 slli a3,a3,0x1 +400046e0: ffc5f593 andi a1,a1,-4 +400046e4: 00f6f733 and a4,a3,a5 +400046e8: 00458593 addi a1,a1,4 +400046ec: 00071a63 bnez a4,40004700 <_malloc_r+0x168> +400046f0: 00169693 slli a3,a3,0x1 +400046f4: 00f6f733 and a4,a3,a5 +400046f8: 00458593 addi a1,a1,4 +400046fc: fe070ae3 beqz a4,400046f0 <_malloc_r+0x158> +40004700: 00f00513 li a0,15 +40004704: 00359893 slli a7,a1,0x3 +40004708: 011908b3 add a7,s2,a7 +4000470c: 00088613 mv a2,a7 +40004710: 00058313 mv t1,a1 +40004714: 00c62403 lw s0,12(a2) +40004718: 00861a63 bne a2,s0,4000472c <_malloc_r+0x194> +4000471c: 39c0006f j 40004ab8 <_malloc_r+0x520> +40004720: 3a075e63 bgez a4,40004adc <_malloc_r+0x544> +40004724: 00c42403 lw s0,12(s0) +40004728: 38860863 beq a2,s0,40004ab8 <_malloc_r+0x520> +4000472c: 00442783 lw a5,4(s0) +40004730: ffc7f793 andi a5,a5,-4 +40004734: 40978733 sub a4,a5,s1 +40004738: fee554e3 ble a4,a0,40004720 <_malloc_r+0x188> +4000473c: 00c42683 lw a3,12(s0) +40004740: 00842603 lw a2,8(s0) +40004744: 0014e593 ori a1,s1,1 +40004748: 00b42223 sw a1,4(s0) +4000474c: 00d62623 sw a3,12(a2) +40004750: 00c6a423 sw a2,8(a3) +40004754: 009404b3 add s1,s0,s1 +40004758: 00992a23 sw s1,20(s2) +4000475c: 00992823 sw s1,16(s2) +40004760: 00176693 ori a3,a4,1 +40004764: 0104a623 sw a6,12(s1) +40004768: 0104a423 sw a6,8(s1) +4000476c: 00d4a223 sw a3,4(s1) +40004770: 00f407b3 add a5,s0,a5 +40004774: 00098513 mv a0,s3 +40004778: 00e7a023 sw a4,0(a5) +4000477c: 041000ef jal ra,40004fbc <__malloc_unlock> +40004780: 00840513 addi a0,s0,8 +40004784: 0640006f j 400047e8 <_malloc_r+0x250> +40004788: 01000493 li s1,16 +4000478c: 08b4e863 bltu s1,a1,4000481c <_malloc_r+0x284> +40004790: 029000ef jal ra,40004fb8 <__malloc_lock> +40004794: 01800793 li a5,24 +40004798: 00200593 li a1,2 +4000479c: 4000c937 lui s2,0x4000c +400047a0: 22090913 addi s2,s2,544 # 4000c220 <__malloc_av_> +400047a4: 00f907b3 add a5,s2,a5 +400047a8: 0047a403 lw s0,4(a5) +400047ac: ff878713 addi a4,a5,-8 +400047b0: 30e40e63 beq s0,a4,40004acc <_malloc_r+0x534> +400047b4: 00442783 lw a5,4(s0) +400047b8: 00c42683 lw a3,12(s0) +400047bc: 00842603 lw a2,8(s0) +400047c0: ffc7f793 andi a5,a5,-4 +400047c4: 00f407b3 add a5,s0,a5 +400047c8: 0047a703 lw a4,4(a5) +400047cc: 00d62623 sw a3,12(a2) +400047d0: 00c6a423 sw a2,8(a3) +400047d4: 00176713 ori a4,a4,1 +400047d8: 00098513 mv a0,s3 +400047dc: 00e7a223 sw a4,4(a5) +400047e0: 7dc000ef jal ra,40004fbc <__malloc_unlock> +400047e4: 00840513 addi a0,s0,8 +400047e8: 02c12083 lw ra,44(sp) +400047ec: 02812403 lw s0,40(sp) +400047f0: 02412483 lw s1,36(sp) +400047f4: 02012903 lw s2,32(sp) +400047f8: 01c12983 lw s3,28(sp) +400047fc: 01812a03 lw s4,24(sp) +40004800: 01412a83 lw s5,20(sp) +40004804: 01012b03 lw s6,16(sp) +40004808: 00c12b83 lw s7,12(sp) +4000480c: 00812c03 lw s8,8(sp) +40004810: 00412c83 lw s9,4(sp) +40004814: 03010113 addi sp,sp,48 +40004818: 00008067 ret +4000481c: 00c00793 li a5,12 +40004820: 00f9a023 sw a5,0(s3) +40004824: 00000513 li a0,0 +40004828: fc1ff06f j 400047e8 <_malloc_r+0x250> +4000482c: 00400713 li a4,4 +40004830: 1ef76863 bltu a4,a5,40004a20 <_malloc_r+0x488> +40004834: 0064d513 srli a0,s1,0x6 +40004838: 03950593 addi a1,a0,57 +4000483c: 00359693 slli a3,a1,0x3 +40004840: 03850513 addi a0,a0,56 +40004844: dc1ff06f j 40004604 <_malloc_r+0x6c> +40004848: 00f407b3 add a5,s0,a5 +4000484c: 0047a703 lw a4,4(a5) +40004850: 00098513 mv a0,s3 +40004854: 00176713 ori a4,a4,1 +40004858: 00e7a223 sw a4,4(a5) +4000485c: 760000ef jal ra,40004fbc <__malloc_unlock> +40004860: 00840513 addi a0,s0,8 +40004864: f85ff06f j 400047e8 <_malloc_r+0x250> +40004868: 00c42683 lw a3,12(s0) +4000486c: 00842603 lw a2,8(s0) +40004870: f55ff06f j 400047c4 <_malloc_r+0x22c> +40004874: 00892403 lw s0,8(s2) +40004878: 00442783 lw a5,4(s0) +4000487c: ffc7fa93 andi s5,a5,-4 +40004880: 009ae863 bltu s5,s1,40004890 <_malloc_r+0x2f8> +40004884: 409a87b3 sub a5,s5,s1 +40004888: 00f00713 li a4,15 +4000488c: 16f74663 blt a4,a5,400049f8 <_malloc_r+0x460> +40004890: 4000c7b7 lui a5,0x4000c +40004894: 4000ccb7 lui s9,0x4000c +40004898: 6547aa03 lw s4,1620(a5) # 4000c654 <__malloc_top_pad> +4000489c: 634ca703 lw a4,1588(s9) # 4000c634 <__malloc_sbrk_base> +400048a0: fff00793 li a5,-1 +400048a4: 01540b33 add s6,s0,s5 +400048a8: 01448a33 add s4,s1,s4 +400048ac: 36f70263 beq a4,a5,40004c10 <_malloc_r+0x678> +400048b0: 000017b7 lui a5,0x1 +400048b4: 00f78793 addi a5,a5,15 # 100f <_stack_size+0x80f> +400048b8: 00fa0a33 add s4,s4,a5 +400048bc: fffff7b7 lui a5,0xfffff +400048c0: 00fa7a33 and s4,s4,a5 +400048c4: 000a0593 mv a1,s4 +400048c8: 00098513 mv a0,s3 +400048cc: 708010ef jal ra,40005fd4 <_sbrk_r> +400048d0: fff00793 li a5,-1 +400048d4: 00050b93 mv s7,a0 +400048d8: 24f50e63 beq a0,a5,40004b34 <_malloc_r+0x59c> +400048dc: 25656a63 bltu a0,s6,40004b30 <_malloc_r+0x598> +400048e0: 4000cc37 lui s8,0x4000c +400048e4: 65cc0c13 addi s8,s8,1628 # 4000c65c <__malloc_current_mallinfo> +400048e8: 000c2703 lw a4,0(s8) +400048ec: 00ea0733 add a4,s4,a4 +400048f0: 00ec2023 sw a4,0(s8) +400048f4: 34ab0c63 beq s6,a0,40004c4c <_malloc_r+0x6b4> +400048f8: 634ca683 lw a3,1588(s9) +400048fc: fff00793 li a5,-1 +40004900: 38f68463 beq a3,a5,40004c88 <_malloc_r+0x6f0> +40004904: 416b8b33 sub s6,s7,s6 +40004908: 00eb0733 add a4,s6,a4 +4000490c: 00ec2023 sw a4,0(s8) +40004910: 007bf793 andi a5,s7,7 +40004914: 00001737 lui a4,0x1 +40004918: 00078a63 beqz a5,4000492c <_malloc_r+0x394> +4000491c: 40fb8bb3 sub s7,s7,a5 +40004920: 00870713 addi a4,a4,8 # 1008 <_stack_size+0x808> +40004924: 008b8b93 addi s7,s7,8 +40004928: 40f70733 sub a4,a4,a5 +4000492c: 000016b7 lui a3,0x1 +40004930: 014b87b3 add a5,s7,s4 +40004934: fff68693 addi a3,a3,-1 # fff <_stack_size+0x7ff> +40004938: 00d7f7b3 and a5,a5,a3 +4000493c: 40f70a33 sub s4,a4,a5 +40004940: 000a0593 mv a1,s4 +40004944: 00098513 mv a0,s3 +40004948: 68c010ef jal ra,40005fd4 <_sbrk_r> +4000494c: fff00793 li a5,-1 +40004950: 32f50663 beq a0,a5,40004c7c <_malloc_r+0x6e4> +40004954: 417507b3 sub a5,a0,s7 +40004958: 014787b3 add a5,a5,s4 +4000495c: 0017e793 ori a5,a5,1 +40004960: 000c2703 lw a4,0(s8) +40004964: 01792423 sw s7,8(s2) +40004968: 00fba223 sw a5,4(s7) +4000496c: 00ea0733 add a4,s4,a4 +40004970: 00ec2023 sw a4,0(s8) +40004974: 03240c63 beq s0,s2,400049ac <_malloc_r+0x414> +40004978: 00f00613 li a2,15 +4000497c: 27567063 bleu s5,a2,40004bdc <_malloc_r+0x644> +40004980: 00442683 lw a3,4(s0) +40004984: ff4a8793 addi a5,s5,-12 +40004988: ff87f793 andi a5,a5,-8 +4000498c: 0016f693 andi a3,a3,1 +40004990: 00f6e6b3 or a3,a3,a5 +40004994: 00d42223 sw a3,4(s0) +40004998: 00500593 li a1,5 +4000499c: 00f406b3 add a3,s0,a5 +400049a0: 00b6a223 sw a1,4(a3) +400049a4: 00b6a423 sw a1,8(a3) +400049a8: 2cf66063 bltu a2,a5,40004c68 <_malloc_r+0x6d0> +400049ac: 4000c7b7 lui a5,0x4000c +400049b0: 6507a683 lw a3,1616(a5) # 4000c650 <__malloc_max_sbrked_mem> +400049b4: 00e6f463 bleu a4,a3,400049bc <_malloc_r+0x424> +400049b8: 64e7a823 sw a4,1616(a5) +400049bc: 4000c7b7 lui a5,0x4000c +400049c0: 64c7a683 lw a3,1612(a5) # 4000c64c <__malloc_max_total_mem> +400049c4: 00892403 lw s0,8(s2) +400049c8: 00e6f463 bleu a4,a3,400049d0 <_malloc_r+0x438> +400049cc: 64e7a623 sw a4,1612(a5) +400049d0: 00442703 lw a4,4(s0) +400049d4: ffc77713 andi a4,a4,-4 +400049d8: 409707b3 sub a5,a4,s1 +400049dc: 00976663 bltu a4,s1,400049e8 <_malloc_r+0x450> +400049e0: 00f00713 li a4,15 +400049e4: 00f74a63 blt a4,a5,400049f8 <_malloc_r+0x460> +400049e8: 00098513 mv a0,s3 +400049ec: 5d0000ef jal ra,40004fbc <__malloc_unlock> +400049f0: 00000513 li a0,0 +400049f4: df5ff06f j 400047e8 <_malloc_r+0x250> +400049f8: 0014e713 ori a4,s1,1 +400049fc: 00e42223 sw a4,4(s0) +40004a00: 009404b3 add s1,s0,s1 +40004a04: 00992423 sw s1,8(s2) +40004a08: 0017e793 ori a5,a5,1 +40004a0c: 00098513 mv a0,s3 +40004a10: 00f4a223 sw a5,4(s1) +40004a14: 5a8000ef jal ra,40004fbc <__malloc_unlock> +40004a18: 00840513 addi a0,s0,8 +40004a1c: dcdff06f j 400047e8 <_malloc_r+0x250> +40004a20: 01400713 li a4,20 +40004a24: 0ef77463 bleu a5,a4,40004b0c <_malloc_r+0x574> +40004a28: 05400713 li a4,84 +40004a2c: 16f76a63 bltu a4,a5,40004ba0 <_malloc_r+0x608> +40004a30: 00c4d513 srli a0,s1,0xc +40004a34: 06f50593 addi a1,a0,111 +40004a38: 00359693 slli a3,a1,0x3 +40004a3c: 06e50513 addi a0,a0,110 +40004a40: bc5ff06f j 40004604 <_malloc_r+0x6c> +40004a44: 0097d713 srli a4,a5,0x9 +40004a48: 00400693 li a3,4 +40004a4c: 0ce6f863 bleu a4,a3,40004b1c <_malloc_r+0x584> +40004a50: 01400693 li a3,20 +40004a54: 1ce6e263 bltu a3,a4,40004c18 <_malloc_r+0x680> +40004a58: 05c70613 addi a2,a4,92 +40004a5c: 05b70693 addi a3,a4,91 +40004a60: 00361613 slli a2,a2,0x3 +40004a64: 00c90633 add a2,s2,a2 +40004a68: 00062703 lw a4,0(a2) +40004a6c: ff860613 addi a2,a2,-8 +40004a70: 14e60663 beq a2,a4,40004bbc <_malloc_r+0x624> +40004a74: 00472683 lw a3,4(a4) +40004a78: ffc6f693 andi a3,a3,-4 +40004a7c: 10d7fe63 bleu a3,a5,40004b98 <_malloc_r+0x600> +40004a80: 00872703 lw a4,8(a4) +40004a84: fee618e3 bne a2,a4,40004a74 <_malloc_r+0x4dc> +40004a88: 00c62703 lw a4,12(a2) +40004a8c: 00492783 lw a5,4(s2) +40004a90: 00e42623 sw a4,12(s0) +40004a94: 00c42423 sw a2,8(s0) +40004a98: 00872423 sw s0,8(a4) +40004a9c: 00862623 sw s0,12(a2) +40004aa0: c25ff06f j 400046c4 <_malloc_r+0x12c> +40004aa4: 0014e693 ori a3,s1,1 +40004aa8: 00d42223 sw a3,4(s0) +40004aac: ca9ff06f j 40004754 <_malloc_r+0x1bc> +40004ab0: 00492783 lw a5,4(s2) +40004ab4: c11ff06f j 400046c4 <_malloc_r+0x12c> +40004ab8: 00130313 addi t1,t1,1 +40004abc: 00337793 andi a5,t1,3 +40004ac0: 00860613 addi a2,a2,8 +40004ac4: c40798e3 bnez a5,40004714 <_malloc_r+0x17c> +40004ac8: 0880006f j 40004b50 <_malloc_r+0x5b8> +40004acc: 00c7a403 lw s0,12(a5) +40004ad0: 00258593 addi a1,a1,2 +40004ad4: b6878ee3 beq a5,s0,40004650 <_malloc_r+0xb8> +40004ad8: cddff06f j 400047b4 <_malloc_r+0x21c> +40004adc: 00f407b3 add a5,s0,a5 +40004ae0: 0047a703 lw a4,4(a5) +40004ae4: 00c42683 lw a3,12(s0) +40004ae8: 00842603 lw a2,8(s0) +40004aec: 00176713 ori a4,a4,1 +40004af0: 00e7a223 sw a4,4(a5) +40004af4: 00d62623 sw a3,12(a2) +40004af8: 00098513 mv a0,s3 +40004afc: 00c6a423 sw a2,8(a3) +40004b00: 4bc000ef jal ra,40004fbc <__malloc_unlock> +40004b04: 00840513 addi a0,s0,8 +40004b08: ce1ff06f j 400047e8 <_malloc_r+0x250> +40004b0c: 05c78593 addi a1,a5,92 +40004b10: 05b78513 addi a0,a5,91 +40004b14: 00359693 slli a3,a1,0x3 +40004b18: aedff06f j 40004604 <_malloc_r+0x6c> +40004b1c: 0067d693 srli a3,a5,0x6 +40004b20: 03968613 addi a2,a3,57 +40004b24: 00361613 slli a2,a2,0x3 +40004b28: 03868693 addi a3,a3,56 +40004b2c: f39ff06f j 40004a64 <_malloc_r+0x4cc> +40004b30: 11240263 beq s0,s2,40004c34 <_malloc_r+0x69c> +40004b34: 00892403 lw s0,8(s2) +40004b38: 00442703 lw a4,4(s0) +40004b3c: ffc77713 andi a4,a4,-4 +40004b40: e99ff06f j 400049d8 <_malloc_r+0x440> +40004b44: 0088a783 lw a5,8(a7) +40004b48: fff58593 addi a1,a1,-1 +40004b4c: 18f89263 bne a7,a5,40004cd0 <_malloc_r+0x738> +40004b50: 0035f793 andi a5,a1,3 +40004b54: ff888893 addi a7,a7,-8 +40004b58: fe0796e3 bnez a5,40004b44 <_malloc_r+0x5ac> +40004b5c: 00492703 lw a4,4(s2) +40004b60: fff6c793 not a5,a3 +40004b64: 00e7f7b3 and a5,a5,a4 +40004b68: 00f92223 sw a5,4(s2) +40004b6c: 00169693 slli a3,a3,0x1 +40004b70: d0d7e2e3 bltu a5,a3,40004874 <_malloc_r+0x2dc> +40004b74: d00680e3 beqz a3,40004874 <_malloc_r+0x2dc> +40004b78: 00f6f733 and a4,a3,a5 +40004b7c: 00030593 mv a1,t1 +40004b80: b80712e3 bnez a4,40004704 <_malloc_r+0x16c> +40004b84: 00169693 slli a3,a3,0x1 +40004b88: 00f6f733 and a4,a3,a5 +40004b8c: 00458593 addi a1,a1,4 +40004b90: fe070ae3 beqz a4,40004b84 <_malloc_r+0x5ec> +40004b94: b71ff06f j 40004704 <_malloc_r+0x16c> +40004b98: 00070613 mv a2,a4 +40004b9c: eedff06f j 40004a88 <_malloc_r+0x4f0> +40004ba0: 15400713 li a4,340 +40004ba4: 04f76263 bltu a4,a5,40004be8 <_malloc_r+0x650> +40004ba8: 00f4d513 srli a0,s1,0xf +40004bac: 07850593 addi a1,a0,120 +40004bb0: 00359693 slli a3,a1,0x3 +40004bb4: 07750513 addi a0,a0,119 +40004bb8: a4dff06f j 40004604 <_malloc_r+0x6c> +40004bbc: 00492703 lw a4,4(s2) +40004bc0: 4026d693 srai a3,a3,0x2 +40004bc4: 00100793 li a5,1 +40004bc8: 00d797b3 sll a5,a5,a3 +40004bcc: 00e7e7b3 or a5,a5,a4 +40004bd0: 00f92223 sw a5,4(s2) +40004bd4: 00060713 mv a4,a2 +40004bd8: eb9ff06f j 40004a90 <_malloc_r+0x4f8> +40004bdc: 00100793 li a5,1 +40004be0: 00fba223 sw a5,4(s7) +40004be4: e05ff06f j 400049e8 <_malloc_r+0x450> +40004be8: 55400713 li a4,1364 +40004bec: 07f00593 li a1,127 +40004bf0: 3f800693 li a3,1016 +40004bf4: 07e00513 li a0,126 +40004bf8: a0f766e3 bltu a4,a5,40004604 <_malloc_r+0x6c> +40004bfc: 0124d513 srli a0,s1,0x12 +40004c00: 07d50593 addi a1,a0,125 +40004c04: 00359693 slli a3,a1,0x3 +40004c08: 07c50513 addi a0,a0,124 +40004c0c: 9f9ff06f j 40004604 <_malloc_r+0x6c> +40004c10: 010a0a13 addi s4,s4,16 +40004c14: cb1ff06f j 400048c4 <_malloc_r+0x32c> +40004c18: 05400693 li a3,84 +40004c1c: 06e6ea63 bltu a3,a4,40004c90 <_malloc_r+0x6f8> +40004c20: 00c7d693 srli a3,a5,0xc +40004c24: 06f68613 addi a2,a3,111 +40004c28: 00361613 slli a2,a2,0x3 +40004c2c: 06e68693 addi a3,a3,110 +40004c30: e35ff06f j 40004a64 <_malloc_r+0x4cc> +40004c34: 4000cc37 lui s8,0x4000c +40004c38: 65cc0c13 addi s8,s8,1628 # 4000c65c <__malloc_current_mallinfo> +40004c3c: 000c2703 lw a4,0(s8) +40004c40: 00ea0733 add a4,s4,a4 +40004c44: 00ec2023 sw a4,0(s8) +40004c48: cb1ff06f j 400048f8 <_malloc_r+0x360> +40004c4c: 014b1793 slli a5,s6,0x14 +40004c50: ca0794e3 bnez a5,400048f8 <_malloc_r+0x360> +40004c54: 00892683 lw a3,8(s2) +40004c58: 014a87b3 add a5,s5,s4 +40004c5c: 0017e793 ori a5,a5,1 +40004c60: 00f6a223 sw a5,4(a3) +40004c64: d49ff06f j 400049ac <_malloc_r+0x414> +40004c68: 00840593 addi a1,s0,8 +40004c6c: 00098513 mv a0,s3 +40004c70: a14ff0ef jal ra,40003e84 <_free_r> +40004c74: 000c2703 lw a4,0(s8) +40004c78: d35ff06f j 400049ac <_malloc_r+0x414> +40004c7c: 00100793 li a5,1 +40004c80: 00000a13 li s4,0 +40004c84: cddff06f j 40004960 <_malloc_r+0x3c8> +40004c88: 637caa23 sw s7,1588(s9) +40004c8c: c85ff06f j 40004910 <_malloc_r+0x378> +40004c90: 15400693 li a3,340 +40004c94: 00e6ec63 bltu a3,a4,40004cac <_malloc_r+0x714> +40004c98: 00f7d693 srli a3,a5,0xf +40004c9c: 07868613 addi a2,a3,120 +40004ca0: 00361613 slli a2,a2,0x3 +40004ca4: 07768693 addi a3,a3,119 +40004ca8: dbdff06f j 40004a64 <_malloc_r+0x4cc> +40004cac: 55400513 li a0,1364 +40004cb0: 3f800613 li a2,1016 +40004cb4: 07e00693 li a3,126 +40004cb8: dae566e3 bltu a0,a4,40004a64 <_malloc_r+0x4cc> +40004cbc: 0127d693 srli a3,a5,0x12 +40004cc0: 07d68613 addi a2,a3,125 +40004cc4: 00361613 slli a2,a2,0x3 +40004cc8: 07c68693 addi a3,a3,124 +40004ccc: d99ff06f j 40004a64 <_malloc_r+0x4cc> +40004cd0: 00492783 lw a5,4(s2) +40004cd4: e99ff06f j 40004b6c <_malloc_r+0x5d4> +40004cd8: 0034d593 srli a1,s1,0x3 +40004cdc: 00848793 addi a5,s1,8 +40004ce0: abdff06f j 4000479c <_malloc_r+0x204> + +40004ce4 : +40004ce4: 00357793 andi a5,a0,3 +40004ce8: 0ff5f813 andi a6,a1,255 +40004cec: 0c078663 beqz a5,40004db8 +40004cf0: fff60793 addi a5,a2,-1 +40004cf4: 04060e63 beqz a2,40004d50 +40004cf8: 00054703 lbu a4,0(a0) +40004cfc: fff00693 li a3,-1 +40004d00: 01071c63 bne a4,a6,40004d18 +40004d04: 0500006f j 40004d54 +40004d08: fff78793 addi a5,a5,-1 +40004d0c: 04d78263 beq a5,a3,40004d50 +40004d10: 00054703 lbu a4,0(a0) +40004d14: 05070063 beq a4,a6,40004d54 +40004d18: 00150513 addi a0,a0,1 +40004d1c: 00357713 andi a4,a0,3 +40004d20: fe0714e3 bnez a4,40004d08 +40004d24: 00300713 li a4,3 +40004d28: 02f76863 bltu a4,a5,40004d58 +40004d2c: 02078263 beqz a5,40004d50 +40004d30: 00054703 lbu a4,0(a0) +40004d34: 03070063 beq a4,a6,40004d54 +40004d38: 00f507b3 add a5,a0,a5 +40004d3c: 00c0006f j 40004d48 +40004d40: 00054703 lbu a4,0(a0) +40004d44: 01070863 beq a4,a6,40004d54 +40004d48: 00150513 addi a0,a0,1 +40004d4c: fea79ae3 bne a5,a0,40004d40 +40004d50: 00000513 li a0,0 +40004d54: 00008067 ret +40004d58: 000106b7 lui a3,0x10 +40004d5c: 00859613 slli a2,a1,0x8 +40004d60: fff68693 addi a3,a3,-1 # ffff <_heap_size+0xdfff> +40004d64: 00d67633 and a2,a2,a3 +40004d68: 0ff5f593 andi a1,a1,255 +40004d6c: 00b66633 or a2,a2,a1 +40004d70: 01061693 slli a3,a2,0x10 +40004d74: feff0337 lui t1,0xfeff0 +40004d78: 808088b7 lui a7,0x80808 +40004d7c: 00d66633 or a2,a2,a3 +40004d80: eff30313 addi t1,t1,-257 # fefefeff <_bss_end+0xbefe3877> +40004d84: 08088893 addi a7,a7,128 # 80808080 <_bss_end+0x407fb9f8> +40004d88: 00070593 mv a1,a4 +40004d8c: 00052703 lw a4,0(a0) +40004d90: 00e64733 xor a4,a2,a4 +40004d94: 006706b3 add a3,a4,t1 +40004d98: fff74713 not a4,a4 +40004d9c: 00e6f733 and a4,a3,a4 +40004da0: 01177733 and a4,a4,a7 +40004da4: f80716e3 bnez a4,40004d30 +40004da8: ffc78793 addi a5,a5,-4 +40004dac: 00450513 addi a0,a0,4 +40004db0: fcf5eee3 bltu a1,a5,40004d8c +40004db4: f79ff06f j 40004d2c +40004db8: 00060793 mv a5,a2 +40004dbc: f69ff06f j 40004d24 + +40004dc0 : +40004dc0: 00a5c7b3 xor a5,a1,a0 +40004dc4: 0037f793 andi a5,a5,3 +40004dc8: 00c508b3 add a7,a0,a2 +40004dcc: 0e079863 bnez a5,40004ebc +40004dd0: 00300793 li a5,3 +40004dd4: 0ec7f463 bleu a2,a5,40004ebc +40004dd8: 00357793 andi a5,a0,3 +40004ddc: 00050713 mv a4,a0 +40004de0: 04079863 bnez a5,40004e30 +40004de4: ffc8f813 andi a6,a7,-4 +40004de8: fe080793 addi a5,a6,-32 +40004dec: 06f76c63 bltu a4,a5,40004e64 +40004df0: 03077c63 bleu a6,a4,40004e28 +40004df4: 00058693 mv a3,a1 +40004df8: 00070793 mv a5,a4 +40004dfc: 0006a603 lw a2,0(a3) +40004e00: 00478793 addi a5,a5,4 +40004e04: 00468693 addi a3,a3,4 +40004e08: fec7ae23 sw a2,-4(a5) +40004e0c: ff07e8e3 bltu a5,a6,40004dfc +40004e10: fff74793 not a5,a4 +40004e14: 010787b3 add a5,a5,a6 +40004e18: ffc7f793 andi a5,a5,-4 +40004e1c: 00478793 addi a5,a5,4 +40004e20: 00f70733 add a4,a4,a5 +40004e24: 00f585b3 add a1,a1,a5 +40004e28: 09176e63 bltu a4,a7,40004ec4 +40004e2c: 00008067 ret +40004e30: 0005c683 lbu a3,0(a1) +40004e34: 00170713 addi a4,a4,1 +40004e38: 00377793 andi a5,a4,3 +40004e3c: fed70fa3 sb a3,-1(a4) +40004e40: 00158593 addi a1,a1,1 +40004e44: fa0780e3 beqz a5,40004de4 +40004e48: 0005c683 lbu a3,0(a1) +40004e4c: 00170713 addi a4,a4,1 +40004e50: 00377793 andi a5,a4,3 +40004e54: fed70fa3 sb a3,-1(a4) +40004e58: 00158593 addi a1,a1,1 +40004e5c: fc079ae3 bnez a5,40004e30 +40004e60: f85ff06f j 40004de4 +40004e64: 0005a383 lw t2,0(a1) +40004e68: 0045a283 lw t0,4(a1) +40004e6c: 0085af83 lw t6,8(a1) +40004e70: 00c5af03 lw t5,12(a1) +40004e74: 0105ae83 lw t4,16(a1) +40004e78: 0145ae03 lw t3,20(a1) +40004e7c: 0185a303 lw t1,24(a1) +40004e80: 01c5a603 lw a2,28(a1) +40004e84: 02458593 addi a1,a1,36 +40004e88: 02470713 addi a4,a4,36 +40004e8c: ffc5a683 lw a3,-4(a1) +40004e90: fc772e23 sw t2,-36(a4) +40004e94: fe572023 sw t0,-32(a4) +40004e98: fff72223 sw t6,-28(a4) +40004e9c: ffe72423 sw t5,-24(a4) +40004ea0: ffd72623 sw t4,-20(a4) +40004ea4: ffc72823 sw t3,-16(a4) +40004ea8: fe672a23 sw t1,-12(a4) +40004eac: fec72c23 sw a2,-8(a4) +40004eb0: fed72e23 sw a3,-4(a4) +40004eb4: faf768e3 bltu a4,a5,40004e64 +40004eb8: f39ff06f j 40004df0 +40004ebc: 00050713 mv a4,a0 +40004ec0: f71576e3 bleu a7,a0,40004e2c +40004ec4: 0005c783 lbu a5,0(a1) +40004ec8: 00170713 addi a4,a4,1 +40004ecc: 00158593 addi a1,a1,1 +40004ed0: fef70fa3 sb a5,-1(a4) +40004ed4: ff1768e3 bltu a4,a7,40004ec4 +40004ed8: 00008067 ret + +40004edc : +40004edc: 00f00813 li a6,15 +40004ee0: 00050713 mv a4,a0 +40004ee4: 02c87e63 bleu a2,a6,40004f20 +40004ee8: 00f77793 andi a5,a4,15 +40004eec: 0a079063 bnez a5,40004f8c +40004ef0: 08059263 bnez a1,40004f74 +40004ef4: ff067693 andi a3,a2,-16 +40004ef8: 00f67613 andi a2,a2,15 +40004efc: 00e686b3 add a3,a3,a4 +40004f00: 00b72023 sw a1,0(a4) +40004f04: 00b72223 sw a1,4(a4) +40004f08: 00b72423 sw a1,8(a4) +40004f0c: 00b72623 sw a1,12(a4) +40004f10: 01070713 addi a4,a4,16 +40004f14: fed766e3 bltu a4,a3,40004f00 +40004f18: 00061463 bnez a2,40004f20 +40004f1c: 00008067 ret +40004f20: 40c806b3 sub a3,a6,a2 +40004f24: 00269693 slli a3,a3,0x2 +40004f28: 00000297 auipc t0,0x0 +40004f2c: 005686b3 add a3,a3,t0 +40004f30: 00c68067 jr 12(a3) +40004f34: 00b70723 sb a1,14(a4) +40004f38: 00b706a3 sb a1,13(a4) +40004f3c: 00b70623 sb a1,12(a4) +40004f40: 00b705a3 sb a1,11(a4) +40004f44: 00b70523 sb a1,10(a4) +40004f48: 00b704a3 sb a1,9(a4) +40004f4c: 00b70423 sb a1,8(a4) +40004f50: 00b703a3 sb a1,7(a4) +40004f54: 00b70323 sb a1,6(a4) +40004f58: 00b702a3 sb a1,5(a4) +40004f5c: 00b70223 sb a1,4(a4) +40004f60: 00b701a3 sb a1,3(a4) +40004f64: 00b70123 sb a1,2(a4) +40004f68: 00b700a3 sb a1,1(a4) +40004f6c: 00b70023 sb a1,0(a4) +40004f70: 00008067 ret +40004f74: 0ff5f593 andi a1,a1,255 +40004f78: 00859693 slli a3,a1,0x8 +40004f7c: 00d5e5b3 or a1,a1,a3 +40004f80: 01059693 slli a3,a1,0x10 +40004f84: 00d5e5b3 or a1,a1,a3 +40004f88: f6dff06f j 40004ef4 +40004f8c: 00279693 slli a3,a5,0x2 +40004f90: 00000297 auipc t0,0x0 +40004f94: 005686b3 add a3,a3,t0 +40004f98: 00008293 mv t0,ra +40004f9c: fa0680e7 jalr -96(a3) +40004fa0: 00028093 mv ra,t0 +40004fa4: ff078793 addi a5,a5,-16 +40004fa8: 40f70733 sub a4,a4,a5 +40004fac: 00f60633 add a2,a2,a5 +40004fb0: f6c878e3 bleu a2,a6,40004f20 +40004fb4: f3dff06f j 40004ef0 + +40004fb8 <__malloc_lock>: +40004fb8: 00008067 ret + +40004fbc <__malloc_unlock>: +40004fbc: 00008067 ret + +40004fc0 <_Balloc>: +40004fc0: 04c52783 lw a5,76(a0) +40004fc4: ff010113 addi sp,sp,-16 +40004fc8: 00812423 sw s0,8(sp) +40004fcc: 00912223 sw s1,4(sp) +40004fd0: 00112623 sw ra,12(sp) +40004fd4: 01212023 sw s2,0(sp) +40004fd8: 00050413 mv s0,a0 +40004fdc: 00058493 mv s1,a1 +40004fe0: 02078e63 beqz a5,4000501c <_Balloc+0x5c> +40004fe4: 00249513 slli a0,s1,0x2 +40004fe8: 00a787b3 add a5,a5,a0 +40004fec: 0007a503 lw a0,0(a5) +40004ff0: 04050663 beqz a0,4000503c <_Balloc+0x7c> +40004ff4: 00052703 lw a4,0(a0) +40004ff8: 00e7a023 sw a4,0(a5) +40004ffc: 00052823 sw zero,16(a0) +40005000: 00052623 sw zero,12(a0) +40005004: 00c12083 lw ra,12(sp) +40005008: 00812403 lw s0,8(sp) +4000500c: 00412483 lw s1,4(sp) +40005010: 00012903 lw s2,0(sp) +40005014: 01010113 addi sp,sp,16 +40005018: 00008067 ret +4000501c: 02100613 li a2,33 +40005020: 00400593 li a1,4 +40005024: 4cc020ef jal ra,400074f0 <_calloc_r> +40005028: 04a42623 sw a0,76(s0) +4000502c: 00050793 mv a5,a0 +40005030: fa051ae3 bnez a0,40004fe4 <_Balloc+0x24> +40005034: 00000513 li a0,0 +40005038: fcdff06f j 40005004 <_Balloc+0x44> +4000503c: 00100593 li a1,1 +40005040: 00959933 sll s2,a1,s1 +40005044: 00590613 addi a2,s2,5 +40005048: 00261613 slli a2,a2,0x2 +4000504c: 00040513 mv a0,s0 +40005050: 4a0020ef jal ra,400074f0 <_calloc_r> +40005054: fe0500e3 beqz a0,40005034 <_Balloc+0x74> +40005058: 00952223 sw s1,4(a0) +4000505c: 01252423 sw s2,8(a0) +40005060: f9dff06f j 40004ffc <_Balloc+0x3c> + +40005064 <_Bfree>: +40005064: 02058063 beqz a1,40005084 <_Bfree+0x20> +40005068: 0045a703 lw a4,4(a1) +4000506c: 04c52783 lw a5,76(a0) +40005070: 00271713 slli a4,a4,0x2 +40005074: 00e787b3 add a5,a5,a4 +40005078: 0007a703 lw a4,0(a5) +4000507c: 00e5a023 sw a4,0(a1) +40005080: 00b7a023 sw a1,0(a5) +40005084: 00008067 ret + +40005088 <__multadd>: +40005088: fd010113 addi sp,sp,-48 +4000508c: 00010837 lui a6,0x10 +40005090: 02812423 sw s0,40(sp) +40005094: 02912223 sw s1,36(sp) +40005098: 03212023 sw s2,32(sp) +4000509c: 00058493 mv s1,a1 +400050a0: 0105a403 lw s0,16(a1) +400050a4: 00050913 mv s2,a0 +400050a8: 02112623 sw ra,44(sp) +400050ac: 01312e23 sw s3,28(sp) +400050b0: 01458593 addi a1,a1,20 +400050b4: 00000513 li a0,0 +400050b8: fff80813 addi a6,a6,-1 # ffff <_heap_size+0xdfff> +400050bc: 0005a783 lw a5,0(a1) +400050c0: 00458593 addi a1,a1,4 +400050c4: 00150513 addi a0,a0,1 +400050c8: 0107f733 and a4,a5,a6 +400050cc: 02c70733 mul a4,a4,a2 +400050d0: 0107d793 srli a5,a5,0x10 +400050d4: 02c787b3 mul a5,a5,a2 +400050d8: 00d706b3 add a3,a4,a3 +400050dc: 0106d893 srli a7,a3,0x10 +400050e0: 0106f733 and a4,a3,a6 +400050e4: 011786b3 add a3,a5,a7 +400050e8: 01069793 slli a5,a3,0x10 +400050ec: 00e78733 add a4,a5,a4 +400050f0: fee5ae23 sw a4,-4(a1) +400050f4: 0106d693 srli a3,a3,0x10 +400050f8: fc8542e3 blt a0,s0,400050bc <__multadd+0x34> +400050fc: 02068263 beqz a3,40005120 <__multadd+0x98> +40005100: 0084a783 lw a5,8(s1) +40005104: 02f45e63 ble a5,s0,40005140 <__multadd+0xb8> +40005108: 00440793 addi a5,s0,4 +4000510c: 00279793 slli a5,a5,0x2 +40005110: 00f487b3 add a5,s1,a5 +40005114: 00d7a223 sw a3,4(a5) +40005118: 00140413 addi s0,s0,1 +4000511c: 0084a823 sw s0,16(s1) +40005120: 02c12083 lw ra,44(sp) +40005124: 00048513 mv a0,s1 +40005128: 02812403 lw s0,40(sp) +4000512c: 02412483 lw s1,36(sp) +40005130: 02012903 lw s2,32(sp) +40005134: 01c12983 lw s3,28(sp) +40005138: 03010113 addi sp,sp,48 +4000513c: 00008067 ret +40005140: 0044a583 lw a1,4(s1) +40005144: 00090513 mv a0,s2 +40005148: 00d12623 sw a3,12(sp) +4000514c: 00158593 addi a1,a1,1 +40005150: e71ff0ef jal ra,40004fc0 <_Balloc> +40005154: 0104a603 lw a2,16(s1) +40005158: 00050993 mv s3,a0 +4000515c: 00c48593 addi a1,s1,12 +40005160: 00260613 addi a2,a2,2 +40005164: 00c50513 addi a0,a0,12 +40005168: 00261613 slli a2,a2,0x2 +4000516c: c55ff0ef jal ra,40004dc0 +40005170: 0044a703 lw a4,4(s1) +40005174: 04c92783 lw a5,76(s2) +40005178: 00c12683 lw a3,12(sp) +4000517c: 00271713 slli a4,a4,0x2 +40005180: 00e787b3 add a5,a5,a4 +40005184: 0007a703 lw a4,0(a5) +40005188: 00e4a023 sw a4,0(s1) +4000518c: 0097a023 sw s1,0(a5) +40005190: 00098493 mv s1,s3 +40005194: f75ff06f j 40005108 <__multadd+0x80> + +40005198 <__s2b>: +40005198: fe010113 addi sp,sp,-32 +4000519c: 00900793 li a5,9 +400051a0: 01412423 sw s4,8(sp) +400051a4: 00068a13 mv s4,a3 +400051a8: 00868693 addi a3,a3,8 +400051ac: 02f6c6b3 div a3,a3,a5 +400051b0: 00812c23 sw s0,24(sp) +400051b4: 00912a23 sw s1,20(sp) +400051b8: 01212823 sw s2,16(sp) +400051bc: 01312623 sw s3,12(sp) +400051c0: 00112e23 sw ra,28(sp) +400051c4: 01512223 sw s5,4(sp) +400051c8: 01612023 sw s6,0(sp) +400051cc: 00100793 li a5,1 +400051d0: 00058413 mv s0,a1 +400051d4: 00050913 mv s2,a0 +400051d8: 00060993 mv s3,a2 +400051dc: 00070493 mv s1,a4 +400051e0: 00000593 li a1,0 +400051e4: 00d7d863 ble a3,a5,400051f4 <__s2b+0x5c> +400051e8: 00179793 slli a5,a5,0x1 +400051ec: 00158593 addi a1,a1,1 +400051f0: fed7cce3 blt a5,a3,400051e8 <__s2b+0x50> +400051f4: 00090513 mv a0,s2 +400051f8: dc9ff0ef jal ra,40004fc0 <_Balloc> +400051fc: 00100793 li a5,1 +40005200: 00f52823 sw a5,16(a0) +40005204: 00952a23 sw s1,20(a0) +40005208: 00900793 li a5,9 +4000520c: 0937da63 ble s3,a5,400052a0 <__s2b+0x108> +40005210: 00f40b33 add s6,s0,a5 +40005214: 000b0493 mv s1,s6 +40005218: 01340433 add s0,s0,s3 +4000521c: 00a00a93 li s5,10 +40005220: 00148493 addi s1,s1,1 +40005224: fff4c683 lbu a3,-1(s1) +40005228: 00050593 mv a1,a0 +4000522c: 000a8613 mv a2,s5 +40005230: fd068693 addi a3,a3,-48 +40005234: 00090513 mv a0,s2 +40005238: e51ff0ef jal ra,40005088 <__multadd> +4000523c: fe8492e3 bne s1,s0,40005220 <__s2b+0x88> +40005240: ff898413 addi s0,s3,-8 +40005244: 008b0433 add s0,s6,s0 +40005248: 413a04b3 sub s1,s4,s3 +4000524c: 009404b3 add s1,s0,s1 +40005250: 00a00a93 li s5,10 +40005254: 0349d263 ble s4,s3,40005278 <__s2b+0xe0> +40005258: 00140413 addi s0,s0,1 +4000525c: fff44683 lbu a3,-1(s0) +40005260: 00050593 mv a1,a0 +40005264: 000a8613 mv a2,s5 +40005268: fd068693 addi a3,a3,-48 +4000526c: 00090513 mv a0,s2 +40005270: e19ff0ef jal ra,40005088 <__multadd> +40005274: fe8492e3 bne s1,s0,40005258 <__s2b+0xc0> +40005278: 01c12083 lw ra,28(sp) +4000527c: 01812403 lw s0,24(sp) +40005280: 01412483 lw s1,20(sp) +40005284: 01012903 lw s2,16(sp) +40005288: 00c12983 lw s3,12(sp) +4000528c: 00812a03 lw s4,8(sp) +40005290: 00412a83 lw s5,4(sp) +40005294: 00012b03 lw s6,0(sp) +40005298: 02010113 addi sp,sp,32 +4000529c: 00008067 ret +400052a0: 00a40413 addi s0,s0,10 +400052a4: 00078993 mv s3,a5 +400052a8: fa1ff06f j 40005248 <__s2b+0xb0> + +400052ac <__hi0bits>: +400052ac: ffff0737 lui a4,0xffff0 +400052b0: 00e57733 and a4,a0,a4 +400052b4: 00050793 mv a5,a0 +400052b8: 00000513 li a0,0 +400052bc: 00071663 bnez a4,400052c8 <__hi0bits+0x1c> +400052c0: 01079793 slli a5,a5,0x10 +400052c4: 01000513 li a0,16 +400052c8: ff000737 lui a4,0xff000 +400052cc: 00e7f733 and a4,a5,a4 +400052d0: 00071663 bnez a4,400052dc <__hi0bits+0x30> +400052d4: 00850513 addi a0,a0,8 +400052d8: 00879793 slli a5,a5,0x8 +400052dc: f0000737 lui a4,0xf0000 +400052e0: 00e7f733 and a4,a5,a4 +400052e4: 00071663 bnez a4,400052f0 <__hi0bits+0x44> +400052e8: 00450513 addi a0,a0,4 +400052ec: 00479793 slli a5,a5,0x4 +400052f0: c0000737 lui a4,0xc0000 +400052f4: 00e7f733 and a4,a5,a4 +400052f8: 00071663 bnez a4,40005304 <__hi0bits+0x58> +400052fc: 00250513 addi a0,a0,2 +40005300: 00279793 slli a5,a5,0x2 +40005304: 0007c863 bltz a5,40005314 <__hi0bits+0x68> +40005308: 00179713 slli a4,a5,0x1 +4000530c: 00074663 bltz a4,40005318 <__hi0bits+0x6c> +40005310: 02000513 li a0,32 +40005314: 00008067 ret +40005318: 00150513 addi a0,a0,1 +4000531c: 00008067 ret + +40005320 <__lo0bits>: +40005320: 00052783 lw a5,0(a0) +40005324: 0077f713 andi a4,a5,7 +40005328: 02070663 beqz a4,40005354 <__lo0bits+0x34> +4000532c: 0017f693 andi a3,a5,1 +40005330: 00000713 li a4,0 +40005334: 00069c63 bnez a3,4000534c <__lo0bits+0x2c> +40005338: 0027f713 andi a4,a5,2 +4000533c: 08071663 bnez a4,400053c8 <__lo0bits+0xa8> +40005340: 0027d793 srli a5,a5,0x2 +40005344: 00f52023 sw a5,0(a0) +40005348: 00200713 li a4,2 +4000534c: 00070513 mv a0,a4 +40005350: 00008067 ret +40005354: 01079693 slli a3,a5,0x10 +40005358: 0106d693 srli a3,a3,0x10 +4000535c: 00000713 li a4,0 +40005360: 00069663 bnez a3,4000536c <__lo0bits+0x4c> +40005364: 0107d793 srli a5,a5,0x10 +40005368: 01000713 li a4,16 +4000536c: 0ff7f693 andi a3,a5,255 +40005370: 00069663 bnez a3,4000537c <__lo0bits+0x5c> +40005374: 00870713 addi a4,a4,8 # c0000008 <_bss_end+0x7fff3980> +40005378: 0087d793 srli a5,a5,0x8 +4000537c: 00f7f693 andi a3,a5,15 +40005380: 00069663 bnez a3,4000538c <__lo0bits+0x6c> +40005384: 00470713 addi a4,a4,4 +40005388: 0047d793 srli a5,a5,0x4 +4000538c: 0037f693 andi a3,a5,3 +40005390: 00069663 bnez a3,4000539c <__lo0bits+0x7c> +40005394: 00270713 addi a4,a4,2 +40005398: 0027d793 srli a5,a5,0x2 +4000539c: 0017f693 andi a3,a5,1 +400053a0: 00069e63 bnez a3,400053bc <__lo0bits+0x9c> +400053a4: 0017d793 srli a5,a5,0x1 +400053a8: 00079863 bnez a5,400053b8 <__lo0bits+0x98> +400053ac: 02000713 li a4,32 +400053b0: 00070513 mv a0,a4 +400053b4: 00008067 ret +400053b8: 00170713 addi a4,a4,1 +400053bc: 00f52023 sw a5,0(a0) +400053c0: 00070513 mv a0,a4 +400053c4: 00008067 ret +400053c8: 0017d793 srli a5,a5,0x1 +400053cc: 00100713 li a4,1 +400053d0: 00f52023 sw a5,0(a0) +400053d4: 00070513 mv a0,a4 +400053d8: 00008067 ret + +400053dc <__i2b>: +400053dc: ff010113 addi sp,sp,-16 +400053e0: 00812423 sw s0,8(sp) +400053e4: 00058413 mv s0,a1 +400053e8: 00100593 li a1,1 +400053ec: 00112623 sw ra,12(sp) +400053f0: bd1ff0ef jal ra,40004fc0 <_Balloc> +400053f4: 00c12083 lw ra,12(sp) +400053f8: 00100713 li a4,1 +400053fc: 00852a23 sw s0,20(a0) +40005400: 00e52823 sw a4,16(a0) +40005404: 00812403 lw s0,8(sp) +40005408: 01010113 addi sp,sp,16 +4000540c: 00008067 ret + +40005410 <__multiply>: +40005410: fe010113 addi sp,sp,-32 +40005414: 01312623 sw s3,12(sp) +40005418: 01412423 sw s4,8(sp) +4000541c: 0105a983 lw s3,16(a1) +40005420: 01062a03 lw s4,16(a2) +40005424: 00912a23 sw s1,20(sp) +40005428: 01212823 sw s2,16(sp) +4000542c: 00112e23 sw ra,28(sp) +40005430: 00812c23 sw s0,24(sp) +40005434: 00058913 mv s2,a1 +40005438: 00060493 mv s1,a2 +4000543c: 0149dc63 ble s4,s3,40005454 <__multiply+0x44> +40005440: 00098713 mv a4,s3 +40005444: 00060913 mv s2,a2 +40005448: 000a0993 mv s3,s4 +4000544c: 00058493 mv s1,a1 +40005450: 00070a13 mv s4,a4 +40005454: 00892783 lw a5,8(s2) +40005458: 00492583 lw a1,4(s2) +4000545c: 01498433 add s0,s3,s4 +40005460: 0087a7b3 slt a5,a5,s0 +40005464: 00f585b3 add a1,a1,a5 +40005468: b59ff0ef jal ra,40004fc0 <_Balloc> +4000546c: 01450313 addi t1,a0,20 +40005470: 00241893 slli a7,s0,0x2 +40005474: 011308b3 add a7,t1,a7 +40005478: 00030793 mv a5,t1 +4000547c: 01137863 bleu a7,t1,4000548c <__multiply+0x7c> +40005480: 0007a023 sw zero,0(a5) +40005484: 00478793 addi a5,a5,4 +40005488: ff17ece3 bltu a5,a7,40005480 <__multiply+0x70> +4000548c: 01448813 addi a6,s1,20 +40005490: 002a1e13 slli t3,s4,0x2 +40005494: 01490e93 addi t4,s2,20 +40005498: 00299593 slli a1,s3,0x2 +4000549c: 00010637 lui a2,0x10 +400054a0: 01c80e33 add t3,a6,t3 +400054a4: 00be85b3 add a1,t4,a1 +400054a8: fff60613 addi a2,a2,-1 # ffff <_heap_size+0xdfff> +400054ac: 0fc87c63 bleu t3,a6,400055a4 <__multiply+0x194> +400054b0: 00082383 lw t2,0(a6) +400054b4: 00c3f4b3 and s1,t2,a2 +400054b8: 06048663 beqz s1,40005524 <__multiply+0x114> +400054bc: 00030f93 mv t6,t1 +400054c0: 000e8293 mv t0,t4 +400054c4: 00000393 li t2,0 +400054c8: 0002a703 lw a4,0(t0) # 40004f90 +400054cc: 000faf03 lw t5,0(t6) +400054d0: 004f8f93 addi t6,t6,4 +400054d4: 00c776b3 and a3,a4,a2 +400054d8: 029686b3 mul a3,a3,s1 +400054dc: 01075793 srli a5,a4,0x10 +400054e0: 00cf7733 and a4,t5,a2 +400054e4: 010f5f13 srli t5,t5,0x10 +400054e8: 00428293 addi t0,t0,4 +400054ec: 029787b3 mul a5,a5,s1 +400054f0: 00e686b3 add a3,a3,a4 +400054f4: 007686b3 add a3,a3,t2 +400054f8: 0106d713 srli a4,a3,0x10 +400054fc: 00c6f6b3 and a3,a3,a2 +40005500: 01e787b3 add a5,a5,t5 +40005504: 00e787b3 add a5,a5,a4 +40005508: 01079713 slli a4,a5,0x10 +4000550c: 00d766b3 or a3,a4,a3 +40005510: fedfae23 sw a3,-4(t6) +40005514: 0107d393 srli t2,a5,0x10 +40005518: fab2e8e3 bltu t0,a1,400054c8 <__multiply+0xb8> +4000551c: 007fa023 sw t2,0(t6) +40005520: 00082383 lw t2,0(a6) +40005524: 0103d393 srli t2,t2,0x10 +40005528: 06038863 beqz t2,40005598 <__multiply+0x188> +4000552c: 00032703 lw a4,0(t1) +40005530: 00030f13 mv t5,t1 +40005534: 000e8693 mv a3,t4 +40005538: 00070293 mv t0,a4 +4000553c: 00000f93 li t6,0 +40005540: 0006a783 lw a5,0(a3) +40005544: 0102d913 srli s2,t0,0x10 +40005548: 00c77733 and a4,a4,a2 +4000554c: 00c7f7b3 and a5,a5,a2 +40005550: 027787b3 mul a5,a5,t2 +40005554: 004f0f13 addi t5,t5,4 +40005558: 00468693 addi a3,a3,4 +4000555c: 000f2283 lw t0,0(t5) +40005560: 00c2f4b3 and s1,t0,a2 +40005564: 012787b3 add a5,a5,s2 +40005568: 01f787b3 add a5,a5,t6 +4000556c: 01079f93 slli t6,a5,0x10 +40005570: 00efe733 or a4,t6,a4 +40005574: feef2e23 sw a4,-4(t5) +40005578: ffe6d703 lhu a4,-2(a3) +4000557c: 0107d793 srli a5,a5,0x10 +40005580: 02770733 mul a4,a4,t2 +40005584: 00970733 add a4,a4,s1 +40005588: 00f70733 add a4,a4,a5 +4000558c: 01075f93 srli t6,a4,0x10 +40005590: fab6e8e3 bltu a3,a1,40005540 <__multiply+0x130> +40005594: 00ef2023 sw a4,0(t5) +40005598: 00480813 addi a6,a6,4 +4000559c: 00430313 addi t1,t1,4 +400055a0: f1c868e3 bltu a6,t3,400054b0 <__multiply+0xa0> +400055a4: 02805463 blez s0,400055cc <__multiply+0x1bc> +400055a8: ffc8a783 lw a5,-4(a7) +400055ac: ffc88893 addi a7,a7,-4 +400055b0: 00078863 beqz a5,400055c0 <__multiply+0x1b0> +400055b4: 0180006f j 400055cc <__multiply+0x1bc> +400055b8: 0008a783 lw a5,0(a7) +400055bc: 00079863 bnez a5,400055cc <__multiply+0x1bc> +400055c0: fff40413 addi s0,s0,-1 +400055c4: ffc88893 addi a7,a7,-4 +400055c8: fe0418e3 bnez s0,400055b8 <__multiply+0x1a8> +400055cc: 01c12083 lw ra,28(sp) +400055d0: 00852823 sw s0,16(a0) +400055d4: 01412483 lw s1,20(sp) +400055d8: 01812403 lw s0,24(sp) +400055dc: 01012903 lw s2,16(sp) +400055e0: 00c12983 lw s3,12(sp) +400055e4: 00812a03 lw s4,8(sp) +400055e8: 02010113 addi sp,sp,32 +400055ec: 00008067 ret + +400055f0 <__pow5mult>: +400055f0: fe010113 addi sp,sp,-32 +400055f4: 00812c23 sw s0,24(sp) +400055f8: 01312623 sw s3,12(sp) +400055fc: 01412423 sw s4,8(sp) +40005600: 00112e23 sw ra,28(sp) +40005604: 00912a23 sw s1,20(sp) +40005608: 01212823 sw s2,16(sp) +4000560c: 00367793 andi a5,a2,3 +40005610: 00060413 mv s0,a2 +40005614: 00050993 mv s3,a0 +40005618: 00058a13 mv s4,a1 +4000561c: 0c079463 bnez a5,400056e4 <__pow5mult+0xf4> +40005620: 40245413 srai s0,s0,0x2 +40005624: 000a0913 mv s2,s4 +40005628: 06040863 beqz s0,40005698 <__pow5mult+0xa8> +4000562c: 0489a483 lw s1,72(s3) +40005630: 0c048e63 beqz s1,4000570c <__pow5mult+0x11c> +40005634: 00147793 andi a5,s0,1 +40005638: 000a0913 mv s2,s4 +4000563c: 02079063 bnez a5,4000565c <__pow5mult+0x6c> +40005640: 40145413 srai s0,s0,0x1 +40005644: 04040a63 beqz s0,40005698 <__pow5mult+0xa8> +40005648: 0004a503 lw a0,0(s1) +4000564c: 06050863 beqz a0,400056bc <__pow5mult+0xcc> +40005650: 00050493 mv s1,a0 +40005654: 00147793 andi a5,s0,1 +40005658: fe0784e3 beqz a5,40005640 <__pow5mult+0x50> +4000565c: 00048613 mv a2,s1 +40005660: 00090593 mv a1,s2 +40005664: 00098513 mv a0,s3 +40005668: da9ff0ef jal ra,40005410 <__multiply> +4000566c: 06090863 beqz s2,400056dc <__pow5mult+0xec> +40005670: 00492703 lw a4,4(s2) +40005674: 04c9a783 lw a5,76(s3) +40005678: 40145413 srai s0,s0,0x1 +4000567c: 00271713 slli a4,a4,0x2 +40005680: 00e787b3 add a5,a5,a4 +40005684: 0007a703 lw a4,0(a5) +40005688: 00e92023 sw a4,0(s2) +4000568c: 0127a023 sw s2,0(a5) +40005690: 00050913 mv s2,a0 +40005694: fa041ae3 bnez s0,40005648 <__pow5mult+0x58> +40005698: 01c12083 lw ra,28(sp) +4000569c: 00090513 mv a0,s2 +400056a0: 01812403 lw s0,24(sp) +400056a4: 01412483 lw s1,20(sp) +400056a8: 01012903 lw s2,16(sp) +400056ac: 00c12983 lw s3,12(sp) +400056b0: 00812a03 lw s4,8(sp) +400056b4: 02010113 addi sp,sp,32 +400056b8: 00008067 ret +400056bc: 00048613 mv a2,s1 +400056c0: 00048593 mv a1,s1 +400056c4: 00098513 mv a0,s3 +400056c8: d49ff0ef jal ra,40005410 <__multiply> +400056cc: 00a4a023 sw a0,0(s1) +400056d0: 00052023 sw zero,0(a0) +400056d4: 00050493 mv s1,a0 +400056d8: f7dff06f j 40005654 <__pow5mult+0x64> +400056dc: 00050913 mv s2,a0 +400056e0: f61ff06f j 40005640 <__pow5mult+0x50> +400056e4: fff78793 addi a5,a5,-1 +400056e8: 4000c737 lui a4,0x4000c +400056ec: 80870713 addi a4,a4,-2040 # 4000b808 +400056f0: 00279793 slli a5,a5,0x2 +400056f4: 00f707b3 add a5,a4,a5 +400056f8: 0007a603 lw a2,0(a5) +400056fc: 00000693 li a3,0 +40005700: 989ff0ef jal ra,40005088 <__multadd> +40005704: 00050a13 mv s4,a0 +40005708: f19ff06f j 40005620 <__pow5mult+0x30> +4000570c: 00100593 li a1,1 +40005710: 00098513 mv a0,s3 +40005714: 8adff0ef jal ra,40004fc0 <_Balloc> +40005718: 27100793 li a5,625 +4000571c: 00f52a23 sw a5,20(a0) +40005720: 00100793 li a5,1 +40005724: 00f52823 sw a5,16(a0) +40005728: 04a9a423 sw a0,72(s3) +4000572c: 00050493 mv s1,a0 +40005730: 00052023 sw zero,0(a0) +40005734: f01ff06f j 40005634 <__pow5mult+0x44> + +40005738 <__lshift>: +40005738: fe010113 addi sp,sp,-32 +4000573c: 01412423 sw s4,8(sp) +40005740: 0105aa03 lw s4,16(a1) +40005744: 00812c23 sw s0,24(sp) +40005748: 0085a783 lw a5,8(a1) +4000574c: 40565413 srai s0,a2,0x5 +40005750: 01440a33 add s4,s0,s4 +40005754: 00912a23 sw s1,20(sp) +40005758: 01212823 sw s2,16(sp) +4000575c: 01312623 sw s3,12(sp) +40005760: 01512223 sw s5,4(sp) +40005764: 00112e23 sw ra,28(sp) +40005768: 001a0493 addi s1,s4,1 +4000576c: 00058993 mv s3,a1 +40005770: 00060913 mv s2,a2 +40005774: 00050a93 mv s5,a0 +40005778: 0045a583 lw a1,4(a1) +4000577c: 0097d863 ble s1,a5,4000578c <__lshift+0x54> +40005780: 00179793 slli a5,a5,0x1 +40005784: 00158593 addi a1,a1,1 +40005788: fe97cce3 blt a5,s1,40005780 <__lshift+0x48> +4000578c: 000a8513 mv a0,s5 +40005790: 831ff0ef jal ra,40004fc0 <_Balloc> +40005794: 01450793 addi a5,a0,20 +40005798: 0e805063 blez s0,40005878 <__lshift+0x140> +4000579c: 00241713 slli a4,s0,0x2 +400057a0: 00e78733 add a4,a5,a4 +400057a4: 00478793 addi a5,a5,4 +400057a8: fe07ae23 sw zero,-4(a5) +400057ac: fee79ce3 bne a5,a4,400057a4 <__lshift+0x6c> +400057b0: 0109a803 lw a6,16(s3) +400057b4: 01498793 addi a5,s3,20 +400057b8: 01f97613 andi a2,s2,31 +400057bc: 00281813 slli a6,a6,0x2 +400057c0: 01078833 add a6,a5,a6 +400057c4: 08060463 beqz a2,4000584c <__lshift+0x114> +400057c8: 02000893 li a7,32 +400057cc: 40c888b3 sub a7,a7,a2 +400057d0: 00000593 li a1,0 +400057d4: 0007a683 lw a3,0(a5) +400057d8: 00470713 addi a4,a4,4 +400057dc: 00478793 addi a5,a5,4 +400057e0: 00c696b3 sll a3,a3,a2 +400057e4: 00b6e6b3 or a3,a3,a1 +400057e8: fed72e23 sw a3,-4(a4) +400057ec: ffc7a683 lw a3,-4(a5) +400057f0: 0116d5b3 srl a1,a3,a7 +400057f4: ff07e0e3 bltu a5,a6,400057d4 <__lshift+0x9c> +400057f8: 00b72023 sw a1,0(a4) +400057fc: 00058463 beqz a1,40005804 <__lshift+0xcc> +40005800: 002a0493 addi s1,s4,2 +40005804: 0049a703 lw a4,4(s3) +40005808: 04caa783 lw a5,76(s5) +4000580c: fff48493 addi s1,s1,-1 +40005810: 00271713 slli a4,a4,0x2 +40005814: 00e787b3 add a5,a5,a4 +40005818: 0007a703 lw a4,0(a5) +4000581c: 01c12083 lw ra,28(sp) +40005820: 00952823 sw s1,16(a0) +40005824: 00e9a023 sw a4,0(s3) +40005828: 0137a023 sw s3,0(a5) +4000582c: 01812403 lw s0,24(sp) +40005830: 01412483 lw s1,20(sp) +40005834: 01012903 lw s2,16(sp) +40005838: 00c12983 lw s3,12(sp) +4000583c: 00812a03 lw s4,8(sp) +40005840: 00412a83 lw s5,4(sp) +40005844: 02010113 addi sp,sp,32 +40005848: 00008067 ret +4000584c: 00478793 addi a5,a5,4 +40005850: ffc7a683 lw a3,-4(a5) +40005854: 00470713 addi a4,a4,4 +40005858: fed72e23 sw a3,-4(a4) +4000585c: fb07f4e3 bleu a6,a5,40005804 <__lshift+0xcc> +40005860: 00478793 addi a5,a5,4 +40005864: ffc7a683 lw a3,-4(a5) +40005868: 00470713 addi a4,a4,4 +4000586c: fed72e23 sw a3,-4(a4) +40005870: fd07eee3 bltu a5,a6,4000584c <__lshift+0x114> +40005874: f91ff06f j 40005804 <__lshift+0xcc> +40005878: 00078713 mv a4,a5 +4000587c: f35ff06f j 400057b0 <__lshift+0x78> + +40005880 <__mcmp>: +40005880: 01052683 lw a3,16(a0) +40005884: 0105a703 lw a4,16(a1) +40005888: 00050813 mv a6,a0 +4000588c: 40e68533 sub a0,a3,a4 +40005890: 04051263 bnez a0,400058d4 <__mcmp+0x54> +40005894: 00271713 slli a4,a4,0x2 +40005898: 01480813 addi a6,a6,20 +4000589c: 01458593 addi a1,a1,20 +400058a0: 00e807b3 add a5,a6,a4 +400058a4: 00e58733 add a4,a1,a4 +400058a8: 0080006f j 400058b0 <__mcmp+0x30> +400058ac: 02f87463 bleu a5,a6,400058d4 <__mcmp+0x54> +400058b0: ffc78793 addi a5,a5,-4 +400058b4: ffc70713 addi a4,a4,-4 +400058b8: 0007a683 lw a3,0(a5) +400058bc: 00072603 lw a2,0(a4) +400058c0: fec686e3 beq a3,a2,400058ac <__mcmp+0x2c> +400058c4: 00c6b6b3 sltu a3,a3,a2 +400058c8: 40d006b3 neg a3,a3 +400058cc: 0016e513 ori a0,a3,1 +400058d0: 00008067 ret +400058d4: 00008067 ret + +400058d8 <__mdiff>: +400058d8: fe010113 addi sp,sp,-32 +400058dc: 01212823 sw s2,16(sp) +400058e0: 01062703 lw a4,16(a2) +400058e4: 0105a903 lw s2,16(a1) +400058e8: 01312623 sw s3,12(sp) +400058ec: 01412423 sw s4,8(sp) +400058f0: 00112e23 sw ra,28(sp) +400058f4: 00812c23 sw s0,24(sp) +400058f8: 00912a23 sw s1,20(sp) +400058fc: 40e90933 sub s2,s2,a4 +40005900: 00058993 mv s3,a1 +40005904: 00060a13 mv s4,a2 +40005908: 04091863 bnez s2,40005958 <__mdiff+0x80> +4000590c: 00271713 slli a4,a4,0x2 +40005910: 01458313 addi t1,a1,20 +40005914: 01460493 addi s1,a2,20 +40005918: 00e307b3 add a5,t1,a4 +4000591c: 00e48733 add a4,s1,a4 +40005920: 0080006f j 40005928 <__mdiff+0x50> +40005924: 16f37863 bleu a5,t1,40005a94 <__mdiff+0x1bc> +40005928: ffc78793 addi a5,a5,-4 +4000592c: ffc70713 addi a4,a4,-4 +40005930: 0007a583 lw a1,0(a5) +40005934: 00072683 lw a3,0(a4) +40005938: fed586e3 beq a1,a3,40005924 <__mdiff+0x4c> +4000593c: 18d5f663 bleu a3,a1,40005ac8 <__mdiff+0x1f0> +40005940: 00098793 mv a5,s3 +40005944: 00030413 mv s0,t1 +40005948: 000a0993 mv s3,s4 +4000594c: 00100913 li s2,1 +40005950: 00078a13 mv s4,a5 +40005954: 0140006f j 40005968 <__mdiff+0x90> +40005958: 16094e63 bltz s2,40005ad4 <__mdiff+0x1fc> +4000595c: 01498493 addi s1,s3,20 +40005960: 014a0413 addi s0,s4,20 +40005964: 00000913 li s2,0 +40005968: 0049a583 lw a1,4(s3) +4000596c: e54ff0ef jal ra,40004fc0 <_Balloc> +40005970: 0109ae03 lw t3,16(s3) +40005974: 010a2f03 lw t5,16(s4) +40005978: 00010637 lui a2,0x10 +4000597c: 002e1e93 slli t4,t3,0x2 +40005980: 002f1f13 slli t5,t5,0x2 +40005984: 01252623 sw s2,12(a0) +40005988: 01d48eb3 add t4,s1,t4 +4000598c: 01e40f33 add t5,s0,t5 +40005990: 01450593 addi a1,a0,20 +40005994: 00040893 mv a7,s0 +40005998: 00048313 mv t1,s1 +4000599c: 00000793 li a5,0 +400059a0: fff60613 addi a2,a2,-1 # ffff <_heap_size+0xdfff> +400059a4: 0080006f j 400059ac <__mdiff+0xd4> +400059a8: 00080313 mv t1,a6 +400059ac: 00032703 lw a4,0(t1) +400059b0: 0008a803 lw a6,0(a7) +400059b4: 00458593 addi a1,a1,4 +400059b8: 00c776b3 and a3,a4,a2 +400059bc: 00f686b3 add a3,a3,a5 +400059c0: 00c877b3 and a5,a6,a2 +400059c4: 40f686b3 sub a3,a3,a5 +400059c8: 01085813 srli a6,a6,0x10 +400059cc: 01075793 srli a5,a4,0x10 +400059d0: 410787b3 sub a5,a5,a6 +400059d4: 4106d713 srai a4,a3,0x10 +400059d8: 00e787b3 add a5,a5,a4 +400059dc: 01079713 slli a4,a5,0x10 +400059e0: 00c6f6b3 and a3,a3,a2 +400059e4: 00d766b3 or a3,a4,a3 +400059e8: 00488893 addi a7,a7,4 +400059ec: fed5ae23 sw a3,-4(a1) +400059f0: 00430813 addi a6,t1,4 +400059f4: 4107d793 srai a5,a5,0x10 +400059f8: fbe8e8e3 bltu a7,t5,400059a8 <__mdiff+0xd0> +400059fc: 05d87e63 bleu t4,a6,40005a58 <__mdiff+0x180> +40005a00: 00010f37 lui t5,0x10 +40005a04: 00058893 mv a7,a1 +40005a08: ffff0f13 addi t5,t5,-1 # ffff <_heap_size+0xdfff> +40005a0c: 00082703 lw a4,0(a6) +40005a10: 00488893 addi a7,a7,4 +40005a14: 00480813 addi a6,a6,4 +40005a18: 01e77633 and a2,a4,t5 +40005a1c: 00f60633 add a2,a2,a5 +40005a20: 41065693 srai a3,a2,0x10 +40005a24: 01075793 srli a5,a4,0x10 +40005a28: 00d787b3 add a5,a5,a3 +40005a2c: 01079693 slli a3,a5,0x10 +40005a30: 01e67633 and a2,a2,t5 +40005a34: 00c6e6b3 or a3,a3,a2 +40005a38: fed8ae23 sw a3,-4(a7) +40005a3c: 4107d793 srai a5,a5,0x10 +40005a40: fdd866e3 bltu a6,t4,40005a0c <__mdiff+0x134> +40005a44: 406e87b3 sub a5,t4,t1 +40005a48: ffb78793 addi a5,a5,-5 +40005a4c: ffc7f793 andi a5,a5,-4 +40005a50: 00478793 addi a5,a5,4 +40005a54: 00f585b3 add a1,a1,a5 +40005a58: ffc58593 addi a1,a1,-4 +40005a5c: 00069a63 bnez a3,40005a70 <__mdiff+0x198> +40005a60: ffc58593 addi a1,a1,-4 +40005a64: 0005a783 lw a5,0(a1) +40005a68: fffe0e13 addi t3,t3,-1 +40005a6c: fe078ae3 beqz a5,40005a60 <__mdiff+0x188> +40005a70: 01c12083 lw ra,28(sp) +40005a74: 01812403 lw s0,24(sp) +40005a78: 01412483 lw s1,20(sp) +40005a7c: 01012903 lw s2,16(sp) +40005a80: 00c12983 lw s3,12(sp) +40005a84: 00812a03 lw s4,8(sp) +40005a88: 01c52823 sw t3,16(a0) +40005a8c: 02010113 addi sp,sp,32 +40005a90: 00008067 ret +40005a94: 00000593 li a1,0 +40005a98: d28ff0ef jal ra,40004fc0 <_Balloc> +40005a9c: 01c12083 lw ra,28(sp) +40005aa0: 00100793 li a5,1 +40005aa4: 01812403 lw s0,24(sp) +40005aa8: 01412483 lw s1,20(sp) +40005aac: 01012903 lw s2,16(sp) +40005ab0: 00c12983 lw s3,12(sp) +40005ab4: 00812a03 lw s4,8(sp) +40005ab8: 00f52823 sw a5,16(a0) +40005abc: 00052a23 sw zero,20(a0) +40005ac0: 02010113 addi sp,sp,32 +40005ac4: 00008067 ret +40005ac8: 00048413 mv s0,s1 +40005acc: 00030493 mv s1,t1 +40005ad0: e99ff06f j 40005968 <__mdiff+0x90> +40005ad4: 01460493 addi s1,a2,20 +40005ad8: 01458413 addi s0,a1,20 +40005adc: 00100913 li s2,1 +40005ae0: 00060993 mv s3,a2 +40005ae4: 00058a13 mv s4,a1 +40005ae8: e81ff06f j 40005968 <__mdiff+0x90> + +40005aec <__ulp>: +40005aec: 7ff007b7 lui a5,0x7ff00 +40005af0: 00b7f5b3 and a1,a5,a1 +40005af4: fcc007b7 lui a5,0xfcc00 +40005af8: 00f585b3 add a1,a1,a5 +40005afc: 00b05863 blez a1,40005b0c <__ulp+0x20> +40005b00: 00000793 li a5,0 +40005b04: 00078513 mv a0,a5 +40005b08: 00008067 ret +40005b0c: 40b005b3 neg a1,a1 +40005b10: 4145d593 srai a1,a1,0x14 +40005b14: 01300793 li a5,19 +40005b18: 02b7d463 ble a1,a5,40005b40 <__ulp+0x54> +40005b1c: fec58713 addi a4,a1,-20 +40005b20: 01e00693 li a3,30 +40005b24: 00000593 li a1,0 +40005b28: 00100793 li a5,1 +40005b2c: fce6cce3 blt a3,a4,40005b04 <__ulp+0x18> +40005b30: fff74713 not a4,a4 +40005b34: 00e797b3 sll a5,a5,a4 +40005b38: 00078513 mv a0,a5 +40005b3c: 00008067 ret +40005b40: 000807b7 lui a5,0x80 +40005b44: 40b7d5b3 sra a1,a5,a1 +40005b48: fb9ff06f j 40005b00 <__ulp+0x14> + +40005b4c <__b2d>: +40005b4c: fe010113 addi sp,sp,-32 +40005b50: 00812c23 sw s0,24(sp) +40005b54: 01052403 lw s0,16(a0) +40005b58: 00912a23 sw s1,20(sp) +40005b5c: 01450493 addi s1,a0,20 +40005b60: 00241413 slli s0,s0,0x2 +40005b64: 00848433 add s0,s1,s0 +40005b68: 01212823 sw s2,16(sp) +40005b6c: ffc42903 lw s2,-4(s0) +40005b70: 01312623 sw s3,12(sp) +40005b74: 01412423 sw s4,8(sp) +40005b78: 00090513 mv a0,s2 +40005b7c: 00058a13 mv s4,a1 +40005b80: 00112e23 sw ra,28(sp) +40005b84: f28ff0ef jal ra,400052ac <__hi0bits> +40005b88: 02000713 li a4,32 +40005b8c: 40a707b3 sub a5,a4,a0 +40005b90: 00fa2023 sw a5,0(s4) +40005b94: 00a00793 li a5,10 +40005b98: ffc40993 addi s3,s0,-4 +40005b9c: 04a7ce63 blt a5,a0,40005bf8 <__b2d+0xac> +40005ba0: 00b00693 li a3,11 +40005ba4: 40a686b3 sub a3,a3,a0 +40005ba8: 3ff007b7 lui a5,0x3ff00 +40005bac: 00d95733 srl a4,s2,a3 +40005bb0: 00f76733 or a4,a4,a5 +40005bb4: 00000793 li a5,0 +40005bb8: 0134f663 bleu s3,s1,40005bc4 <__b2d+0x78> +40005bbc: ff842783 lw a5,-8(s0) +40005bc0: 00d7d7b3 srl a5,a5,a3 +40005bc4: 01550513 addi a0,a0,21 +40005bc8: 00a91533 sll a0,s2,a0 +40005bcc: 00f567b3 or a5,a0,a5 +40005bd0: 01c12083 lw ra,28(sp) +40005bd4: 00078513 mv a0,a5 +40005bd8: 00070593 mv a1,a4 +40005bdc: 01812403 lw s0,24(sp) +40005be0: 01412483 lw s1,20(sp) +40005be4: 01012903 lw s2,16(sp) +40005be8: 00c12983 lw s3,12(sp) +40005bec: 00812a03 lw s4,8(sp) +40005bf0: 02010113 addi sp,sp,32 +40005bf4: 00008067 ret +40005bf8: ff550513 addi a0,a0,-11 +40005bfc: 0534f063 bleu s3,s1,40005c3c <__b2d+0xf0> +40005c00: ff842783 lw a5,-8(s0) +40005c04: 04050063 beqz a0,40005c44 <__b2d+0xf8> +40005c08: 40a706b3 sub a3,a4,a0 +40005c0c: 00a91933 sll s2,s2,a0 +40005c10: 3ff00737 lui a4,0x3ff00 +40005c14: 00e96933 or s2,s2,a4 +40005c18: ff840613 addi a2,s0,-8 +40005c1c: 00d7d733 srl a4,a5,a3 +40005c20: 00e96733 or a4,s2,a4 +40005c24: 04c4f063 bleu a2,s1,40005c64 <__b2d+0x118> +40005c28: ff442603 lw a2,-12(s0) +40005c2c: 00a797b3 sll a5,a5,a0 +40005c30: 00d656b3 srl a3,a2,a3 +40005c34: 00f6e7b3 or a5,a3,a5 +40005c38: f99ff06f j 40005bd0 <__b2d+0x84> +40005c3c: 00000793 li a5,0 +40005c40: 00051863 bnez a0,40005c50 <__b2d+0x104> +40005c44: 3ff00737 lui a4,0x3ff00 +40005c48: 00e96733 or a4,s2,a4 +40005c4c: f85ff06f j 40005bd0 <__b2d+0x84> +40005c50: 00a91533 sll a0,s2,a0 +40005c54: 3ff00737 lui a4,0x3ff00 +40005c58: 00e56733 or a4,a0,a4 +40005c5c: 00000793 li a5,0 +40005c60: f71ff06f j 40005bd0 <__b2d+0x84> +40005c64: 00a797b3 sll a5,a5,a0 +40005c68: f69ff06f j 40005bd0 <__b2d+0x84> + +40005c6c <__d2b>: +40005c6c: fd010113 addi sp,sp,-48 +40005c70: 00100593 li a1,1 +40005c74: 02812423 sw s0,40(sp) +40005c78: 02912223 sw s1,36(sp) +40005c7c: 00068413 mv s0,a3 +40005c80: 03212023 sw s2,32(sp) +40005c84: 01312e23 sw s3,28(sp) +40005c88: 01412c23 sw s4,24(sp) +40005c8c: 01512a23 sw s5,20(sp) +40005c90: 00070a13 mv s4,a4 +40005c94: 00060a93 mv s5,a2 +40005c98: 00078993 mv s3,a5 +40005c9c: 02112623 sw ra,44(sp) +40005ca0: b20ff0ef jal ra,40004fc0 <_Balloc> +40005ca4: 00100737 lui a4,0x100 +40005ca8: 01445493 srli s1,s0,0x14 +40005cac: fff70793 addi a5,a4,-1 # fffff <_heap_size+0xfdfff> +40005cb0: 7ff4f493 andi s1,s1,2047 +40005cb4: 00050913 mv s2,a0 +40005cb8: 000a8613 mv a2,s5 +40005cbc: 0087f6b3 and a3,a5,s0 +40005cc0: 00048463 beqz s1,40005cc8 <__d2b+0x5c> +40005cc4: 00e6e6b3 or a3,a3,a4 +40005cc8: 00d12623 sw a3,12(sp) +40005ccc: 08060263 beqz a2,40005d50 <__d2b+0xe4> +40005cd0: 00810513 addi a0,sp,8 +40005cd4: 01512423 sw s5,8(sp) +40005cd8: e48ff0ef jal ra,40005320 <__lo0bits> +40005cdc: 00050793 mv a5,a0 +40005ce0: 00c12703 lw a4,12(sp) +40005ce4: 0a051463 bnez a0,40005d8c <__d2b+0x120> +40005ce8: 00812683 lw a3,8(sp) +40005cec: 00d92a23 sw a3,20(s2) +40005cf0: 00e03433 snez s0,a4 +40005cf4: 00140413 addi s0,s0,1 +40005cf8: 00e92c23 sw a4,24(s2) +40005cfc: 00892823 sw s0,16(s2) +40005d00: 06049863 bnez s1,40005d70 <__d2b+0x104> +40005d04: 00241713 slli a4,s0,0x2 +40005d08: 00e90733 add a4,s2,a4 +40005d0c: 01072503 lw a0,16(a4) +40005d10: bce78793 addi a5,a5,-1074 # 3feffbce <_heap_size+0x3fefdbce> +40005d14: 00fa2023 sw a5,0(s4) +40005d18: d94ff0ef jal ra,400052ac <__hi0bits> +40005d1c: 00541413 slli s0,s0,0x5 +40005d20: 40a40433 sub s0,s0,a0 +40005d24: 0089a023 sw s0,0(s3) +40005d28: 02c12083 lw ra,44(sp) +40005d2c: 00090513 mv a0,s2 +40005d30: 02812403 lw s0,40(sp) +40005d34: 02412483 lw s1,36(sp) +40005d38: 02012903 lw s2,32(sp) +40005d3c: 01c12983 lw s3,28(sp) +40005d40: 01812a03 lw s4,24(sp) +40005d44: 01412a83 lw s5,20(sp) +40005d48: 03010113 addi sp,sp,48 +40005d4c: 00008067 ret +40005d50: 00c10513 addi a0,sp,12 +40005d54: dccff0ef jal ra,40005320 <__lo0bits> +40005d58: 00c12783 lw a5,12(sp) +40005d5c: 00100413 li s0,1 +40005d60: 00892823 sw s0,16(s2) +40005d64: 00f92a23 sw a5,20(s2) +40005d68: 02050793 addi a5,a0,32 +40005d6c: f8048ce3 beqz s1,40005d04 <__d2b+0x98> +40005d70: bcd48493 addi s1,s1,-1075 +40005d74: 00f484b3 add s1,s1,a5 +40005d78: 03500713 li a4,53 +40005d7c: 009a2023 sw s1,0(s4) +40005d80: 40f707b3 sub a5,a4,a5 +40005d84: 00f9a023 sw a5,0(s3) +40005d88: fa1ff06f j 40005d28 <__d2b+0xbc> +40005d8c: 02000693 li a3,32 +40005d90: 00812603 lw a2,8(sp) +40005d94: 40a686b3 sub a3,a3,a0 +40005d98: 00d716b3 sll a3,a4,a3 +40005d9c: 00c6e6b3 or a3,a3,a2 +40005da0: 00a75733 srl a4,a4,a0 +40005da4: 00d92a23 sw a3,20(s2) +40005da8: 00e12623 sw a4,12(sp) +40005dac: f45ff06f j 40005cf0 <__d2b+0x84> + +40005db0 <__ratio>: +40005db0: fd010113 addi sp,sp,-48 +40005db4: 03212023 sw s2,32(sp) +40005db8: 00058913 mv s2,a1 +40005dbc: 00810593 addi a1,sp,8 +40005dc0: 02112623 sw ra,44(sp) +40005dc4: 02812423 sw s0,40(sp) +40005dc8: 02912223 sw s1,36(sp) +40005dcc: 01312e23 sw s3,28(sp) +40005dd0: 00050993 mv s3,a0 +40005dd4: d79ff0ef jal ra,40005b4c <__b2d> +40005dd8: 00050493 mv s1,a0 +40005ddc: 00058413 mv s0,a1 +40005de0: 00090513 mv a0,s2 +40005de4: 00c10593 addi a1,sp,12 +40005de8: d65ff0ef jal ra,40005b4c <__b2d> +40005dec: 01092783 lw a5,16(s2) +40005df0: 0109a703 lw a4,16(s3) +40005df4: 00812683 lw a3,8(sp) +40005df8: 40f70733 sub a4,a4,a5 +40005dfc: 00c12783 lw a5,12(sp) +40005e00: 00571713 slli a4,a4,0x5 +40005e04: 40f686b3 sub a3,a3,a5 +40005e08: 00d707b3 add a5,a4,a3 +40005e0c: 02f05e63 blez a5,40005e48 <__ratio+0x98> +40005e10: 01479793 slli a5,a5,0x14 +40005e14: 00878433 add s0,a5,s0 +40005e18: 00050613 mv a2,a0 +40005e1c: 00058693 mv a3,a1 +40005e20: 00048513 mv a0,s1 +40005e24: 00040593 mv a1,s0 +40005e28: 5c0030ef jal ra,400093e8 <__divdf3> +40005e2c: 02c12083 lw ra,44(sp) +40005e30: 02812403 lw s0,40(sp) +40005e34: 02412483 lw s1,36(sp) +40005e38: 02012903 lw s2,32(sp) +40005e3c: 01c12983 lw s3,28(sp) +40005e40: 03010113 addi sp,sp,48 +40005e44: 00008067 ret +40005e48: 01479713 slli a4,a5,0x14 +40005e4c: 40e585b3 sub a1,a1,a4 +40005e50: fc9ff06f j 40005e18 <__ratio+0x68> + +40005e54 <_mprec_log10>: +40005e54: ff010113 addi sp,sp,-16 +40005e58: 00812423 sw s0,8(sp) +40005e5c: 00112623 sw ra,12(sp) +40005e60: 01212223 sw s2,4(sp) +40005e64: 01312023 sw s3,0(sp) +40005e68: 01700793 li a5,23 +40005e6c: 00050413 mv s0,a0 +40005e70: 04a7d463 ble a0,a5,40005eb8 <_mprec_log10+0x64> +40005e74: 4000c7b7 lui a5,0x4000c +40005e78: c607a503 lw a0,-928(a5) # 4000bc60 <__clz_tab+0x12c> +40005e7c: c647a583 lw a1,-924(a5) +40005e80: 4000c7b7 lui a5,0x4000c +40005e84: c687a903 lw s2,-920(a5) # 4000bc68 <__clz_tab+0x134> +40005e88: c6c7a983 lw s3,-916(a5) +40005e8c: fff40413 addi s0,s0,-1 +40005e90: 00090613 mv a2,s2 +40005e94: 00098693 mv a3,s3 +40005e98: 020040ef jal ra,40009eb8 <__muldf3> +40005e9c: fe0418e3 bnez s0,40005e8c <_mprec_log10+0x38> +40005ea0: 00c12083 lw ra,12(sp) +40005ea4: 00812403 lw s0,8(sp) +40005ea8: 00412903 lw s2,4(sp) +40005eac: 00012983 lw s3,0(sp) +40005eb0: 01010113 addi sp,sp,16 +40005eb4: 00008067 ret +40005eb8: 4000c7b7 lui a5,0x4000c +40005ebc: 00c12083 lw ra,12(sp) +40005ec0: 00351413 slli s0,a0,0x3 +40005ec4: 80878793 addi a5,a5,-2040 # 4000b808 +40005ec8: 00878433 add s0,a5,s0 +40005ecc: 01042503 lw a0,16(s0) +40005ed0: 01442583 lw a1,20(s0) +40005ed4: 00412903 lw s2,4(sp) +40005ed8: 00812403 lw s0,8(sp) +40005edc: 00012983 lw s3,0(sp) +40005ee0: 01010113 addi sp,sp,16 +40005ee4: 00008067 ret + +40005ee8 <__copybits>: +40005ee8: 01062683 lw a3,16(a2) +40005eec: fff58813 addi a6,a1,-1 +40005ef0: 40585813 srai a6,a6,0x5 +40005ef4: 00180813 addi a6,a6,1 +40005ef8: 01460793 addi a5,a2,20 +40005efc: 00269693 slli a3,a3,0x2 +40005f00: 00281813 slli a6,a6,0x2 +40005f04: 00d786b3 add a3,a5,a3 +40005f08: 01050833 add a6,a0,a6 +40005f0c: 02d7f863 bleu a3,a5,40005f3c <__copybits+0x54> +40005f10: 00050713 mv a4,a0 +40005f14: 00478793 addi a5,a5,4 +40005f18: ffc7a583 lw a1,-4(a5) +40005f1c: 00470713 addi a4,a4,4 +40005f20: feb72e23 sw a1,-4(a4) +40005f24: fed7e8e3 bltu a5,a3,40005f14 <__copybits+0x2c> +40005f28: 40c687b3 sub a5,a3,a2 +40005f2c: feb78793 addi a5,a5,-21 +40005f30: ffc7f793 andi a5,a5,-4 +40005f34: 00478793 addi a5,a5,4 +40005f38: 00f50533 add a0,a0,a5 +40005f3c: 01057863 bleu a6,a0,40005f4c <__copybits+0x64> +40005f40: 00450513 addi a0,a0,4 +40005f44: fe052e23 sw zero,-4(a0) +40005f48: ff056ce3 bltu a0,a6,40005f40 <__copybits+0x58> +40005f4c: 00008067 ret + +40005f50 <__any_on>: +40005f50: 01052783 lw a5,16(a0) +40005f54: 4055d713 srai a4,a1,0x5 +40005f58: 01450693 addi a3,a0,20 +40005f5c: 02e7da63 ble a4,a5,40005f90 <__any_on+0x40> +40005f60: 00279793 slli a5,a5,0x2 +40005f64: 00f687b3 add a5,a3,a5 +40005f68: 06f6f263 bleu a5,a3,40005fcc <__any_on+0x7c> +40005f6c: ffc7a503 lw a0,-4(a5) +40005f70: ffc78793 addi a5,a5,-4 +40005f74: 00051a63 bnez a0,40005f88 <__any_on+0x38> +40005f78: 04f6f863 bleu a5,a3,40005fc8 <__any_on+0x78> +40005f7c: ffc78793 addi a5,a5,-4 +40005f80: 0007a703 lw a4,0(a5) +40005f84: fe070ae3 beqz a4,40005f78 <__any_on+0x28> +40005f88: 00100513 li a0,1 +40005f8c: 00008067 ret +40005f90: 02f75663 ble a5,a4,40005fbc <__any_on+0x6c> +40005f94: 00271793 slli a5,a4,0x2 +40005f98: 01f5f593 andi a1,a1,31 +40005f9c: 00f687b3 add a5,a3,a5 +40005fa0: fc0584e3 beqz a1,40005f68 <__any_on+0x18> +40005fa4: 0007a603 lw a2,0(a5) +40005fa8: 00100513 li a0,1 +40005fac: 00b65733 srl a4,a2,a1 +40005fb0: 00b715b3 sll a1,a4,a1 +40005fb4: fab60ae3 beq a2,a1,40005f68 <__any_on+0x18> +40005fb8: 00008067 ret +40005fbc: 00271793 slli a5,a4,0x2 +40005fc0: 00f687b3 add a5,a3,a5 +40005fc4: fa5ff06f j 40005f68 <__any_on+0x18> +40005fc8: 00008067 ret +40005fcc: 00000513 li a0,0 +40005fd0: 00008067 ret + +40005fd4 <_sbrk_r>: +40005fd4: ff010113 addi sp,sp,-16 +40005fd8: 00812423 sw s0,8(sp) +40005fdc: 00912223 sw s1,4(sp) +40005fe0: 4000c437 lui s0,0x4000c +40005fe4: 00050493 mv s1,a0 +40005fe8: 00058513 mv a0,a1 +40005fec: 00112623 sw ra,12(sp) +40005ff0: 68042223 sw zero,1668(s0) # 4000c684 +40005ff4: 275020ef jal ra,40008a68 +40005ff8: fff00793 li a5,-1 +40005ffc: 00f50c63 beq a0,a5,40006014 <_sbrk_r+0x40> +40006000: 00c12083 lw ra,12(sp) +40006004: 00812403 lw s0,8(sp) +40006008: 00412483 lw s1,4(sp) +4000600c: 01010113 addi sp,sp,16 +40006010: 00008067 ret +40006014: 68442783 lw a5,1668(s0) +40006018: fe0784e3 beqz a5,40006000 <_sbrk_r+0x2c> +4000601c: 00c12083 lw ra,12(sp) +40006020: 00f4a023 sw a5,0(s1) +40006024: 00812403 lw s0,8(sp) +40006028: 00412483 lw s1,4(sp) +4000602c: 01010113 addi sp,sp,16 +40006030: 00008067 ret + +40006034 <__sread>: +40006034: ff010113 addi sp,sp,-16 +40006038: 00812423 sw s0,8(sp) +4000603c: 00058413 mv s0,a1 +40006040: 00e59583 lh a1,14(a1) +40006044: 00112623 sw ra,12(sp) +40006048: 799010ef jal ra,40007fe0 <_read_r> +4000604c: 02054063 bltz a0,4000606c <__sread+0x38> +40006050: 05042783 lw a5,80(s0) +40006054: 00c12083 lw ra,12(sp) +40006058: 00a787b3 add a5,a5,a0 +4000605c: 04f42823 sw a5,80(s0) +40006060: 00812403 lw s0,8(sp) +40006064: 01010113 addi sp,sp,16 +40006068: 00008067 ret +4000606c: 00c45783 lhu a5,12(s0) +40006070: fffff737 lui a4,0xfffff +40006074: 00c12083 lw ra,12(sp) +40006078: fff70713 addi a4,a4,-1 # ffffefff <_bss_end+0xbfff2977> +4000607c: 00e7f7b3 and a5,a5,a4 +40006080: 00f41623 sh a5,12(s0) +40006084: 00812403 lw s0,8(sp) +40006088: 01010113 addi sp,sp,16 +4000608c: 00008067 ret + +40006090 <__seofread>: +40006090: 00000513 li a0,0 +40006094: 00008067 ret + +40006098 <__swrite>: +40006098: 00c59783 lh a5,12(a1) +4000609c: fe010113 addi sp,sp,-32 +400060a0: 00812c23 sw s0,24(sp) +400060a4: 00912a23 sw s1,20(sp) +400060a8: 01212823 sw s2,16(sp) +400060ac: 01312623 sw s3,12(sp) +400060b0: 00112e23 sw ra,28(sp) +400060b4: 1007f713 andi a4,a5,256 +400060b8: 00058413 mv s0,a1 +400060bc: 00050493 mv s1,a0 +400060c0: 00060913 mv s2,a2 +400060c4: 00068993 mv s3,a3 +400060c8: 00070c63 beqz a4,400060e0 <__swrite+0x48> +400060cc: 00e59583 lh a1,14(a1) +400060d0: 00200693 li a3,2 +400060d4: 00000613 li a2,0 +400060d8: 581010ef jal ra,40007e58 <_lseek_r> +400060dc: 00c41783 lh a5,12(s0) +400060e0: fffff737 lui a4,0xfffff +400060e4: fff70713 addi a4,a4,-1 # ffffefff <_bss_end+0xbfff2977> +400060e8: 00e7f7b3 and a5,a5,a4 +400060ec: 00e41583 lh a1,14(s0) +400060f0: 00f41623 sh a5,12(s0) +400060f4: 00098693 mv a3,s3 +400060f8: 00090613 mv a2,s2 +400060fc: 00048513 mv a0,s1 +40006100: 01c12083 lw ra,28(sp) +40006104: 01812403 lw s0,24(sp) +40006108: 01412483 lw s1,20(sp) +4000610c: 01012903 lw s2,16(sp) +40006110: 00c12983 lw s3,12(sp) +40006114: 02010113 addi sp,sp,32 +40006118: 36c0106f j 40007484 <_write_r> + +4000611c <__sseek>: +4000611c: ff010113 addi sp,sp,-16 +40006120: 00812423 sw s0,8(sp) +40006124: 00058413 mv s0,a1 +40006128: 00e59583 lh a1,14(a1) +4000612c: 00070693 mv a3,a4 +40006130: 00112623 sw ra,12(sp) +40006134: 525010ef jal ra,40007e58 <_lseek_r> +40006138: fff00793 li a5,-1 +4000613c: 02f50663 beq a0,a5,40006168 <__sseek+0x4c> +40006140: 00c45783 lhu a5,12(s0) +40006144: 00c12083 lw ra,12(sp) +40006148: 00001737 lui a4,0x1 +4000614c: 00e7e7b3 or a5,a5,a4 +40006150: 04a42823 sw a0,80(s0) +40006154: 00f41623 sh a5,12(s0) +40006158: 41f55593 srai a1,a0,0x1f +4000615c: 00812403 lw s0,8(sp) +40006160: 01010113 addi sp,sp,16 +40006164: 00008067 ret +40006168: 00c45783 lhu a5,12(s0) +4000616c: fffff737 lui a4,0xfffff +40006170: 00c12083 lw ra,12(sp) +40006174: fff70713 addi a4,a4,-1 # ffffefff <_bss_end+0xbfff2977> +40006178: 00e7f7b3 and a5,a5,a4 +4000617c: 00f41623 sh a5,12(s0) +40006180: 41f55593 srai a1,a0,0x1f +40006184: 00812403 lw s0,8(sp) +40006188: 01010113 addi sp,sp,16 +4000618c: 00008067 ret + +40006190 <__sclose>: +40006190: 00e59583 lh a1,14(a1) +40006194: 4080106f j 4000759c <_close_r> + +40006198 : +40006198: 00b56733 or a4,a0,a1 +4000619c: fff00393 li t2,-1 +400061a0: 00377713 andi a4,a4,3 +400061a4: 10071063 bnez a4,400062a4 +400061a8: 7f7f8e37 lui t3,0x7f7f8 +400061ac: f7fe0e13 addi t3,t3,-129 # 7f7f7f7f <_bss_end+0x3f7eb8f7> +400061b0: 00052603 lw a2,0(a0) +400061b4: 0005a683 lw a3,0(a1) +400061b8: 01c672b3 and t0,a2,t3 +400061bc: 01c66333 or t1,a2,t3 +400061c0: 01c282b3 add t0,t0,t3 +400061c4: 0062e2b3 or t0,t0,t1 +400061c8: 10729263 bne t0,t2,400062cc +400061cc: 08d61663 bne a2,a3,40006258 +400061d0: 00452603 lw a2,4(a0) +400061d4: 0045a683 lw a3,4(a1) +400061d8: 01c672b3 and t0,a2,t3 +400061dc: 01c66333 or t1,a2,t3 +400061e0: 01c282b3 add t0,t0,t3 +400061e4: 0062e2b3 or t0,t0,t1 +400061e8: 0c729e63 bne t0,t2,400062c4 +400061ec: 06d61663 bne a2,a3,40006258 +400061f0: 00852603 lw a2,8(a0) +400061f4: 0085a683 lw a3,8(a1) +400061f8: 01c672b3 and t0,a2,t3 +400061fc: 01c66333 or t1,a2,t3 +40006200: 01c282b3 add t0,t0,t3 +40006204: 0062e2b3 or t0,t0,t1 +40006208: 0c729863 bne t0,t2,400062d8 +4000620c: 04d61663 bne a2,a3,40006258 +40006210: 00c52603 lw a2,12(a0) +40006214: 00c5a683 lw a3,12(a1) +40006218: 01c672b3 and t0,a2,t3 +4000621c: 01c66333 or t1,a2,t3 +40006220: 01c282b3 add t0,t0,t3 +40006224: 0062e2b3 or t0,t0,t1 +40006228: 0c729263 bne t0,t2,400062ec +4000622c: 02d61663 bne a2,a3,40006258 +40006230: 01052603 lw a2,16(a0) +40006234: 0105a683 lw a3,16(a1) +40006238: 01c672b3 and t0,a2,t3 +4000623c: 01c66333 or t1,a2,t3 +40006240: 01c282b3 add t0,t0,t3 +40006244: 0062e2b3 or t0,t0,t1 +40006248: 0a729c63 bne t0,t2,40006300 +4000624c: 01450513 addi a0,a0,20 +40006250: 01458593 addi a1,a1,20 +40006254: f4d60ee3 beq a2,a3,400061b0 +40006258: 01061713 slli a4,a2,0x10 +4000625c: 01069793 slli a5,a3,0x10 +40006260: 00f71e63 bne a4,a5,4000627c +40006264: 01065713 srli a4,a2,0x10 +40006268: 0106d793 srli a5,a3,0x10 +4000626c: 40f70533 sub a0,a4,a5 +40006270: 0ff57593 andi a1,a0,255 +40006274: 02059063 bnez a1,40006294 +40006278: 00008067 ret +4000627c: 01075713 srli a4,a4,0x10 +40006280: 0107d793 srli a5,a5,0x10 +40006284: 40f70533 sub a0,a4,a5 +40006288: 0ff57593 andi a1,a0,255 +4000628c: 00059463 bnez a1,40006294 +40006290: 00008067 ret +40006294: 0ff77713 andi a4,a4,255 +40006298: 0ff7f793 andi a5,a5,255 +4000629c: 40f70533 sub a0,a4,a5 +400062a0: 00008067 ret +400062a4: 00054603 lbu a2,0(a0) +400062a8: 0005c683 lbu a3,0(a1) +400062ac: 00150513 addi a0,a0,1 +400062b0: 00158593 addi a1,a1,1 +400062b4: 00d61463 bne a2,a3,400062bc +400062b8: fe0616e3 bnez a2,400062a4 +400062bc: 40d60533 sub a0,a2,a3 +400062c0: 00008067 ret +400062c4: 00450513 addi a0,a0,4 +400062c8: 00458593 addi a1,a1,4 +400062cc: fcd61ce3 bne a2,a3,400062a4 +400062d0: 00000513 li a0,0 +400062d4: 00008067 ret +400062d8: 00850513 addi a0,a0,8 +400062dc: 00858593 addi a1,a1,8 +400062e0: fcd612e3 bne a2,a3,400062a4 +400062e4: 00000513 li a0,0 +400062e8: 00008067 ret +400062ec: 00c50513 addi a0,a0,12 +400062f0: 00c58593 addi a1,a1,12 +400062f4: fad618e3 bne a2,a3,400062a4 +400062f8: 00000513 li a0,0 +400062fc: 00008067 ret +40006300: 01050513 addi a0,a0,16 +40006304: 01058593 addi a1,a1,16 +40006308: f8d61ee3 bne a2,a3,400062a4 +4000630c: 00000513 li a0,0 +40006310: 00008067 ret + +40006314 : +40006314: 00357713 andi a4,a0,3 +40006318: 00050793 mv a5,a0 +4000631c: 00050693 mv a3,a0 +40006320: 04071c63 bnez a4,40006378 +40006324: 7f7f8637 lui a2,0x7f7f8 +40006328: f7f60613 addi a2,a2,-129 # 7f7f7f7f <_bss_end+0x3f7eb8f7> +4000632c: fff00593 li a1,-1 +40006330: 00468693 addi a3,a3,4 +40006334: ffc6a703 lw a4,-4(a3) +40006338: 00c777b3 and a5,a4,a2 +4000633c: 00c787b3 add a5,a5,a2 +40006340: 00c76733 or a4,a4,a2 +40006344: 00e7e7b3 or a5,a5,a4 +40006348: feb784e3 beq a5,a1,40006330 +4000634c: ffc6c703 lbu a4,-4(a3) +40006350: 40a687b3 sub a5,a3,a0 +40006354: ffd6c603 lbu a2,-3(a3) +40006358: ffe6c503 lbu a0,-2(a3) +4000635c: 04070063 beqz a4,4000639c +40006360: 02060a63 beqz a2,40006394 +40006364: 00a03533 snez a0,a0 +40006368: 00f50533 add a0,a0,a5 +4000636c: ffe50513 addi a0,a0,-2 +40006370: 00008067 ret +40006374: 02068863 beqz a3,400063a4 +40006378: 0007c703 lbu a4,0(a5) +4000637c: 00178793 addi a5,a5,1 +40006380: 0037f693 andi a3,a5,3 +40006384: fe0718e3 bnez a4,40006374 +40006388: 40a787b3 sub a5,a5,a0 +4000638c: fff78513 addi a0,a5,-1 +40006390: 00008067 ret +40006394: ffd78513 addi a0,a5,-3 +40006398: 00008067 ret +4000639c: ffc78513 addi a0,a5,-4 +400063a0: 00008067 ret +400063a4: 00078693 mv a3,a5 +400063a8: f7dff06f j 40006324 + +400063ac <__sprint_r.part.0>: +400063ac: 0645a783 lw a5,100(a1) +400063b0: fd010113 addi sp,sp,-48 +400063b4: 01612823 sw s6,16(sp) +400063b8: 02112623 sw ra,44(sp) +400063bc: 02812423 sw s0,40(sp) +400063c0: 02912223 sw s1,36(sp) +400063c4: 03212023 sw s2,32(sp) +400063c8: 01312e23 sw s3,28(sp) +400063cc: 01412c23 sw s4,24(sp) +400063d0: 01512a23 sw s5,20(sp) +400063d4: 01712623 sw s7,12(sp) +400063d8: 01812423 sw s8,8(sp) +400063dc: 01279713 slli a4,a5,0x12 +400063e0: 00060b13 mv s6,a2 +400063e4: 0a075863 bgez a4,40006494 <__sprint_r.part.0+0xe8> +400063e8: 00862783 lw a5,8(a2) +400063ec: 00058a13 mv s4,a1 +400063f0: 00050a93 mv s5,a0 +400063f4: 00062b83 lw s7,0(a2) +400063f8: fff00913 li s2,-1 +400063fc: 08078863 beqz a5,4000648c <__sprint_r.part.0+0xe0> +40006400: 004bac03 lw s8,4(s7) +40006404: 000ba483 lw s1,0(s7) +40006408: 00000413 li s0,0 +4000640c: 002c5993 srli s3,s8,0x2 +40006410: 00099863 bnez s3,40006420 <__sprint_r.part.0+0x74> +40006414: 0640006f j 40006478 <__sprint_r.part.0+0xcc> +40006418: 00448493 addi s1,s1,4 +4000641c: 04898c63 beq s3,s0,40006474 <__sprint_r.part.0+0xc8> +40006420: 0004a583 lw a1,0(s1) +40006424: 000a0613 mv a2,s4 +40006428: 000a8513 mv a0,s5 +4000642c: 414010ef jal ra,40007840 <_fputwc_r> +40006430: 00140413 addi s0,s0,1 +40006434: ff2512e3 bne a0,s2,40006418 <__sprint_r.part.0+0x6c> +40006438: 00090513 mv a0,s2 +4000643c: 02c12083 lw ra,44(sp) +40006440: 000b2423 sw zero,8(s6) +40006444: 000b2223 sw zero,4(s6) +40006448: 02812403 lw s0,40(sp) +4000644c: 02412483 lw s1,36(sp) +40006450: 02012903 lw s2,32(sp) +40006454: 01c12983 lw s3,28(sp) +40006458: 01812a03 lw s4,24(sp) +4000645c: 01412a83 lw s5,20(sp) +40006460: 01012b03 lw s6,16(sp) +40006464: 00c12b83 lw s7,12(sp) +40006468: 00812c03 lw s8,8(sp) +4000646c: 03010113 addi sp,sp,48 +40006470: 00008067 ret +40006474: 008b2783 lw a5,8(s6) +40006478: ffcc7c13 andi s8,s8,-4 +4000647c: 418787b3 sub a5,a5,s8 +40006480: 00fb2423 sw a5,8(s6) +40006484: 008b8b93 addi s7,s7,8 +40006488: f6079ce3 bnez a5,40006400 <__sprint_r.part.0+0x54> +4000648c: 00000513 li a0,0 +40006490: fadff06f j 4000643c <__sprint_r.part.0+0x90> +40006494: 4c0010ef jal ra,40007954 <__sfvwrite_r> +40006498: fa5ff06f j 4000643c <__sprint_r.part.0+0x90> + +4000649c <__sprint_r>: +4000649c: 00862703 lw a4,8(a2) +400064a0: 00070463 beqz a4,400064a8 <__sprint_r+0xc> +400064a4: f09ff06f j 400063ac <__sprint_r.part.0> +400064a8: 00062223 sw zero,4(a2) +400064ac: 00000513 li a0,0 +400064b0: 00008067 ret + +400064b4 <_vfiprintf_r>: +400064b4: f1010113 addi sp,sp,-240 +400064b8: 0d312e23 sw s3,220(sp) +400064bc: 0d512a23 sw s5,212(sp) +400064c0: 0d612823 sw s6,208(sp) +400064c4: 0e112623 sw ra,236(sp) +400064c8: 0e812423 sw s0,232(sp) +400064cc: 0e912223 sw s1,228(sp) +400064d0: 0f212023 sw s2,224(sp) +400064d4: 0d412c23 sw s4,216(sp) +400064d8: 0d712623 sw s7,204(sp) +400064dc: 0d812423 sw s8,200(sp) +400064e0: 0d912223 sw s9,196(sp) +400064e4: 0da12023 sw s10,192(sp) +400064e8: 0bb12e23 sw s11,188(sp) +400064ec: 00d12623 sw a3,12(sp) +400064f0: 00050a93 mv s5,a0 +400064f4: 00058993 mv s3,a1 +400064f8: 00060b13 mv s6,a2 +400064fc: 00050663 beqz a0,40006508 <_vfiprintf_r+0x54> +40006500: 03852783 lw a5,56(a0) +40006504: 24078a63 beqz a5,40006758 <_vfiprintf_r+0x2a4> +40006508: 00c99703 lh a4,12(s3) +4000650c: 01071793 slli a5,a4,0x10 +40006510: 0107d793 srli a5,a5,0x10 +40006514: 01279693 slli a3,a5,0x12 +40006518: 0206c663 bltz a3,40006544 <_vfiprintf_r+0x90> +4000651c: 0649a683 lw a3,100(s3) +40006520: 000027b7 lui a5,0x2 +40006524: 00f767b3 or a5,a4,a5 +40006528: ffffe737 lui a4,0xffffe +4000652c: fff70713 addi a4,a4,-1 # ffffdfff <_bss_end+0xbfff1977> +40006530: 00e6f733 and a4,a3,a4 +40006534: 00f99623 sh a5,12(s3) +40006538: 01079793 slli a5,a5,0x10 +4000653c: 06e9a223 sw a4,100(s3) +40006540: 0107d793 srli a5,a5,0x10 +40006544: 0087f713 andi a4,a5,8 +40006548: 18070863 beqz a4,400066d8 <_vfiprintf_r+0x224> +4000654c: 0109a703 lw a4,16(s3) +40006550: 18070463 beqz a4,400066d8 <_vfiprintf_r+0x224> +40006554: 01a7f793 andi a5,a5,26 +40006558: 00a00713 li a4,10 +4000655c: 18e78e63 beq a5,a4,400066f8 <_vfiprintf_r+0x244> +40006560: 4000cbb7 lui s7,0x4000c +40006564: 07010c13 addi s8,sp,112 +40006568: 930b8793 addi a5,s7,-1744 # 4000b930 <__mprec_bigtens+0x28> +4000656c: 4000ce37 lui t3,0x4000c +40006570: 4000c337 lui t1,0x4000c +40006574: 03812e23 sw s8,60(sp) +40006578: 04012223 sw zero,68(sp) +4000657c: 04012023 sw zero,64(sp) +40006580: 000c0413 mv s0,s8 +40006584: 00012e23 sw zero,28(sp) +40006588: 00012423 sw zero,8(sp) +4000658c: 00f12823 sw a5,16(sp) +40006590: a94e0c93 addi s9,t3,-1388 # 4000ba94 +40006594: aa430b93 addi s7,t1,-1372 # 4000baa4 +40006598: 000b4783 lbu a5,0(s6) +4000659c: 460788e3 beqz a5,4000720c <_vfiprintf_r+0xd58> +400065a0: 02500713 li a4,37 +400065a4: 000b0493 mv s1,s6 +400065a8: 00e79663 bne a5,a4,400065b4 <_vfiprintf_r+0x100> +400065ac: 0540006f j 40006600 <_vfiprintf_r+0x14c> +400065b0: 00e78863 beq a5,a4,400065c0 <_vfiprintf_r+0x10c> +400065b4: 00148493 addi s1,s1,1 +400065b8: 0004c783 lbu a5,0(s1) +400065bc: fe079ae3 bnez a5,400065b0 <_vfiprintf_r+0xfc> +400065c0: 41648933 sub s2,s1,s6 +400065c4: 02090e63 beqz s2,40006600 <_vfiprintf_r+0x14c> +400065c8: 04412703 lw a4,68(sp) +400065cc: 04012783 lw a5,64(sp) +400065d0: 01642023 sw s6,0(s0) +400065d4: 00e90733 add a4,s2,a4 +400065d8: 00178793 addi a5,a5,1 # 2001 <_heap_size+0x1> +400065dc: 01242223 sw s2,4(s0) +400065e0: 04e12223 sw a4,68(sp) +400065e4: 04f12023 sw a5,64(sp) +400065e8: 00700693 li a3,7 +400065ec: 00840413 addi s0,s0,8 +400065f0: 06f6ca63 blt a3,a5,40006664 <_vfiprintf_r+0x1b0> +400065f4: 00812783 lw a5,8(sp) +400065f8: 012787b3 add a5,a5,s2 +400065fc: 00f12423 sw a5,8(sp) +40006600: 0004c783 lbu a5,0(s1) +40006604: 120788e3 beqz a5,40006f34 <_vfiprintf_r+0xa80> +40006608: fff00693 li a3,-1 +4000660c: 00148493 addi s1,s1,1 +40006610: 02010ba3 sb zero,55(sp) +40006614: 00000e93 li t4,0 +40006618: 00000f93 li t6,0 +4000661c: 00000913 li s2,0 +40006620: 00000f13 li t5,0 +40006624: 05800593 li a1,88 +40006628: 00900513 li a0,9 +4000662c: 02a00a13 li s4,42 +40006630: 00068d93 mv s11,a3 +40006634: 00100293 li t0,1 +40006638: 02000d13 li s10,32 +4000663c: 02b00393 li t2,43 +40006640: 0004c703 lbu a4,0(s1) +40006644: 00148b13 addi s6,s1,1 +40006648: fe070793 addi a5,a4,-32 +4000664c: 6cf5e463 bltu a1,a5,40006d14 <_vfiprintf_r+0x860> +40006650: 01012603 lw a2,16(sp) +40006654: 00279793 slli a5,a5,0x2 +40006658: 00c787b3 add a5,a5,a2 +4000665c: 0007a783 lw a5,0(a5) +40006660: 00078067 jr a5 +40006664: 2a071ae3 bnez a4,40007118 <_vfiprintf_r+0xc64> +40006668: 04012023 sw zero,64(sp) +4000666c: 000c0413 mv s0,s8 +40006670: f85ff06f j 400065f4 <_vfiprintf_r+0x140> +40006674: 010f6f13 ori t5,t5,16 +40006678: 000b0493 mv s1,s6 +4000667c: fc5ff06f j 40006640 <_vfiprintf_r+0x18c> +40006680: 010f6f13 ori t5,t5,16 +40006684: 010f7793 andi a5,t5,16 +40006688: 64079e63 bnez a5,40006ce4 <_vfiprintf_r+0x830> +4000668c: 040f7793 andi a5,t5,64 +40006690: 00c12703 lw a4,12(sp) +40006694: 64078a63 beqz a5,40006ce8 <_vfiprintf_r+0x834> +40006698: 00075783 lhu a5,0(a4) +4000669c: 00470713 addi a4,a4,4 +400066a0: 00100613 li a2,1 +400066a4: 00e12623 sw a4,12(sp) +400066a8: 5400006f j 40006be8 <_vfiprintf_r+0x734> +400066ac: 010f6f13 ori t5,t5,16 +400066b0: 010f7793 andi a5,t5,16 +400066b4: 64079463 bnez a5,40006cfc <_vfiprintf_r+0x848> +400066b8: 040f7793 andi a5,t5,64 +400066bc: 00c12703 lw a4,12(sp) +400066c0: 64078063 beqz a5,40006d00 <_vfiprintf_r+0x84c> +400066c4: 00075783 lhu a5,0(a4) +400066c8: 00470713 addi a4,a4,4 +400066cc: 00000613 li a2,0 +400066d0: 00e12623 sw a4,12(sp) +400066d4: 5140006f j 40006be8 <_vfiprintf_r+0x734> +400066d8: 00098593 mv a1,s3 +400066dc: 000a8513 mv a0,s5 +400066e0: f20fb0ef jal ra,40001e00 <__swsetup_r> +400066e4: 06051ae3 bnez a0,40006f58 <_vfiprintf_r+0xaa4> +400066e8: 00c9d783 lhu a5,12(s3) +400066ec: 00a00713 li a4,10 +400066f0: 01a7f793 andi a5,a5,26 +400066f4: e6e796e3 bne a5,a4,40006560 <_vfiprintf_r+0xac> +400066f8: 00e99783 lh a5,14(s3) +400066fc: e607c2e3 bltz a5,40006560 <_vfiprintf_r+0xac> +40006700: 00c12683 lw a3,12(sp) +40006704: 000b0613 mv a2,s6 +40006708: 00098593 mv a1,s3 +4000670c: 000a8513 mv a0,s5 +40006710: 4b5000ef jal ra,400073c4 <__sbprintf> +40006714: 00a12423 sw a0,8(sp) +40006718: 0ec12083 lw ra,236(sp) +4000671c: 00812503 lw a0,8(sp) +40006720: 0e812403 lw s0,232(sp) +40006724: 0e412483 lw s1,228(sp) +40006728: 0e012903 lw s2,224(sp) +4000672c: 0dc12983 lw s3,220(sp) +40006730: 0d812a03 lw s4,216(sp) +40006734: 0d412a83 lw s5,212(sp) +40006738: 0d012b03 lw s6,208(sp) +4000673c: 0cc12b83 lw s7,204(sp) +40006740: 0c812c03 lw s8,200(sp) +40006744: 0c412c83 lw s9,196(sp) +40006748: 0c012d03 lw s10,192(sp) +4000674c: 0bc12d83 lw s11,188(sp) +40006750: 0f010113 addi sp,sp,240 +40006754: 00008067 ret +40006758: da8fd0ef jal ra,40003d00 <__sinit> +4000675c: dadff06f j 40006508 <_vfiprintf_r+0x54> +40006760: 00c12783 lw a5,12(sp) +40006764: 0007a903 lw s2,0(a5) +40006768: 00478793 addi a5,a5,4 +4000676c: 00f12623 sw a5,12(sp) +40006770: f00954e3 bgez s2,40006678 <_vfiprintf_r+0x1c4> +40006774: 41200933 neg s2,s2 +40006778: 004f6f13 ori t5,t5,4 +4000677c: 000b0493 mv s1,s6 +40006780: ec1ff06f j 40006640 <_vfiprintf_r+0x18c> +40006784: 00028e93 mv t4,t0 +40006788: 00038f93 mv t6,t2 +4000678c: 000b0493 mv s1,s6 +40006790: eb1ff06f j 40006640 <_vfiprintf_r+0x18c> +40006794: 080f6f13 ori t5,t5,128 +40006798: 000b0493 mv s1,s6 +4000679c: ea5ff06f j 40006640 <_vfiprintf_r+0x18c> +400067a0: 00000913 li s2,0 +400067a4: fd070793 addi a5,a4,-48 +400067a8: 001b0b13 addi s6,s6,1 +400067ac: 00291613 slli a2,s2,0x2 +400067b0: fffb4703 lbu a4,-1(s6) +400067b4: 01260933 add s2,a2,s2 +400067b8: 00191913 slli s2,s2,0x1 +400067bc: 01278933 add s2,a5,s2 +400067c0: fd070793 addi a5,a4,-48 +400067c4: fef572e3 bleu a5,a0,400067a8 <_vfiprintf_r+0x2f4> +400067c8: e81ff06f j 40006648 <_vfiprintf_r+0x194> +400067cc: 000b4703 lbu a4,0(s6) +400067d0: 001b0493 addi s1,s6,1 +400067d4: 394704e3 beq a4,s4,4000735c <_vfiprintf_r+0xea8> +400067d8: fd070793 addi a5,a4,-48 +400067dc: 00048b13 mv s6,s1 +400067e0: 00000693 li a3,0 +400067e4: e6f562e3 bltu a0,a5,40006648 <_vfiprintf_r+0x194> +400067e8: 001b0b13 addi s6,s6,1 +400067ec: 00269493 slli s1,a3,0x2 +400067f0: fffb4703 lbu a4,-1(s6) +400067f4: 00d484b3 add s1,s1,a3 +400067f8: 00149493 slli s1,s1,0x1 +400067fc: 00f486b3 add a3,s1,a5 +40006800: fd070793 addi a5,a4,-48 +40006804: fef572e3 bleu a5,a0,400067e8 <_vfiprintf_r+0x334> +40006808: e41ff06f j 40006648 <_vfiprintf_r+0x194> +4000680c: 360e9ce3 bnez t4,40007384 <_vfiprintf_r+0xed0> +40006810: 010f7793 andi a5,t5,16 +40006814: 1c079ee3 bnez a5,400071f0 <_vfiprintf_r+0xd3c> +40006818: 040f7f13 andi t5,t5,64 +4000681c: 1c0f0ae3 beqz t5,400071f0 <_vfiprintf_r+0xd3c> +40006820: 00c12703 lw a4,12(sp) +40006824: 00072783 lw a5,0(a4) +40006828: 00470713 addi a4,a4,4 +4000682c: 00e12623 sw a4,12(sp) +40006830: 00815703 lhu a4,8(sp) +40006834: 00e79023 sh a4,0(a5) +40006838: d61ff06f j 40006598 <_vfiprintf_r+0xe4> +4000683c: 00c12783 lw a5,12(sp) +40006840: 02010ba3 sb zero,55(sp) +40006844: 0007ad03 lw s10,0(a5) +40006848: 00478493 addi s1,a5,4 +4000684c: 2c0d00e3 beqz s10,4000730c <_vfiprintf_r+0xe58> +40006850: fff00793 li a5,-1 +40006854: 24f68ee3 beq a3,a5,400072b0 <_vfiprintf_r+0xdfc> +40006858: 00068613 mv a2,a3 +4000685c: 00000593 li a1,0 +40006860: 000d0513 mv a0,s10 +40006864: 01e12623 sw t5,12(sp) +40006868: 00d12223 sw a3,4(sp) +4000686c: c78fe0ef jal ra,40004ce4 +40006870: 00412683 lw a3,4(sp) +40006874: 00c12f03 lw t5,12(sp) +40006878: 2c0502e3 beqz a0,4000733c <_vfiprintf_r+0xe88> +4000687c: 03714583 lbu a1,55(sp) +40006880: 41a50db3 sub s11,a0,s10 +40006884: 00912623 sw s1,12(sp) +40006888: 01e12223 sw t5,4(sp) +4000688c: 00000693 li a3,0 +40006890: 00068a13 mv s4,a3 +40006894: 01b6d463 ble s11,a3,4000689c <_vfiprintf_r+0x3e8> +40006898: 000d8a13 mv s4,s11 +4000689c: 00b035b3 snez a1,a1 +400068a0: 00ba0a33 add s4,s4,a1 +400068a4: 00412783 lw a5,4(sp) +400068a8: 0027f393 andi t2,a5,2 +400068ac: 00038463 beqz t2,400068b4 <_vfiprintf_r+0x400> +400068b0: 002a0a13 addi s4,s4,2 +400068b4: 00412783 lw a5,4(sp) +400068b8: 0847f293 andi t0,a5,132 +400068bc: 4c029863 bnez t0,40006d8c <_vfiprintf_r+0x8d8> +400068c0: 414904b3 sub s1,s2,s4 +400068c4: 4c905463 blez s1,40006d8c <_vfiprintf_r+0x8d8> +400068c8: 01000f13 li t5,16 +400068cc: 04412603 lw a2,68(sp) +400068d0: 229f58e3 ble s1,t5,40007300 <_vfiprintf_r+0xe4c> +400068d4: 04012503 lw a0,64(sp) +400068d8: 00700f93 li t6,7 +400068dc: 00100793 li a5,1 +400068e0: 0180006f j 400068f8 <_vfiprintf_r+0x444> +400068e4: 00250713 addi a4,a0,2 +400068e8: 00840413 addi s0,s0,8 +400068ec: 00058513 mv a0,a1 +400068f0: ff048493 addi s1,s1,-16 +400068f4: 029f5c63 ble s1,t5,4000692c <_vfiprintf_r+0x478> +400068f8: 01060613 addi a2,a2,16 +400068fc: 00150593 addi a1,a0,1 +40006900: 01942023 sw s9,0(s0) +40006904: 01e42223 sw t5,4(s0) +40006908: 04c12223 sw a2,68(sp) +4000690c: 04b12023 sw a1,64(sp) +40006910: fcbfdae3 ble a1,t6,400068e4 <_vfiprintf_r+0x430> +40006914: 42061063 bnez a2,40006d34 <_vfiprintf_r+0x880> +40006918: ff048493 addi s1,s1,-16 +4000691c: 00000513 li a0,0 +40006920: 00078713 mv a4,a5 +40006924: 000c0413 mv s0,s8 +40006928: fc9f48e3 blt t5,s1,400068f8 <_vfiprintf_r+0x444> +4000692c: 00c487b3 add a5,s1,a2 +40006930: 01942023 sw s9,0(s0) +40006934: 00942223 sw s1,4(s0) +40006938: 04f12223 sw a5,68(sp) +4000693c: 04e12023 sw a4,64(sp) +40006940: 00700613 li a2,7 +40006944: 6ee64063 blt a2,a4,40007024 <_vfiprintf_r+0xb70> +40006948: 03714583 lbu a1,55(sp) +4000694c: 00840413 addi s0,s0,8 +40006950: 00170613 addi a2,a4,1 +40006954: 44059663 bnez a1,40006da0 <_vfiprintf_r+0x8ec> +40006958: 48038063 beqz t2,40006dd8 <_vfiprintf_r+0x924> +4000695c: 03810713 addi a4,sp,56 +40006960: 00278793 addi a5,a5,2 +40006964: 00e42023 sw a4,0(s0) +40006968: 00200713 li a4,2 +4000696c: 00e42223 sw a4,4(s0) +40006970: 04f12223 sw a5,68(sp) +40006974: 04c12023 sw a2,64(sp) +40006978: 00700713 li a4,7 +4000697c: 6ec75a63 ble a2,a4,40007070 <_vfiprintf_r+0xbbc> +40006980: 7c079e63 bnez a5,4000715c <_vfiprintf_r+0xca8> +40006984: 08000593 li a1,128 +40006988: 00100613 li a2,1 +4000698c: 00000713 li a4,0 +40006990: 000c0413 mv s0,s8 +40006994: 44b29663 bne t0,a1,40006de0 <_vfiprintf_r+0x92c> +40006998: 414904b3 sub s1,s2,s4 +4000699c: 44905263 blez s1,40006de0 <_vfiprintf_r+0x92c> +400069a0: 01000f13 li t5,16 +400069a4: 1a9f58e3 ble s1,t5,40007354 <_vfiprintf_r+0xea0> +400069a8: 00700f93 li t6,7 +400069ac: 00100293 li t0,1 +400069b0: 0180006f j 400069c8 <_vfiprintf_r+0x514> +400069b4: 00270593 addi a1,a4,2 +400069b8: 00840413 addi s0,s0,8 +400069bc: 00060713 mv a4,a2 +400069c0: ff048493 addi s1,s1,-16 +400069c4: 029f5c63 ble s1,t5,400069fc <_vfiprintf_r+0x548> +400069c8: 01078793 addi a5,a5,16 +400069cc: 00170613 addi a2,a4,1 +400069d0: 01742023 sw s7,0(s0) +400069d4: 01e42223 sw t5,4(s0) +400069d8: 04f12223 sw a5,68(sp) +400069dc: 04c12023 sw a2,64(sp) +400069e0: fccfdae3 ble a2,t6,400069b4 <_vfiprintf_r+0x500> +400069e4: 5e079c63 bnez a5,40006fdc <_vfiprintf_r+0xb28> +400069e8: ff048493 addi s1,s1,-16 +400069ec: 00028593 mv a1,t0 +400069f0: 00000713 li a4,0 +400069f4: 000c0413 mv s0,s8 +400069f8: fc9f48e3 blt t5,s1,400069c8 <_vfiprintf_r+0x514> +400069fc: 009787b3 add a5,a5,s1 +40006a00: 01742023 sw s7,0(s0) +40006a04: 00942223 sw s1,4(s0) +40006a08: 04f12223 sw a5,68(sp) +40006a0c: 04b12023 sw a1,64(sp) +40006a10: 00700713 li a4,7 +40006a14: 78b74063 blt a4,a1,40007194 <_vfiprintf_r+0xce0> +40006a18: 41b684b3 sub s1,a3,s11 +40006a1c: 00840413 addi s0,s0,8 +40006a20: 00158613 addi a2,a1,1 +40006a24: 00058713 mv a4,a1 +40006a28: 3c904063 bgtz s1,40006de8 <_vfiprintf_r+0x934> +40006a2c: 00fd87b3 add a5,s11,a5 +40006a30: 01a42023 sw s10,0(s0) +40006a34: 01b42223 sw s11,4(s0) +40006a38: 04f12223 sw a5,68(sp) +40006a3c: 04c12023 sw a2,64(sp) +40006a40: 00700713 li a4,7 +40006a44: 42c75e63 ble a2,a4,40006e80 <_vfiprintf_r+0x9cc> +40006a48: 6a079863 bnez a5,400070f8 <_vfiprintf_r+0xc44> +40006a4c: 00412703 lw a4,4(sp) +40006a50: 04012023 sw zero,64(sp) +40006a54: 00477d13 andi s10,a4,4 +40006a58: 080d0863 beqz s10,40006ae8 <_vfiprintf_r+0x634> +40006a5c: 414904b3 sub s1,s2,s4 +40006a60: 000c0413 mv s0,s8 +40006a64: 08905263 blez s1,40006ae8 <_vfiprintf_r+0x634> +40006a68: 01000d13 li s10,16 +40006a6c: 0c9d52e3 ble s1,s10,40007330 <_vfiprintf_r+0xe7c> +40006a70: 04012683 lw a3,64(sp) +40006a74: 00700d93 li s11,7 +40006a78: 00100e93 li t4,1 +40006a7c: 0180006f j 40006a94 <_vfiprintf_r+0x5e0> +40006a80: 00268613 addi a2,a3,2 +40006a84: 00840413 addi s0,s0,8 +40006a88: 00070693 mv a3,a4 +40006a8c: ff048493 addi s1,s1,-16 +40006a90: 029d5c63 ble s1,s10,40006ac8 <_vfiprintf_r+0x614> +40006a94: 01078793 addi a5,a5,16 +40006a98: 00168713 addi a4,a3,1 +40006a9c: 01942023 sw s9,0(s0) +40006aa0: 01a42223 sw s10,4(s0) +40006aa4: 04f12223 sw a5,68(sp) +40006aa8: 04e12023 sw a4,64(sp) +40006aac: fceddae3 ble a4,s11,40006a80 <_vfiprintf_r+0x5cc> +40006ab0: 4a079a63 bnez a5,40006f64 <_vfiprintf_r+0xab0> +40006ab4: ff048493 addi s1,s1,-16 +40006ab8: 000e8613 mv a2,t4 +40006abc: 00000693 li a3,0 +40006ac0: 000c0413 mv s0,s8 +40006ac4: fc9d48e3 blt s10,s1,40006a94 <_vfiprintf_r+0x5e0> +40006ac8: 009787b3 add a5,a5,s1 +40006acc: 01942023 sw s9,0(s0) +40006ad0: 00942223 sw s1,4(s0) +40006ad4: 04f12223 sw a5,68(sp) +40006ad8: 04c12023 sw a2,64(sp) +40006adc: 00700713 li a4,7 +40006ae0: 3ac75c63 ble a2,a4,40006e98 <_vfiprintf_r+0x9e4> +40006ae4: 7a079863 bnez a5,40007294 <_vfiprintf_r+0xde0> +40006ae8: 01495463 ble s4,s2,40006af0 <_vfiprintf_r+0x63c> +40006aec: 000a0913 mv s2,s4 +40006af0: 00812783 lw a5,8(sp) +40006af4: 012787b3 add a5,a5,s2 +40006af8: 00f12423 sw a5,8(sp) +40006afc: 3b40006f j 40006eb0 <_vfiprintf_r+0x9fc> +40006b00: 080e9ae3 bnez t4,40007394 <_vfiprintf_r+0xee0> +40006b04: 010f6f13 ori t5,t5,16 +40006b08: 010f7793 andi a5,t5,16 +40006b0c: 70079463 bnez a5,40007214 <_vfiprintf_r+0xd60> +40006b10: 040f7793 andi a5,t5,64 +40006b14: 00c12703 lw a4,12(sp) +40006b18: 68078863 beqz a5,400071a8 <_vfiprintf_r+0xcf4> +40006b1c: 00071783 lh a5,0(a4) +40006b20: 00470713 addi a4,a4,4 +40006b24: 00e12623 sw a4,12(sp) +40006b28: 7007ca63 bltz a5,4000723c <_vfiprintf_r+0xd88> +40006b2c: fff00713 li a4,-1 +40006b30: 03714583 lbu a1,55(sp) +40006b34: 00100613 li a2,1 +40006b38: 0ce69063 bne a3,a4,40006bf8 <_vfiprintf_r+0x744> +40006b3c: 44078e63 beqz a5,40006f98 <_vfiprintf_r+0xae4> +40006b40: 01e12223 sw t5,4(sp) +40006b44: 00100713 li a4,1 +40006b48: 56e60a63 beq a2,a4,400070bc <_vfiprintf_r+0xc08> +40006b4c: 00200713 li a4,2 +40006b50: 46e60063 beq a2,a4,40006fb0 <_vfiprintf_r+0xafc> +40006b54: 000c0613 mv a2,s8 +40006b58: 0080006f j 40006b60 <_vfiprintf_r+0x6ac> +40006b5c: 000d0613 mv a2,s10 +40006b60: 0077f713 andi a4,a5,7 +40006b64: 03070713 addi a4,a4,48 +40006b68: fee60fa3 sb a4,-1(a2) +40006b6c: 0037d793 srli a5,a5,0x3 +40006b70: fff60d13 addi s10,a2,-1 +40006b74: fe0794e3 bnez a5,40006b5c <_vfiprintf_r+0x6a8> +40006b78: 00412783 lw a5,4(sp) +40006b7c: 0017f793 andi a5,a5,1 +40006b80: 44078a63 beqz a5,40006fd4 <_vfiprintf_r+0xb20> +40006b84: 03000793 li a5,48 +40006b88: 44f70663 beq a4,a5,40006fd4 <_vfiprintf_r+0xb20> +40006b8c: ffe60613 addi a2,a2,-2 +40006b90: fefd0fa3 sb a5,-1(s10) +40006b94: 40cc0db3 sub s11,s8,a2 +40006b98: 00060d13 mv s10,a2 +40006b9c: cf5ff06f j 40006890 <_vfiprintf_r+0x3dc> +40006ba0: ac0f9ce3 bnez t6,40006678 <_vfiprintf_r+0x1c4> +40006ba4: 00028e93 mv t4,t0 +40006ba8: 000d0f93 mv t6,s10 +40006bac: 000b0493 mv s1,s6 +40006bb0: a91ff06f j 40006640 <_vfiprintf_r+0x18c> +40006bb4: 00c12603 lw a2,12(sp) +40006bb8: 03000713 li a4,48 +40006bbc: 02e10c23 sb a4,56(sp) +40006bc0: 07800713 li a4,120 +40006bc4: 02e10ca3 sb a4,57(sp) +40006bc8: 00460713 addi a4,a2,4 +40006bcc: 00e12623 sw a4,12(sp) +40006bd0: 4000b737 lui a4,0x4000b +40006bd4: 7c470713 addi a4,a4,1988 # 4000b7c4 +40006bd8: 00062783 lw a5,0(a2) +40006bdc: 002f6f13 ori t5,t5,2 +40006be0: 00e12e23 sw a4,28(sp) +40006be4: 00200613 li a2,2 +40006be8: 02010ba3 sb zero,55(sp) +40006bec: 00000593 li a1,0 +40006bf0: fff00713 li a4,-1 +40006bf4: f4e684e3 beq a3,a4,40006b3c <_vfiprintf_r+0x688> +40006bf8: f7ff7713 andi a4,t5,-129 +40006bfc: 00e12223 sw a4,4(sp) +40006c00: f40792e3 bnez a5,40006b44 <_vfiprintf_r+0x690> +40006c04: 38069863 bnez a3,40006f94 <_vfiprintf_r+0xae0> +40006c08: 4e061263 bnez a2,400070ec <_vfiprintf_r+0xc38> +40006c0c: 001f7d93 andi s11,t5,1 +40006c10: 000c0d13 mv s10,s8 +40006c14: c60d8ee3 beqz s11,40006890 <_vfiprintf_r+0x3dc> +40006c18: 03000793 li a5,48 +40006c1c: 06f107a3 sb a5,111(sp) +40006c20: 06f10d13 addi s10,sp,111 +40006c24: c6dff06f j 40006890 <_vfiprintf_r+0x3dc> +40006c28: 00c12703 lw a4,12(sp) +40006c2c: 00100a13 li s4,1 +40006c30: 02010ba3 sb zero,55(sp) +40006c34: 00072783 lw a5,0(a4) +40006c38: 000a0d93 mv s11,s4 +40006c3c: 04810d13 addi s10,sp,72 +40006c40: 04f10423 sb a5,72(sp) +40006c44: 00470793 addi a5,a4,4 +40006c48: 00f12623 sw a5,12(sp) +40006c4c: 01e12223 sw t5,4(sp) +40006c50: 00000693 li a3,0 +40006c54: c51ff06f j 400068a4 <_vfiprintf_r+0x3f0> +40006c58: ea0e88e3 beqz t4,40006b08 <_vfiprintf_r+0x654> +40006c5c: 03f10ba3 sb t6,55(sp) +40006c60: ea9ff06f j 40006b08 <_vfiprintf_r+0x654> +40006c64: 040f6f13 ori t5,t5,64 +40006c68: 000b0493 mv s1,s6 +40006c6c: 9d5ff06f j 40006640 <_vfiprintf_r+0x18c> +40006c70: 720e9a63 bnez t4,400073a4 <_vfiprintf_r+0xef0> +40006c74: 4000b7b7 lui a5,0x4000b +40006c78: 7c478793 addi a5,a5,1988 # 4000b7c4 +40006c7c: 00f12e23 sw a5,28(sp) +40006c80: 010f7793 andi a5,t5,16 +40006c84: 5a079263 bnez a5,40007228 <_vfiprintf_r+0xd74> +40006c88: 040f7793 andi a5,t5,64 +40006c8c: 00c12603 lw a2,12(sp) +40006c90: 52078463 beqz a5,400071b8 <_vfiprintf_r+0xd04> +40006c94: 00065783 lhu a5,0(a2) +40006c98: 00460613 addi a2,a2,4 +40006c9c: 00c12623 sw a2,12(sp) +40006ca0: 001f7593 andi a1,t5,1 +40006ca4: 00200613 li a2,2 +40006ca8: f40580e3 beqz a1,40006be8 <_vfiprintf_r+0x734> +40006cac: f2078ee3 beqz a5,40006be8 <_vfiprintf_r+0x734> +40006cb0: 03000593 li a1,48 +40006cb4: 02b10c23 sb a1,56(sp) +40006cb8: 02e10ca3 sb a4,57(sp) +40006cbc: 00cf6f33 or t5,t5,a2 +40006cc0: f29ff06f j 40006be8 <_vfiprintf_r+0x734> +40006cc4: 001f6f13 ori t5,t5,1 +40006cc8: 000b0493 mv s1,s6 +40006ccc: 975ff06f j 40006640 <_vfiprintf_r+0x18c> +40006cd0: 6c0e9663 bnez t4,4000739c <_vfiprintf_r+0xee8> +40006cd4: 4000b7b7 lui a5,0x4000b +40006cd8: 7b078793 addi a5,a5,1968 # 4000b7b0 +40006cdc: 00f12e23 sw a5,28(sp) +40006ce0: fa1ff06f j 40006c80 <_vfiprintf_r+0x7cc> +40006ce4: 00c12703 lw a4,12(sp) +40006ce8: 00072783 lw a5,0(a4) +40006cec: 00470713 addi a4,a4,4 +40006cf0: 00100613 li a2,1 +40006cf4: 00e12623 sw a4,12(sp) +40006cf8: ef1ff06f j 40006be8 <_vfiprintf_r+0x734> +40006cfc: 00c12703 lw a4,12(sp) +40006d00: 00072783 lw a5,0(a4) +40006d04: 00470713 addi a4,a4,4 +40006d08: 00000613 li a2,0 +40006d0c: 00e12623 sw a4,12(sp) +40006d10: ed9ff06f j 40006be8 <_vfiprintf_r+0x734> +40006d14: 660e9463 bnez t4,4000737c <_vfiprintf_r+0xec8> +40006d18: 20070e63 beqz a4,40006f34 <_vfiprintf_r+0xa80> +40006d1c: 00100a13 li s4,1 +40006d20: 04e10423 sb a4,72(sp) +40006d24: 02010ba3 sb zero,55(sp) +40006d28: 000a0d93 mv s11,s4 +40006d2c: 04810d13 addi s10,sp,72 +40006d30: f1dff06f j 40006c4c <_vfiprintf_r+0x798> +40006d34: 03c10613 addi a2,sp,60 +40006d38: 00098593 mv a1,s3 +40006d3c: 000a8513 mv a0,s5 +40006d40: 02f12623 sw a5,44(sp) +40006d44: 03f12423 sw t6,40(sp) +40006d48: 03e12223 sw t5,36(sp) +40006d4c: 02512023 sw t0,32(sp) +40006d50: 00712c23 sw t2,24(sp) +40006d54: 00d12a23 sw a3,20(sp) +40006d58: e54ff0ef jal ra,400063ac <__sprint_r.part.0> +40006d5c: 1e051863 bnez a0,40006f4c <_vfiprintf_r+0xa98> +40006d60: 04012503 lw a0,64(sp) +40006d64: 04412603 lw a2,68(sp) +40006d68: 000c0413 mv s0,s8 +40006d6c: 00150713 addi a4,a0,1 +40006d70: 02c12783 lw a5,44(sp) +40006d74: 02812f83 lw t6,40(sp) +40006d78: 02412f03 lw t5,36(sp) +40006d7c: 02012283 lw t0,32(sp) +40006d80: 01812383 lw t2,24(sp) +40006d84: 01412683 lw a3,20(sp) +40006d88: b69ff06f j 400068f0 <_vfiprintf_r+0x43c> +40006d8c: 04012703 lw a4,64(sp) +40006d90: 04412783 lw a5,68(sp) +40006d94: 00170613 addi a2,a4,1 +40006d98: 03714583 lbu a1,55(sp) +40006d9c: ba058ee3 beqz a1,40006958 <_vfiprintf_r+0x4a4> +40006da0: 00100593 li a1,1 +40006da4: 03710713 addi a4,sp,55 +40006da8: 00b787b3 add a5,a5,a1 +40006dac: 00e42023 sw a4,0(s0) +40006db0: 00b42223 sw a1,4(s0) +40006db4: 04f12223 sw a5,68(sp) +40006db8: 04c12023 sw a2,64(sp) +40006dbc: 00700713 li a4,7 +40006dc0: 28c75463 ble a2,a4,40007048 <_vfiprintf_r+0xb94> +40006dc4: 0e079c63 bnez a5,40006ebc <_vfiprintf_r+0xa08> +40006dc8: 28039863 bnez t2,40007058 <_vfiprintf_r+0xba4> +40006dcc: 00000713 li a4,0 +40006dd0: 00100613 li a2,1 +40006dd4: 000c0413 mv s0,s8 +40006dd8: 08000593 li a1,128 +40006ddc: bab28ee3 beq t0,a1,40006998 <_vfiprintf_r+0x4e4> +40006de0: 41b684b3 sub s1,a3,s11 +40006de4: c49054e3 blez s1,40006a2c <_vfiprintf_r+0x578> +40006de8: 01000f13 li t5,16 +40006dec: 049f5a63 ble s1,t5,40006e40 <_vfiprintf_r+0x98c> +40006df0: 00700f93 li t6,7 +40006df4: 0180006f j 40006e0c <_vfiprintf_r+0x958> +40006df8: 00270613 addi a2,a4,2 +40006dfc: 00840413 addi s0,s0,8 +40006e00: 00068713 mv a4,a3 +40006e04: ff048493 addi s1,s1,-16 +40006e08: 029f5c63 ble s1,t5,40006e40 <_vfiprintf_r+0x98c> +40006e0c: 01078793 addi a5,a5,16 +40006e10: 00170693 addi a3,a4,1 +40006e14: 01742023 sw s7,0(s0) +40006e18: 01e42223 sw t5,4(s0) +40006e1c: 04f12223 sw a5,68(sp) +40006e20: 04d12023 sw a3,64(sp) +40006e24: fcdfdae3 ble a3,t6,40006df8 <_vfiprintf_r+0x944> +40006e28: 0c079a63 bnez a5,40006efc <_vfiprintf_r+0xa48> +40006e2c: ff048493 addi s1,s1,-16 +40006e30: 00100613 li a2,1 +40006e34: 00000713 li a4,0 +40006e38: 000c0413 mv s0,s8 +40006e3c: fc9f48e3 blt t5,s1,40006e0c <_vfiprintf_r+0x958> +40006e40: 009787b3 add a5,a5,s1 +40006e44: 01742023 sw s7,0(s0) +40006e48: 00942223 sw s1,4(s0) +40006e4c: 04f12223 sw a5,68(sp) +40006e50: 04c12023 sw a2,64(sp) +40006e54: 00700713 li a4,7 +40006e58: 22c74463 blt a4,a2,40007080 <_vfiprintf_r+0xbcc> +40006e5c: 00840413 addi s0,s0,8 +40006e60: 00160613 addi a2,a2,1 +40006e64: 00fd87b3 add a5,s11,a5 +40006e68: 01a42023 sw s10,0(s0) +40006e6c: 01b42223 sw s11,4(s0) +40006e70: 04f12223 sw a5,68(sp) +40006e74: 04c12023 sw a2,64(sp) +40006e78: 00700713 li a4,7 +40006e7c: bcc746e3 blt a4,a2,40006a48 <_vfiprintf_r+0x594> +40006e80: 00840413 addi s0,s0,8 +40006e84: 00412703 lw a4,4(sp) +40006e88: 00477d13 andi s10,a4,4 +40006e8c: 000d0663 beqz s10,40006e98 <_vfiprintf_r+0x9e4> +40006e90: 414904b3 sub s1,s2,s4 +40006e94: bc904ae3 bgtz s1,40006a68 <_vfiprintf_r+0x5b4> +40006e98: 01495463 ble s4,s2,40006ea0 <_vfiprintf_r+0x9ec> +40006e9c: 000a0913 mv s2,s4 +40006ea0: 00812703 lw a4,8(sp) +40006ea4: 01270733 add a4,a4,s2 +40006ea8: 00e12423 sw a4,8(sp) +40006eac: 1e079c63 bnez a5,400070a4 <_vfiprintf_r+0xbf0> +40006eb0: 04012023 sw zero,64(sp) +40006eb4: 000c0413 mv s0,s8 +40006eb8: ee0ff06f j 40006598 <_vfiprintf_r+0xe4> +40006ebc: 03c10613 addi a2,sp,60 +40006ec0: 00098593 mv a1,s3 +40006ec4: 000a8513 mv a0,s5 +40006ec8: 02512023 sw t0,32(sp) +40006ecc: 00712c23 sw t2,24(sp) +40006ed0: 00d12a23 sw a3,20(sp) +40006ed4: cd8ff0ef jal ra,400063ac <__sprint_r.part.0> +40006ed8: 06051a63 bnez a0,40006f4c <_vfiprintf_r+0xa98> +40006edc: 04012703 lw a4,64(sp) +40006ee0: 04412783 lw a5,68(sp) +40006ee4: 000c0413 mv s0,s8 +40006ee8: 00170613 addi a2,a4,1 +40006eec: 02012283 lw t0,32(sp) +40006ef0: 01812383 lw t2,24(sp) +40006ef4: 01412683 lw a3,20(sp) +40006ef8: a61ff06f j 40006958 <_vfiprintf_r+0x4a4> +40006efc: 03c10613 addi a2,sp,60 +40006f00: 00098593 mv a1,s3 +40006f04: 000a8513 mv a0,s5 +40006f08: 01f12c23 sw t6,24(sp) +40006f0c: 01e12a23 sw t5,20(sp) +40006f10: c9cff0ef jal ra,400063ac <__sprint_r.part.0> +40006f14: 02051c63 bnez a0,40006f4c <_vfiprintf_r+0xa98> +40006f18: 04012703 lw a4,64(sp) +40006f1c: 04412783 lw a5,68(sp) +40006f20: 000c0413 mv s0,s8 +40006f24: 00170613 addi a2,a4,1 +40006f28: 01812f83 lw t6,24(sp) +40006f2c: 01412f03 lw t5,20(sp) +40006f30: ed5ff06f j 40006e04 <_vfiprintf_r+0x950> +40006f34: 04412783 lw a5,68(sp) +40006f38: 00078a63 beqz a5,40006f4c <_vfiprintf_r+0xa98> +40006f3c: 03c10613 addi a2,sp,60 +40006f40: 00098593 mv a1,s3 +40006f44: 000a8513 mv a0,s5 +40006f48: c64ff0ef jal ra,400063ac <__sprint_r.part.0> +40006f4c: 00c9d783 lhu a5,12(s3) +40006f50: 0407f793 andi a5,a5,64 +40006f54: fc078263 beqz a5,40006718 <_vfiprintf_r+0x264> +40006f58: fff00793 li a5,-1 +40006f5c: 00f12423 sw a5,8(sp) +40006f60: fb8ff06f j 40006718 <_vfiprintf_r+0x264> +40006f64: 03c10613 addi a2,sp,60 +40006f68: 00098593 mv a1,s3 +40006f6c: 000a8513 mv a0,s5 +40006f70: 01d12223 sw t4,4(sp) +40006f74: c38ff0ef jal ra,400063ac <__sprint_r.part.0> +40006f78: fc051ae3 bnez a0,40006f4c <_vfiprintf_r+0xa98> +40006f7c: 04012683 lw a3,64(sp) +40006f80: 04412783 lw a5,68(sp) +40006f84: 000c0413 mv s0,s8 +40006f88: 00168613 addi a2,a3,1 +40006f8c: 00412e83 lw t4,4(sp) +40006f90: afdff06f j 40006a8c <_vfiprintf_r+0x5d8> +40006f94: 00412f03 lw t5,4(sp) +40006f98: 00100713 li a4,1 +40006f9c: 1ae60463 beq a2,a4,40007144 <_vfiprintf_r+0xc90> +40006fa0: 00200793 li a5,2 +40006fa4: 18f61863 bne a2,a5,40007134 <_vfiprintf_r+0xc80> +40006fa8: 01e12223 sw t5,4(sp) +40006fac: 00000793 li a5,0 +40006fb0: 000c0d13 mv s10,s8 +40006fb4: 01c12603 lw a2,28(sp) +40006fb8: 00f7f713 andi a4,a5,15 +40006fbc: fffd0d13 addi s10,s10,-1 +40006fc0: 00e60733 add a4,a2,a4 +40006fc4: 00074703 lbu a4,0(a4) +40006fc8: 0047d793 srli a5,a5,0x4 +40006fcc: 00ed0023 sb a4,0(s10) +40006fd0: fe0792e3 bnez a5,40006fb4 <_vfiprintf_r+0xb00> +40006fd4: 41ac0db3 sub s11,s8,s10 +40006fd8: 8b9ff06f j 40006890 <_vfiprintf_r+0x3dc> +40006fdc: 03c10613 addi a2,sp,60 +40006fe0: 00098593 mv a1,s3 +40006fe4: 000a8513 mv a0,s5 +40006fe8: 02512223 sw t0,36(sp) +40006fec: 03f12023 sw t6,32(sp) +40006ff0: 01e12c23 sw t5,24(sp) +40006ff4: 00d12a23 sw a3,20(sp) +40006ff8: bb4ff0ef jal ra,400063ac <__sprint_r.part.0> +40006ffc: f40518e3 bnez a0,40006f4c <_vfiprintf_r+0xa98> +40007000: 04012703 lw a4,64(sp) +40007004: 04412783 lw a5,68(sp) +40007008: 000c0413 mv s0,s8 +4000700c: 00170593 addi a1,a4,1 +40007010: 02412283 lw t0,36(sp) +40007014: 02012f83 lw t6,32(sp) +40007018: 01812f03 lw t5,24(sp) +4000701c: 01412683 lw a3,20(sp) +40007020: 9a1ff06f j 400069c0 <_vfiprintf_r+0x50c> +40007024: 22079863 bnez a5,40007254 <_vfiprintf_r+0xda0> +40007028: 03714703 lbu a4,55(sp) +4000702c: d8070ee3 beqz a4,40006dc8 <_vfiprintf_r+0x914> +40007030: 00100793 li a5,1 +40007034: 03710713 addi a4,sp,55 +40007038: 00078613 mv a2,a5 +4000703c: 06e12823 sw a4,112(sp) +40007040: 06f12a23 sw a5,116(sp) +40007044: 000c0413 mv s0,s8 +40007048: 00060713 mv a4,a2 +4000704c: 00840413 addi s0,s0,8 +40007050: 00160613 addi a2,a2,1 +40007054: 905ff06f j 40006958 <_vfiprintf_r+0x4a4> +40007058: 00200793 li a5,2 +4000705c: 03810713 addi a4,sp,56 +40007060: 06e12823 sw a4,112(sp) +40007064: 06f12a23 sw a5,116(sp) +40007068: 00100613 li a2,1 +4000706c: 000c0413 mv s0,s8 +40007070: 00060713 mv a4,a2 +40007074: 00840413 addi s0,s0,8 +40007078: 00160613 addi a2,a2,1 +4000707c: d5dff06f j 40006dd8 <_vfiprintf_r+0x924> +40007080: 14079463 bnez a5,400071c8 <_vfiprintf_r+0xd14> +40007084: 00100713 li a4,1 +40007088: 000d8793 mv a5,s11 +4000708c: 07a12823 sw s10,112(sp) +40007090: 07b12a23 sw s11,116(sp) +40007094: 05b12223 sw s11,68(sp) +40007098: 04e12023 sw a4,64(sp) +4000709c: 000c0413 mv s0,s8 +400070a0: de1ff06f j 40006e80 <_vfiprintf_r+0x9cc> +400070a4: 03c10613 addi a2,sp,60 +400070a8: 00098593 mv a1,s3 +400070ac: 000a8513 mv a0,s5 +400070b0: afcff0ef jal ra,400063ac <__sprint_r.part.0> +400070b4: de050ee3 beqz a0,40006eb0 <_vfiprintf_r+0x9fc> +400070b8: e95ff06f j 40006f4c <_vfiprintf_r+0xa98> +400070bc: 00900713 li a4,9 +400070c0: 000c0d13 mv s10,s8 +400070c4: 00a00613 li a2,10 +400070c8: 06f77c63 bleu a5,a4,40007140 <_vfiprintf_r+0xc8c> +400070cc: 02c7f733 remu a4,a5,a2 +400070d0: fffd0d13 addi s10,s10,-1 +400070d4: 02c7d7b3 divu a5,a5,a2 +400070d8: 03070713 addi a4,a4,48 +400070dc: 00ed0023 sb a4,0(s10) +400070e0: fe0796e3 bnez a5,400070cc <_vfiprintf_r+0xc18> +400070e4: 41ac0db3 sub s11,s8,s10 +400070e8: fa8ff06f j 40006890 <_vfiprintf_r+0x3dc> +400070ec: 00000d93 li s11,0 +400070f0: 000c0d13 mv s10,s8 +400070f4: f9cff06f j 40006890 <_vfiprintf_r+0x3dc> +400070f8: 03c10613 addi a2,sp,60 +400070fc: 00098593 mv a1,s3 +40007100: 000a8513 mv a0,s5 +40007104: aa8ff0ef jal ra,400063ac <__sprint_r.part.0> +40007108: e40512e3 bnez a0,40006f4c <_vfiprintf_r+0xa98> +4000710c: 04412783 lw a5,68(sp) +40007110: 000c0413 mv s0,s8 +40007114: d71ff06f j 40006e84 <_vfiprintf_r+0x9d0> +40007118: 03c10613 addi a2,sp,60 +4000711c: 00098593 mv a1,s3 +40007120: 000a8513 mv a0,s5 +40007124: a88ff0ef jal ra,400063ac <__sprint_r.part.0> +40007128: e20512e3 bnez a0,40006f4c <_vfiprintf_r+0xa98> +4000712c: 000c0413 mv s0,s8 +40007130: cc4ff06f j 400065f4 <_vfiprintf_r+0x140> +40007134: 01e12223 sw t5,4(sp) +40007138: 00000793 li a5,0 +4000713c: a19ff06f j 40006b54 <_vfiprintf_r+0x6a0> +40007140: 00412f03 lw t5,4(sp) +40007144: 03078793 addi a5,a5,48 +40007148: 06f107a3 sb a5,111(sp) +4000714c: 01e12223 sw t5,4(sp) +40007150: 00100d93 li s11,1 +40007154: 06f10d13 addi s10,sp,111 +40007158: f38ff06f j 40006890 <_vfiprintf_r+0x3dc> +4000715c: 03c10613 addi a2,sp,60 +40007160: 00098593 mv a1,s3 +40007164: 000a8513 mv a0,s5 +40007168: 00512c23 sw t0,24(sp) +4000716c: 00d12a23 sw a3,20(sp) +40007170: a3cff0ef jal ra,400063ac <__sprint_r.part.0> +40007174: dc051ce3 bnez a0,40006f4c <_vfiprintf_r+0xa98> +40007178: 04012703 lw a4,64(sp) +4000717c: 04412783 lw a5,68(sp) +40007180: 000c0413 mv s0,s8 +40007184: 00170613 addi a2,a4,1 +40007188: 01812283 lw t0,24(sp) +4000718c: 01412683 lw a3,20(sp) +40007190: c49ff06f j 40006dd8 <_vfiprintf_r+0x924> +40007194: 12079e63 bnez a5,400072d0 <_vfiprintf_r+0xe1c> +40007198: 00100613 li a2,1 +4000719c: 00000713 li a4,0 +400071a0: 000c0413 mv s0,s8 +400071a4: c3dff06f j 40006de0 <_vfiprintf_r+0x92c> +400071a8: 00072783 lw a5,0(a4) +400071ac: 00470713 addi a4,a4,4 +400071b0: 00e12623 sw a4,12(sp) +400071b4: 975ff06f j 40006b28 <_vfiprintf_r+0x674> +400071b8: 00062783 lw a5,0(a2) +400071bc: 00460613 addi a2,a2,4 +400071c0: 00c12623 sw a2,12(sp) +400071c4: addff06f j 40006ca0 <_vfiprintf_r+0x7ec> +400071c8: 03c10613 addi a2,sp,60 +400071cc: 00098593 mv a1,s3 +400071d0: 000a8513 mv a0,s5 +400071d4: 9d8ff0ef jal ra,400063ac <__sprint_r.part.0> +400071d8: d6051ae3 bnez a0,40006f4c <_vfiprintf_r+0xa98> +400071dc: 04012603 lw a2,64(sp) +400071e0: 04412783 lw a5,68(sp) +400071e4: 000c0413 mv s0,s8 +400071e8: 00160613 addi a2,a2,1 +400071ec: 841ff06f j 40006a2c <_vfiprintf_r+0x578> +400071f0: 00c12703 lw a4,12(sp) +400071f4: 00072783 lw a5,0(a4) +400071f8: 00470713 addi a4,a4,4 +400071fc: 00e12623 sw a4,12(sp) +40007200: 00812703 lw a4,8(sp) +40007204: 00e7a023 sw a4,0(a5) +40007208: b90ff06f j 40006598 <_vfiprintf_r+0xe4> +4000720c: 000b0493 mv s1,s6 +40007210: bf0ff06f j 40006600 <_vfiprintf_r+0x14c> +40007214: 00c12703 lw a4,12(sp) +40007218: 00072783 lw a5,0(a4) +4000721c: 00470713 addi a4,a4,4 +40007220: 00e12623 sw a4,12(sp) +40007224: 905ff06f j 40006b28 <_vfiprintf_r+0x674> +40007228: 00c12603 lw a2,12(sp) +4000722c: 00062783 lw a5,0(a2) +40007230: 00460613 addi a2,a2,4 +40007234: 00c12623 sw a2,12(sp) +40007238: a69ff06f j 40006ca0 <_vfiprintf_r+0x7ec> +4000723c: 02d00713 li a4,45 +40007240: 40f007b3 neg a5,a5 +40007244: 02e10ba3 sb a4,55(sp) +40007248: 02d00593 li a1,45 +4000724c: 00100613 li a2,1 +40007250: 9a1ff06f j 40006bf0 <_vfiprintf_r+0x73c> +40007254: 03c10613 addi a2,sp,60 +40007258: 00098593 mv a1,s3 +4000725c: 000a8513 mv a0,s5 +40007260: 02512023 sw t0,32(sp) +40007264: 00712c23 sw t2,24(sp) +40007268: 00d12a23 sw a3,20(sp) +4000726c: 940ff0ef jal ra,400063ac <__sprint_r.part.0> +40007270: cc051ee3 bnez a0,40006f4c <_vfiprintf_r+0xa98> +40007274: 04012703 lw a4,64(sp) +40007278: 04412783 lw a5,68(sp) +4000727c: 000c0413 mv s0,s8 +40007280: 00170613 addi a2,a4,1 +40007284: 02012283 lw t0,32(sp) +40007288: 01812383 lw t2,24(sp) +4000728c: 01412683 lw a3,20(sp) +40007290: b09ff06f j 40006d98 <_vfiprintf_r+0x8e4> +40007294: 03c10613 addi a2,sp,60 +40007298: 00098593 mv a1,s3 +4000729c: 000a8513 mv a0,s5 +400072a0: 90cff0ef jal ra,400063ac <__sprint_r.part.0> +400072a4: ca0514e3 bnez a0,40006f4c <_vfiprintf_r+0xa98> +400072a8: 04412783 lw a5,68(sp) +400072ac: bedff06f j 40006e98 <_vfiprintf_r+0x9e4> +400072b0: 000d0513 mv a0,s10 +400072b4: 01e12223 sw t5,4(sp) +400072b8: 85cff0ef jal ra,40006314 +400072bc: 00050d93 mv s11,a0 +400072c0: 03714583 lbu a1,55(sp) +400072c4: 00912623 sw s1,12(sp) +400072c8: 00000693 li a3,0 +400072cc: dc4ff06f j 40006890 <_vfiprintf_r+0x3dc> +400072d0: 03c10613 addi a2,sp,60 +400072d4: 00098593 mv a1,s3 +400072d8: 000a8513 mv a0,s5 +400072dc: 00d12a23 sw a3,20(sp) +400072e0: 8ccff0ef jal ra,400063ac <__sprint_r.part.0> +400072e4: c60514e3 bnez a0,40006f4c <_vfiprintf_r+0xa98> +400072e8: 04012703 lw a4,64(sp) +400072ec: 04412783 lw a5,68(sp) +400072f0: 000c0413 mv s0,s8 +400072f4: 00170613 addi a2,a4,1 +400072f8: 01412683 lw a3,20(sp) +400072fc: ae5ff06f j 40006de0 <_vfiprintf_r+0x92c> +40007300: 04012703 lw a4,64(sp) +40007304: 00170713 addi a4,a4,1 +40007308: e24ff06f j 4000692c <_vfiprintf_r+0x478> +4000730c: 00600793 li a5,6 +40007310: 00068d93 mv s11,a3 +40007314: 00d7f463 bleu a3,a5,4000731c <_vfiprintf_r+0xe68> +40007318: 00078d93 mv s11,a5 +4000731c: 4000beb7 lui t4,0x4000b +40007320: 000d8a13 mv s4,s11 +40007324: 00912623 sw s1,12(sp) +40007328: 7d8e8d13 addi s10,t4,2008 # 4000b7d8 +4000732c: 921ff06f j 40006c4c <_vfiprintf_r+0x798> +40007330: 04012603 lw a2,64(sp) +40007334: 00160613 addi a2,a2,1 +40007338: f90ff06f j 40006ac8 <_vfiprintf_r+0x614> +4000733c: 00068d93 mv s11,a3 +40007340: 03714583 lbu a1,55(sp) +40007344: 00912623 sw s1,12(sp) +40007348: 01e12223 sw t5,4(sp) +4000734c: 00000693 li a3,0 +40007350: d40ff06f j 40006890 <_vfiprintf_r+0x3dc> +40007354: 00060593 mv a1,a2 +40007358: ea4ff06f j 400069fc <_vfiprintf_r+0x548> +4000735c: 00c12783 lw a5,12(sp) +40007360: 0007a683 lw a3,0(a5) +40007364: 00478b13 addi s6,a5,4 +40007368: 0206c263 bltz a3,4000738c <_vfiprintf_r+0xed8> +4000736c: 01612623 sw s6,12(sp) +40007370: 00048b13 mv s6,s1 +40007374: 000b0493 mv s1,s6 +40007378: ac8ff06f j 40006640 <_vfiprintf_r+0x18c> +4000737c: 03f10ba3 sb t6,55(sp) +40007380: 999ff06f j 40006d18 <_vfiprintf_r+0x864> +40007384: 03f10ba3 sb t6,55(sp) +40007388: c88ff06f j 40006810 <_vfiprintf_r+0x35c> +4000738c: 000d8693 mv a3,s11 +40007390: fddff06f j 4000736c <_vfiprintf_r+0xeb8> +40007394: 03f10ba3 sb t6,55(sp) +40007398: f6cff06f j 40006b04 <_vfiprintf_r+0x650> +4000739c: 03f10ba3 sb t6,55(sp) +400073a0: 935ff06f j 40006cd4 <_vfiprintf_r+0x820> +400073a4: 03f10ba3 sb t6,55(sp) +400073a8: 8cdff06f j 40006c74 <_vfiprintf_r+0x7c0> + +400073ac : +400073ac: 4000c7b7 lui a5,0x4000c +400073b0: 00060693 mv a3,a2 +400073b4: 00058613 mv a2,a1 +400073b8: 00050593 mv a1,a0 +400073bc: 62c7a503 lw a0,1580(a5) # 4000c62c <_impure_ptr> +400073c0: 8f4ff06f j 400064b4 <_vfiprintf_r> + +400073c4 <__sbprintf>: +400073c4: 00c5d783 lhu a5,12(a1) +400073c8: 0645ae03 lw t3,100(a1) +400073cc: 00e5d303 lhu t1,14(a1) +400073d0: 01c5a883 lw a7,28(a1) +400073d4: 0245a803 lw a6,36(a1) +400073d8: b8010113 addi sp,sp,-1152 +400073dc: ffd7f793 andi a5,a5,-3 +400073e0: 40000713 li a4,1024 +400073e4: 46812c23 sw s0,1144(sp) +400073e8: 00f11a23 sh a5,20(sp) +400073ec: 00058413 mv s0,a1 +400073f0: 07010793 addi a5,sp,112 +400073f4: 00810593 addi a1,sp,8 +400073f8: 46912a23 sw s1,1140(sp) +400073fc: 47212823 sw s2,1136(sp) +40007400: 46112e23 sw ra,1148(sp) +40007404: 00050913 mv s2,a0 +40007408: 07c12623 sw t3,108(sp) +4000740c: 00611b23 sh t1,22(sp) +40007410: 03112223 sw a7,36(sp) +40007414: 03012623 sw a6,44(sp) +40007418: 00f12423 sw a5,8(sp) +4000741c: 00f12c23 sw a5,24(sp) +40007420: 00e12823 sw a4,16(sp) +40007424: 00e12e23 sw a4,28(sp) +40007428: 02012023 sw zero,32(sp) +4000742c: 888ff0ef jal ra,400064b4 <_vfiprintf_r> +40007430: 00050493 mv s1,a0 +40007434: 00054a63 bltz a0,40007448 <__sbprintf+0x84> +40007438: 00810593 addi a1,sp,8 +4000743c: 00090513 mv a0,s2 +40007440: cfcfc0ef jal ra,4000393c <_fflush_r> +40007444: 02051c63 bnez a0,4000747c <__sbprintf+0xb8> +40007448: 01415783 lhu a5,20(sp) +4000744c: 0407f793 andi a5,a5,64 +40007450: 00078863 beqz a5,40007460 <__sbprintf+0x9c> +40007454: 00c45783 lhu a5,12(s0) +40007458: 0407e793 ori a5,a5,64 +4000745c: 00f41623 sh a5,12(s0) +40007460: 47c12083 lw ra,1148(sp) +40007464: 00048513 mv a0,s1 +40007468: 47812403 lw s0,1144(sp) +4000746c: 47412483 lw s1,1140(sp) +40007470: 47012903 lw s2,1136(sp) +40007474: 48010113 addi sp,sp,1152 +40007478: 00008067 ret +4000747c: fff00493 li s1,-1 +40007480: fc9ff06f j 40007448 <__sbprintf+0x84> + +40007484 <_write_r>: +40007484: ff010113 addi sp,sp,-16 +40007488: 00058793 mv a5,a1 +4000748c: 00812423 sw s0,8(sp) +40007490: 00912223 sw s1,4(sp) +40007494: 00060593 mv a1,a2 +40007498: 00050493 mv s1,a0 +4000749c: 4000c437 lui s0,0x4000c +400074a0: 00078513 mv a0,a5 +400074a4: 00068613 mv a2,a3 +400074a8: 00112623 sw ra,12(sp) +400074ac: 68042223 sw zero,1668(s0) # 4000c684 +400074b0: bc1f80ef jal ra,40000070 +400074b4: fff00793 li a5,-1 +400074b8: 00f50c63 beq a0,a5,400074d0 <_write_r+0x4c> +400074bc: 00c12083 lw ra,12(sp) +400074c0: 00812403 lw s0,8(sp) +400074c4: 00412483 lw s1,4(sp) +400074c8: 01010113 addi sp,sp,16 +400074cc: 00008067 ret +400074d0: 68442783 lw a5,1668(s0) +400074d4: fe0784e3 beqz a5,400074bc <_write_r+0x38> +400074d8: 00c12083 lw ra,12(sp) +400074dc: 00f4a023 sw a5,0(s1) +400074e0: 00812403 lw s0,8(sp) +400074e4: 00412483 lw s1,4(sp) +400074e8: 01010113 addi sp,sp,16 +400074ec: 00008067 ret + +400074f0 <_calloc_r>: +400074f0: 02c585b3 mul a1,a1,a2 +400074f4: ff010113 addi sp,sp,-16 +400074f8: 00812423 sw s0,8(sp) +400074fc: 00112623 sw ra,12(sp) +40007500: 898fd0ef jal ra,40004598 <_malloc_r> +40007504: 00050413 mv s0,a0 +40007508: 04050e63 beqz a0,40007564 <_calloc_r+0x74> +4000750c: ffc52603 lw a2,-4(a0) +40007510: 02400713 li a4,36 +40007514: ffc67613 andi a2,a2,-4 +40007518: ffc60613 addi a2,a2,-4 +4000751c: 04c76e63 bltu a4,a2,40007578 <_calloc_r+0x88> +40007520: 01300693 li a3,19 +40007524: 00050793 mv a5,a0 +40007528: 02c6f863 bleu a2,a3,40007558 <_calloc_r+0x68> +4000752c: 00052023 sw zero,0(a0) +40007530: 00052223 sw zero,4(a0) +40007534: 01b00793 li a5,27 +40007538: 04c7fe63 bleu a2,a5,40007594 <_calloc_r+0xa4> +4000753c: 00052423 sw zero,8(a0) +40007540: 00052623 sw zero,12(a0) +40007544: 01050793 addi a5,a0,16 +40007548: 00e61863 bne a2,a4,40007558 <_calloc_r+0x68> +4000754c: 00052823 sw zero,16(a0) +40007550: 01850793 addi a5,a0,24 +40007554: 00052a23 sw zero,20(a0) +40007558: 0007a023 sw zero,0(a5) +4000755c: 0007a223 sw zero,4(a5) +40007560: 0007a423 sw zero,8(a5) +40007564: 00c12083 lw ra,12(sp) +40007568: 00040513 mv a0,s0 +4000756c: 00812403 lw s0,8(sp) +40007570: 01010113 addi sp,sp,16 +40007574: 00008067 ret +40007578: 00000593 li a1,0 +4000757c: 961fd0ef jal ra,40004edc +40007580: 00c12083 lw ra,12(sp) +40007584: 00040513 mv a0,s0 +40007588: 00812403 lw s0,8(sp) +4000758c: 01010113 addi sp,sp,16 +40007590: 00008067 ret +40007594: 00850793 addi a5,a0,8 +40007598: fc1ff06f j 40007558 <_calloc_r+0x68> + +4000759c <_close_r>: +4000759c: ff010113 addi sp,sp,-16 +400075a0: 00812423 sw s0,8(sp) +400075a4: 00912223 sw s1,4(sp) +400075a8: 4000c437 lui s0,0x4000c +400075ac: 00050493 mv s1,a0 +400075b0: 00058513 mv a0,a1 +400075b4: 00112623 sw ra,12(sp) +400075b8: 68042223 sw zero,1668(s0) # 4000c684 +400075bc: a55f80ef jal ra,40000010 +400075c0: fff00793 li a5,-1 +400075c4: 00f50c63 beq a0,a5,400075dc <_close_r+0x40> +400075c8: 00c12083 lw ra,12(sp) +400075cc: 00812403 lw s0,8(sp) +400075d0: 00412483 lw s1,4(sp) +400075d4: 01010113 addi sp,sp,16 +400075d8: 00008067 ret +400075dc: 68442783 lw a5,1668(s0) +400075e0: fe0784e3 beqz a5,400075c8 <_close_r+0x2c> +400075e4: 00c12083 lw ra,12(sp) +400075e8: 00f4a023 sw a5,0(s1) +400075ec: 00812403 lw s0,8(sp) +400075f0: 00412483 lw s1,4(sp) +400075f4: 01010113 addi sp,sp,16 +400075f8: 00008067 ret + +400075fc <_fclose_r>: +400075fc: ff010113 addi sp,sp,-16 +40007600: 00112623 sw ra,12(sp) +40007604: 00812423 sw s0,8(sp) +40007608: 00912223 sw s1,4(sp) +4000760c: 01212023 sw s2,0(sp) +40007610: 02058063 beqz a1,40007630 <_fclose_r+0x34> +40007614: 00050493 mv s1,a0 +40007618: 00058413 mv s0,a1 +4000761c: 00050663 beqz a0,40007628 <_fclose_r+0x2c> +40007620: 03852783 lw a5,56(a0) +40007624: 0a078c63 beqz a5,400076dc <_fclose_r+0xe0> +40007628: 00c41783 lh a5,12(s0) +4000762c: 02079263 bnez a5,40007650 <_fclose_r+0x54> +40007630: 00c12083 lw ra,12(sp) +40007634: 00000913 li s2,0 +40007638: 00090513 mv a0,s2 +4000763c: 00812403 lw s0,8(sp) +40007640: 00412483 lw s1,4(sp) +40007644: 00012903 lw s2,0(sp) +40007648: 01010113 addi sp,sp,16 +4000764c: 00008067 ret +40007650: 00040593 mv a1,s0 +40007654: 00048513 mv a0,s1 +40007658: 850fc0ef jal ra,400036a8 <__sflush_r> +4000765c: 02c42783 lw a5,44(s0) +40007660: 00050913 mv s2,a0 +40007664: 00078a63 beqz a5,40007678 <_fclose_r+0x7c> +40007668: 01c42583 lw a1,28(s0) +4000766c: 00048513 mv a0,s1 +40007670: 000780e7 jalr a5 +40007674: 06054863 bltz a0,400076e4 <_fclose_r+0xe8> +40007678: 00c45783 lhu a5,12(s0) +4000767c: 0807f793 andi a5,a5,128 +40007680: 06079663 bnez a5,400076ec <_fclose_r+0xf0> +40007684: 03042583 lw a1,48(s0) +40007688: 00058c63 beqz a1,400076a0 <_fclose_r+0xa4> +4000768c: 04040793 addi a5,s0,64 +40007690: 00f58663 beq a1,a5,4000769c <_fclose_r+0xa0> +40007694: 00048513 mv a0,s1 +40007698: fecfc0ef jal ra,40003e84 <_free_r> +4000769c: 02042823 sw zero,48(s0) +400076a0: 04442583 lw a1,68(s0) +400076a4: 00058863 beqz a1,400076b4 <_fclose_r+0xb8> +400076a8: 00048513 mv a0,s1 +400076ac: fd8fc0ef jal ra,40003e84 <_free_r> +400076b0: 04042223 sw zero,68(s0) +400076b4: e5cfc0ef jal ra,40003d10 <__sfp_lock_acquire> +400076b8: 00041623 sh zero,12(s0) +400076bc: e58fc0ef jal ra,40003d14 <__sfp_lock_release> +400076c0: 00c12083 lw ra,12(sp) +400076c4: 00090513 mv a0,s2 +400076c8: 00812403 lw s0,8(sp) +400076cc: 00412483 lw s1,4(sp) +400076d0: 00012903 lw s2,0(sp) +400076d4: 01010113 addi sp,sp,16 +400076d8: 00008067 ret +400076dc: e24fc0ef jal ra,40003d00 <__sinit> +400076e0: f49ff06f j 40007628 <_fclose_r+0x2c> +400076e4: fff00913 li s2,-1 +400076e8: f91ff06f j 40007678 <_fclose_r+0x7c> +400076ec: 01042583 lw a1,16(s0) +400076f0: 00048513 mv a0,s1 +400076f4: f90fc0ef jal ra,40003e84 <_free_r> +400076f8: f8dff06f j 40007684 <_fclose_r+0x88> + +400076fc : +400076fc: 4000c7b7 lui a5,0x4000c +40007700: 00050593 mv a1,a0 +40007704: 62c7a503 lw a0,1580(a5) # 4000c62c <_impure_ptr> +40007708: ef5ff06f j 400075fc <_fclose_r> + +4000770c <__fputwc>: +4000770c: fc010113 addi sp,sp,-64 +40007710: 02812c23 sw s0,56(sp) +40007714: 03412423 sw s4,40(sp) +40007718: 03512223 sw s5,36(sp) +4000771c: 02112e23 sw ra,60(sp) +40007720: 02912a23 sw s1,52(sp) +40007724: 03212823 sw s2,48(sp) +40007728: 03312623 sw s3,44(sp) +4000772c: 03612023 sw s6,32(sp) +40007730: 01712e23 sw s7,28(sp) +40007734: 00050a13 mv s4,a0 +40007738: 00058a93 mv s5,a1 +4000773c: 00060413 mv s0,a2 +40007740: c35fc0ef jal ra,40004374 <__locale_mb_cur_max> +40007744: 00100793 li a5,1 +40007748: 0cf50863 beq a0,a5,40007818 <__fputwc+0x10c> +4000774c: 00c10493 addi s1,sp,12 +40007750: 05c40693 addi a3,s0,92 +40007754: 000a8613 mv a2,s5 +40007758: 00048593 mv a1,s1 +4000775c: 000a0513 mv a0,s4 +40007760: 104010ef jal ra,40008864 <_wcrtomb_r> +40007764: fff00793 li a5,-1 +40007768: 00050993 mv s3,a0 +4000776c: 08f50e63 beq a0,a5,40007808 <__fputwc+0xfc> +40007770: 0c050463 beqz a0,40007838 <__fputwc+0x12c> +40007774: 00c14703 lbu a4,12(sp) +40007778: 00000913 li s2,0 +4000777c: fff00b93 li s7,-1 +40007780: 00a00b13 li s6,10 +40007784: 0240006f j 400077a8 <__fputwc+0x9c> +_ELIDABLE_INLINE int __sputc_r(struct _reent *_ptr, int _c, FILE *_p) { +#ifdef __SCLE + if ((_p->_flags & __SCLE) && _c == '\n') + __sputc_r (_ptr, '\r', _p); +#endif + if (--_p->_w >= 0 || (_p->_w >= _p->_lbfsize && (char)_c != '\n')) +40007788: 00042783 lw a5,0(s0) +4000778c: 00178693 addi a3,a5,1 + return (*_p->_p++ = _c); +40007790: 00d42023 sw a3,0(s0) +40007794: 00e78023 sb a4,0(a5) +40007798: 00190913 addi s2,s2,1 +4000779c: 00148493 addi s1,s1,1 +400077a0: 09397c63 bleu s3,s2,40007838 <__fputwc+0x12c> +400077a4: 0004c703 lbu a4,0(s1) +400077a8: 00842783 lw a5,8(s0) +400077ac: fff78793 addi a5,a5,-1 + if (--_p->_w >= 0 || (_p->_w >= _p->_lbfsize && (char)_c != '\n')) +400077b0: 00f42423 sw a5,8(s0) +400077b4: fc07dae3 bgez a5,40007788 <__fputwc+0x7c> +400077b8: 01842683 lw a3,24(s0) +400077bc: 00070593 mv a1,a4 +400077c0: 00040613 mv a2,s0 + else + return (__swbuf_r(_ptr, _c, _p)); +400077c4: 000a0513 mv a0,s4 +400077c8: 00d7c463 blt a5,a3,400077d0 <__fputwc+0xc4> +400077cc: fb671ee3 bne a4,s6,40007788 <__fputwc+0x7c> + if (--_p->_w >= 0 || (_p->_w >= _p->_lbfsize && (char)_c != '\n')) +400077d0: 709000ef jal ra,400086d8 <__swbuf_r> +400077d4: fd7512e3 bne a0,s7,40007798 <__fputwc+0x8c> + return (__swbuf_r(_ptr, _c, _p)); +400077d8: 000b8513 mv a0,s7 +400077dc: 03c12083 lw ra,60(sp) +400077e0: 03812403 lw s0,56(sp) +400077e4: 03412483 lw s1,52(sp) +400077e8: 03012903 lw s2,48(sp) +400077ec: 02c12983 lw s3,44(sp) +400077f0: 02812a03 lw s4,40(sp) +400077f4: 02412a83 lw s5,36(sp) +400077f8: 02012b03 lw s6,32(sp) +400077fc: 01c12b83 lw s7,28(sp) +40007800: 04010113 addi sp,sp,64 +40007804: 00008067 ret +40007808: 00c45783 lhu a5,12(s0) +4000780c: 0407e793 ori a5,a5,64 +40007810: 00f41623 sh a5,12(s0) +40007814: fc9ff06f j 400077dc <__fputwc+0xd0> +40007818: fffa8793 addi a5,s5,-1 +4000781c: 0fe00713 li a4,254 +40007820: f2f766e3 bltu a4,a5,4000774c <__fputwc+0x40> +40007824: 0ffaf713 andi a4,s5,255 +40007828: 00e10623 sb a4,12(sp) +4000782c: 00050993 mv s3,a0 +40007830: 00c10493 addi s1,sp,12 +40007834: f45ff06f j 40007778 <__fputwc+0x6c> +40007838: 000a8513 mv a0,s5 +4000783c: fa1ff06f j 400077dc <__fputwc+0xd0> + +40007840 <_fputwc_r>: +40007840: 00c61783 lh a5,12(a2) +40007844: 000026b7 lui a3,0x2 +40007848: 01279713 slli a4,a5,0x12 +4000784c: 00074c63 bltz a4,40007864 <_fputwc_r+0x24> +40007850: 06462703 lw a4,100(a2) +40007854: 00d7e7b3 or a5,a5,a3 +40007858: 00f61623 sh a5,12(a2) +4000785c: 00d767b3 or a5,a4,a3 +40007860: 06f62223 sw a5,100(a2) +40007864: ea9ff06f j 4000770c <__fputwc> + +40007868 : +40007868: ff010113 addi sp,sp,-16 +4000786c: 4000c7b7 lui a5,0x4000c +40007870: 00912223 sw s1,4(sp) +40007874: 62c7a483 lw s1,1580(a5) # 4000c62c <_impure_ptr> +40007878: 00812423 sw s0,8(sp) +4000787c: 01212023 sw s2,0(sp) +40007880: 00112623 sw ra,12(sp) +40007884: 00050913 mv s2,a0 +40007888: 00058413 mv s0,a1 +4000788c: 00048663 beqz s1,40007898 +40007890: 0384a783 lw a5,56(s1) +40007894: 04078663 beqz a5,400078e0 +40007898: 00c41783 lh a5,12(s0) +4000789c: 000026b7 lui a3,0x2 +400078a0: 01279713 slli a4,a5,0x12 +400078a4: 00074c63 bltz a4,400078bc +400078a8: 06442703 lw a4,100(s0) +400078ac: 00d7e7b3 or a5,a5,a3 +400078b0: 00f41623 sh a5,12(s0) +400078b4: 00d767b3 or a5,a4,a3 +400078b8: 06f42223 sw a5,100(s0) +400078bc: 00040613 mv a2,s0 +400078c0: 00090593 mv a1,s2 +400078c4: 00048513 mv a0,s1 +400078c8: 00c12083 lw ra,12(sp) +400078cc: 00812403 lw s0,8(sp) +400078d0: 00412483 lw s1,4(sp) +400078d4: 00012903 lw s2,0(sp) +400078d8: 01010113 addi sp,sp,16 +400078dc: e31ff06f j 4000770c <__fputwc> +400078e0: 00048513 mv a0,s1 +400078e4: c1cfc0ef jal ra,40003d00 <__sinit> +400078e8: fb1ff06f j 40007898 + +400078ec <_fstat_r>: +400078ec: ff010113 addi sp,sp,-16 +400078f0: 00058793 mv a5,a1 +400078f4: 00812423 sw s0,8(sp) +400078f8: 00912223 sw s1,4(sp) +400078fc: 4000c437 lui s0,0x4000c +40007900: 00050493 mv s1,a0 +40007904: 00060593 mv a1,a2 +40007908: 00078513 mv a0,a5 +4000790c: 00112623 sw ra,12(sp) +40007910: 68042223 sw zero,1668(s0) # 4000c684 +40007914: eecf80ef jal ra,40000000 +40007918: fff00793 li a5,-1 +4000791c: 00f50c63 beq a0,a5,40007934 <_fstat_r+0x48> +40007920: 00c12083 lw ra,12(sp) +40007924: 00812403 lw s0,8(sp) +40007928: 00412483 lw s1,4(sp) +4000792c: 01010113 addi sp,sp,16 +40007930: 00008067 ret +40007934: 68442783 lw a5,1668(s0) +40007938: fe0784e3 beqz a5,40007920 <_fstat_r+0x34> +4000793c: 00c12083 lw ra,12(sp) +40007940: 00f4a023 sw a5,0(s1) +40007944: 00812403 lw s0,8(sp) +40007948: 00412483 lw s1,4(sp) +4000794c: 01010113 addi sp,sp,16 +40007950: 00008067 ret + +40007954 <__sfvwrite_r>: +40007954: 00862783 lw a5,8(a2) +40007958: 1c078263 beqz a5,40007b1c <__sfvwrite_r+0x1c8> +4000795c: 00c5d703 lhu a4,12(a1) +40007960: fc010113 addi sp,sp,-64 +40007964: 02812c23 sw s0,56(sp) +40007968: 03412423 sw s4,40(sp) +4000796c: 03612023 sw s6,32(sp) +40007970: 02112e23 sw ra,60(sp) +40007974: 02912a23 sw s1,52(sp) +40007978: 03212823 sw s2,48(sp) +4000797c: 03312623 sw s3,44(sp) +40007980: 03512223 sw s5,36(sp) +40007984: 01712e23 sw s7,28(sp) +40007988: 01812c23 sw s8,24(sp) +4000798c: 01912a23 sw s9,20(sp) +40007990: 01a12823 sw s10,16(sp) +40007994: 01b12623 sw s11,12(sp) +40007998: 00877793 andi a5,a4,8 +4000799c: 00058413 mv s0,a1 +400079a0: 00050b13 mv s6,a0 +400079a4: 00060a13 mv s4,a2 +400079a8: 0a078663 beqz a5,40007a54 <__sfvwrite_r+0x100> +400079ac: 0105a783 lw a5,16(a1) +400079b0: 0a078263 beqz a5,40007a54 <__sfvwrite_r+0x100> +400079b4: 00277793 andi a5,a4,2 +400079b8: 000a2483 lw s1,0(s4) +400079bc: 0a078e63 beqz a5,40007a78 <__sfvwrite_r+0x124> +400079c0: 80000ab7 lui s5,0x80000 +400079c4: 00000993 li s3,0 +400079c8: 00000913 li s2,0 +400079cc: c00aca93 xori s5,s5,-1024 +400079d0: 00098613 mv a2,s3 +400079d4: 000b0513 mv a0,s6 +400079d8: 12090a63 beqz s2,40007b0c <__sfvwrite_r+0x1b8> +400079dc: 00090693 mv a3,s2 +400079e0: 012af463 bleu s2,s5,400079e8 <__sfvwrite_r+0x94> +400079e4: 000a8693 mv a3,s5 +400079e8: 02442783 lw a5,36(s0) +400079ec: 01c42583 lw a1,28(s0) +400079f0: 000780e7 jalr a5 +400079f4: 14a05263 blez a0,40007b38 <__sfvwrite_r+0x1e4> +400079f8: 008a2783 lw a5,8(s4) +400079fc: 00a989b3 add s3,s3,a0 +40007a00: 40a90933 sub s2,s2,a0 +40007a04: 40a78533 sub a0,a5,a0 +40007a08: 00aa2423 sw a0,8(s4) +40007a0c: fc0512e3 bnez a0,400079d0 <__sfvwrite_r+0x7c> +40007a10: 00000793 li a5,0 +40007a14: 03c12083 lw ra,60(sp) +40007a18: 00078513 mv a0,a5 +40007a1c: 03812403 lw s0,56(sp) +40007a20: 03412483 lw s1,52(sp) +40007a24: 03012903 lw s2,48(sp) +40007a28: 02c12983 lw s3,44(sp) +40007a2c: 02812a03 lw s4,40(sp) +40007a30: 02412a83 lw s5,36(sp) +40007a34: 02012b03 lw s6,32(sp) +40007a38: 01c12b83 lw s7,28(sp) +40007a3c: 01812c03 lw s8,24(sp) +40007a40: 01412c83 lw s9,20(sp) +40007a44: 01012d03 lw s10,16(sp) +40007a48: 00c12d83 lw s11,12(sp) +40007a4c: 04010113 addi sp,sp,64 +40007a50: 00008067 ret +40007a54: 00040593 mv a1,s0 +40007a58: 000b0513 mv a0,s6 +40007a5c: ba4fa0ef jal ra,40001e00 <__swsetup_r> +40007a60: fff00793 li a5,-1 +40007a64: fa0518e3 bnez a0,40007a14 <__sfvwrite_r+0xc0> +40007a68: 00c45703 lhu a4,12(s0) +40007a6c: 000a2483 lw s1,0(s4) +40007a70: 00277793 andi a5,a4,2 +40007a74: f40796e3 bnez a5,400079c0 <__sfvwrite_r+0x6c> +40007a78: 00177793 andi a5,a4,1 +40007a7c: 0c079863 bnez a5,40007b4c <__sfvwrite_r+0x1f8> +40007a80: 80000bb7 lui s7,0x80000 +40007a84: 00000c13 li s8,0 +40007a88: 00000913 li s2,0 +40007a8c: fffbcb93 not s7,s7 +40007a90: 06090663 beqz s2,40007afc <__sfvwrite_r+0x1a8> +40007a94: 20077793 andi a5,a4,512 +40007a98: 00842983 lw s3,8(s0) +40007a9c: 1a078263 beqz a5,40007c40 <__sfvwrite_r+0x2ec> +40007aa0: 27396063 bltu s2,s3,40007d00 <__sfvwrite_r+0x3ac> +40007aa4: 48077793 andi a5,a4,1152 +40007aa8: 26079663 bnez a5,40007d14 <__sfvwrite_r+0x3c0> +40007aac: 00042503 lw a0,0(s0) +40007ab0: 00090a93 mv s5,s2 +40007ab4: 00098c93 mv s9,s3 +40007ab8: 000c8613 mv a2,s9 +40007abc: 000c0593 mv a1,s8 +40007ac0: 404000ef jal ra,40007ec4 +40007ac4: 00842783 lw a5,8(s0) +40007ac8: 00042603 lw a2,0(s0) +40007acc: 413789b3 sub s3,a5,s3 +40007ad0: 01960633 add a2,a2,s9 +40007ad4: 01342423 sw s3,8(s0) +40007ad8: 00c42023 sw a2,0(s0) +40007adc: 008a2783 lw a5,8(s4) +40007ae0: 015c0c33 add s8,s8,s5 +40007ae4: 41590933 sub s2,s2,s5 +40007ae8: 415789b3 sub s3,a5,s5 +40007aec: 013a2423 sw s3,8(s4) +40007af0: f20980e3 beqz s3,40007a10 <__sfvwrite_r+0xbc> +40007af4: 00c45703 lhu a4,12(s0) +40007af8: f8091ee3 bnez s2,40007a94 <__sfvwrite_r+0x140> +40007afc: 0004ac03 lw s8,0(s1) +40007b00: 0044a903 lw s2,4(s1) +40007b04: 00848493 addi s1,s1,8 +40007b08: f89ff06f j 40007a90 <__sfvwrite_r+0x13c> +40007b0c: 0004a983 lw s3,0(s1) +40007b10: 0044a903 lw s2,4(s1) +40007b14: 00848493 addi s1,s1,8 +40007b18: eb9ff06f j 400079d0 <__sfvwrite_r+0x7c> +40007b1c: 00000793 li a5,0 +40007b20: 00078513 mv a0,a5 +40007b24: 00008067 ret +40007b28: 00040593 mv a1,s0 +40007b2c: 000b0513 mv a0,s6 +40007b30: e0dfb0ef jal ra,4000393c <_fflush_r> +40007b34: 08050863 beqz a0,40007bc4 <__sfvwrite_r+0x270> +40007b38: 00c41783 lh a5,12(s0) +40007b3c: 0407e793 ori a5,a5,64 +40007b40: 00f41623 sh a5,12(s0) +40007b44: fff00793 li a5,-1 +40007b48: ecdff06f j 40007a14 <__sfvwrite_r+0xc0> +40007b4c: 00000913 li s2,0 +40007b50: 00000993 li s3,0 +40007b54: 00000513 li a0,0 +40007b58: 00000d13 li s10,0 +40007b5c: 00a00c93 li s9,10 +40007b60: 00100c13 li s8,1 +40007b64: 06090e63 beqz s2,40007be0 <__sfvwrite_r+0x28c> +40007b68: 08050463 beqz a0,40007bf0 <__sfvwrite_r+0x29c> +40007b6c: 00098b93 mv s7,s3 +40007b70: 01397463 bleu s3,s2,40007b78 <__sfvwrite_r+0x224> +40007b74: 00090b93 mv s7,s2 +40007b78: 00042503 lw a0,0(s0) +40007b7c: 01042783 lw a5,16(s0) +40007b80: 000b8a93 mv s5,s7 +40007b84: 01442683 lw a3,20(s0) +40007b88: 00a7f863 bleu a0,a5,40007b98 <__sfvwrite_r+0x244> +40007b8c: 00842d83 lw s11,8(s0) +40007b90: 01b68db3 add s11,a3,s11 +40007b94: 077dce63 blt s11,s7,40007c10 <__sfvwrite_r+0x2bc> +40007b98: 14dbc063 blt s7,a3,40007cd8 <__sfvwrite_r+0x384> +40007b9c: 02442783 lw a5,36(s0) +40007ba0: 01c42583 lw a1,28(s0) +40007ba4: 000d0613 mv a2,s10 +40007ba8: 000b0513 mv a0,s6 +40007bac: 000780e7 jalr a5 +40007bb0: 00050a93 mv s5,a0 +40007bb4: f8a052e3 blez a0,40007b38 <__sfvwrite_r+0x1e4> +40007bb8: 415989b3 sub s3,s3,s5 +40007bbc: 000c0513 mv a0,s8 +40007bc0: f60984e3 beqz s3,40007b28 <__sfvwrite_r+0x1d4> +40007bc4: 008a2783 lw a5,8(s4) +40007bc8: 015d0d33 add s10,s10,s5 +40007bcc: 41590933 sub s2,s2,s5 +40007bd0: 41578ab3 sub s5,a5,s5 +40007bd4: 015a2423 sw s5,8(s4) +40007bd8: e20a8ce3 beqz s5,40007a10 <__sfvwrite_r+0xbc> +40007bdc: f80916e3 bnez s2,40007b68 <__sfvwrite_r+0x214> +40007be0: 0044a903 lw s2,4(s1) +40007be4: 0004ad03 lw s10,0(s1) +40007be8: 00848493 addi s1,s1,8 +40007bec: fe090ae3 beqz s2,40007be0 <__sfvwrite_r+0x28c> +40007bf0: 00090613 mv a2,s2 +40007bf4: 000c8593 mv a1,s9 +40007bf8: 000d0513 mv a0,s10 +40007bfc: 8e8fd0ef jal ra,40004ce4 +40007c00: 1e050063 beqz a0,40007de0 <__sfvwrite_r+0x48c> +40007c04: 00150513 addi a0,a0,1 +40007c08: 41a509b3 sub s3,a0,s10 +40007c0c: f61ff06f j 40007b6c <__sfvwrite_r+0x218> +40007c10: 000d0593 mv a1,s10 +40007c14: 000d8613 mv a2,s11 +40007c18: 2ac000ef jal ra,40007ec4 +40007c1c: 00042783 lw a5,0(s0) +40007c20: 00040593 mv a1,s0 +40007c24: 000b0513 mv a0,s6 +40007c28: 01b787b3 add a5,a5,s11 +40007c2c: 00f42023 sw a5,0(s0) +40007c30: d0dfb0ef jal ra,4000393c <_fflush_r> +40007c34: f00512e3 bnez a0,40007b38 <__sfvwrite_r+0x1e4> +40007c38: 000d8a93 mv s5,s11 +40007c3c: f7dff06f j 40007bb8 <__sfvwrite_r+0x264> +40007c40: 00042503 lw a0,0(s0) +40007c44: 01042783 lw a5,16(s0) +40007c48: 00a7e663 bltu a5,a0,40007c54 <__sfvwrite_r+0x300> +40007c4c: 01442783 lw a5,20(s0) +40007c50: 04f97a63 bleu a5,s2,40007ca4 <__sfvwrite_r+0x350> +40007c54: 01397463 bleu s3,s2,40007c5c <__sfvwrite_r+0x308> +40007c58: 00090993 mv s3,s2 +40007c5c: 00098613 mv a2,s3 +40007c60: 000c0593 mv a1,s8 +40007c64: 260000ef jal ra,40007ec4 +40007c68: 00842783 lw a5,8(s0) +40007c6c: 00042703 lw a4,0(s0) +40007c70: 413787b3 sub a5,a5,s3 +40007c74: 01370733 add a4,a4,s3 +40007c78: 00f42423 sw a5,8(s0) +40007c7c: 00e42023 sw a4,0(s0) +40007c80: 00078663 beqz a5,40007c8c <__sfvwrite_r+0x338> +40007c84: 00098a93 mv s5,s3 +40007c88: e55ff06f j 40007adc <__sfvwrite_r+0x188> +40007c8c: 00040593 mv a1,s0 +40007c90: 000b0513 mv a0,s6 +40007c94: ca9fb0ef jal ra,4000393c <_fflush_r> +40007c98: ea0510e3 bnez a0,40007b38 <__sfvwrite_r+0x1e4> +40007c9c: 00098a93 mv s5,s3 +40007ca0: e3dff06f j 40007adc <__sfvwrite_r+0x188> +40007ca4: 00090693 mv a3,s2 +40007ca8: 012bf463 bleu s2,s7,40007cb0 <__sfvwrite_r+0x35c> +40007cac: 000b8693 mv a3,s7 +40007cb0: 02f6c6b3 div a3,a3,a5 +40007cb4: 02442703 lw a4,36(s0) +40007cb8: 01c42583 lw a1,28(s0) +40007cbc: 000c0613 mv a2,s8 +40007cc0: 000b0513 mv a0,s6 +40007cc4: 02f686b3 mul a3,a3,a5 +40007cc8: 000700e7 jalr a4 +40007ccc: e6a056e3 blez a0,40007b38 <__sfvwrite_r+0x1e4> +40007cd0: 00050a93 mv s5,a0 +40007cd4: e09ff06f j 40007adc <__sfvwrite_r+0x188> +40007cd8: 000b8613 mv a2,s7 +40007cdc: 000d0593 mv a1,s10 +40007ce0: 1e4000ef jal ra,40007ec4 +40007ce4: 00842703 lw a4,8(s0) +40007ce8: 00042783 lw a5,0(s0) +40007cec: 41770733 sub a4,a4,s7 +40007cf0: 01778bb3 add s7,a5,s7 +40007cf4: 00e42423 sw a4,8(s0) +40007cf8: 01742023 sw s7,0(s0) +40007cfc: ebdff06f j 40007bb8 <__sfvwrite_r+0x264> +40007d00: 00042503 lw a0,0(s0) +40007d04: 00090993 mv s3,s2 +40007d08: 00090a93 mv s5,s2 +40007d0c: 00090c93 mv s9,s2 +40007d10: da9ff06f j 40007ab8 <__sfvwrite_r+0x164> +40007d14: 01442783 lw a5,20(s0) +40007d18: 01042583 lw a1,16(s0) +40007d1c: 00042a83 lw s5,0(s0) +40007d20: 00179993 slli s3,a5,0x1 +40007d24: 00f987b3 add a5,s3,a5 +40007d28: 01f7d993 srli s3,a5,0x1f +40007d2c: 40ba8ab3 sub s5,s5,a1 +40007d30: 00f989b3 add s3,s3,a5 +40007d34: 001a8793 addi a5,s5,1 # 80000001 <_bss_end+0x3fff3979> +40007d38: 4019d993 srai s3,s3,0x1 +40007d3c: 012787b3 add a5,a5,s2 +40007d40: 00098613 mv a2,s3 +40007d44: 00f9f663 bleu a5,s3,40007d50 <__sfvwrite_r+0x3fc> +40007d48: 00078993 mv s3,a5 +40007d4c: 00078613 mv a2,a5 +40007d50: 40077713 andi a4,a4,1024 +40007d54: 04070e63 beqz a4,40007db0 <__sfvwrite_r+0x45c> +40007d58: 00060593 mv a1,a2 +40007d5c: 000b0513 mv a0,s6 +40007d60: 839fc0ef jal ra,40004598 <_malloc_r> +40007d64: 00050c93 mv s9,a0 +40007d68: 08050063 beqz a0,40007de8 <__sfvwrite_r+0x494> +40007d6c: 01042583 lw a1,16(s0) +40007d70: 000a8613 mv a2,s5 +40007d74: 84cfd0ef jal ra,40004dc0 +40007d78: 00c45783 lhu a5,12(s0) +40007d7c: b7f7f793 andi a5,a5,-1153 +40007d80: 0807e793 ori a5,a5,128 +40007d84: 00f41623 sh a5,12(s0) +40007d88: 015c8533 add a0,s9,s5 +40007d8c: 41598ab3 sub s5,s3,s5 +40007d90: 01942823 sw s9,16(s0) +40007d94: 01342a23 sw s3,20(s0) +40007d98: 01542423 sw s5,8(s0) +40007d9c: 00a42023 sw a0,0(s0) +40007da0: 00090993 mv s3,s2 +40007da4: 00090a93 mv s5,s2 +40007da8: 00090c93 mv s9,s2 +40007dac: d0dff06f j 40007ab8 <__sfvwrite_r+0x164> +40007db0: 000b0513 mv a0,s6 +40007db4: 298000ef jal ra,4000804c <_realloc_r> +40007db8: 00050c93 mv s9,a0 +40007dbc: fc0516e3 bnez a0,40007d88 <__sfvwrite_r+0x434> +40007dc0: 01042583 lw a1,16(s0) +40007dc4: 000b0513 mv a0,s6 +40007dc8: 8bcfc0ef jal ra,40003e84 <_free_r> +40007dcc: 00c41783 lh a5,12(s0) +40007dd0: 00c00713 li a4,12 +40007dd4: 00eb2023 sw a4,0(s6) +40007dd8: f7f7f793 andi a5,a5,-129 +40007ddc: d61ff06f j 40007b3c <__sfvwrite_r+0x1e8> +40007de0: 00190993 addi s3,s2,1 +40007de4: d89ff06f j 40007b6c <__sfvwrite_r+0x218> +40007de8: 00c00793 li a5,12 +40007dec: 00fb2023 sw a5,0(s6) +40007df0: 00c41783 lh a5,12(s0) +40007df4: d49ff06f j 40007b3c <__sfvwrite_r+0x1e8> + +40007df8 <_isatty_r>: +40007df8: ff010113 addi sp,sp,-16 +40007dfc: 00812423 sw s0,8(sp) +40007e00: 00912223 sw s1,4(sp) +40007e04: 4000c437 lui s0,0x4000c +40007e08: 00050493 mv s1,a0 +40007e0c: 00058513 mv a0,a1 +40007e10: 00112623 sw ra,12(sp) +40007e14: 68042223 sw zero,1668(s0) # 4000c684 +40007e18: 9f0f80ef jal ra,40000008 +40007e1c: fff00793 li a5,-1 +40007e20: 00f50c63 beq a0,a5,40007e38 <_isatty_r+0x40> +40007e24: 00c12083 lw ra,12(sp) +40007e28: 00812403 lw s0,8(sp) +40007e2c: 00412483 lw s1,4(sp) +40007e30: 01010113 addi sp,sp,16 +40007e34: 00008067 ret +40007e38: 68442783 lw a5,1668(s0) +40007e3c: fe0784e3 beqz a5,40007e24 <_isatty_r+0x2c> +40007e40: 00c12083 lw ra,12(sp) +40007e44: 00f4a023 sw a5,0(s1) +40007e48: 00812403 lw s0,8(sp) +40007e4c: 00412483 lw s1,4(sp) +40007e50: 01010113 addi sp,sp,16 +40007e54: 00008067 ret + +40007e58 <_lseek_r>: +40007e58: ff010113 addi sp,sp,-16 +40007e5c: 00058793 mv a5,a1 +40007e60: 00812423 sw s0,8(sp) +40007e64: 00912223 sw s1,4(sp) +40007e68: 00060593 mv a1,a2 +40007e6c: 00050493 mv s1,a0 +40007e70: 4000c437 lui s0,0x4000c +40007e74: 00078513 mv a0,a5 +40007e78: 00068613 mv a2,a3 +40007e7c: 00112623 sw ra,12(sp) +40007e80: 68042223 sw zero,1668(s0) # 4000c684 +40007e84: 994f80ef jal ra,40000018 +40007e88: fff00793 li a5,-1 +40007e8c: 00f50c63 beq a0,a5,40007ea4 <_lseek_r+0x4c> +40007e90: 00c12083 lw ra,12(sp) +40007e94: 00812403 lw s0,8(sp) +40007e98: 00412483 lw s1,4(sp) +40007e9c: 01010113 addi sp,sp,16 +40007ea0: 00008067 ret +40007ea4: 68442783 lw a5,1668(s0) +40007ea8: fe0784e3 beqz a5,40007e90 <_lseek_r+0x38> +40007eac: 00c12083 lw ra,12(sp) +40007eb0: 00f4a023 sw a5,0(s1) +40007eb4: 00812403 lw s0,8(sp) +40007eb8: 00412483 lw s1,4(sp) +40007ebc: 01010113 addi sp,sp,16 +40007ec0: 00008067 ret + +40007ec4 : +40007ec4: 02a5f663 bleu a0,a1,40007ef0 +40007ec8: 00c587b3 add a5,a1,a2 +40007ecc: 02f57263 bleu a5,a0,40007ef0 +40007ed0: 00c50733 add a4,a0,a2 +40007ed4: 04060263 beqz a2,40007f18 +40007ed8: fff78793 addi a5,a5,-1 +40007edc: 0007c683 lbu a3,0(a5) +40007ee0: fff70713 addi a4,a4,-1 +40007ee4: 00d70023 sb a3,0(a4) +40007ee8: fef598e3 bne a1,a5,40007ed8 +40007eec: 00008067 ret +40007ef0: 00f00893 li a7,15 +40007ef4: 00050793 mv a5,a0 +40007ef8: 02c8e263 bltu a7,a2,40007f1c +40007efc: 0c060a63 beqz a2,40007fd0 +40007f00: 00c58633 add a2,a1,a2 +40007f04: 00158593 addi a1,a1,1 +40007f08: fff5c703 lbu a4,-1(a1) +40007f0c: 00178793 addi a5,a5,1 +40007f10: fee78fa3 sb a4,-1(a5) +40007f14: feb618e3 bne a2,a1,40007f04 +40007f18: 00008067 ret +40007f1c: 00a5e7b3 or a5,a1,a0 +40007f20: 0037f793 andi a5,a5,3 +40007f24: 0a079263 bnez a5,40007fc8 +40007f28: 00058713 mv a4,a1 +40007f2c: 00050793 mv a5,a0 +40007f30: 00060693 mv a3,a2 +40007f34: 00072803 lw a6,0(a4) +40007f38: 01078793 addi a5,a5,16 +40007f3c: 01070713 addi a4,a4,16 +40007f40: ff07a823 sw a6,-16(a5) +40007f44: ff472803 lw a6,-12(a4) +40007f48: ff068693 addi a3,a3,-16 # 1ff0 <_stack_size+0x17f0> +40007f4c: ff07aa23 sw a6,-12(a5) +40007f50: ff872803 lw a6,-8(a4) +40007f54: ff07ac23 sw a6,-8(a5) +40007f58: ffc72803 lw a6,-4(a4) +40007f5c: ff07ae23 sw a6,-4(a5) +40007f60: fcd8eae3 bltu a7,a3,40007f34 +40007f64: ff060713 addi a4,a2,-16 +40007f68: ff077713 andi a4,a4,-16 +40007f6c: 01070713 addi a4,a4,16 +40007f70: 00f67e13 andi t3,a2,15 +40007f74: 00300313 li t1,3 +40007f78: 00e507b3 add a5,a0,a4 +40007f7c: 00e585b3 add a1,a1,a4 +40007f80: 05c37a63 bleu t3,t1,40007fd4 +40007f84: 00058813 mv a6,a1 +40007f88: 00078693 mv a3,a5 +40007f8c: 000e0713 mv a4,t3 +40007f90: 00480813 addi a6,a6,4 +40007f94: ffc82883 lw a7,-4(a6) +40007f98: 00468693 addi a3,a3,4 +40007f9c: ffc70713 addi a4,a4,-4 +40007fa0: ff16ae23 sw a7,-4(a3) +40007fa4: fee366e3 bltu t1,a4,40007f90 +40007fa8: ffce0713 addi a4,t3,-4 +40007fac: ffc77713 andi a4,a4,-4 +40007fb0: 00470713 addi a4,a4,4 +40007fb4: 00367613 andi a2,a2,3 +40007fb8: 00e585b3 add a1,a1,a4 +40007fbc: 00e787b3 add a5,a5,a4 +40007fc0: f40610e3 bnez a2,40007f00 +40007fc4: 00c0006f j 40007fd0 +40007fc8: 00050793 mv a5,a0 +40007fcc: f35ff06f j 40007f00 +40007fd0: 00008067 ret +40007fd4: 000e0613 mv a2,t3 +40007fd8: f20614e3 bnez a2,40007f00 +40007fdc: ff5ff06f j 40007fd0 + +40007fe0 <_read_r>: +40007fe0: ff010113 addi sp,sp,-16 +40007fe4: 00058793 mv a5,a1 +40007fe8: 00812423 sw s0,8(sp) +40007fec: 00912223 sw s1,4(sp) +40007ff0: 00060593 mv a1,a2 +40007ff4: 00050493 mv s1,a0 +40007ff8: 4000c437 lui s0,0x4000c +40007ffc: 00078513 mv a0,a5 +40008000: 00068613 mv a2,a3 +40008004: 00112623 sw ra,12(sp) +40008008: 68042223 sw zero,1668(s0) # 4000c684 +4000800c: 814f80ef jal ra,40000020 +40008010: fff00793 li a5,-1 +40008014: 00f50c63 beq a0,a5,4000802c <_read_r+0x4c> +40008018: 00c12083 lw ra,12(sp) +4000801c: 00812403 lw s0,8(sp) +40008020: 00412483 lw s1,4(sp) +40008024: 01010113 addi sp,sp,16 +40008028: 00008067 ret +4000802c: 68442783 lw a5,1668(s0) +40008030: fe0784e3 beqz a5,40008018 <_read_r+0x38> +40008034: 00c12083 lw ra,12(sp) +40008038: 00f4a023 sw a5,0(s1) +4000803c: 00812403 lw s0,8(sp) +40008040: 00412483 lw s1,4(sp) +40008044: 01010113 addi sp,sp,16 +40008048: 00008067 ret + +4000804c <_realloc_r>: +4000804c: 1e058c63 beqz a1,40008244 <_realloc_r+0x1f8> +40008050: fd010113 addi sp,sp,-48 +40008054: 02812423 sw s0,40(sp) +40008058: 02912223 sw s1,36(sp) +4000805c: 00058413 mv s0,a1 +40008060: 03212023 sw s2,32(sp) +40008064: 01312e23 sw s3,28(sp) +40008068: 01412c23 sw s4,24(sp) +4000806c: 01512a23 sw s5,20(sp) +40008070: 00060493 mv s1,a2 +40008074: 02112623 sw ra,44(sp) +40008078: 01612823 sw s6,16(sp) +4000807c: 01712623 sw s7,12(sp) +40008080: 01812423 sw s8,8(sp) +40008084: 00050a13 mv s4,a0 +40008088: f31fc0ef jal ra,40004fb8 <__malloc_lock> +4000808c: ffc42783 lw a5,-4(s0) +40008090: 00b48993 addi s3,s1,11 +40008094: 01600713 li a4,22 +40008098: ff840a93 addi s5,s0,-8 +4000809c: ffc7f913 andi s2,a5,-4 +400080a0: 0b377c63 bleu s3,a4,40008158 <_realloc_r+0x10c> +400080a4: ff89f993 andi s3,s3,-8 +400080a8: 00098713 mv a4,s3 +400080ac: 1409c663 bltz s3,400081f8 <_realloc_r+0x1ac> +400080b0: 1499e463 bltu s3,s1,400081f8 <_realloc_r+0x1ac> +400080b4: 0ae95863 ble a4,s2,40008164 <_realloc_r+0x118> +400080b8: 4000cb37 lui s6,0x4000c +400080bc: 220b0b13 addi s6,s6,544 # 4000c220 <__malloc_av_> +400080c0: 008b2603 lw a2,8(s6) +400080c4: 012a86b3 add a3,s5,s2 +400080c8: 2cc68263 beq a3,a2,4000838c <_realloc_r+0x340> +400080cc: 0046a603 lw a2,4(a3) +400080d0: ffe67593 andi a1,a2,-2 +400080d4: 00b685b3 add a1,a3,a1 +400080d8: 0045a583 lw a1,4(a1) +400080dc: 0015f593 andi a1,a1,1 +400080e0: 0e058863 beqz a1,400081d0 <_realloc_r+0x184> +400080e4: 0017f793 andi a5,a5,1 +400080e8: 20078663 beqz a5,400082f4 <_realloc_r+0x2a8> +400080ec: 00048593 mv a1,s1 +400080f0: 000a0513 mv a0,s4 +400080f4: ca4fc0ef jal ra,40004598 <_malloc_r> +400080f8: 00050493 mv s1,a0 +400080fc: 08050c63 beqz a0,40008194 <_realloc_r+0x148> +40008100: ffc42783 lw a5,-4(s0) +40008104: ff850713 addi a4,a0,-8 +40008108: ffe7f793 andi a5,a5,-2 +4000810c: 00fa87b3 add a5,s5,a5 +40008110: 3ef70463 beq a4,a5,400084f8 <_realloc_r+0x4ac> +40008114: ffc90613 addi a2,s2,-4 +40008118: 02400793 li a5,36 +4000811c: 38c7ec63 bltu a5,a2,400084b4 <_realloc_r+0x468> +40008120: 01300713 li a4,19 +40008124: 32c76663 bltu a4,a2,40008450 <_realloc_r+0x404> +40008128: 00050793 mv a5,a0 +4000812c: 00040713 mv a4,s0 +40008130: 00072683 lw a3,0(a4) +40008134: 00d7a023 sw a3,0(a5) +40008138: 00472683 lw a3,4(a4) +4000813c: 00d7a223 sw a3,4(a5) +40008140: 00872703 lw a4,8(a4) +40008144: 00e7a423 sw a4,8(a5) +40008148: 00040593 mv a1,s0 +4000814c: 000a0513 mv a0,s4 +40008150: d35fb0ef jal ra,40003e84 <_free_r> +40008154: 0400006f j 40008194 <_realloc_r+0x148> +40008158: 01000713 li a4,16 +4000815c: 00070993 mv s3,a4 +40008160: f51ff06f j 400080b0 <_realloc_r+0x64> +40008164: 00040493 mv s1,s0 +40008168: 413907b3 sub a5,s2,s3 +4000816c: 00f00713 li a4,15 +40008170: 08f76c63 bltu a4,a5,40008208 <_realloc_r+0x1bc> +40008174: 004aa603 lw a2,4(s5) +40008178: 012a8733 add a4,s5,s2 +4000817c: 00167613 andi a2,a2,1 +40008180: 01266933 or s2,a2,s2 +40008184: 012aa223 sw s2,4(s5) +40008188: 00472783 lw a5,4(a4) +4000818c: 0017e793 ori a5,a5,1 +40008190: 00f72223 sw a5,4(a4) +40008194: 000a0513 mv a0,s4 +40008198: e25fc0ef jal ra,40004fbc <__malloc_unlock> +4000819c: 02c12083 lw ra,44(sp) +400081a0: 00048513 mv a0,s1 +400081a4: 02812403 lw s0,40(sp) +400081a8: 02412483 lw s1,36(sp) +400081ac: 02012903 lw s2,32(sp) +400081b0: 01c12983 lw s3,28(sp) +400081b4: 01812a03 lw s4,24(sp) +400081b8: 01412a83 lw s5,20(sp) +400081bc: 01012b03 lw s6,16(sp) +400081c0: 00c12b83 lw s7,12(sp) +400081c4: 00812c03 lw s8,8(sp) +400081c8: 03010113 addi sp,sp,48 +400081cc: 00008067 ret +400081d0: ffc67613 andi a2,a2,-4 +400081d4: 00c905b3 add a1,s2,a2 +400081d8: 06e5ca63 blt a1,a4,4000824c <_realloc_r+0x200> +400081dc: 00c6a783 lw a5,12(a3) +400081e0: 0086a703 lw a4,8(a3) +400081e4: 00040493 mv s1,s0 +400081e8: 00058913 mv s2,a1 +400081ec: 00f72623 sw a5,12(a4) +400081f0: 00e7a423 sw a4,8(a5) +400081f4: f75ff06f j 40008168 <_realloc_r+0x11c> +400081f8: 00c00793 li a5,12 +400081fc: 00fa2023 sw a5,0(s4) +40008200: 00000493 li s1,0 +40008204: f99ff06f j 4000819c <_realloc_r+0x150> +40008208: 004aa703 lw a4,4(s5) +4000820c: 013a85b3 add a1,s5,s3 +40008210: 0017e793 ori a5,a5,1 +40008214: 00177713 andi a4,a4,1 +40008218: 013769b3 or s3,a4,s3 +4000821c: 013aa223 sw s3,4(s5) +40008220: 00f5a223 sw a5,4(a1) +40008224: 012a8933 add s2,s5,s2 +40008228: 00492783 lw a5,4(s2) +4000822c: 00858593 addi a1,a1,8 +40008230: 000a0513 mv a0,s4 +40008234: 0017e793 ori a5,a5,1 +40008238: 00f92223 sw a5,4(s2) +4000823c: c49fb0ef jal ra,40003e84 <_free_r> +40008240: f55ff06f j 40008194 <_realloc_r+0x148> +40008244: 00060593 mv a1,a2 +40008248: b50fc06f j 40004598 <_malloc_r> +4000824c: 0017f793 andi a5,a5,1 +40008250: e8079ee3 bnez a5,400080ec <_realloc_r+0xa0> +40008254: ff842b83 lw s7,-8(s0) +40008258: 417a8bb3 sub s7,s5,s7 +4000825c: 004ba783 lw a5,4(s7) # 80000004 <_bss_end+0x3fff397c> +40008260: ffc7f793 andi a5,a5,-4 +40008264: 00f60633 add a2,a2,a5 +40008268: 01260b33 add s6,a2,s2 +4000826c: 08eb4c63 blt s6,a4,40008304 <_realloc_r+0x2b8> +40008270: 00c6a783 lw a5,12(a3) +40008274: 0086a703 lw a4,8(a3) +40008278: ffc90613 addi a2,s2,-4 +4000827c: 02400693 li a3,36 +40008280: 00f72623 sw a5,12(a4) +40008284: 00e7a423 sw a4,8(a5) +40008288: 008ba703 lw a4,8(s7) +4000828c: 00cba783 lw a5,12(s7) +40008290: 008b8493 addi s1,s7,8 +40008294: 00f72623 sw a5,12(a4) +40008298: 00e7a423 sw a4,8(a5) +4000829c: 22c6e263 bltu a3,a2,400084c0 <_realloc_r+0x474> +400082a0: 01300793 li a5,19 +400082a4: 1cc7f863 bleu a2,a5,40008474 <_realloc_r+0x428> +400082a8: 00042703 lw a4,0(s0) +400082ac: 01b00793 li a5,27 +400082b0: 00eba423 sw a4,8(s7) +400082b4: 00442703 lw a4,4(s0) +400082b8: 00eba623 sw a4,12(s7) +400082bc: 24c7f863 bleu a2,a5,4000850c <_realloc_r+0x4c0> +400082c0: 00842703 lw a4,8(s0) +400082c4: 02400793 li a5,36 +400082c8: 00eba823 sw a4,16(s7) +400082cc: 00c42703 lw a4,12(s0) +400082d0: 00ebaa23 sw a4,20(s7) +400082d4: 08f61663 bne a2,a5,40008360 <_realloc_r+0x314> +400082d8: 01042683 lw a3,16(s0) +400082dc: 020b8793 addi a5,s7,32 +400082e0: 01840713 addi a4,s0,24 +400082e4: 00dbac23 sw a3,24(s7) +400082e8: 01442683 lw a3,20(s0) +400082ec: 00dbae23 sw a3,28(s7) +400082f0: 0780006f j 40008368 <_realloc_r+0x31c> +400082f4: ff842b83 lw s7,-8(s0) +400082f8: 417a8bb3 sub s7,s5,s7 +400082fc: 004ba783 lw a5,4(s7) +40008300: ffc7f793 andi a5,a5,-4 +40008304: 00f90b33 add s6,s2,a5 +40008308: deeb42e3 blt s6,a4,400080ec <_realloc_r+0xa0> +4000830c: 00cba783 lw a5,12(s7) +40008310: 008ba703 lw a4,8(s7) +40008314: ffc90613 addi a2,s2,-4 +40008318: 02400693 li a3,36 +4000831c: 00f72623 sw a5,12(a4) +40008320: 00e7a423 sw a4,8(a5) +40008324: 008b8493 addi s1,s7,8 +40008328: 18c6ec63 bltu a3,a2,400084c0 <_realloc_r+0x474> +4000832c: 01300793 li a5,19 +40008330: 14c7f263 bleu a2,a5,40008474 <_realloc_r+0x428> +40008334: 00042703 lw a4,0(s0) +40008338: 01b00793 li a5,27 +4000833c: 00eba423 sw a4,8(s7) +40008340: 00442703 lw a4,4(s0) +40008344: 00eba623 sw a4,12(s7) +40008348: 1cc7f263 bleu a2,a5,4000850c <_realloc_r+0x4c0> +4000834c: 00842783 lw a5,8(s0) +40008350: 00fba823 sw a5,16(s7) +40008354: 00c42783 lw a5,12(s0) +40008358: 00fbaa23 sw a5,20(s7) +4000835c: f6d60ee3 beq a2,a3,400082d8 <_realloc_r+0x28c> +40008360: 018b8793 addi a5,s7,24 +40008364: 01040713 addi a4,s0,16 +40008368: 00072683 lw a3,0(a4) +4000836c: 000b0913 mv s2,s6 +40008370: 000b8a93 mv s5,s7 +40008374: 00d7a023 sw a3,0(a5) +40008378: 00472683 lw a3,4(a4) +4000837c: 00d7a223 sw a3,4(a5) +40008380: 00872703 lw a4,8(a4) +40008384: 00e7a423 sw a4,8(a5) +40008388: de1ff06f j 40008168 <_realloc_r+0x11c> +4000838c: 0046a683 lw a3,4(a3) +40008390: 01098613 addi a2,s3,16 +40008394: ffc6f693 andi a3,a3,-4 +40008398: 012686b3 add a3,a3,s2 +4000839c: 0ec6d263 ble a2,a3,40008480 <_realloc_r+0x434> +400083a0: 0017f793 andi a5,a5,1 +400083a4: d40794e3 bnez a5,400080ec <_realloc_r+0xa0> +400083a8: ff842b83 lw s7,-8(s0) +400083ac: 417a8bb3 sub s7,s5,s7 +400083b0: 004ba783 lw a5,4(s7) +400083b4: ffc7f793 andi a5,a5,-4 +400083b8: 00d78c33 add s8,a5,a3 +400083bc: f4cc44e3 blt s8,a2,40008304 <_realloc_r+0x2b8> +400083c0: 00cba783 lw a5,12(s7) +400083c4: 008ba703 lw a4,8(s7) +400083c8: ffc90613 addi a2,s2,-4 +400083cc: 02400693 li a3,36 +400083d0: 00f72623 sw a5,12(a4) +400083d4: 00e7a423 sw a4,8(a5) +400083d8: 008b8493 addi s1,s7,8 +400083dc: 16c6e263 bltu a3,a2,40008540 <_realloc_r+0x4f4> +400083e0: 01300793 li a5,19 +400083e4: 14c7f863 bleu a2,a5,40008534 <_realloc_r+0x4e8> +400083e8: 00042703 lw a4,0(s0) +400083ec: 01b00793 li a5,27 +400083f0: 00eba423 sw a4,8(s7) +400083f4: 00442703 lw a4,4(s0) +400083f8: 00eba623 sw a4,12(s7) +400083fc: 14c7ea63 bltu a5,a2,40008550 <_realloc_r+0x504> +40008400: 010b8793 addi a5,s7,16 +40008404: 00840713 addi a4,s0,8 +40008408: 00072683 lw a3,0(a4) +4000840c: 00d7a023 sw a3,0(a5) +40008410: 00472683 lw a3,4(a4) +40008414: 00d7a223 sw a3,4(a5) +40008418: 00872703 lw a4,8(a4) +4000841c: 00e7a423 sw a4,8(a5) +40008420: 013b8733 add a4,s7,s3 +40008424: 413c07b3 sub a5,s8,s3 +40008428: 00eb2423 sw a4,8(s6) +4000842c: 0017e793 ori a5,a5,1 +40008430: 00f72223 sw a5,4(a4) +40008434: 004ba783 lw a5,4(s7) +40008438: 000a0513 mv a0,s4 +4000843c: 0017f793 andi a5,a5,1 +40008440: 0137e9b3 or s3,a5,s3 +40008444: 013ba223 sw s3,4(s7) +40008448: b75fc0ef jal ra,40004fbc <__malloc_unlock> +4000844c: d51ff06f j 4000819c <_realloc_r+0x150> +40008450: 00042683 lw a3,0(s0) +40008454: 01b00713 li a4,27 +40008458: 00d52023 sw a3,0(a0) +4000845c: 00442683 lw a3,4(s0) +40008460: 00d52223 sw a3,4(a0) +40008464: 06c76a63 bltu a4,a2,400084d8 <_realloc_r+0x48c> +40008468: 00850793 addi a5,a0,8 +4000846c: 00840713 addi a4,s0,8 +40008470: cc1ff06f j 40008130 <_realloc_r+0xe4> +40008474: 00048793 mv a5,s1 +40008478: 00040713 mv a4,s0 +4000847c: eedff06f j 40008368 <_realloc_r+0x31c> +40008480: 013a8ab3 add s5,s5,s3 +40008484: 413687b3 sub a5,a3,s3 +40008488: 015b2423 sw s5,8(s6) +4000848c: 0017e793 ori a5,a5,1 +40008490: 00faa223 sw a5,4(s5) +40008494: ffc42783 lw a5,-4(s0) +40008498: 000a0513 mv a0,s4 +4000849c: 00040493 mv s1,s0 +400084a0: 0017f793 andi a5,a5,1 +400084a4: 0137e9b3 or s3,a5,s3 +400084a8: ff342e23 sw s3,-4(s0) +400084ac: b11fc0ef jal ra,40004fbc <__malloc_unlock> +400084b0: cedff06f j 4000819c <_realloc_r+0x150> +400084b4: 00040593 mv a1,s0 +400084b8: a0dff0ef jal ra,40007ec4 +400084bc: c8dff06f j 40008148 <_realloc_r+0xfc> +400084c0: 00040593 mv a1,s0 +400084c4: 00048513 mv a0,s1 +400084c8: 9fdff0ef jal ra,40007ec4 +400084cc: 000b0913 mv s2,s6 +400084d0: 000b8a93 mv s5,s7 +400084d4: c95ff06f j 40008168 <_realloc_r+0x11c> +400084d8: 00842703 lw a4,8(s0) +400084dc: 00e52423 sw a4,8(a0) +400084e0: 00c42703 lw a4,12(s0) +400084e4: 00e52623 sw a4,12(a0) +400084e8: 02f60863 beq a2,a5,40008518 <_realloc_r+0x4cc> +400084ec: 01050793 addi a5,a0,16 +400084f0: 01040713 addi a4,s0,16 +400084f4: c3dff06f j 40008130 <_realloc_r+0xe4> +400084f8: ffc52783 lw a5,-4(a0) +400084fc: 00040493 mv s1,s0 +40008500: ffc7f793 andi a5,a5,-4 +40008504: 00f90933 add s2,s2,a5 +40008508: c61ff06f j 40008168 <_realloc_r+0x11c> +4000850c: 010b8793 addi a5,s7,16 +40008510: 00840713 addi a4,s0,8 +40008514: e55ff06f j 40008368 <_realloc_r+0x31c> +40008518: 01042683 lw a3,16(s0) +4000851c: 01850793 addi a5,a0,24 +40008520: 01840713 addi a4,s0,24 +40008524: 00d52823 sw a3,16(a0) +40008528: 01442683 lw a3,20(s0) +4000852c: 00d52a23 sw a3,20(a0) +40008530: c01ff06f j 40008130 <_realloc_r+0xe4> +40008534: 00048793 mv a5,s1 +40008538: 00040713 mv a4,s0 +4000853c: ecdff06f j 40008408 <_realloc_r+0x3bc> +40008540: 00040593 mv a1,s0 +40008544: 00048513 mv a0,s1 +40008548: 97dff0ef jal ra,40007ec4 +4000854c: ed5ff06f j 40008420 <_realloc_r+0x3d4> +40008550: 00842783 lw a5,8(s0) +40008554: 00fba823 sw a5,16(s7) +40008558: 00c42783 lw a5,12(s0) +4000855c: 00fbaa23 sw a5,20(s7) +40008560: 00d60863 beq a2,a3,40008570 <_realloc_r+0x524> +40008564: 018b8793 addi a5,s7,24 +40008568: 01040713 addi a4,s0,16 +4000856c: e9dff06f j 40008408 <_realloc_r+0x3bc> +40008570: 01042683 lw a3,16(s0) +40008574: 020b8793 addi a5,s7,32 +40008578: 01840713 addi a4,s0,24 +4000857c: 00dbac23 sw a3,24(s7) +40008580: 01442683 lw a3,20(s0) +40008584: 00dbae23 sw a3,28(s7) +40008588: e81ff06f j 40008408 <_realloc_r+0x3bc> + +4000858c : +4000858c: ff010113 addi sp,sp,-16 +40008590: 00812423 sw s0,8(sp) +40008594: 00058413 mv s0,a1 +40008598: 0005a583 lw a1,0(a1) +4000859c: 00912223 sw s1,4(sp) +400085a0: 00112623 sw ra,12(sp) +400085a4: 00050493 mv s1,a0 +400085a8: 00058463 beqz a1,400085b0 +400085ac: fe1ff0ef jal ra,4000858c +400085b0: 00040593 mv a1,s0 +400085b4: 00048513 mv a0,s1 +400085b8: 00c12083 lw ra,12(sp) +400085bc: 00812403 lw s0,8(sp) +400085c0: 00412483 lw s1,4(sp) +400085c4: 01010113 addi sp,sp,16 +400085c8: 8bdfb06f j 40003e84 <_free_r> + +400085cc <_reclaim_reent>: +400085cc: 4000c7b7 lui a5,0x4000c +400085d0: 62c7a783 lw a5,1580(a5) # 4000c62c <_impure_ptr> +400085d4: 0ca78663 beq a5,a0,400086a0 <_reclaim_reent+0xd4> +400085d8: 04c52703 lw a4,76(a0) +400085dc: fe010113 addi sp,sp,-32 +400085e0: 00912a23 sw s1,20(sp) +400085e4: 00112e23 sw ra,28(sp) +400085e8: 00812c23 sw s0,24(sp) +400085ec: 01212823 sw s2,16(sp) +400085f0: 01312623 sw s3,12(sp) +400085f4: 00050493 mv s1,a0 +400085f8: 04070263 beqz a4,4000863c <_reclaim_reent+0x70> +400085fc: 00000913 li s2,0 +40008600: 08000993 li s3,128 +40008604: 012707b3 add a5,a4,s2 +40008608: 0007a583 lw a1,0(a5) +4000860c: 00058e63 beqz a1,40008628 <_reclaim_reent+0x5c> +40008610: 0005a403 lw s0,0(a1) +40008614: 00048513 mv a0,s1 +40008618: 86dfb0ef jal ra,40003e84 <_free_r> +4000861c: 00040593 mv a1,s0 +40008620: fe0418e3 bnez s0,40008610 <_reclaim_reent+0x44> +40008624: 04c4a703 lw a4,76(s1) +40008628: 00490913 addi s2,s2,4 +4000862c: fd391ce3 bne s2,s3,40008604 <_reclaim_reent+0x38> +40008630: 00070593 mv a1,a4 +40008634: 00048513 mv a0,s1 +40008638: 84dfb0ef jal ra,40003e84 <_free_r> +4000863c: 0404a583 lw a1,64(s1) +40008640: 00058663 beqz a1,4000864c <_reclaim_reent+0x80> +40008644: 00048513 mv a0,s1 +40008648: 83dfb0ef jal ra,40003e84 <_free_r> +4000864c: 1484a583 lw a1,328(s1) +40008650: 02058063 beqz a1,40008670 <_reclaim_reent+0xa4> +40008654: 14c48913 addi s2,s1,332 +40008658: 01258c63 beq a1,s2,40008670 <_reclaim_reent+0xa4> +4000865c: 0005a403 lw s0,0(a1) +40008660: 00048513 mv a0,s1 +40008664: 821fb0ef jal ra,40003e84 <_free_r> +40008668: 00040593 mv a1,s0 +4000866c: fe8918e3 bne s2,s0,4000865c <_reclaim_reent+0x90> +40008670: 0544a583 lw a1,84(s1) +40008674: 00058663 beqz a1,40008680 <_reclaim_reent+0xb4> +40008678: 00048513 mv a0,s1 +4000867c: 809fb0ef jal ra,40003e84 <_free_r> +40008680: 0384a783 lw a5,56(s1) +40008684: 02079063 bnez a5,400086a4 <_reclaim_reent+0xd8> +40008688: 01c12083 lw ra,28(sp) +4000868c: 01812403 lw s0,24(sp) +40008690: 01412483 lw s1,20(sp) +40008694: 01012903 lw s2,16(sp) +40008698: 00c12983 lw s3,12(sp) +4000869c: 02010113 addi sp,sp,32 +400086a0: 00008067 ret +400086a4: 03c4a783 lw a5,60(s1) +400086a8: 00048513 mv a0,s1 +400086ac: 000780e7 jalr a5 +400086b0: 2e04a583 lw a1,736(s1) +400086b4: fc058ae3 beqz a1,40008688 <_reclaim_reent+0xbc> +400086b8: 00048513 mv a0,s1 +400086bc: 01c12083 lw ra,28(sp) +400086c0: 01812403 lw s0,24(sp) +400086c4: 01412483 lw s1,20(sp) +400086c8: 01012903 lw s2,16(sp) +400086cc: 00c12983 lw s3,12(sp) +400086d0: 02010113 addi sp,sp,32 +400086d4: eb9ff06f j 4000858c + +400086d8 <__swbuf_r>: +400086d8: fe010113 addi sp,sp,-32 +400086dc: 00812c23 sw s0,24(sp) +400086e0: 00912a23 sw s1,20(sp) +400086e4: 01212823 sw s2,16(sp) +400086e8: 00112e23 sw ra,28(sp) +400086ec: 01312623 sw s3,12(sp) +400086f0: 00050913 mv s2,a0 +400086f4: 00058493 mv s1,a1 +400086f8: 00060413 mv s0,a2 +400086fc: 00050663 beqz a0,40008708 <__swbuf_r+0x30> +40008700: 03852783 lw a5,56(a0) +40008704: 14078263 beqz a5,40008848 <__swbuf_r+0x170> +40008708: 00c41703 lh a4,12(s0) +4000870c: 01842783 lw a5,24(s0) +40008710: 01071693 slli a3,a4,0x10 +40008714: 0106d693 srli a3,a3,0x10 +40008718: 00f42423 sw a5,8(s0) +4000871c: 0086f793 andi a5,a3,8 +40008720: 10078263 beqz a5,40008824 <__swbuf_r+0x14c> +40008724: 01042783 lw a5,16(s0) +40008728: 0e078e63 beqz a5,40008824 <__swbuf_r+0x14c> +4000872c: 01269613 slli a2,a3,0x12 +40008730: 0ff4f993 andi s3,s1,255 +40008734: 0ff4f493 andi s1,s1,255 +40008738: 06065663 bgez a2,400087a4 <__swbuf_r+0xcc> +4000873c: 00042703 lw a4,0(s0) +40008740: 01442683 lw a3,20(s0) +40008744: 40f707b3 sub a5,a4,a5 +40008748: 08d7d663 ble a3,a5,400087d4 <__swbuf_r+0xfc> +4000874c: 00842683 lw a3,8(s0) +40008750: 00170613 addi a2,a4,1 +40008754: 00c42023 sw a2,0(s0) +40008758: fff68693 addi a3,a3,-1 +4000875c: 00d42423 sw a3,8(s0) +40008760: 01370023 sb s3,0(a4) +40008764: 01442703 lw a4,20(s0) +40008768: 00178793 addi a5,a5,1 +4000876c: 0af70063 beq a4,a5,4000880c <__swbuf_r+0x134> +40008770: 00c45783 lhu a5,12(s0) +40008774: 0017f793 andi a5,a5,1 +40008778: 00078663 beqz a5,40008784 <__swbuf_r+0xac> +4000877c: 00a00793 li a5,10 +40008780: 08f48663 beq s1,a5,4000880c <__swbuf_r+0x134> +40008784: 01c12083 lw ra,28(sp) +40008788: 00048513 mv a0,s1 +4000878c: 01812403 lw s0,24(sp) +40008790: 01412483 lw s1,20(sp) +40008794: 01012903 lw s2,16(sp) +40008798: 00c12983 lw s3,12(sp) +4000879c: 02010113 addi sp,sp,32 +400087a0: 00008067 ret +400087a4: 06442683 lw a3,100(s0) +400087a8: 00002637 lui a2,0x2 +400087ac: 00c76733 or a4,a4,a2 +400087b0: ffffe637 lui a2,0xffffe +400087b4: fff60613 addi a2,a2,-1 # ffffdfff <_bss_end+0xbfff1977> +400087b8: 00c6f6b3 and a3,a3,a2 +400087bc: 00e41623 sh a4,12(s0) +400087c0: 00042703 lw a4,0(s0) +400087c4: 06d42223 sw a3,100(s0) +400087c8: 01442683 lw a3,20(s0) +400087cc: 40f707b3 sub a5,a4,a5 +400087d0: f6d7cee3 blt a5,a3,4000874c <__swbuf_r+0x74> +400087d4: 00040593 mv a1,s0 +400087d8: 00090513 mv a0,s2 +400087dc: 960fb0ef jal ra,4000393c <_fflush_r> +400087e0: 02051e63 bnez a0,4000881c <__swbuf_r+0x144> +400087e4: 00042703 lw a4,0(s0) +400087e8: 00842683 lw a3,8(s0) +400087ec: 00100793 li a5,1 +400087f0: 00170613 addi a2,a4,1 +400087f4: fff68693 addi a3,a3,-1 +400087f8: 00c42023 sw a2,0(s0) +400087fc: 00d42423 sw a3,8(s0) +40008800: 01370023 sb s3,0(a4) +40008804: 01442703 lw a4,20(s0) +40008808: f6f714e3 bne a4,a5,40008770 <__swbuf_r+0x98> +4000880c: 00040593 mv a1,s0 +40008810: 00090513 mv a0,s2 +40008814: 928fb0ef jal ra,4000393c <_fflush_r> +40008818: f60506e3 beqz a0,40008784 <__swbuf_r+0xac> +4000881c: fff00493 li s1,-1 +40008820: f65ff06f j 40008784 <__swbuf_r+0xac> +40008824: 00040593 mv a1,s0 +40008828: 00090513 mv a0,s2 +4000882c: dd4f90ef jal ra,40001e00 <__swsetup_r> +40008830: fe0516e3 bnez a0,4000881c <__swbuf_r+0x144> +40008834: 00c41703 lh a4,12(s0) +40008838: 01042783 lw a5,16(s0) +4000883c: 01071693 slli a3,a4,0x10 +40008840: 0106d693 srli a3,a3,0x10 +40008844: ee9ff06f j 4000872c <__swbuf_r+0x54> +40008848: cb8fb0ef jal ra,40003d00 <__sinit> +4000884c: ebdff06f j 40008708 <__swbuf_r+0x30> + +40008850 <__swbuf>: +40008850: 4000c7b7 lui a5,0x4000c +40008854: 00058613 mv a2,a1 +40008858: 00050593 mv a1,a0 +4000885c: 62c7a503 lw a0,1580(a5) # 4000c62c <_impure_ptr> +40008860: e79ff06f j 400086d8 <__swbuf_r> + +40008864 <_wcrtomb_r>: +40008864: fd010113 addi sp,sp,-48 +40008868: 02912223 sw s1,36(sp) +4000886c: 03212023 sw s2,32(sp) +40008870: 02112623 sw ra,44(sp) +40008874: 02812423 sw s0,40(sp) +40008878: 01312e23 sw s3,28(sp) +4000887c: 01412c23 sw s4,24(sp) +40008880: 00050493 mv s1,a0 +40008884: 00068913 mv s2,a3 +40008888: 06058263 beqz a1,400088ec <_wcrtomb_r+0x88> +4000888c: 4000c7b7 lui a5,0x4000c +40008890: 63c7aa03 lw s4,1596(a5) # 4000c63c <__wctomb> +40008894: 00058413 mv s0,a1 +40008898: 00060993 mv s3,a2 +4000889c: acdfb0ef jal ra,40004368 <__locale_charset> +400088a0: 00050693 mv a3,a0 +400088a4: 00090713 mv a4,s2 +400088a8: 00098613 mv a2,s3 +400088ac: 00040593 mv a1,s0 +400088b0: 00048513 mv a0,s1 +400088b4: 000a00e7 jalr s4 +400088b8: fff00793 li a5,-1 +400088bc: 00f51863 bne a0,a5,400088cc <_wcrtomb_r+0x68> +400088c0: 00092023 sw zero,0(s2) +400088c4: 08a00793 li a5,138 +400088c8: 00f4a023 sw a5,0(s1) +400088cc: 02c12083 lw ra,44(sp) +400088d0: 02812403 lw s0,40(sp) +400088d4: 02412483 lw s1,36(sp) +400088d8: 02012903 lw s2,32(sp) +400088dc: 01c12983 lw s3,28(sp) +400088e0: 01812a03 lw s4,24(sp) +400088e4: 03010113 addi sp,sp,48 +400088e8: 00008067 ret +400088ec: 4000c7b7 lui a5,0x4000c +400088f0: 63c7a403 lw s0,1596(a5) # 4000c63c <__wctomb> +400088f4: a75fb0ef jal ra,40004368 <__locale_charset> +400088f8: 00050693 mv a3,a0 +400088fc: 00090713 mv a4,s2 +40008900: 00000613 li a2,0 +40008904: 00410593 addi a1,sp,4 +40008908: 00048513 mv a0,s1 +4000890c: 000400e7 jalr s0 +40008910: fa9ff06f j 400088b8 <_wcrtomb_r+0x54> + +40008914 : +40008914: fd010113 addi sp,sp,-48 +40008918: 02912223 sw s1,36(sp) +4000891c: 03212023 sw s2,32(sp) +40008920: 4000c7b7 lui a5,0x4000c +40008924: 02112623 sw ra,44(sp) +40008928: 02812423 sw s0,40(sp) +4000892c: 01312e23 sw s3,28(sp) +40008930: 01412c23 sw s4,24(sp) +40008934: 00060913 mv s2,a2 +40008938: 62c7a483 lw s1,1580(a5) # 4000c62c <_impure_ptr> +4000893c: 06050263 beqz a0,400089a0 +40008940: 4000c7b7 lui a5,0x4000c +40008944: 63c7aa03 lw s4,1596(a5) # 4000c63c <__wctomb> +40008948: 00058993 mv s3,a1 +4000894c: 00050413 mv s0,a0 +40008950: a19fb0ef jal ra,40004368 <__locale_charset> +40008954: 00050693 mv a3,a0 +40008958: 00090713 mv a4,s2 +4000895c: 00098613 mv a2,s3 +40008960: 00040593 mv a1,s0 +40008964: 00048513 mv a0,s1 +40008968: 000a00e7 jalr s4 +4000896c: fff00793 li a5,-1 +40008970: 00f51863 bne a0,a5,40008980 +40008974: 00092023 sw zero,0(s2) +40008978: 08a00793 li a5,138 +4000897c: 00f4a023 sw a5,0(s1) +40008980: 02c12083 lw ra,44(sp) +40008984: 02812403 lw s0,40(sp) +40008988: 02412483 lw s1,36(sp) +4000898c: 02012903 lw s2,32(sp) +40008990: 01c12983 lw s3,28(sp) +40008994: 01812a03 lw s4,24(sp) +40008998: 03010113 addi sp,sp,48 +4000899c: 00008067 ret +400089a0: 4000c7b7 lui a5,0x4000c +400089a4: 63c7a403 lw s0,1596(a5) # 4000c63c <__wctomb> +400089a8: 9c1fb0ef jal ra,40004368 <__locale_charset> +400089ac: 00050693 mv a3,a0 +400089b0: 00090713 mv a4,s2 +400089b4: 00000613 li a2,0 +400089b8: 00410593 addi a1,sp,4 +400089bc: 00048513 mv a0,s1 +400089c0: 000400e7 jalr s0 +400089c4: fa9ff06f j 4000896c + +400089c8 <__ascii_wctomb>: +400089c8: 00058c63 beqz a1,400089e0 <__ascii_wctomb+0x18> +400089cc: 0ff00793 li a5,255 +400089d0: 00c7ec63 bltu a5,a2,400089e8 <__ascii_wctomb+0x20> +400089d4: 00c58023 sb a2,0(a1) +400089d8: 00100513 li a0,1 +400089dc: 00008067 ret +400089e0: 00000513 li a0,0 +400089e4: 00008067 ret +400089e8: 08a00793 li a5,138 +400089ec: 00f52023 sw a5,0(a0) +400089f0: fff00513 li a0,-1 +400089f4: 00008067 ret + +400089f8 <_wctomb_r>: +400089f8: fe010113 addi sp,sp,-32 +400089fc: 4000c7b7 lui a5,0x4000c +40008a00: 00812c23 sw s0,24(sp) +40008a04: 63c7a403 lw s0,1596(a5) # 4000c63c <__wctomb> +40008a08: 00112e23 sw ra,28(sp) +40008a0c: 00912a23 sw s1,20(sp) +40008a10: 01212823 sw s2,16(sp) +40008a14: 01312623 sw s3,12(sp) +40008a18: 01412423 sw s4,8(sp) +40008a1c: 00050493 mv s1,a0 +40008a20: 00068a13 mv s4,a3 +40008a24: 00058913 mv s2,a1 +40008a28: 00060993 mv s3,a2 +40008a2c: 93dfb0ef jal ra,40004368 <__locale_charset> +40008a30: 000a0713 mv a4,s4 +40008a34: 00050693 mv a3,a0 +40008a38: 00098613 mv a2,s3 +40008a3c: 00090593 mv a1,s2 +40008a40: 00048513 mv a0,s1 +40008a44: 00040313 mv t1,s0 +40008a48: 01c12083 lw ra,28(sp) +40008a4c: 01812403 lw s0,24(sp) +40008a50: 01412483 lw s1,20(sp) +40008a54: 01012903 lw s2,16(sp) +40008a58: 00c12983 lw s3,12(sp) +40008a5c: 00812a03 lw s4,8(sp) +40008a60: 02010113 addi sp,sp,32 +40008a64: 00030067 jr t1 + +40008a68 : +40008a68: 4000c737 lui a4,0x4000c +40008a6c: 65872783 lw a5,1624(a4) # 4000c658 +40008a70: 00078a63 beqz a5,40008a84 +40008a74: 00a78533 add a0,a5,a0 +40008a78: 64a72c23 sw a0,1624(a4) +40008a7c: 00078513 mv a0,a5 +40008a80: 00008067 ret +40008a84: 4000c7b7 lui a5,0x4000c +40008a88: 68878793 addi a5,a5,1672 # 4000c688 <_bss_end> +40008a8c: 00a78533 add a0,a5,a0 +40008a90: 64a72c23 sw a0,1624(a4) +40008a94: 00078513 mv a0,a5 +40008a98: 00008067 ret + +40008a9c <__adddf3>: +40008a9c: 001007b7 lui a5,0x100 +40008aa0: fff78313 addi t1,a5,-1 # fffff <_heap_size+0xfdfff> +40008aa4: fe010113 addi sp,sp,-32 +40008aa8: 00b377b3 and a5,t1,a1 +40008aac: 0145d713 srli a4,a1,0x14 +40008ab0: 00d37eb3 and t4,t1,a3 +40008ab4: 0146de13 srli t3,a3,0x14 +40008ab8: 00379893 slli a7,a5,0x3 +40008abc: 01d65f13 srli t5,a2,0x1d +40008ac0: 00912a23 sw s1,20(sp) +40008ac4: 01312623 sw s3,12(sp) +40008ac8: 01f5d813 srli a6,a1,0x1f +40008acc: 01d55793 srli a5,a0,0x1d +40008ad0: 003e9e93 slli t4,t4,0x3 +40008ad4: 7ff77493 andi s1,a4,2047 +40008ad8: 7ffe7e13 andi t3,t3,2047 +40008adc: 00112e23 sw ra,28(sp) +40008ae0: 00812c23 sw s0,24(sp) +40008ae4: 01212823 sw s2,16(sp) +40008ae8: 01f6df93 srli t6,a3,0x1f +40008aec: 0117e7b3 or a5,a5,a7 +40008af0: 00080993 mv s3,a6 +40008af4: 00351893 slli a7,a0,0x3 +40008af8: 01df6eb3 or t4,t5,t4 +40008afc: 00361613 slli a2,a2,0x3 +40008b00: 41c48733 sub a4,s1,t3 +40008b04: 1bf80863 beq a6,t6,40008cb4 <__adddf3+0x218> +40008b08: 30e05263 blez a4,40008e0c <__adddf3+0x370> +40008b0c: 160e1063 bnez t3,40008c6c <__adddf3+0x1d0> +40008b10: 00cee6b3 or a3,t4,a2 +40008b14: 20068063 beqz a3,40008d14 <__adddf3+0x278> +40008b18: fff70693 addi a3,a4,-1 +40008b1c: 3c069663 bnez a3,40008ee8 <__adddf3+0x44c> +40008b20: 40c88933 sub s2,a7,a2 +40008b24: 41d787b3 sub a5,a5,t4 +40008b28: 0128b8b3 sltu a7,a7,s2 +40008b2c: 411787b3 sub a5,a5,a7 +40008b30: 00100493 li s1,1 +40008b34: 00879713 slli a4,a5,0x8 +40008b38: 20075c63 bgez a4,40008d50 <__adddf3+0x2b4> +40008b3c: 00800637 lui a2,0x800 +40008b40: fff60613 addi a2,a2,-1 # 7fffff <_heap_size+0x7fdfff> +40008b44: 00c7f433 and s0,a5,a2 +40008b48: 30040463 beqz s0,40008e50 <__adddf3+0x3b4> +40008b4c: 00040513 mv a0,s0 +40008b50: 251020ef jal ra,4000b5a0 <__clzsi2> +40008b54: ff850713 addi a4,a0,-8 +40008b58: 01f00793 li a5,31 +40008b5c: 30e7c663 blt a5,a4,40008e68 <__adddf3+0x3cc> +40008b60: 02000793 li a5,32 +40008b64: 40e787b3 sub a5,a5,a4 +40008b68: 00f957b3 srl a5,s2,a5 +40008b6c: 00e41633 sll a2,s0,a4 +40008b70: 00c7e7b3 or a5,a5,a2 +40008b74: 00e91933 sll s2,s2,a4 +40008b78: 30974063 blt a4,s1,40008e78 <__adddf3+0x3dc> +40008b7c: 40970733 sub a4,a4,s1 +40008b80: 00170613 addi a2,a4,1 +40008b84: 01f00693 li a3,31 +40008b88: 36c6c863 blt a3,a2,40008ef8 <__adddf3+0x45c> +40008b8c: 02000713 li a4,32 +40008b90: 40c70733 sub a4,a4,a2 +40008b94: 00e916b3 sll a3,s2,a4 +40008b98: 00c955b3 srl a1,s2,a2 +40008b9c: 00e79733 sll a4,a5,a4 +40008ba0: 00b76733 or a4,a4,a1 +40008ba4: 00d036b3 snez a3,a3 +40008ba8: 00d76933 or s2,a4,a3 +40008bac: 00c7d7b3 srl a5,a5,a2 +40008bb0: 00797713 andi a4,s2,7 +40008bb4: 00098813 mv a6,s3 +40008bb8: 00000493 li s1,0 +40008bbc: 00090893 mv a7,s2 +40008bc0: 02070063 beqz a4,40008be0 <__adddf3+0x144> +40008bc4: 00f97713 andi a4,s2,15 +40008bc8: 00400693 li a3,4 +40008bcc: 00090893 mv a7,s2 +40008bd0: 00d70863 beq a4,a3,40008be0 <__adddf3+0x144> +40008bd4: 00d908b3 add a7,s2,a3 +40008bd8: 0128b6b3 sltu a3,a7,s2 +40008bdc: 00d787b3 add a5,a5,a3 +40008be0: 00879713 slli a4,a5,0x8 +40008be4: 0e075a63 bgez a4,40008cd8 <__adddf3+0x23c> +40008be8: 00148713 addi a4,s1,1 +40008bec: 7ff00693 li a3,2047 +40008bf0: 2ad70263 beq a4,a3,40008e94 <__adddf3+0x3f8> +40008bf4: ff8006b7 lui a3,0xff800 +40008bf8: fff68693 addi a3,a3,-1 # ff7fffff <_bss_end+0xbf7f3977> +40008bfc: 00d7f7b3 and a5,a5,a3 +40008c00: 01d79693 slli a3,a5,0x1d +40008c04: 0038d893 srli a7,a7,0x3 +40008c08: 00979793 slli a5,a5,0x9 +40008c0c: 0116e6b3 or a3,a3,a7 +40008c10: 00c7d793 srli a5,a5,0xc +40008c14: 7ff77713 andi a4,a4,2047 +40008c18: 001005b7 lui a1,0x100 +40008c1c: fff58593 addi a1,a1,-1 # fffff <_heap_size+0xfdfff> +40008c20: 00b7f7b3 and a5,a5,a1 +40008c24: 801005b7 lui a1,0x80100 +40008c28: fff58593 addi a1,a1,-1 # 800fffff <_bss_end+0x400f3977> +40008c2c: 00b7f5b3 and a1,a5,a1 +40008c30: 01471713 slli a4,a4,0x14 +40008c34: 800007b7 lui a5,0x80000 +40008c38: 01c12083 lw ra,28(sp) +40008c3c: 00e5e5b3 or a1,a1,a4 +40008c40: fff7c793 not a5,a5 +40008c44: 01f81813 slli a6,a6,0x1f +40008c48: 00f5f5b3 and a1,a1,a5 +40008c4c: 0105e5b3 or a1,a1,a6 +40008c50: 00068513 mv a0,a3 +40008c54: 01812403 lw s0,24(sp) +40008c58: 01412483 lw s1,20(sp) +40008c5c: 01012903 lw s2,16(sp) +40008c60: 00c12983 lw s3,12(sp) +40008c64: 02010113 addi sp,sp,32 +40008c68: 00008067 ret +40008c6c: 008005b7 lui a1,0x800 +40008c70: 7ff00693 li a3,2047 +40008c74: 00beeeb3 or t4,t4,a1 +40008c78: 16d48663 beq s1,a3,40008de4 <__adddf3+0x348> +40008c7c: 03800693 li a3,56 +40008c80: 0ae6c663 blt a3,a4,40008d2c <__adddf3+0x290> +40008c84: 01f00693 li a3,31 +40008c88: 2ae6c463 blt a3,a4,40008f30 <__adddf3+0x494> +40008c8c: 02000593 li a1,32 +40008c90: 40e585b3 sub a1,a1,a4 +40008c94: 00e65933 srl s2,a2,a4 +40008c98: 00be96b3 sll a3,t4,a1 +40008c9c: 00b61633 sll a2,a2,a1 +40008ca0: 0126e6b3 or a3,a3,s2 +40008ca4: 00c03933 snez s2,a2 +40008ca8: 0126e6b3 or a3,a3,s2 +40008cac: 00eedeb3 srl t4,t4,a4 +40008cb0: 0880006f j 40008d38 <__adddf3+0x29c> +40008cb4: 1ee05663 blez a4,40008ea0 <__adddf3+0x404> +40008cb8: 0a0e1c63 bnez t3,40008d70 <__adddf3+0x2d4> +40008cbc: 00cee6b3 or a3,t4,a2 +40008cc0: 32069063 bnez a3,40008fe0 <__adddf3+0x544> +40008cc4: 7ff00693 li a3,2047 +40008cc8: 36d70a63 beq a4,a3,4000903c <__adddf3+0x5a0> +40008ccc: 00070493 mv s1,a4 +40008cd0: 00879713 slli a4,a5,0x8 +40008cd4: f0074ae3 bltz a4,40008be8 <__adddf3+0x14c> +40008cd8: 01d79693 slli a3,a5,0x1d +40008cdc: 0038d893 srli a7,a7,0x3 +40008ce0: 7ff00713 li a4,2047 +40008ce4: 00d8e6b3 or a3,a7,a3 +40008ce8: 0037d793 srli a5,a5,0x3 +40008cec: 10e49663 bne s1,a4,40008df8 <__adddf3+0x35c> +40008cf0: 00f6e733 or a4,a3,a5 +40008cf4: 5a070c63 beqz a4,400092ac <__adddf3+0x810> +40008cf8: 00080737 lui a4,0x80 +40008cfc: 00e7e7b3 or a5,a5,a4 +40008d00: 00100737 lui a4,0x100 +40008d04: fff70713 addi a4,a4,-1 # fffff <_heap_size+0xfdfff> +40008d08: 00e7f7b3 and a5,a5,a4 +40008d0c: 00048713 mv a4,s1 +40008d10: f09ff06f j 40008c18 <__adddf3+0x17c> +40008d14: 7ff00693 li a3,2047 +40008d18: fad71ae3 bne a4,a3,40008ccc <__adddf3+0x230> +40008d1c: 0117e6b3 or a3,a5,a7 +40008d20: 32068263 beqz a3,40009044 <__adddf3+0x5a8> +40008d24: 7ff00493 li s1,2047 +40008d28: eb9ff06f j 40008be0 <__adddf3+0x144> +40008d2c: 00cee633 or a2,t4,a2 +40008d30: 00c036b3 snez a3,a2 +40008d34: 00000e93 li t4,0 +40008d38: 40d88933 sub s2,a7,a3 +40008d3c: 41d787b3 sub a5,a5,t4 +40008d40: 0128b8b3 sltu a7,a7,s2 +40008d44: 411787b3 sub a5,a5,a7 +40008d48: 00879713 slli a4,a5,0x8 +40008d4c: de0748e3 bltz a4,40008b3c <__adddf3+0xa0> +40008d50: 00797713 andi a4,s2,7 +40008d54: 00098813 mv a6,s3 +40008d58: e60716e3 bnez a4,40008bc4 <__adddf3+0x128> +40008d5c: 01d79893 slli a7,a5,0x1d +40008d60: 00395693 srli a3,s2,0x3 +40008d64: 0116e6b3 or a3,a3,a7 +40008d68: 0037d793 srli a5,a5,0x3 +40008d6c: 0840006f j 40008df0 <__adddf3+0x354> +40008d70: 008005b7 lui a1,0x800 +40008d74: 7ff00693 li a3,2047 +40008d78: 00beeeb3 or t4,t4,a1 +40008d7c: 06d48463 beq s1,a3,40008de4 <__adddf3+0x348> +40008d80: 03800693 li a3,56 +40008d84: 28e6d463 ble a4,a3,4000900c <__adddf3+0x570> +40008d88: 00cee633 or a2,t4,a2 +40008d8c: 00c036b3 snez a3,a2 +40008d90: 00000e93 li t4,0 +40008d94: 01168933 add s2,a3,a7 +40008d98: 00fe87b3 add a5,t4,a5 +40008d9c: 011938b3 sltu a7,s2,a7 +40008da0: 011787b3 add a5,a5,a7 +40008da4: 00879713 slli a4,a5,0x8 +40008da8: fa0754e3 bgez a4,40008d50 <__adddf3+0x2b4> +40008dac: 00148493 addi s1,s1,1 +40008db0: 7ff00713 li a4,2047 +40008db4: 3ae48663 beq s1,a4,40009160 <__adddf3+0x6c4> +40008db8: ff800737 lui a4,0xff800 +40008dbc: fff70713 addi a4,a4,-1 # ff7fffff <_bss_end+0xbf7f3977> +40008dc0: 00e7f7b3 and a5,a5,a4 +40008dc4: 00197693 andi a3,s2,1 +40008dc8: 00195713 srli a4,s2,0x1 +40008dcc: 00d766b3 or a3,a4,a3 +40008dd0: 01f79913 slli s2,a5,0x1f +40008dd4: 00d96933 or s2,s2,a3 +40008dd8: 0017d793 srli a5,a5,0x1 +40008ddc: 00797713 andi a4,s2,7 +40008de0: dddff06f j 40008bbc <__adddf3+0x120> +40008de4: 0117e6b3 or a3,a5,a7 +40008de8: de069ce3 bnez a3,40008be0 <__adddf3+0x144> +40008dec: 00000793 li a5,0 +40008df0: 7ff00713 li a4,2047 +40008df4: eee48ee3 beq s1,a4,40008cf0 <__adddf3+0x254> +40008df8: 00100737 lui a4,0x100 +40008dfc: fff70713 addi a4,a4,-1 # fffff <_heap_size+0xfdfff> +40008e00: 00e7f7b3 and a5,a5,a4 +40008e04: 7ff4f713 andi a4,s1,2047 +40008e08: e11ff06f j 40008c18 <__adddf3+0x17c> +40008e0c: 14071a63 bnez a4,40008f60 <__adddf3+0x4c4> +40008e10: 00148713 addi a4,s1,1 +40008e14: 7ff77713 andi a4,a4,2047 +40008e18: 00100693 li a3,1 +40008e1c: 2ae6d663 ble a4,a3,400090c8 <__adddf3+0x62c> +40008e20: 40c88933 sub s2,a7,a2 +40008e24: 0128b733 sltu a4,a7,s2 +40008e28: 41d78433 sub s0,a5,t4 +40008e2c: 40e40433 sub s0,s0,a4 +40008e30: 00841713 slli a4,s0,0x8 +40008e34: 18075a63 bgez a4,40008fc8 <__adddf3+0x52c> +40008e38: 41160933 sub s2,a2,a7 +40008e3c: 40fe87b3 sub a5,t4,a5 +40008e40: 01263633 sltu a2,a2,s2 +40008e44: 40c78433 sub s0,a5,a2 +40008e48: 000f8993 mv s3,t6 +40008e4c: d00410e3 bnez s0,40008b4c <__adddf3+0xb0> +40008e50: 00090513 mv a0,s2 +40008e54: 74c020ef jal ra,4000b5a0 <__clzsi2> +40008e58: 02050513 addi a0,a0,32 +40008e5c: ff850713 addi a4,a0,-8 +40008e60: 01f00793 li a5,31 +40008e64: cee7dee3 ble a4,a5,40008b60 <__adddf3+0xc4> +40008e68: fd850793 addi a5,a0,-40 +40008e6c: 00f917b3 sll a5,s2,a5 +40008e70: 00000913 li s2,0 +40008e74: d09754e3 ble s1,a4,40008b7c <__adddf3+0xe0> +40008e78: 40e484b3 sub s1,s1,a4 +40008e7c: ff800737 lui a4,0xff800 +40008e80: fff70713 addi a4,a4,-1 # ff7fffff <_bss_end+0xbf7f3977> +40008e84: 00e7f7b3 and a5,a5,a4 +40008e88: 00098813 mv a6,s3 +40008e8c: 00797713 andi a4,s2,7 +40008e90: d2dff06f j 40008bbc <__adddf3+0x120> +40008e94: 00000793 li a5,0 +40008e98: 00000693 li a3,0 +40008e9c: d7dff06f j 40008c18 <__adddf3+0x17c> +40008ea0: 26071e63 bnez a4,4000911c <__adddf3+0x680> +40008ea4: 00148593 addi a1,s1,1 +40008ea8: 7ff5f713 andi a4,a1,2047 +40008eac: 00100693 li a3,1 +40008eb0: 1ce6da63 ble a4,a3,40009084 <__adddf3+0x5e8> +40008eb4: 7ff00713 li a4,2047 +40008eb8: 30e58463 beq a1,a4,400091c0 <__adddf3+0x724> +40008ebc: 00c88633 add a2,a7,a2 +40008ec0: 011638b3 sltu a7,a2,a7 +40008ec4: 01d787b3 add a5,a5,t4 +40008ec8: 011787b3 add a5,a5,a7 +40008ecc: 01f79693 slli a3,a5,0x1f +40008ed0: 00165613 srli a2,a2,0x1 +40008ed4: 00c6e933 or s2,a3,a2 +40008ed8: 0017d793 srli a5,a5,0x1 +40008edc: 00797713 andi a4,s2,7 +40008ee0: 00058493 mv s1,a1 +40008ee4: cd9ff06f j 40008bbc <__adddf3+0x120> +40008ee8: 7ff00593 li a1,2047 +40008eec: e2b708e3 beq a4,a1,40008d1c <__adddf3+0x280> +40008ef0: 00068713 mv a4,a3 +40008ef4: d89ff06f j 40008c7c <__adddf3+0x1e0> +40008ef8: fe170713 addi a4,a4,-31 +40008efc: 02000593 li a1,32 +40008f00: 00e7d733 srl a4,a5,a4 +40008f04: 00000693 li a3,0 +40008f08: 00b60863 beq a2,a1,40008f18 <__adddf3+0x47c> +40008f0c: 04000693 li a3,64 +40008f10: 40c686b3 sub a3,a3,a2 +40008f14: 00d796b3 sll a3,a5,a3 +40008f18: 00d966b3 or a3,s2,a3 +40008f1c: 00d036b3 snez a3,a3 +40008f20: 00d76933 or s2,a4,a3 +40008f24: 00000793 li a5,0 +40008f28: 00000493 li s1,0 +40008f2c: e25ff06f j 40008d50 <__adddf3+0x2b4> +40008f30: 02000513 li a0,32 +40008f34: 00eed6b3 srl a3,t4,a4 +40008f38: 00000593 li a1,0 +40008f3c: 00a70863 beq a4,a0,40008f4c <__adddf3+0x4b0> +40008f40: 04000593 li a1,64 +40008f44: 40e58733 sub a4,a1,a4 +40008f48: 00ee95b3 sll a1,t4,a4 +40008f4c: 00c5e633 or a2,a1,a2 +40008f50: 00c03933 snez s2,a2 +40008f54: 0126e6b3 or a3,a3,s2 +40008f58: 00000e93 li t4,0 +40008f5c: dddff06f j 40008d38 <__adddf3+0x29c> +40008f60: 0e048863 beqz s1,40009050 <__adddf3+0x5b4> +40008f64: 008005b7 lui a1,0x800 +40008f68: 7ff00693 li a3,2047 +40008f6c: 40e00733 neg a4,a4 +40008f70: 00b7e7b3 or a5,a5,a1 +40008f74: 22de0263 beq t3,a3,40009198 <__adddf3+0x6fc> +40008f78: 03800693 li a3,56 +40008f7c: 22e6ca63 blt a3,a4,400091b0 <__adddf3+0x714> +40008f80: 01f00693 li a3,31 +40008f84: 38e6ca63 blt a3,a4,40009318 <__adddf3+0x87c> +40008f88: 02000593 li a1,32 +40008f8c: 40e585b3 sub a1,a1,a4 +40008f90: 00b796b3 sll a3,a5,a1 +40008f94: 00e8d533 srl a0,a7,a4 +40008f98: 00b895b3 sll a1,a7,a1 +40008f9c: 00a6e6b3 or a3,a3,a0 +40008fa0: 00b03933 snez s2,a1 +40008fa4: 0126e6b3 or a3,a3,s2 +40008fa8: 00e7d733 srl a4,a5,a4 +40008fac: 40d60933 sub s2,a2,a3 +40008fb0: 40ee87b3 sub a5,t4,a4 +40008fb4: 01263633 sltu a2,a2,s2 +40008fb8: 40c787b3 sub a5,a5,a2 +40008fbc: 000e0493 mv s1,t3 +40008fc0: 000f8993 mv s3,t6 +40008fc4: b71ff06f j 40008b34 <__adddf3+0x98> +40008fc8: 008966b3 or a3,s2,s0 +40008fcc: b6069ee3 bnez a3,40008b48 <__adddf3+0xac> +40008fd0: 00000793 li a5,0 +40008fd4: 00000813 li a6,0 +40008fd8: 00000493 li s1,0 +40008fdc: e15ff06f j 40008df0 <__adddf3+0x354> +40008fe0: fff70693 addi a3,a4,-1 +40008fe4: 08069863 bnez a3,40009074 <__adddf3+0x5d8> +40008fe8: 00c88933 add s2,a7,a2 +40008fec: 01d787b3 add a5,a5,t4 +40008ff0: 011938b3 sltu a7,s2,a7 +40008ff4: 011787b3 add a5,a5,a7 +40008ff8: 00879713 slli a4,a5,0x8 +40008ffc: 00100493 li s1,1 +40009000: d40758e3 bgez a4,40008d50 <__adddf3+0x2b4> +40009004: 00200493 li s1,2 +40009008: db1ff06f j 40008db8 <__adddf3+0x31c> +4000900c: 01f00693 li a3,31 +40009010: 0ce6ce63 blt a3,a4,400090ec <__adddf3+0x650> +40009014: 02000593 li a1,32 +40009018: 40e585b3 sub a1,a1,a4 +4000901c: 00be96b3 sll a3,t4,a1 +40009020: 00e65533 srl a0,a2,a4 +40009024: 00b61633 sll a2,a2,a1 +40009028: 00a6e6b3 or a3,a3,a0 +4000902c: 00c03933 snez s2,a2 +40009030: 0126e6b3 or a3,a3,s2 +40009034: 00eedeb3 srl t4,t4,a4 +40009038: d5dff06f j 40008d94 <__adddf3+0x2f8> +4000903c: 0117e6b3 or a3,a5,a7 +40009040: c80696e3 bnez a3,40008ccc <__adddf3+0x230> +40009044: 00000793 li a5,0 +40009048: 00070493 mv s1,a4 +4000904c: da5ff06f j 40008df0 <__adddf3+0x354> +40009050: 0117e6b3 or a3,a5,a7 +40009054: 10069c63 bnez a3,4000916c <__adddf3+0x6d0> +40009058: 7ff00793 li a5,2047 +4000905c: 12fe0e63 beq t3,a5,40009198 <__adddf3+0x6fc> +40009060: 000f8813 mv a6,t6 +40009064: 000e8793 mv a5,t4 +40009068: 00060893 mv a7,a2 +4000906c: 000e0493 mv s1,t3 +40009070: b71ff06f j 40008be0 <__adddf3+0x144> +40009074: 7ff00593 li a1,2047 +40009078: fcb702e3 beq a4,a1,4000903c <__adddf3+0x5a0> +4000907c: 00068713 mv a4,a3 +40009080: d01ff06f j 40008d80 <__adddf3+0x2e4> +40009084: 0117e733 or a4,a5,a7 +40009088: 22049a63 bnez s1,400092bc <__adddf3+0x820> +4000908c: 04070a63 beqz a4,400090e0 <__adddf3+0x644> +40009090: 00cee733 or a4,t4,a2 +40009094: b40706e3 beqz a4,40008be0 <__adddf3+0x144> +40009098: 00c88933 add s2,a7,a2 +4000909c: 01d787b3 add a5,a5,t4 +400090a0: 011938b3 sltu a7,s2,a7 +400090a4: 011787b3 add a5,a5,a7 +400090a8: 00879713 slli a4,a5,0x8 +400090ac: ca0752e3 bgez a4,40008d50 <__adddf3+0x2b4> +400090b0: ff800737 lui a4,0xff800 +400090b4: fff70713 addi a4,a4,-1 # ff7fffff <_bss_end+0xbf7f3977> +400090b8: 00e7f7b3 and a5,a5,a4 +400090bc: 00068493 mv s1,a3 +400090c0: 00797713 andi a4,s2,7 +400090c4: af9ff06f j 40008bbc <__adddf3+0x120> +400090c8: 0117e733 or a4,a5,a7 +400090cc: 06049a63 bnez s1,40009140 <__adddf3+0x6a4> +400090d0: 16071063 bnez a4,40009230 <__adddf3+0x794> +400090d4: 00cee6b3 or a3,t4,a2 +400090d8: 22068a63 beqz a3,4000930c <__adddf3+0x870> +400090dc: 000f8813 mv a6,t6 +400090e0: 000e8793 mv a5,t4 +400090e4: 00060893 mv a7,a2 +400090e8: af9ff06f j 40008be0 <__adddf3+0x144> +400090ec: 02000513 li a0,32 +400090f0: 00eed6b3 srl a3,t4,a4 +400090f4: 00000593 li a1,0 +400090f8: 00a70863 beq a4,a0,40009108 <__adddf3+0x66c> +400090fc: 04000593 li a1,64 +40009100: 40e58733 sub a4,a1,a4 +40009104: 00ee95b3 sll a1,t4,a4 +40009108: 00c5e633 or a2,a1,a2 +4000910c: 00c03933 snez s2,a2 +40009110: 0126e6b3 or a3,a3,s2 +40009114: 00000e93 li t4,0 +40009118: c7dff06f j 40008d94 <__adddf3+0x2f8> +4000911c: 0a049a63 bnez s1,400091d0 <__adddf3+0x734> +40009120: 0117e6b3 or a3,a5,a7 +40009124: 22069263 bnez a3,40009348 <__adddf3+0x8ac> +40009128: 7ff00793 li a5,2047 +4000912c: 24fe0263 beq t3,a5,40009370 <__adddf3+0x8d4> +40009130: 000e8793 mv a5,t4 +40009134: 00060893 mv a7,a2 +40009138: 000e0493 mv s1,t3 +4000913c: aa5ff06f j 40008be0 <__adddf3+0x144> +40009140: 12071663 bnez a4,4000926c <__adddf3+0x7d0> +40009144: 00cee7b3 or a5,t4,a2 +40009148: 22078a63 beqz a5,4000937c <__adddf3+0x8e0> +4000914c: 000f8813 mv a6,t6 +40009150: 000e8793 mv a5,t4 +40009154: 00060893 mv a7,a2 +40009158: 7ff00493 li s1,2047 +4000915c: a85ff06f j 40008be0 <__adddf3+0x144> +40009160: 00000793 li a5,0 +40009164: 00000693 li a3,0 +40009168: c89ff06f j 40008df0 <__adddf3+0x354> +4000916c: fff74713 not a4,a4 +40009170: 02071063 bnez a4,40009190 <__adddf3+0x6f4> +40009174: 41160933 sub s2,a2,a7 +40009178: 40fe87b3 sub a5,t4,a5 +4000917c: 01263633 sltu a2,a2,s2 +40009180: 40c787b3 sub a5,a5,a2 +40009184: 000e0493 mv s1,t3 +40009188: 000f8993 mv s3,t6 +4000918c: 9a9ff06f j 40008b34 <__adddf3+0x98> +40009190: 7ff00693 li a3,2047 +40009194: dede12e3 bne t3,a3,40008f78 <__adddf3+0x4dc> +40009198: 00cee6b3 or a3,t4,a2 +4000919c: 000f8813 mv a6,t6 +400091a0: f80698e3 bnez a3,40009130 <__adddf3+0x694> +400091a4: 00000793 li a5,0 +400091a8: 000e0493 mv s1,t3 +400091ac: c45ff06f j 40008df0 <__adddf3+0x354> +400091b0: 0117e7b3 or a5,a5,a7 +400091b4: 00f036b3 snez a3,a5 +400091b8: 00000713 li a4,0 +400091bc: df1ff06f j 40008fac <__adddf3+0x510> +400091c0: 00058493 mv s1,a1 +400091c4: 00000793 li a5,0 +400091c8: 00000693 li a3,0 +400091cc: c25ff06f j 40008df0 <__adddf3+0x354> +400091d0: 008005b7 lui a1,0x800 +400091d4: 7ff00693 li a3,2047 +400091d8: 40e00733 neg a4,a4 +400091dc: 00b7e7b3 or a5,a5,a1 +400091e0: 18de0863 beq t3,a3,40009370 <__adddf3+0x8d4> +400091e4: 03800693 li a3,56 +400091e8: 1ae6c463 blt a3,a4,40009390 <__adddf3+0x8f4> +400091ec: 01f00693 li a3,31 +400091f0: 1ce6c463 blt a3,a4,400093b8 <__adddf3+0x91c> +400091f4: 02000593 li a1,32 +400091f8: 40e585b3 sub a1,a1,a4 +400091fc: 00b796b3 sll a3,a5,a1 +40009200: 00e8d533 srl a0,a7,a4 +40009204: 00b895b3 sll a1,a7,a1 +40009208: 00a6e6b3 or a3,a3,a0 +4000920c: 00b03933 snez s2,a1 +40009210: 0126e6b3 or a3,a3,s2 +40009214: 00e7d7b3 srl a5,a5,a4 +40009218: 00c68933 add s2,a3,a2 +4000921c: 01d787b3 add a5,a5,t4 +40009220: 00c93633 sltu a2,s2,a2 +40009224: 00c787b3 add a5,a5,a2 +40009228: 000e0493 mv s1,t3 +4000922c: b79ff06f j 40008da4 <__adddf3+0x308> +40009230: 00cee733 or a4,t4,a2 +40009234: 9a0706e3 beqz a4,40008be0 <__adddf3+0x144> +40009238: 40c88933 sub s2,a7,a2 +4000923c: 0128b6b3 sltu a3,a7,s2 +40009240: 41d78733 sub a4,a5,t4 +40009244: 40d70733 sub a4,a4,a3 +40009248: 00871693 slli a3,a4,0x8 +4000924c: 0a06da63 bgez a3,40009300 <__adddf3+0x864> +40009250: 41160933 sub s2,a2,a7 +40009254: 40fe87b3 sub a5,t4,a5 +40009258: 01263633 sltu a2,a2,s2 +4000925c: 40c787b3 sub a5,a5,a2 +40009260: 00797713 andi a4,s2,7 +40009264: 000f8813 mv a6,t6 +40009268: 955ff06f j 40008bbc <__adddf3+0x120> +4000926c: 00cee633 or a2,t4,a2 +40009270: aa060ae3 beqz a2,40008d24 <__adddf3+0x288> +40009274: 00feeeb3 or t4,t4,a5 +40009278: 009e9713 slli a4,t4,0x9 +4000927c: 12074263 bltz a4,400093a0 <__adddf3+0x904> +40009280: 20000737 lui a4,0x20000 +40009284: fff70713 addi a4,a4,-1 # 1fffffff <_heap_size+0x1fffdfff> +40009288: 01d79893 slli a7,a5,0x1d +4000928c: 00a77533 and a0,a4,a0 +40009290: 00a8e533 or a0,a7,a0 +40009294: ff87f793 andi a5,a5,-8 +40009298: 01d55713 srli a4,a0,0x1d +4000929c: 00e7e7b3 or a5,a5,a4 +400092a0: 00351893 slli a7,a0,0x3 +400092a4: 7ff00493 li s1,2047 +400092a8: 939ff06f j 40008be0 <__adddf3+0x144> +400092ac: 00000693 li a3,0 +400092b0: 00048713 mv a4,s1 +400092b4: 00000793 li a5,0 +400092b8: 961ff06f j 40008c18 <__adddf3+0x17c> +400092bc: e8070ae3 beqz a4,40009150 <__adddf3+0x6b4> +400092c0: 00cee633 or a2,t4,a2 +400092c4: a60600e3 beqz a2,40008d24 <__adddf3+0x288> +400092c8: 00feeeb3 or t4,t4,a5 +400092cc: 009e9713 slli a4,t4,0x9 +400092d0: 0c074863 bltz a4,400093a0 <__adddf3+0x904> +400092d4: 20000737 lui a4,0x20000 +400092d8: fff70713 addi a4,a4,-1 # 1fffffff <_heap_size+0x1fffdfff> +400092dc: 01d79893 slli a7,a5,0x1d +400092e0: 00a77533 and a0,a4,a0 +400092e4: 00a8e533 or a0,a7,a0 +400092e8: 01d55713 srli a4,a0,0x1d +400092ec: ff87f793 andi a5,a5,-8 +400092f0: 00f767b3 or a5,a4,a5 +400092f4: 00351893 slli a7,a0,0x3 +400092f8: 7ff00493 li s1,2047 +400092fc: 8e5ff06f j 40008be0 <__adddf3+0x144> +40009300: 00e966b3 or a3,s2,a4 +40009304: 00070793 mv a5,a4 +40009308: a40694e3 bnez a3,40008d50 <__adddf3+0x2b4> +4000930c: 00000793 li a5,0 +40009310: 00000813 li a6,0 +40009314: addff06f j 40008df0 <__adddf3+0x354> +40009318: 02000513 li a0,32 +4000931c: 00e7d6b3 srl a3,a5,a4 +40009320: 00000593 li a1,0 +40009324: 00a70863 beq a4,a0,40009334 <__adddf3+0x898> +40009328: 04000593 li a1,64 +4000932c: 40e58733 sub a4,a1,a4 +40009330: 00e795b3 sll a1,a5,a4 +40009334: 0115e5b3 or a1,a1,a7 +40009338: 00b03933 snez s2,a1 +4000933c: 0126e6b3 or a3,a3,s2 +40009340: 00000713 li a4,0 +40009344: c69ff06f j 40008fac <__adddf3+0x510> +40009348: fff74713 not a4,a4 +4000934c: 00071e63 bnez a4,40009368 <__adddf3+0x8cc> +40009350: 00c88933 add s2,a7,a2 +40009354: 01d787b3 add a5,a5,t4 +40009358: 00c93633 sltu a2,s2,a2 +4000935c: 00c787b3 add a5,a5,a2 +40009360: 000e0493 mv s1,t3 +40009364: a41ff06f j 40008da4 <__adddf3+0x308> +40009368: 7ff00693 li a3,2047 +4000936c: e6de1ce3 bne t3,a3,400091e4 <__adddf3+0x748> +40009370: 00cee6b3 or a3,t4,a2 +40009374: da069ee3 bnez a3,40009130 <__adddf3+0x694> +40009378: e2dff06f j 400091a4 <__adddf3+0x708> +4000937c: 00000813 li a6,0 +40009380: 00030793 mv a5,t1 +40009384: fff00693 li a3,-1 +40009388: 7ff00493 li s1,2047 +4000938c: a65ff06f j 40008df0 <__adddf3+0x354> +40009390: 0117e7b3 or a5,a5,a7 +40009394: 00f036b3 snez a3,a5 +40009398: 00000793 li a5,0 +4000939c: e7dff06f j 40009218 <__adddf3+0x77c> +400093a0: 008007b7 lui a5,0x800 +400093a4: 00000813 li a6,0 +400093a8: ff800893 li a7,-8 +400093ac: fff78793 addi a5,a5,-1 # 7fffff <_heap_size+0x7fdfff> +400093b0: 7ff00493 li s1,2047 +400093b4: 82dff06f j 40008be0 <__adddf3+0x144> +400093b8: 02000513 li a0,32 +400093bc: 00e7d6b3 srl a3,a5,a4 +400093c0: 00000593 li a1,0 +400093c4: 00a70863 beq a4,a0,400093d4 <__adddf3+0x938> +400093c8: 04000593 li a1,64 +400093cc: 40e58733 sub a4,a1,a4 +400093d0: 00e795b3 sll a1,a5,a4 +400093d4: 0115e5b3 or a1,a1,a7 +400093d8: 00b03933 snez s2,a1 +400093dc: 0126e6b3 or a3,a3,s2 +400093e0: 00000793 li a5,0 +400093e4: e35ff06f j 40009218 <__adddf3+0x77c> + +400093e8 <__divdf3>: +400093e8: fc010113 addi sp,sp,-64 +400093ec: 02812c23 sw s0,56(sp) +400093f0: 0145d713 srli a4,a1,0x14 +400093f4: 00100437 lui s0,0x100 +400093f8: 02912a23 sw s1,52(sp) +400093fc: 03312623 sw s3,44(sp) +40009400: 03512223 sw s5,36(sp) +40009404: 01812c23 sw s8,24(sp) +40009408: 00050493 mv s1,a0 +4000940c: 01f5d993 srli s3,a1,0x1f +40009410: fff40413 addi s0,s0,-1 # fffff <_heap_size+0xfdfff> +40009414: 02112e23 sw ra,60(sp) +40009418: 03212823 sw s2,48(sp) +4000941c: 03412423 sw s4,40(sp) +40009420: 03612023 sw s6,32(sp) +40009424: 01712e23 sw s7,28(sp) +40009428: 01912a23 sw s9,20(sp) +4000942c: 7ff77513 andi a0,a4,2047 +40009430: 00060c13 mv s8,a2 +40009434: 00b47433 and s0,s0,a1 +40009438: 00098a93 mv s5,s3 +4000943c: 1c050a63 beqz a0,40009610 <__divdf3+0x228> +40009440: 7ff00793 li a5,2047 +40009444: 08f50a63 beq a0,a5,400094d8 <__divdf3+0xf0> +40009448: 01d4d793 srli a5,s1,0x1d +4000944c: 00800b37 lui s6,0x800 +40009450: 00341413 slli s0,s0,0x3 +40009454: 0167e7b3 or a5,a5,s6 +40009458: 00349913 slli s2,s1,0x3 +4000945c: 0087eb33 or s6,a5,s0 +40009460: c0150a13 addi s4,a0,-1023 +40009464: 00000493 li s1,0 +40009468: 00000c93 li s9,0 +4000946c: 0146d513 srli a0,a3,0x14 +40009470: 00100437 lui s0,0x100 +40009474: fff40413 addi s0,s0,-1 # fffff <_heap_size+0xfdfff> +40009478: 7ff57513 andi a0,a0,2047 +4000947c: 00d47433 and s0,s0,a3 +40009480: 01f6db93 srli s7,a3,0x1f +40009484: 08050463 beqz a0,4000950c <__divdf3+0x124> +40009488: 7ff00793 li a5,2047 +4000948c: 1cf50e63 beq a0,a5,40009668 <__divdf3+0x280> +40009490: 01dc5793 srli a5,s8,0x1d +40009494: 00800737 lui a4,0x800 +40009498: 00e7e7b3 or a5,a5,a4 +4000949c: 00341413 slli s0,s0,0x3 +400094a0: 0087e433 or s0,a5,s0 +400094a4: 003c1693 slli a3,s8,0x3 +400094a8: c0150513 addi a0,a0,-1023 +400094ac: 00000793 li a5,0 +400094b0: 0097e733 or a4,a5,s1 +400094b4: 4000c637 lui a2,0x4000c +400094b8: ab460613 addi a2,a2,-1356 # 4000bab4 +400094bc: 00271713 slli a4,a4,0x2 +400094c0: 00c70733 add a4,a4,a2 +400094c4: 00072703 lw a4,0(a4) # 800000 <_heap_size+0x7fe000> +400094c8: 0179c633 xor a2,s3,s7 +400094cc: 00060593 mv a1,a2 +400094d0: 40aa0533 sub a0,s4,a0 +400094d4: 00070067 jr a4 +400094d8: 00946b33 or s6,s0,s1 +400094dc: 1c0b1863 bnez s6,400096ac <__divdf3+0x2c4> +400094e0: 00050a13 mv s4,a0 +400094e4: 00100437 lui s0,0x100 +400094e8: 0146d513 srli a0,a3,0x14 +400094ec: fff40413 addi s0,s0,-1 # fffff <_heap_size+0xfdfff> +400094f0: 7ff57513 andi a0,a0,2047 +400094f4: 00000913 li s2,0 +400094f8: 00800493 li s1,8 +400094fc: 00200c93 li s9,2 +40009500: 00d47433 and s0,s0,a3 +40009504: 01f6db93 srli s7,a3,0x1f +40009508: f80510e3 bnez a0,40009488 <__divdf3+0xa0> +4000950c: 018466b3 or a3,s0,s8 +40009510: 16068663 beqz a3,4000967c <__divdf3+0x294> +40009514: 26040263 beqz s0,40009778 <__divdf3+0x390> +40009518: 00040513 mv a0,s0 +4000951c: 084020ef jal ra,4000b5a0 <__clzsi2> +40009520: ff550713 addi a4,a0,-11 +40009524: 01c00793 li a5,28 +40009528: 24e7c063 blt a5,a4,40009768 <__divdf3+0x380> +4000952c: 01d00793 li a5,29 +40009530: ff850693 addi a3,a0,-8 +40009534: 40e787b3 sub a5,a5,a4 +40009538: 00d41433 sll s0,s0,a3 +4000953c: 00fc57b3 srl a5,s8,a5 +40009540: 0087e433 or s0,a5,s0 +40009544: 00dc16b3 sll a3,s8,a3 +40009548: c0d00713 li a4,-1011 +4000954c: 40a70533 sub a0,a4,a0 +40009550: 00000793 li a5,0 +40009554: f5dff06f j 400094b0 <__divdf3+0xc8> +40009558: 7ff00713 li a4,2047 +4000955c: 00000793 li a5,0 +40009560: 00000913 li s2,0 +40009564: 001006b7 lui a3,0x100 +40009568: fff68693 addi a3,a3,-1 # fffff <_heap_size+0xfdfff> +4000956c: 00d7f7b3 and a5,a5,a3 +40009570: 801006b7 lui a3,0x80100 +40009574: fff68693 addi a3,a3,-1 # 800fffff <_bss_end+0x400f3977> +40009578: 01471713 slli a4,a4,0x14 +4000957c: 00d7f7b3 and a5,a5,a3 +40009580: 00e7e7b3 or a5,a5,a4 +40009584: 80000737 lui a4,0x80000 +40009588: fff74713 not a4,a4 +4000958c: 03c12083 lw ra,60(sp) +40009590: 01f61613 slli a2,a2,0x1f +40009594: 00e7f7b3 and a5,a5,a4 +40009598: 00c7e7b3 or a5,a5,a2 +4000959c: 00090513 mv a0,s2 +400095a0: 00078593 mv a1,a5 +400095a4: 03812403 lw s0,56(sp) +400095a8: 03412483 lw s1,52(sp) +400095ac: 03012903 lw s2,48(sp) +400095b0: 02c12983 lw s3,44(sp) +400095b4: 02812a03 lw s4,40(sp) +400095b8: 02412a83 lw s5,36(sp) +400095bc: 02012b03 lw s6,32(sp) +400095c0: 01c12b83 lw s7,28(sp) +400095c4: 01812c03 lw s8,24(sp) +400095c8: 01412c83 lw s9,20(sp) +400095cc: 04010113 addi sp,sp,64 +400095d0: 00008067 ret +400095d4: 000b8a93 mv s5,s7 +400095d8: 00040b13 mv s6,s0 +400095dc: 00068913 mv s2,a3 +400095e0: 00078c93 mv s9,a5 +400095e4: 00200793 li a5,2 +400095e8: 60fc8463 beq s9,a5,40009bf0 <__divdf3+0x808> +400095ec: 00300793 li a5,3 +400095f0: 60fc8a63 beq s9,a5,40009c04 <__divdf3+0x81c> +400095f4: 00100793 li a5,1 +400095f8: 50fc9a63 bne s9,a5,40009b0c <__divdf3+0x724> +400095fc: 000a8613 mv a2,s5 +40009600: 00000713 li a4,0 +40009604: 00000793 li a5,0 +40009608: 00000913 li s2,0 +4000960c: f59ff06f j 40009564 <__divdf3+0x17c> +40009610: 00946b33 or s6,s0,s1 +40009614: 080b0263 beqz s6,40009698 <__divdf3+0x2b0> +40009618: 00d12623 sw a3,12(sp) +4000961c: 12040c63 beqz s0,40009754 <__divdf3+0x36c> +40009620: 00040513 mv a0,s0 +40009624: 77d010ef jal ra,4000b5a0 <__clzsi2> +40009628: 00c12683 lw a3,12(sp) +4000962c: ff550b13 addi s6,a0,-11 +40009630: 01c00793 li a5,28 +40009634: 1167c863 blt a5,s6,40009744 <__divdf3+0x35c> +40009638: 01d00793 li a5,29 +4000963c: ff850913 addi s2,a0,-8 +40009640: 416787b3 sub a5,a5,s6 +40009644: 01241433 sll s0,s0,s2 +40009648: 00f4d7b3 srl a5,s1,a5 +4000964c: 0087eb33 or s6,a5,s0 +40009650: 01249933 sll s2,s1,s2 +40009654: c0d00a13 li s4,-1011 +40009658: 40aa0a33 sub s4,s4,a0 +4000965c: 00000493 li s1,0 +40009660: 00000c93 li s9,0 +40009664: e09ff06f j 4000946c <__divdf3+0x84> +40009668: 018466b3 or a3,s0,s8 +4000966c: 02069063 bnez a3,4000968c <__divdf3+0x2a4> +40009670: 00000413 li s0,0 +40009674: 00200793 li a5,2 +40009678: e39ff06f j 400094b0 <__divdf3+0xc8> +4000967c: 00000413 li s0,0 +40009680: 00000513 li a0,0 +40009684: 00100793 li a5,1 +40009688: e29ff06f j 400094b0 <__divdf3+0xc8> +4000968c: 000c0693 mv a3,s8 +40009690: 00300793 li a5,3 +40009694: e1dff06f j 400094b0 <__divdf3+0xc8> +40009698: 00000913 li s2,0 +4000969c: 00400493 li s1,4 +400096a0: 00000a13 li s4,0 +400096a4: 00100c93 li s9,1 +400096a8: dc5ff06f j 4000946c <__divdf3+0x84> +400096ac: 00048913 mv s2,s1 +400096b0: 00040b13 mv s6,s0 +400096b4: 00c00493 li s1,12 +400096b8: 00050a13 mv s4,a0 +400096bc: 00300c93 li s9,3 +400096c0: dadff06f j 4000946c <__divdf3+0x84> +400096c4: 00100737 lui a4,0x100 +400096c8: fff70793 addi a5,a4,-1 # fffff <_heap_size+0xfdfff> +400096cc: 00000613 li a2,0 +400096d0: fff00913 li s2,-1 +400096d4: 7ff00713 li a4,2047 +400096d8: e8dff06f j 40009564 <__divdf3+0x17c> +400096dc: 40e40433 sub s0,s0,a4 +400096e0: 03800793 li a5,56 +400096e4: 4887d463 ble s0,a5,40009b6c <__divdf3+0x784> +400096e8: 0015f613 andi a2,a1,1 +400096ec: 00000713 li a4,0 +400096f0: 00000793 li a5,0 +400096f4: 00000913 li s2,0 +400096f8: e6dff06f j 40009564 <__divdf3+0x17c> +400096fc: 09646863 bltu s0,s6,4000978c <__divdf3+0x3a4> +40009700: 088b0463 beq s6,s0,40009788 <__divdf3+0x3a0> +40009704: 00090613 mv a2,s2 +40009708: fff50513 addi a0,a0,-1 +4000970c: 000b0793 mv a5,s6 +40009710: 00000913 li s2,0 +40009714: 08c0006f j 400097a0 <__divdf3+0x3b8> +40009718: 008b6433 or s0,s6,s0 +4000971c: 00c41793 slli a5,s0,0xc +40009720: fa07c2e3 bltz a5,400096c4 <__divdf3+0x2dc> +40009724: 000807b7 lui a5,0x80 +40009728: 00100737 lui a4,0x100 +4000972c: fff70713 addi a4,a4,-1 # fffff <_heap_size+0xfdfff> +40009730: 00fb67b3 or a5,s6,a5 +40009734: 00e7f7b3 and a5,a5,a4 +40009738: 00098613 mv a2,s3 +4000973c: 7ff00713 li a4,2047 +40009740: e25ff06f j 40009564 <__divdf3+0x17c> +40009744: fd850413 addi s0,a0,-40 +40009748: 00849b33 sll s6,s1,s0 +4000974c: 00000913 li s2,0 +40009750: f05ff06f j 40009654 <__divdf3+0x26c> +40009754: 00048513 mv a0,s1 +40009758: 649010ef jal ra,4000b5a0 <__clzsi2> +4000975c: 02050513 addi a0,a0,32 +40009760: 00c12683 lw a3,12(sp) +40009764: ec9ff06f j 4000962c <__divdf3+0x244> +40009768: fd850413 addi s0,a0,-40 +4000976c: 008c1433 sll s0,s8,s0 +40009770: 00000693 li a3,0 +40009774: dd5ff06f j 40009548 <__divdf3+0x160> +40009778: 000c0513 mv a0,s8 +4000977c: 625010ef jal ra,4000b5a0 <__clzsi2> +40009780: 02050513 addi a0,a0,32 +40009784: d9dff06f j 40009520 <__divdf3+0x138> +40009788: f6d96ee3 bltu s2,a3,40009704 <__divdf3+0x31c> +4000978c: 00195713 srli a4,s2,0x1 +40009790: 01fb1613 slli a2,s6,0x1f +40009794: 001b5793 srli a5,s6,0x1 +40009798: 00e66633 or a2,a2,a4 +4000979c: 01f91913 slli s2,s2,0x1f +400097a0: 0186d813 srli a6,a3,0x18 +400097a4: 00841413 slli s0,s0,0x8 +400097a8: 00886833 or a6,a6,s0 +400097ac: 01085893 srli a7,a6,0x10 +400097b0: 0317de33 divu t3,a5,a7 +400097b4: 01081313 slli t1,a6,0x10 +400097b8: 01035313 srli t1,t1,0x10 +400097bc: 01065713 srli a4,a2,0x10 +400097c0: 00869693 slli a3,a3,0x8 +400097c4: 0317f7b3 remu a5,a5,a7 +400097c8: 03c30eb3 mul t4,t1,t3 +400097cc: 01079793 slli a5,a5,0x10 +400097d0: 00f76733 or a4,a4,a5 +400097d4: 01d77e63 bleu t4,a4,400097f0 <__divdf3+0x408> +400097d8: 01070733 add a4,a4,a6 +400097dc: fffe0793 addi a5,t3,-1 +400097e0: 25076e63 bltu a4,a6,40009a3c <__divdf3+0x654> +400097e4: 25d77c63 bleu t4,a4,40009a3c <__divdf3+0x654> +400097e8: ffee0e13 addi t3,t3,-2 +400097ec: 01070733 add a4,a4,a6 +400097f0: 41d70733 sub a4,a4,t4 +400097f4: 03175433 divu s0,a4,a7 +400097f8: 01061613 slli a2,a2,0x10 +400097fc: 01065613 srli a2,a2,0x10 +40009800: 03177733 remu a4,a4,a7 +40009804: 02830f33 mul t5,t1,s0 +40009808: 01071713 slli a4,a4,0x10 +4000980c: 00e66733 or a4,a2,a4 +40009810: 01e77e63 bleu t5,a4,4000982c <__divdf3+0x444> +40009814: 01070733 add a4,a4,a6 +40009818: fff40793 addi a5,s0,-1 +4000981c: 21076c63 bltu a4,a6,40009a34 <__divdf3+0x64c> +40009820: 21e77a63 bleu t5,a4,40009a34 <__divdf3+0x64c> +40009824: ffe40413 addi s0,s0,-2 +40009828: 01070733 add a4,a4,a6 +4000982c: 010e1e13 slli t3,t3,0x10 +40009830: 000104b7 lui s1,0x10 +40009834: 008e6b33 or s6,t3,s0 +40009838: fff48e13 addi t3,s1,-1 # ffff <_heap_size+0xdfff> +4000983c: 01cb7fb3 and t6,s6,t3 +40009840: 010b5293 srli t0,s6,0x10 +40009844: 0106de93 srli t4,a3,0x10 +40009848: 01c6fe33 and t3,a3,t3 +4000984c: 03c28633 mul a2,t0,t3 +40009850: 41e70f33 sub t5,a4,t5 +40009854: 03cf83b3 mul t2,t6,t3 +40009858: 03fe87b3 mul a5,t4,t6 +4000985c: 0103d713 srli a4,t2,0x10 +40009860: 00c787b3 add a5,a5,a2 +40009864: 00f707b3 add a5,a4,a5 +40009868: 03d282b3 mul t0,t0,t4 +4000986c: 00c7f463 bleu a2,a5,40009874 <__divdf3+0x48c> +40009870: 009282b3 add t0,t0,s1 +40009874: 00010637 lui a2,0x10 +40009878: fff60613 addi a2,a2,-1 # ffff <_heap_size+0xdfff> +4000987c: 0107d713 srli a4,a5,0x10 +40009880: 00c7f7b3 and a5,a5,a2 +40009884: 01079793 slli a5,a5,0x10 +40009888: 00c3f3b3 and t2,t2,a2 +4000988c: 005702b3 add t0,a4,t0 +40009890: 007787b3 add a5,a5,t2 +40009894: 145f6a63 bltu t5,t0,400099e8 <__divdf3+0x600> +40009898: 405f0733 sub a4,t5,t0 +4000989c: 145f0263 beq t5,t0,400099e0 <__divdf3+0x5f8> +400098a0: 40f907b3 sub a5,s2,a5 +400098a4: 00f93933 sltu s2,s2,a5 +400098a8: 41270733 sub a4,a4,s2 +400098ac: 1ce80463 beq a6,a4,40009a74 <__divdf3+0x68c> +400098b0: 03175f33 divu t5,a4,a7 +400098b4: 0107d613 srli a2,a5,0x10 +400098b8: 03177733 remu a4,a4,a7 +400098bc: 03e30fb3 mul t6,t1,t5 +400098c0: 01071713 slli a4,a4,0x10 +400098c4: 00e66733 or a4,a2,a4 +400098c8: 01f77e63 bleu t6,a4,400098e4 <__divdf3+0x4fc> +400098cc: 01070733 add a4,a4,a6 +400098d0: ffff0613 addi a2,t5,-1 +400098d4: 25076463 bltu a4,a6,40009b1c <__divdf3+0x734> +400098d8: 25f77263 bleu t6,a4,40009b1c <__divdf3+0x734> +400098dc: ffef0f13 addi t5,t5,-2 +400098e0: 01070733 add a4,a4,a6 +400098e4: 41f70733 sub a4,a4,t6 +400098e8: 03175933 divu s2,a4,a7 +400098ec: 01079793 slli a5,a5,0x10 +400098f0: 0107d793 srli a5,a5,0x10 +400098f4: 03177733 remu a4,a4,a7 +400098f8: 03230333 mul t1,t1,s2 +400098fc: 01071713 slli a4,a4,0x10 +40009900: 00e7e7b3 or a5,a5,a4 +40009904: 0067fe63 bleu t1,a5,40009920 <__divdf3+0x538> +40009908: 010787b3 add a5,a5,a6 +4000990c: fff90713 addi a4,s2,-1 +40009910: 2107e263 bltu a5,a6,40009b14 <__divdf3+0x72c> +40009914: 2067f063 bleu t1,a5,40009b14 <__divdf3+0x72c> +40009918: ffe90913 addi s2,s2,-2 +4000991c: 010787b3 add a5,a5,a6 +40009920: 010f1f13 slli t5,t5,0x10 +40009924: 012f6933 or s2,t5,s2 +40009928: 01091613 slli a2,s2,0x10 +4000992c: 01095f13 srli t5,s2,0x10 +40009930: 01065613 srli a2,a2,0x10 +40009934: 02ce08b3 mul a7,t3,a2 +40009938: 406787b3 sub a5,a5,t1 +4000993c: 02ce8633 mul a2,t4,a2 +40009940: 0108d713 srli a4,a7,0x10 +40009944: 03cf0e33 mul t3,t5,t3 +40009948: 01c60633 add a2,a2,t3 +4000994c: 00c70733 add a4,a4,a2 +40009950: 03ee8eb3 mul t4,t4,t5 +40009954: 01c77663 bleu t3,a4,40009960 <__divdf3+0x578> +40009958: 00010637 lui a2,0x10 +4000995c: 00ce8eb3 add t4,t4,a2 +40009960: 00010337 lui t1,0x10 +40009964: fff30313 addi t1,t1,-1 # ffff <_heap_size+0xdfff> +40009968: 01075613 srli a2,a4,0x10 +4000996c: 00677733 and a4,a4,t1 +40009970: 01071713 slli a4,a4,0x10 +40009974: 0068f8b3 and a7,a7,t1 +40009978: 01d60eb3 add t4,a2,t4 +4000997c: 01170733 add a4,a4,a7 +40009980: 09d7fa63 bleu t4,a5,40009a14 <__divdf3+0x62c> +40009984: 00f807b3 add a5,a6,a5 +40009988: fff90613 addi a2,s2,-1 +4000998c: 1907fc63 bleu a6,a5,40009b24 <__divdf3+0x73c> +40009990: 00060913 mv s2,a2 +40009994: 0bd78c63 beq a5,t4,40009a4c <__divdf3+0x664> +40009998: 00196913 ori s2,s2,1 +4000999c: 3ff50713 addi a4,a0,1023 +400099a0: 0ee05063 blez a4,40009a80 <__divdf3+0x698> +400099a4: 00797793 andi a5,s2,7 +400099a8: 14079263 bnez a5,40009aec <__divdf3+0x704> +400099ac: 007b1793 slli a5,s6,0x7 +400099b0: 0007da63 bgez a5,400099c4 <__divdf3+0x5dc> +400099b4: ff0007b7 lui a5,0xff000 +400099b8: fff78793 addi a5,a5,-1 # feffffff <_bss_end+0xbeff3977> +400099bc: 00fb7b33 and s6,s6,a5 +400099c0: 40050713 addi a4,a0,1024 +400099c4: 7fe00793 li a5,2046 +400099c8: 08e7d663 ble a4,a5,40009a54 <__divdf3+0x66c> +400099cc: 0015f613 andi a2,a1,1 +400099d0: 7ff00713 li a4,2047 +400099d4: 00000793 li a5,0 +400099d8: 00000913 li s2,0 +400099dc: b89ff06f j 40009564 <__divdf3+0x17c> +400099e0: 00000713 li a4,0 +400099e4: eaf97ee3 bleu a5,s2,400098a0 <__divdf3+0x4b8> +400099e8: 00d90933 add s2,s2,a3 +400099ec: 00d93633 sltu a2,s2,a3 +400099f0: 01060633 add a2,a2,a6 +400099f4: 01e60633 add a2,a2,t5 +400099f8: fffb0f13 addi t5,s6,-1 # 7fffff <_heap_size+0x7fdfff> +400099fc: 02c87263 bleu a2,a6,40009a20 <__divdf3+0x638> +40009a00: 12566a63 bltu a2,t0,40009b34 <__divdf3+0x74c> +40009a04: 1cc28e63 beq t0,a2,40009be0 <__divdf3+0x7f8> +40009a08: 40560733 sub a4,a2,t0 +40009a0c: 000f0b13 mv s6,t5 +40009a10: e91ff06f j 400098a0 <__divdf3+0x4b8> +40009a14: f9d792e3 bne a5,t4,40009998 <__divdf3+0x5b0> +40009a18: f80702e3 beqz a4,4000999c <__divdf3+0x5b4> +40009a1c: f69ff06f j 40009984 <__divdf3+0x59c> +40009a20: fec814e3 bne a6,a2,40009a08 <__divdf3+0x620> +40009a24: fcd97ee3 bleu a3,s2,40009a00 <__divdf3+0x618> +40009a28: 40580733 sub a4,a6,t0 +40009a2c: 000f0b13 mv s6,t5 +40009a30: e71ff06f j 400098a0 <__divdf3+0x4b8> +40009a34: 00078413 mv s0,a5 +40009a38: df5ff06f j 4000982c <__divdf3+0x444> +40009a3c: 00078e13 mv t3,a5 +40009a40: db1ff06f j 400097f0 <__divdf3+0x408> +40009a44: 10e6e663 bltu a3,a4,40009b50 <__divdf3+0x768> +40009a48: 00060913 mv s2,a2 +40009a4c: f4d716e3 bne a4,a3,40009998 <__divdf3+0x5b0> +40009a50: f4dff06f j 4000999c <__divdf3+0x5b4> +40009a54: 00395913 srli s2,s2,0x3 +40009a58: 01db1693 slli a3,s6,0x1d +40009a5c: 009b1793 slli a5,s6,0x9 +40009a60: 0126e933 or s2,a3,s2 +40009a64: 00c7d793 srli a5,a5,0xc +40009a68: 7ff77713 andi a4,a4,2047 +40009a6c: 0015f613 andi a2,a1,1 +40009a70: af5ff06f j 40009564 <__divdf3+0x17c> +40009a74: 3ff50713 addi a4,a0,1023 +40009a78: fff00913 li s2,-1 +40009a7c: 06e04e63 bgtz a4,40009af8 <__divdf3+0x710> +40009a80: 00100413 li s0,1 +40009a84: c4071ce3 bnez a4,400096dc <__divdf3+0x2f4> +40009a88: 02000793 li a5,32 +40009a8c: 408787b3 sub a5,a5,s0 +40009a90: 00fb1733 sll a4,s6,a5 +40009a94: 008956b3 srl a3,s2,s0 +40009a98: 00f917b3 sll a5,s2,a5 +40009a9c: 00f037b3 snez a5,a5 +40009aa0: 00d76733 or a4,a4,a3 +40009aa4: 00f76733 or a4,a4,a5 +40009aa8: 00777793 andi a5,a4,7 +40009aac: 008b5433 srl s0,s6,s0 +40009ab0: 02078063 beqz a5,40009ad0 <__divdf3+0x6e8> +40009ab4: 00f77793 andi a5,a4,15 +40009ab8: 00400693 li a3,4 +40009abc: 00d78a63 beq a5,a3,40009ad0 <__divdf3+0x6e8> +40009ac0: 00470793 addi a5,a4,4 +40009ac4: 00e7b733 sltu a4,a5,a4 +40009ac8: 00e40433 add s0,s0,a4 +40009acc: 00078713 mv a4,a5 +40009ad0: 00841793 slli a5,s0,0x8 +40009ad4: 0e07d663 bgez a5,40009bc0 <__divdf3+0x7d8> +40009ad8: 0015f613 andi a2,a1,1 +40009adc: 00100713 li a4,1 +40009ae0: 00000793 li a5,0 +40009ae4: 00000913 li s2,0 +40009ae8: a7dff06f j 40009564 <__divdf3+0x17c> +40009aec: 00f97793 andi a5,s2,15 +40009af0: 00400693 li a3,4 +40009af4: ead78ce3 beq a5,a3,400099ac <__divdf3+0x5c4> +40009af8: 00490793 addi a5,s2,4 +40009afc: 0127b933 sltu s2,a5,s2 +40009b00: 012b0b33 add s6,s6,s2 +40009b04: 00078913 mv s2,a5 +40009b08: ea5ff06f j 400099ac <__divdf3+0x5c4> +40009b0c: 000a8593 mv a1,s5 +40009b10: e8dff06f j 4000999c <__divdf3+0x5b4> +40009b14: 00070913 mv s2,a4 +40009b18: e09ff06f j 40009920 <__divdf3+0x538> +40009b1c: 00060f13 mv t5,a2 +40009b20: dc5ff06f j 400098e4 <__divdf3+0x4fc> +40009b24: 03d7e663 bltu a5,t4,40009b50 <__divdf3+0x768> +40009b28: f0fe8ee3 beq t4,a5,40009a44 <__divdf3+0x65c> +40009b2c: 00060913 mv s2,a2 +40009b30: e69ff06f j 40009998 <__divdf3+0x5b0> +40009b34: 00d90933 add s2,s2,a3 +40009b38: 00d93733 sltu a4,s2,a3 +40009b3c: 01070733 add a4,a4,a6 +40009b40: 00c70733 add a4,a4,a2 +40009b44: ffeb0b13 addi s6,s6,-2 +40009b48: 40570733 sub a4,a4,t0 +40009b4c: d55ff06f j 400098a0 <__divdf3+0x4b8> +40009b50: 00169893 slli a7,a3,0x1 +40009b54: 00d8b6b3 sltu a3,a7,a3 +40009b58: 01068833 add a6,a3,a6 +40009b5c: ffe90613 addi a2,s2,-2 +40009b60: 010787b3 add a5,a5,a6 +40009b64: 00088693 mv a3,a7 +40009b68: e29ff06f j 40009990 <__divdf3+0x5a8> +40009b6c: 01f00793 li a5,31 +40009b70: f087dce3 ble s0,a5,40009a88 <__divdf3+0x6a0> +40009b74: fe100793 li a5,-31 +40009b78: 40e78733 sub a4,a5,a4 +40009b7c: 02000693 li a3,32 +40009b80: 00eb5733 srl a4,s6,a4 +40009b84: 00000793 li a5,0 +40009b88: 00d40863 beq s0,a3,40009b98 <__divdf3+0x7b0> +40009b8c: 04000793 li a5,64 +40009b90: 40878433 sub s0,a5,s0 +40009b94: 008b17b3 sll a5,s6,s0 +40009b98: 0127e7b3 or a5,a5,s2 +40009b9c: 00f037b3 snez a5,a5 +40009ba0: 00f76733 or a4,a4,a5 +40009ba4: 00777413 andi s0,a4,7 +40009ba8: 00000793 li a5,0 +40009bac: 02040063 beqz s0,40009bcc <__divdf3+0x7e4> +40009bb0: 00f77793 andi a5,a4,15 +40009bb4: 00400693 li a3,4 +40009bb8: 00000413 li s0,0 +40009bbc: f0d792e3 bne a5,a3,40009ac0 <__divdf3+0x6d8> +40009bc0: 00941793 slli a5,s0,0x9 +40009bc4: 00c7d793 srli a5,a5,0xc +40009bc8: 01d41413 slli s0,s0,0x1d +40009bcc: 00375713 srli a4,a4,0x3 +40009bd0: 00876933 or s2,a4,s0 +40009bd4: 0015f613 andi a2,a1,1 +40009bd8: 00000713 li a4,0 +40009bdc: 989ff06f j 40009564 <__divdf3+0x17c> +40009be0: f4f96ae3 bltu s2,a5,40009b34 <__divdf3+0x74c> +40009be4: 000f0b13 mv s6,t5 +40009be8: 00000713 li a4,0 +40009bec: cb5ff06f j 400098a0 <__divdf3+0x4b8> +40009bf0: 000a8613 mv a2,s5 +40009bf4: 7ff00713 li a4,2047 +40009bf8: 00000793 li a5,0 +40009bfc: 00000913 li s2,0 +40009c00: 965ff06f j 40009564 <__divdf3+0x17c> +40009c04: 00080737 lui a4,0x80 +40009c08: 00eb67b3 or a5,s6,a4 +40009c0c: 00100737 lui a4,0x100 +40009c10: fff70713 addi a4,a4,-1 # fffff <_heap_size+0xfdfff> +40009c14: 00e7f7b3 and a5,a5,a4 +40009c18: 000a8613 mv a2,s5 +40009c1c: 7ff00713 li a4,2047 +40009c20: 945ff06f j 40009564 <__divdf3+0x17c> + +40009c24 <__eqdf2>: +40009c24: 0145d713 srli a4,a1,0x14 +40009c28: 001007b7 lui a5,0x100 +40009c2c: fff78793 addi a5,a5,-1 # fffff <_heap_size+0xfdfff> +40009c30: 0146d813 srli a6,a3,0x14 +40009c34: 7ff00893 li a7,2047 +40009c38: 7ff77713 andi a4,a4,2047 +40009c3c: 00b7fe33 and t3,a5,a1 +40009c40: 00050313 mv t1,a0 +40009c44: 00d7f7b3 and a5,a5,a3 +40009c48: 00050e93 mv t4,a0 +40009c4c: 01f5d593 srli a1,a1,0x1f +40009c50: 00060f13 mv t5,a2 +40009c54: 01187833 and a6,a6,a7 +40009c58: 01f6d693 srli a3,a3,0x1f +40009c5c: 01170a63 beq a4,a7,40009c70 <__eqdf2+0x4c> +40009c60: 00100513 li a0,1 +40009c64: 01180463 beq a6,a7,40009c6c <__eqdf2+0x48> +40009c68: 03070063 beq a4,a6,40009c88 <__eqdf2+0x64> +40009c6c: 00008067 ret +40009c70: 00ae68b3 or a7,t3,a0 +40009c74: 00100513 li a0,1 +40009c78: fe089ae3 bnez a7,40009c6c <__eqdf2+0x48> +40009c7c: fee818e3 bne a6,a4,40009c6c <__eqdf2+0x48> +40009c80: 00c7e633 or a2,a5,a2 +40009c84: fe0614e3 bnez a2,40009c6c <__eqdf2+0x48> +40009c88: 00100513 li a0,1 +40009c8c: fefe10e3 bne t3,a5,40009c6c <__eqdf2+0x48> +40009c90: fdee9ee3 bne t4,t5,40009c6c <__eqdf2+0x48> +40009c94: 00000513 li a0,0 +40009c98: fcd58ae3 beq a1,a3,40009c6c <__eqdf2+0x48> +40009c9c: 00100513 li a0,1 +40009ca0: fc0716e3 bnez a4,40009c6c <__eqdf2+0x48> +40009ca4: 006e6533 or a0,t3,t1 +40009ca8: 00a03533 snez a0,a0 +40009cac: 00008067 ret + +40009cb0 <__gedf2>: +40009cb0: 0145d713 srli a4,a1,0x14 +40009cb4: 001007b7 lui a5,0x100 +40009cb8: fff78793 addi a5,a5,-1 # fffff <_heap_size+0xfdfff> +40009cbc: 00050893 mv a7,a0 +40009cc0: 0146d813 srli a6,a3,0x14 +40009cc4: 7ff00513 li a0,2047 +40009cc8: 7ff77713 andi a4,a4,2047 +40009ccc: 00b7f333 and t1,a5,a1 +40009cd0: 00088e93 mv t4,a7 +40009cd4: 00d7f7b3 and a5,a5,a3 +40009cd8: 01f5d593 srli a1,a1,0x1f +40009cdc: 00060f13 mv t5,a2 +40009ce0: 00a87833 and a6,a6,a0 +40009ce4: 01f6d693 srli a3,a3,0x1f +40009ce8: 06a70a63 beq a4,a0,40009d5c <__gedf2+0xac> +40009cec: 7ff00513 li a0,2047 +40009cf0: 04a80463 beq a6,a0,40009d38 <__gedf2+0x88> +40009cf4: 02071263 bnez a4,40009d18 <__gedf2+0x68> +40009cf8: 011368b3 or a7,t1,a7 +40009cfc: 0018be13 seqz t3,a7 +40009d00: 04081663 bnez a6,40009d4c <__gedf2+0x9c> +40009d04: 00c7e633 or a2,a5,a2 +40009d08: 04061263 bnez a2,40009d4c <__gedf2+0x9c> +40009d0c: 00000513 li a0,0 +40009d10: 00089c63 bnez a7,40009d28 <__gedf2+0x78> +40009d14: 00008067 ret +40009d18: 00081663 bnez a6,40009d24 <__gedf2+0x74> +40009d1c: 00c7e633 or a2,a5,a2 +40009d20: 00060463 beqz a2,40009d28 <__gedf2+0x78> +40009d24: 04d58463 beq a1,a3,40009d6c <__gedf2+0xbc> +40009d28: 00b035b3 snez a1,a1 +40009d2c: 40b005b3 neg a1,a1 +40009d30: 0015e513 ori a0,a1,1 +40009d34: 00008067 ret +40009d38: 00c7ee33 or t3,a5,a2 +40009d3c: ffe00513 li a0,-2 +40009d40: fc0e1ae3 bnez t3,40009d14 <__gedf2+0x64> +40009d44: fc071ae3 bnez a4,40009d18 <__gedf2+0x68> +40009d48: fb1ff06f j 40009cf8 <__gedf2+0x48> +40009d4c: fff68513 addi a0,a3,-1 +40009d50: 00156513 ori a0,a0,1 +40009d54: fc0e08e3 beqz t3,40009d24 <__gedf2+0x74> +40009d58: 00008067 ret +40009d5c: 01136e33 or t3,t1,a7 +40009d60: ffe00513 li a0,-2 +40009d64: f80e04e3 beqz t3,40009cec <__gedf2+0x3c> +40009d68: 00008067 ret +40009d6c: 02e84063 blt a6,a4,40009d8c <__gedf2+0xdc> +40009d70: 01074863 blt a4,a6,40009d80 <__gedf2+0xd0> +40009d74: 0067ec63 bltu a5,t1,40009d8c <__gedf2+0xdc> +40009d78: 02f30663 beq t1,a5,40009da4 <__gedf2+0xf4> +40009d7c: 02f37063 bleu a5,t1,40009d9c <__gedf2+0xec> +40009d80: fff58593 addi a1,a1,-1 # 7fffff <_heap_size+0x7fdfff> +40009d84: 0015e513 ori a0,a1,1 +40009d88: 00008067 ret +40009d8c: 00b035b3 snez a1,a1 +40009d90: 40b007b3 neg a5,a1 +40009d94: 0017e513 ori a0,a5,1 +40009d98: 00008067 ret +40009d9c: 00000513 li a0,0 +40009da0: 00008067 ret +40009da4: ffdf64e3 bltu t5,t4,40009d8c <__gedf2+0xdc> +40009da8: 00000513 li a0,0 +40009dac: fdeeeae3 bltu t4,t5,40009d80 <__gedf2+0xd0> +40009db0: f65ff06f j 40009d14 <__gedf2+0x64> + +40009db4 <__ledf2>: +40009db4: 0145d713 srli a4,a1,0x14 +40009db8: 001007b7 lui a5,0x100 +40009dbc: fff78793 addi a5,a5,-1 # fffff <_heap_size+0xfdfff> +40009dc0: 00050893 mv a7,a0 +40009dc4: 0146d813 srli a6,a3,0x14 +40009dc8: 7ff00513 li a0,2047 +40009dcc: 7ff77713 andi a4,a4,2047 +40009dd0: 00b7f333 and t1,a5,a1 +40009dd4: 00088e93 mv t4,a7 +40009dd8: 00d7f7b3 and a5,a5,a3 +40009ddc: 01f5d593 srli a1,a1,0x1f +40009de0: 00060f13 mv t5,a2 +40009de4: 00a87833 and a6,a6,a0 +40009de8: 01f6d693 srli a3,a3,0x1f +40009dec: 06a70463 beq a4,a0,40009e54 <__ledf2+0xa0> +40009df0: 7ff00513 li a0,2047 +40009df4: 04a80063 beq a6,a0,40009e34 <__ledf2+0x80> +40009df8: 02071263 bnez a4,40009e1c <__ledf2+0x68> +40009dfc: 011368b3 or a7,t1,a7 +40009e00: 0018be13 seqz t3,a7 +40009e04: 04081063 bnez a6,40009e44 <__ledf2+0x90> +40009e08: 00c7e633 or a2,a5,a2 +40009e0c: 02061c63 bnez a2,40009e44 <__ledf2+0x90> +40009e10: 00000513 li a0,0 +40009e14: 00089863 bnez a7,40009e24 <__ledf2+0x70> +40009e18: 00008067 ret +40009e1c: 04080463 beqz a6,40009e64 <__ledf2+0xb0> +40009e20: 04d58863 beq a1,a3,40009e70 <__ledf2+0xbc> +40009e24: 00b035b3 snez a1,a1 +40009e28: 40b005b3 neg a1,a1 +40009e2c: 0015e513 ori a0,a1,1 +40009e30: 00008067 ret +40009e34: 00c7ee33 or t3,a5,a2 +40009e38: 00200513 li a0,2 +40009e3c: fa0e0ee3 beqz t3,40009df8 <__ledf2+0x44> +40009e40: 00008067 ret +40009e44: fff68513 addi a0,a3,-1 +40009e48: 00156513 ori a0,a0,1 +40009e4c: fc0e0ae3 beqz t3,40009e20 <__ledf2+0x6c> +40009e50: 00008067 ret +40009e54: 01136e33 or t3,t1,a7 +40009e58: 00200513 li a0,2 +40009e5c: f80e0ae3 beqz t3,40009df0 <__ledf2+0x3c> +40009e60: 00008067 ret +40009e64: 00c7e633 or a2,a5,a2 +40009e68: fa061ce3 bnez a2,40009e20 <__ledf2+0x6c> +40009e6c: fb9ff06f j 40009e24 <__ledf2+0x70> +40009e70: 02e84063 blt a6,a4,40009e90 <__ledf2+0xdc> +40009e74: 01074863 blt a4,a6,40009e84 <__ledf2+0xd0> +40009e78: 0067ec63 bltu a5,t1,40009e90 <__ledf2+0xdc> +40009e7c: 02f30663 beq t1,a5,40009ea8 <__ledf2+0xf4> +40009e80: 02f37063 bleu a5,t1,40009ea0 <__ledf2+0xec> +40009e84: fff58593 addi a1,a1,-1 +40009e88: 0015e513 ori a0,a1,1 +40009e8c: 00008067 ret +40009e90: 00b035b3 snez a1,a1 +40009e94: 40b007b3 neg a5,a1 +40009e98: 0017e513 ori a0,a5,1 +40009e9c: 00008067 ret +40009ea0: 00000513 li a0,0 +40009ea4: 00008067 ret +40009ea8: ffdf64e3 bltu t5,t4,40009e90 <__ledf2+0xdc> +40009eac: 00000513 li a0,0 +40009eb0: fdeeeae3 bltu t4,t5,40009e84 <__ledf2+0xd0> +40009eb4: f65ff06f j 40009e18 <__ledf2+0x64> + +40009eb8 <__muldf3>: +40009eb8: fc010113 addi sp,sp,-64 +40009ebc: 02812c23 sw s0,56(sp) +40009ec0: 0145d813 srli a6,a1,0x14 +40009ec4: 00100437 lui s0,0x100 +40009ec8: 03212823 sw s2,48(sp) +40009ecc: 03612023 sw s6,32(sp) +40009ed0: 01712e23 sw s7,28(sp) +40009ed4: fff40413 addi s0,s0,-1 # fffff <_heap_size+0xfdfff> +40009ed8: 02112e23 sw ra,60(sp) +40009edc: 02912a23 sw s1,52(sp) +40009ee0: 03312623 sw s3,44(sp) +40009ee4: 03412423 sw s4,40(sp) +40009ee8: 03512223 sw s5,36(sp) +40009eec: 01812c23 sw s8,24(sp) +40009ef0: 7ff87813 andi a6,a6,2047 +40009ef4: 00050913 mv s2,a0 +40009ef8: 00060b93 mv s7,a2 +40009efc: 00b47433 and s0,s0,a1 +40009f00: 01f5db13 srli s6,a1,0x1f +40009f04: 1c080863 beqz a6,4000a0d4 <__muldf3+0x21c> +40009f08: 7ff00793 li a5,2047 +40009f0c: 08f80e63 beq a6,a5,40009fa8 <__muldf3+0xf0> +40009f10: 01d55793 srli a5,a0,0x1d +40009f14: 00800737 lui a4,0x800 +40009f18: 00341413 slli s0,s0,0x3 +40009f1c: 00e7e7b3 or a5,a5,a4 +40009f20: 0087e433 or s0,a5,s0 +40009f24: 00351a93 slli s5,a0,0x3 +40009f28: c0180993 addi s3,a6,-1023 +40009f2c: 00000913 li s2,0 +40009f30: 00000c13 li s8,0 +40009f34: 0146d513 srli a0,a3,0x14 +40009f38: 001004b7 lui s1,0x100 +40009f3c: fff48493 addi s1,s1,-1 # fffff <_heap_size+0xfdfff> +40009f40: 7ff57513 andi a0,a0,2047 +40009f44: 00d4f4b3 and s1,s1,a3 +40009f48: 01f6da13 srli s4,a3,0x1f +40009f4c: 08050863 beqz a0,40009fdc <__muldf3+0x124> +40009f50: 7ff00793 li a5,2047 +40009f54: 1cf50c63 beq a0,a5,4000a12c <__muldf3+0x274> +40009f58: 01dbd793 srli a5,s7,0x1d +40009f5c: 00800737 lui a4,0x800 +40009f60: 00349493 slli s1,s1,0x3 +40009f64: 00e7e7b3 or a5,a5,a4 +40009f68: 0097e4b3 or s1,a5,s1 +40009f6c: 003b9813 slli a6,s7,0x3 +40009f70: c0150513 addi a0,a0,-1023 +40009f74: 00000693 li a3,0 +40009f78: 00a98533 add a0,s3,a0 +40009f7c: 0126e7b3 or a5,a3,s2 +40009f80: 00f00713 li a4,15 +40009f84: 014b4633 xor a2,s6,s4 +40009f88: 00150593 addi a1,a0,1 +40009f8c: 22f76063 bltu a4,a5,4000a1ac <__muldf3+0x2f4> +40009f90: 4000c737 lui a4,0x4000c +40009f94: 00279793 slli a5,a5,0x2 +40009f98: af470713 addi a4,a4,-1292 # 4000baf4 +40009f9c: 00e787b3 add a5,a5,a4 +40009fa0: 0007a783 lw a5,0(a5) +40009fa4: 00078067 jr a5 +40009fa8: 00a46ab3 or s5,s0,a0 +40009fac: 1a0a9463 bnez s5,4000a154 <__muldf3+0x29c> +40009fb0: 0146d513 srli a0,a3,0x14 +40009fb4: 001004b7 lui s1,0x100 +40009fb8: fff48493 addi s1,s1,-1 # fffff <_heap_size+0xfdfff> +40009fbc: 7ff57513 andi a0,a0,2047 +40009fc0: 00000413 li s0,0 +40009fc4: 00800913 li s2,8 +40009fc8: 00080993 mv s3,a6 +40009fcc: 00200c13 li s8,2 +40009fd0: 00d4f4b3 and s1,s1,a3 +40009fd4: 01f6da13 srli s4,a3,0x1f +40009fd8: f6051ce3 bnez a0,40009f50 <__muldf3+0x98> +40009fdc: 0174e833 or a6,s1,s7 +40009fe0: 18080463 beqz a6,4000a168 <__muldf3+0x2b0> +40009fe4: 44048063 beqz s1,4000a424 <__muldf3+0x56c> +40009fe8: 00048513 mv a0,s1 +40009fec: 5b4010ef jal ra,4000b5a0 <__clzsi2> +40009ff0: ff550713 addi a4,a0,-11 +40009ff4: 01c00793 li a5,28 +40009ff8: 40e7ce63 blt a5,a4,4000a414 <__muldf3+0x55c> +40009ffc: 01d00793 li a5,29 +4000a000: ff850813 addi a6,a0,-8 +4000a004: 40e787b3 sub a5,a5,a4 +4000a008: 010494b3 sll s1,s1,a6 +4000a00c: 00fbd7b3 srl a5,s7,a5 +4000a010: 0097e4b3 or s1,a5,s1 +4000a014: 010b9833 sll a6,s7,a6 +4000a018: c0d00793 li a5,-1011 +4000a01c: 40a78533 sub a0,a5,a0 +4000a020: 00000693 li a3,0 +4000a024: f55ff06f j 40009f78 <__muldf3+0xc0> +4000a028: 000a0613 mv a2,s4 +4000a02c: 00200793 li a5,2 +4000a030: 10f68863 beq a3,a5,4000a140 <__muldf3+0x288> +4000a034: 00300793 li a5,3 +4000a038: 52f68663 beq a3,a5,4000a564 <__muldf3+0x6ac> +4000a03c: 00100793 li a5,1 +4000a040: 48f69063 bne a3,a5,4000a4c0 <__muldf3+0x608> +4000a044: 00f67b33 and s6,a2,a5 +4000a048: 00000593 li a1,0 +4000a04c: 00000413 li s0,0 +4000a050: 00000a93 li s5,0 +4000a054: 001007b7 lui a5,0x100 +4000a058: fff78793 addi a5,a5,-1 # fffff <_heap_size+0xfdfff> +4000a05c: 00f47433 and s0,s0,a5 +4000a060: 01459793 slli a5,a1,0x14 +4000a064: 801005b7 lui a1,0x80100 +4000a068: fff58593 addi a1,a1,-1 # 800fffff <_bss_end+0x400f3977> +4000a06c: 00b475b3 and a1,s0,a1 +4000a070: 03c12083 lw ra,60(sp) +4000a074: 80000437 lui s0,0x80000 +4000a078: fff44413 not s0,s0 +4000a07c: 00f5e5b3 or a1,a1,a5 +4000a080: 01fb1713 slli a4,s6,0x1f +4000a084: 0085f5b3 and a1,a1,s0 +4000a088: 000a8513 mv a0,s5 +4000a08c: 00e5e5b3 or a1,a1,a4 +4000a090: 03812403 lw s0,56(sp) +4000a094: 03412483 lw s1,52(sp) +4000a098: 03012903 lw s2,48(sp) +4000a09c: 02c12983 lw s3,44(sp) +4000a0a0: 02812a03 lw s4,40(sp) +4000a0a4: 02412a83 lw s5,36(sp) +4000a0a8: 02012b03 lw s6,32(sp) +4000a0ac: 01c12b83 lw s7,28(sp) +4000a0b0: 01812c03 lw s8,24(sp) +4000a0b4: 04010113 addi sp,sp,64 +4000a0b8: 00008067 ret +4000a0bc: 00100437 lui s0,0x100 +4000a0c0: 00000b13 li s6,0 +4000a0c4: fff40413 addi s0,s0,-1 # fffff <_heap_size+0xfdfff> +4000a0c8: fff00a93 li s5,-1 +4000a0cc: 7ff00593 li a1,2047 +4000a0d0: f85ff06f j 4000a054 <__muldf3+0x19c> +4000a0d4: 00a46ab3 or s5,s0,a0 +4000a0d8: 0a0a8663 beqz s5,4000a184 <__muldf3+0x2cc> +4000a0dc: 00d12623 sw a3,12(sp) +4000a0e0: 36040263 beqz s0,4000a444 <__muldf3+0x58c> +4000a0e4: 00040513 mv a0,s0 +4000a0e8: 4b8010ef jal ra,4000b5a0 <__clzsi2> +4000a0ec: 00c12683 lw a3,12(sp) +4000a0f0: ff550793 addi a5,a0,-11 +4000a0f4: 01c00713 li a4,28 +4000a0f8: 32f74e63 blt a4,a5,4000a434 <__muldf3+0x57c> +4000a0fc: 01d00713 li a4,29 +4000a100: ff850493 addi s1,a0,-8 +4000a104: 40f70733 sub a4,a4,a5 +4000a108: 00941433 sll s0,s0,s1 +4000a10c: 00e95733 srl a4,s2,a4 +4000a110: 00876433 or s0,a4,s0 +4000a114: 00991ab3 sll s5,s2,s1 +4000a118: c0d00813 li a6,-1011 +4000a11c: 40a809b3 sub s3,a6,a0 +4000a120: 00000913 li s2,0 +4000a124: 00000c13 li s8,0 +4000a128: e0dff06f j 40009f34 <__muldf3+0x7c> +4000a12c: 0174e833 or a6,s1,s7 +4000a130: 04081463 bnez a6,4000a178 <__muldf3+0x2c0> +4000a134: 00000493 li s1,0 +4000a138: 00200693 li a3,2 +4000a13c: e3dff06f j 40009f78 <__muldf3+0xc0> +4000a140: 00167b13 andi s6,a2,1 +4000a144: 7ff00593 li a1,2047 +4000a148: 00000413 li s0,0 +4000a14c: 00000a93 li s5,0 +4000a150: f05ff06f j 4000a054 <__muldf3+0x19c> +4000a154: 00050a93 mv s5,a0 +4000a158: 00c00913 li s2,12 +4000a15c: 00080993 mv s3,a6 +4000a160: 00300c13 li s8,3 +4000a164: dd1ff06f j 40009f34 <__muldf3+0x7c> +4000a168: 00000493 li s1,0 +4000a16c: 00000513 li a0,0 +4000a170: 00100693 li a3,1 +4000a174: e05ff06f j 40009f78 <__muldf3+0xc0> +4000a178: 000b8813 mv a6,s7 +4000a17c: 00300693 li a3,3 +4000a180: df9ff06f j 40009f78 <__muldf3+0xc0> +4000a184: 00000413 li s0,0 +4000a188: 00400913 li s2,4 +4000a18c: 00000993 li s3,0 +4000a190: 00100c13 li s8,1 +4000a194: da1ff06f j 40009f34 <__muldf3+0x7c> +4000a198: 00040493 mv s1,s0 +4000a19c: 000a8813 mv a6,s5 +4000a1a0: 000b0613 mv a2,s6 +4000a1a4: 000c0693 mv a3,s8 +4000a1a8: e85ff06f j 4000a02c <__muldf3+0x174> +4000a1ac: 00010e37 lui t3,0x10 +4000a1b0: fffe0713 addi a4,t3,-1 # ffff <_heap_size+0xdfff> +4000a1b4: 01085393 srli t2,a6,0x10 +4000a1b8: 010ad693 srli a3,s5,0x10 +4000a1bc: 00eaf7b3 and a5,s5,a4 +4000a1c0: 00e87833 and a6,a6,a4 +4000a1c4: 03078733 mul a4,a5,a6 +4000a1c8: 03068333 mul t1,a3,a6 +4000a1cc: 01075f13 srli t5,a4,0x10 +4000a1d0: 02f388b3 mul a7,t2,a5 +4000a1d4: 006888b3 add a7,a7,t1 +4000a1d8: 011f0f33 add t5,t5,a7 +4000a1dc: 027688b3 mul a7,a3,t2 +4000a1e0: 006f7463 bleu t1,t5,4000a1e8 <__muldf3+0x330> +4000a1e4: 01c888b3 add a7,a7,t3 +4000a1e8: 000102b7 lui t0,0x10 +4000a1ec: fff28e13 addi t3,t0,-1 # ffff <_heap_size+0xdfff> +4000a1f0: 0104df93 srli t6,s1,0x10 +4000a1f4: 01c4f4b3 and s1,s1,t3 +4000a1f8: 01cf7333 and t1,t5,t3 +4000a1fc: 01c77733 and a4,a4,t3 +4000a200: 01031313 slli t1,t1,0x10 +4000a204: 02978eb3 mul t4,a5,s1 +4000a208: 00e30333 add t1,t1,a4 +4000a20c: 010f5f13 srli t5,t5,0x10 +4000a210: 02ff8ab3 mul s5,t6,a5 +4000a214: 010ed713 srli a4,t4,0x10 +4000a218: 02968e33 mul t3,a3,s1 +4000a21c: 01ca8ab3 add s5,s5,t3 +4000a220: 01570ab3 add s5,a4,s5 +4000a224: 03f687b3 mul a5,a3,t6 +4000a228: 01caf463 bleu t3,s5,4000a230 <__muldf3+0x378> +4000a22c: 005787b3 add a5,a5,t0 +4000a230: 000106b7 lui a3,0x10 +4000a234: fff68913 addi s2,a3,-1 # ffff <_heap_size+0xdfff> +4000a238: 01045293 srli t0,s0,0x10 +4000a23c: 01247733 and a4,s0,s2 +4000a240: 012afe33 and t3,s5,s2 +4000a244: 012efeb3 and t4,t4,s2 +4000a248: 010e1e13 slli t3,t3,0x10 +4000a24c: 03070433 mul s0,a4,a6 +4000a250: 01de0e33 add t3,t3,t4 +4000a254: 010ada93 srli s5,s5,0x10 +4000a258: 00fa87b3 add a5,s5,a5 +4000a25c: 01cf0f33 add t5,t5,t3 +4000a260: 02e38933 mul s2,t2,a4 +4000a264: 01045e93 srli t4,s0,0x10 +4000a268: 03028833 mul a6,t0,a6 +4000a26c: 01090933 add s2,s2,a6 +4000a270: 012e8eb3 add t4,t4,s2 +4000a274: 025383b3 mul t2,t2,t0 +4000a278: 010ef463 bleu a6,t4,4000a280 <__muldf3+0x3c8> +4000a27c: 00d383b3 add t2,t2,a3 +4000a280: 00010937 lui s2,0x10 +4000a284: fff90813 addi a6,s2,-1 # ffff <_heap_size+0xdfff> +4000a288: 010ef6b3 and a3,t4,a6 +4000a28c: 01047433 and s0,s0,a6 +4000a290: 01069693 slli a3,a3,0x10 +4000a294: 008686b3 add a3,a3,s0 +4000a298: 010ede93 srli t4,t4,0x10 +4000a29c: 02970833 mul a6,a4,s1 +4000a2a0: 007e8eb3 add t4,t4,t2 +4000a2a4: 02ef8733 mul a4,t6,a4 +4000a2a8: 01085413 srli s0,a6,0x10 +4000a2ac: 029284b3 mul s1,t0,s1 +4000a2b0: 00970733 add a4,a4,s1 +4000a2b4: 00e40733 add a4,s0,a4 +4000a2b8: 025f8fb3 mul t6,t6,t0 +4000a2bc: 00977463 bleu s1,a4,4000a2c4 <__muldf3+0x40c> +4000a2c0: 012f8fb3 add t6,t6,s2 +4000a2c4: 000102b7 lui t0,0x10 +4000a2c8: fff28293 addi t0,t0,-1 # ffff <_heap_size+0xdfff> +4000a2cc: 00577433 and s0,a4,t0 +4000a2d0: 00587833 and a6,a6,t0 +4000a2d4: 01041413 slli s0,s0,0x10 +4000a2d8: 01e888b3 add a7,a7,t5 +4000a2dc: 01040433 add s0,s0,a6 +4000a2e0: 01c8be33 sltu t3,a7,t3 +4000a2e4: 00f40433 add s0,s0,a5 +4000a2e8: 011688b3 add a7,a3,a7 +4000a2ec: 008e02b3 add t0,t3,s0 +4000a2f0: 00d8b6b3 sltu a3,a7,a3 +4000a2f4: 005e8833 add a6,t4,t0 +4000a2f8: 01068f33 add t5,a3,a6 +4000a2fc: 00f437b3 sltu a5,s0,a5 +4000a300: 01c2b433 sltu s0,t0,t3 +4000a304: 0087e433 or s0,a5,s0 +4000a308: 01d83eb3 sltu t4,a6,t4 +4000a30c: 00df36b3 sltu a3,t5,a3 +4000a310: 01075713 srli a4,a4,0x10 +4000a314: 00e40433 add s0,s0,a4 +4000a318: 00dee6b3 or a3,t4,a3 +4000a31c: 00d40433 add s0,s0,a3 +4000a320: 00989813 slli a6,a7,0x9 +4000a324: 01f40433 add s0,s0,t6 +4000a328: 017f5493 srli s1,t5,0x17 +4000a32c: 00686833 or a6,a6,t1 +4000a330: 00941413 slli s0,s0,0x9 +4000a334: 01003833 snez a6,a6 +4000a338: 0178d893 srli a7,a7,0x17 +4000a33c: 009464b3 or s1,s0,s1 +4000a340: 01186833 or a6,a6,a7 +4000a344: 009f1f13 slli t5,t5,0x9 +4000a348: 00749793 slli a5,s1,0x7 +4000a34c: 01e86833 or a6,a6,t5 +4000a350: 0207d063 bgez a5,4000a370 <__muldf3+0x4b8> +4000a354: 00185793 srli a5,a6,0x1 +4000a358: 00187813 andi a6,a6,1 +4000a35c: 01f49713 slli a4,s1,0x1f +4000a360: 0107e833 or a6,a5,a6 +4000a364: 00e86833 or a6,a6,a4 +4000a368: 0014d493 srli s1,s1,0x1 +4000a36c: 00058513 mv a0,a1 +4000a370: 3ff50593 addi a1,a0,1023 +4000a374: 0eb05063 blez a1,4000a454 <__muldf3+0x59c> +4000a378: 00787793 andi a5,a6,7 +4000a37c: 02078063 beqz a5,4000a39c <__muldf3+0x4e4> +4000a380: 00f87793 andi a5,a6,15 +4000a384: 00400713 li a4,4 +4000a388: 00e78a63 beq a5,a4,4000a39c <__muldf3+0x4e4> +4000a38c: 00e807b3 add a5,a6,a4 +4000a390: 0107b833 sltu a6,a5,a6 +4000a394: 010484b3 add s1,s1,a6 +4000a398: 00078813 mv a6,a5 +4000a39c: 00749793 slli a5,s1,0x7 +4000a3a0: 0007da63 bgez a5,4000a3b4 <__muldf3+0x4fc> +4000a3a4: ff0007b7 lui a5,0xff000 +4000a3a8: fff78793 addi a5,a5,-1 # feffffff <_bss_end+0xbeff3977> +4000a3ac: 00f4f4b3 and s1,s1,a5 +4000a3b0: 40050593 addi a1,a0,1024 +4000a3b4: 7fe00793 li a5,2046 +4000a3b8: d8b7c4e3 blt a5,a1,4000a140 <__muldf3+0x288> +4000a3bc: 00385813 srli a6,a6,0x3 +4000a3c0: 01d49793 slli a5,s1,0x1d +4000a3c4: 00949413 slli s0,s1,0x9 +4000a3c8: 0107eab3 or s5,a5,a6 +4000a3cc: 00c45413 srli s0,s0,0xc +4000a3d0: 7ff5f593 andi a1,a1,2047 +4000a3d4: 00167b13 andi s6,a2,1 +4000a3d8: c7dff06f j 4000a054 <__muldf3+0x19c> +4000a3dc: 00040493 mv s1,s0 +4000a3e0: 000a8813 mv a6,s5 +4000a3e4: 000c0693 mv a3,s8 +4000a3e8: c45ff06f j 4000a02c <__muldf3+0x174> +4000a3ec: 009464b3 or s1,s0,s1 +4000a3f0: 00c49793 slli a5,s1,0xc +4000a3f4: cc07c4e3 bltz a5,4000a0bc <__muldf3+0x204> +4000a3f8: 000807b7 lui a5,0x80 +4000a3fc: 00f46433 or s0,s0,a5 +4000a400: 001007b7 lui a5,0x100 +4000a404: fff78793 addi a5,a5,-1 # fffff <_heap_size+0xfdfff> +4000a408: 00f47433 and s0,s0,a5 +4000a40c: 7ff00593 li a1,2047 +4000a410: c45ff06f j 4000a054 <__muldf3+0x19c> +4000a414: fd850493 addi s1,a0,-40 +4000a418: 009b94b3 sll s1,s7,s1 +4000a41c: 00000813 li a6,0 +4000a420: bf9ff06f j 4000a018 <__muldf3+0x160> +4000a424: 000b8513 mv a0,s7 +4000a428: 178010ef jal ra,4000b5a0 <__clzsi2> +4000a42c: 02050513 addi a0,a0,32 +4000a430: bc1ff06f j 40009ff0 <__muldf3+0x138> +4000a434: fd850413 addi s0,a0,-40 +4000a438: 00891433 sll s0,s2,s0 +4000a43c: 00000a93 li s5,0 +4000a440: cd9ff06f j 4000a118 <__muldf3+0x260> +4000a444: 15c010ef jal ra,4000b5a0 <__clzsi2> +4000a448: 02050513 addi a0,a0,32 +4000a44c: 00c12683 lw a3,12(sp) +4000a450: ca1ff06f j 4000a0f0 <__muldf3+0x238> +4000a454: 00100713 li a4,1 +4000a458: 06059863 bnez a1,4000a4c8 <__muldf3+0x610> +4000a45c: 02000793 li a5,32 +4000a460: 40e787b3 sub a5,a5,a4 +4000a464: 00f496b3 sll a3,s1,a5 +4000a468: 00e855b3 srl a1,a6,a4 +4000a46c: 00f817b3 sll a5,a6,a5 +4000a470: 00f037b3 snez a5,a5 +4000a474: 00b6e6b3 or a3,a3,a1 +4000a478: 00f6e6b3 or a3,a3,a5 +4000a47c: 0076f793 andi a5,a3,7 +4000a480: 00e4d4b3 srl s1,s1,a4 +4000a484: 02078063 beqz a5,4000a4a4 <__muldf3+0x5ec> +4000a488: 00f6f793 andi a5,a3,15 +4000a48c: 00400713 li a4,4 +4000a490: 00e78a63 beq a5,a4,4000a4a4 <__muldf3+0x5ec> +4000a494: 00068793 mv a5,a3 +4000a498: 00478693 addi a3,a5,4 +4000a49c: 00f6b7b3 sltu a5,a3,a5 +4000a4a0: 00f484b3 add s1,s1,a5 +4000a4a4: 00849793 slli a5,s1,0x8 +4000a4a8: 0807dc63 bgez a5,4000a540 <__muldf3+0x688> +4000a4ac: 00167b13 andi s6,a2,1 +4000a4b0: 00100593 li a1,1 +4000a4b4: 00000413 li s0,0 +4000a4b8: 00000a93 li s5,0 +4000a4bc: b99ff06f j 4000a054 <__muldf3+0x19c> +4000a4c0: 00058513 mv a0,a1 +4000a4c4: eadff06f j 4000a370 <__muldf3+0x4b8> +4000a4c8: 40b70733 sub a4,a4,a1 +4000a4cc: 03800793 li a5,56 +4000a4d0: 00e7dc63 ble a4,a5,4000a4e8 <__muldf3+0x630> +4000a4d4: 00167b13 andi s6,a2,1 +4000a4d8: 00000593 li a1,0 +4000a4dc: 00000413 li s0,0 +4000a4e0: 00000a93 li s5,0 +4000a4e4: b71ff06f j 4000a054 <__muldf3+0x19c> +4000a4e8: 01f00793 li a5,31 +4000a4ec: f6e7d8e3 ble a4,a5,4000a45c <__muldf3+0x5a4> +4000a4f0: fe100793 li a5,-31 +4000a4f4: 40b787b3 sub a5,a5,a1 +4000a4f8: 02000593 li a1,32 +4000a4fc: 00f4d7b3 srl a5,s1,a5 +4000a500: 00000693 li a3,0 +4000a504: 00b70863 beq a4,a1,4000a514 <__muldf3+0x65c> +4000a508: 04000693 li a3,64 +4000a50c: 40e68733 sub a4,a3,a4 +4000a510: 00e496b3 sll a3,s1,a4 +4000a514: 0106e733 or a4,a3,a6 +4000a518: 00e03733 snez a4,a4 +4000a51c: 00e7e7b3 or a5,a5,a4 +4000a520: 0077f493 andi s1,a5,7 +4000a524: 00000413 li s0,0 +4000a528: 02048463 beqz s1,4000a550 <__muldf3+0x698> +4000a52c: 00f7f713 andi a4,a5,15 +4000a530: 00400693 li a3,4 +4000a534: 00000493 li s1,0 +4000a538: f6d710e3 bne a4,a3,4000a498 <__muldf3+0x5e0> +4000a53c: 00078693 mv a3,a5 +4000a540: 00949413 slli s0,s1,0x9 +4000a544: 00c45413 srli s0,s0,0xc +4000a548: 01d49493 slli s1,s1,0x1d +4000a54c: 00068793 mv a5,a3 +4000a550: 0037d793 srli a5,a5,0x3 +4000a554: 0097eab3 or s5,a5,s1 +4000a558: 00167b13 andi s6,a2,1 +4000a55c: 00000593 li a1,0 +4000a560: af5ff06f j 4000a054 <__muldf3+0x19c> +4000a564: 000807b7 lui a5,0x80 +4000a568: 00f4e433 or s0,s1,a5 +4000a56c: 001007b7 lui a5,0x100 +4000a570: fff78793 addi a5,a5,-1 # fffff <_heap_size+0xfdfff> +4000a574: 00f47433 and s0,s0,a5 +4000a578: 00167b13 andi s6,a2,1 +4000a57c: 00080a93 mv s5,a6 +4000a580: 7ff00593 li a1,2047 +4000a584: ad1ff06f j 4000a054 <__muldf3+0x19c> + +4000a588 <__subdf3>: +4000a588: 00100737 lui a4,0x100 +4000a58c: fff70713 addi a4,a4,-1 # fffff <_heap_size+0xfdfff> +4000a590: fe010113 addi sp,sp,-32 +4000a594: 00b777b3 and a5,a4,a1 +4000a598: 00d778b3 and a7,a4,a3 +4000a59c: 0146de13 srli t3,a3,0x14 +4000a5a0: 00379313 slli t1,a5,0x3 +4000a5a4: 01d65e93 srli t4,a2,0x1d +4000a5a8: 00912a23 sw s1,20(sp) +4000a5ac: 01212823 sw s2,16(sp) +4000a5b0: 0145d713 srli a4,a1,0x14 +4000a5b4: 01f5d813 srli a6,a1,0x1f +4000a5b8: 01d55793 srli a5,a0,0x1d +4000a5bc: 00389893 slli a7,a7,0x3 +4000a5c0: 7ff00f13 li t5,2047 +4000a5c4: 00112e23 sw ra,28(sp) +4000a5c8: 00812c23 sw s0,24(sp) +4000a5cc: 01312623 sw s3,12(sp) +4000a5d0: 7ffe7e13 andi t3,t3,2047 +4000a5d4: 0067e7b3 or a5,a5,t1 +4000a5d8: 01e774b3 and s1,a4,t5 +4000a5dc: 00080913 mv s2,a6 +4000a5e0: 00351313 slli t1,a0,0x3 +4000a5e4: 01f6d693 srli a3,a3,0x1f +4000a5e8: 011ee8b3 or a7,t4,a7 +4000a5ec: 00361613 slli a2,a2,0x3 +4000a5f0: 0bee0a63 beq t3,t5,4000a6a4 <__subdf3+0x11c> +4000a5f4: 0016c693 xori a3,a3,1 +4000a5f8: 11068263 beq a3,a6,4000a6fc <__subdf3+0x174> +4000a5fc: 41c48eb3 sub t4,s1,t3 +4000a600: 31d05663 blez t4,4000a90c <__subdf3+0x384> +4000a604: 0a0e1863 bnez t3,4000a6b4 <__subdf3+0x12c> +4000a608: 00c8e733 or a4,a7,a2 +4000a60c: 10071a63 bnez a4,4000a720 <__subdf3+0x198> +4000a610: 7ff00713 li a4,2047 +4000a614: 000e8493 mv s1,t4 +4000a618: 3eee8063 beq t4,a4,4000a9f8 <__subdf3+0x470> +4000a61c: 00879713 slli a4,a5,0x8 +4000a620: 1c075863 bgez a4,4000a7f0 <__subdf3+0x268> +4000a624: 00148713 addi a4,s1,1 +4000a628: 7ff00693 li a3,2047 +4000a62c: 36d70463 beq a4,a3,4000a994 <__subdf3+0x40c> +4000a630: ff8006b7 lui a3,0xff800 +4000a634: fff68693 addi a3,a3,-1 # ff7fffff <_bss_end+0xbf7f3977> +4000a638: 00d7f7b3 and a5,a5,a3 +4000a63c: 01d79693 slli a3,a5,0x1d +4000a640: 00335313 srli t1,t1,0x3 +4000a644: 00979793 slli a5,a5,0x9 +4000a648: 0066e533 or a0,a3,t1 +4000a64c: 00c7d793 srli a5,a5,0xc +4000a650: 7ff77713 andi a4,a4,2047 +4000a654: 001005b7 lui a1,0x100 +4000a658: fff58593 addi a1,a1,-1 # fffff <_heap_size+0xfdfff> +4000a65c: 00b7f7b3 and a5,a5,a1 +4000a660: 801005b7 lui a1,0x80100 +4000a664: fff58593 addi a1,a1,-1 # 800fffff <_bss_end+0x400f3977> +4000a668: 00b7f5b3 and a1,a5,a1 +4000a66c: 01471713 slli a4,a4,0x14 +4000a670: 800007b7 lui a5,0x80000 +4000a674: 01c12083 lw ra,28(sp) +4000a678: 00e5e5b3 or a1,a1,a4 +4000a67c: fff7c793 not a5,a5 +4000a680: 01f81813 slli a6,a6,0x1f +4000a684: 00f5f5b3 and a1,a1,a5 +4000a688: 0105e5b3 or a1,a1,a6 +4000a68c: 01812403 lw s0,24(sp) +4000a690: 01412483 lw s1,20(sp) +4000a694: 01012903 lw s2,16(sp) +4000a698: 00c12983 lw s3,12(sp) +4000a69c: 02010113 addi sp,sp,32 +4000a6a0: 00008067 ret +4000a6a4: 00c8e733 or a4,a7,a2 +4000a6a8: f40718e3 bnez a4,4000a5f8 <__subdf3+0x70> +4000a6ac: 0016c693 xori a3,a3,1 +4000a6b0: f49ff06f j 4000a5f8 <__subdf3+0x70> +4000a6b4: 008006b7 lui a3,0x800 +4000a6b8: 7ff00713 li a4,2047 +4000a6bc: 00d8e8b3 or a7,a7,a3 +4000a6c0: 22e48263 beq s1,a4,4000a8e4 <__subdf3+0x35c> +4000a6c4: 03800713 li a4,56 +4000a6c8: 17d74263 blt a4,t4,4000a82c <__subdf3+0x2a4> +4000a6cc: 01f00713 li a4,31 +4000a6d0: 37d74863 blt a4,t4,4000aa40 <__subdf3+0x4b8> +4000a6d4: 02000713 li a4,32 +4000a6d8: 41d70733 sub a4,a4,t4 +4000a6dc: 01d656b3 srl a3,a2,t4 +4000a6e0: 00e899b3 sll s3,a7,a4 +4000a6e4: 00e61633 sll a2,a2,a4 +4000a6e8: 00d9e9b3 or s3,s3,a3 +4000a6ec: 00c036b3 snez a3,a2 +4000a6f0: 00d9e6b3 or a3,s3,a3 +4000a6f4: 01d8deb3 srl t4,a7,t4 +4000a6f8: 1400006f j 4000a838 <__subdf3+0x2b0> +4000a6fc: 41c48733 sub a4,s1,t3 +4000a700: 2ae05063 blez a4,4000a9a0 <__subdf3+0x418> +4000a704: 160e1663 bnez t3,4000a870 <__subdf3+0x2e8> +4000a708: 00c8e6b3 or a3,a7,a2 +4000a70c: 3e069263 bnez a3,4000aaf0 <__subdf3+0x568> +4000a710: 7ff00693 li a3,2047 +4000a714: 4ad70e63 beq a4,a3,4000abd0 <__subdf3+0x648> +4000a718: 00070493 mv s1,a4 +4000a71c: f01ff06f j 4000a61c <__subdf3+0x94> +4000a720: fffe8713 addi a4,t4,-1 +4000a724: 2c071263 bnez a4,4000a9e8 <__subdf3+0x460> +4000a728: 40c309b3 sub s3,t1,a2 +4000a72c: 411787b3 sub a5,a5,a7 +4000a730: 01333333 sltu t1,t1,s3 +4000a734: 406787b3 sub a5,a5,t1 +4000a738: 00100493 li s1,1 +4000a73c: 00879713 slli a4,a5,0x8 +4000a740: 10075863 bgez a4,4000a850 <__subdf3+0x2c8> +4000a744: 00800637 lui a2,0x800 +4000a748: fff60613 addi a2,a2,-1 # 7fffff <_heap_size+0x7fdfff> +4000a74c: 00c7f433 and s0,a5,a2 +4000a750: 20040063 beqz s0,4000a950 <__subdf3+0x3c8> +4000a754: 00040513 mv a0,s0 +4000a758: 649000ef jal ra,4000b5a0 <__clzsi2> +4000a75c: ff850713 addi a4,a0,-8 +4000a760: 01f00793 li a5,31 +4000a764: 20e7c263 blt a5,a4,4000a968 <__subdf3+0x3e0> +4000a768: 02000793 li a5,32 +4000a76c: 40e787b3 sub a5,a5,a4 +4000a770: 00f9d7b3 srl a5,s3,a5 +4000a774: 00e41633 sll a2,s0,a4 +4000a778: 00c7e7b3 or a5,a5,a2 +4000a77c: 00e999b3 sll s3,s3,a4 +4000a780: 1e974c63 blt a4,s1,4000a978 <__subdf3+0x3f0> +4000a784: 40970733 sub a4,a4,s1 +4000a788: 00170613 addi a2,a4,1 +4000a78c: 01f00693 li a3,31 +4000a790: 26c6cc63 blt a3,a2,4000aa08 <__subdf3+0x480> +4000a794: 02000713 li a4,32 +4000a798: 40c70733 sub a4,a4,a2 +4000a79c: 00e996b3 sll a3,s3,a4 +4000a7a0: 00c9d5b3 srl a1,s3,a2 +4000a7a4: 00e79733 sll a4,a5,a4 +4000a7a8: 00b76733 or a4,a4,a1 +4000a7ac: 00d036b3 snez a3,a3 +4000a7b0: 00d769b3 or s3,a4,a3 +4000a7b4: 00c7d7b3 srl a5,a5,a2 +4000a7b8: 0079f713 andi a4,s3,7 +4000a7bc: 00197813 andi a6,s2,1 +4000a7c0: 00000493 li s1,0 +4000a7c4: 00098313 mv t1,s3 +4000a7c8: e4070ae3 beqz a4,4000a61c <__subdf3+0x94> +4000a7cc: 00f9f713 andi a4,s3,15 +4000a7d0: 00400693 li a3,4 +4000a7d4: 00098313 mv t1,s3 +4000a7d8: e4d702e3 beq a4,a3,4000a61c <__subdf3+0x94> +4000a7dc: 00d98333 add t1,s3,a3 +4000a7e0: 013336b3 sltu a3,t1,s3 +4000a7e4: 00d787b3 add a5,a5,a3 +4000a7e8: 00879713 slli a4,a5,0x8 +4000a7ec: e2074ce3 bltz a4,4000a624 <__subdf3+0x9c> +4000a7f0: 00335693 srli a3,t1,0x3 +4000a7f4: 7ff00713 li a4,2047 +4000a7f8: 01d79313 slli t1,a5,0x1d +4000a7fc: 0066e533 or a0,a3,t1 +4000a800: 0037d793 srli a5,a5,0x3 +4000a804: 0ee49a63 bne s1,a4,4000a8f8 <__subdf3+0x370> +4000a808: 00f56733 or a4,a0,a5 +4000a80c: 5a070e63 beqz a4,4000adc8 <__subdf3+0x840> +4000a810: 00080737 lui a4,0x80 +4000a814: 00e7e7b3 or a5,a5,a4 +4000a818: 00100737 lui a4,0x100 +4000a81c: fff70713 addi a4,a4,-1 # fffff <_heap_size+0xfdfff> +4000a820: 00e7f7b3 and a5,a5,a4 +4000a824: 00048713 mv a4,s1 +4000a828: e2dff06f j 4000a654 <__subdf3+0xcc> +4000a82c: 00c8e633 or a2,a7,a2 +4000a830: 00c036b3 snez a3,a2 +4000a834: 00000e93 li t4,0 +4000a838: 40d309b3 sub s3,t1,a3 +4000a83c: 41d787b3 sub a5,a5,t4 +4000a840: 01333333 sltu t1,t1,s3 +4000a844: 406787b3 sub a5,a5,t1 +4000a848: 00879713 slli a4,a5,0x8 +4000a84c: ee074ce3 bltz a4,4000a744 <__subdf3+0x1bc> +4000a850: 0079f713 andi a4,s3,7 +4000a854: 00197813 andi a6,s2,1 +4000a858: f6071ae3 bnez a4,4000a7cc <__subdf3+0x244> +4000a85c: 01d79313 slli t1,a5,0x1d +4000a860: 0039d693 srli a3,s3,0x3 +4000a864: 0066e533 or a0,a3,t1 +4000a868: 0037d793 srli a5,a5,0x3 +4000a86c: 0840006f j 4000a8f0 <__subdf3+0x368> +4000a870: 008005b7 lui a1,0x800 +4000a874: 7ff00693 li a3,2047 +4000a878: 00b8e8b3 or a7,a7,a1 +4000a87c: 06d48463 beq s1,a3,4000a8e4 <__subdf3+0x35c> +4000a880: 03800693 li a3,56 +4000a884: 28e6dc63 ble a4,a3,4000ab1c <__subdf3+0x594> +4000a888: 00c8e633 or a2,a7,a2 +4000a88c: 00c036b3 snez a3,a2 +4000a890: 00000893 li a7,0 +4000a894: 006689b3 add s3,a3,t1 +4000a898: 00f887b3 add a5,a7,a5 +4000a89c: 0069b333 sltu t1,s3,t1 +4000a8a0: 006787b3 add a5,a5,t1 +4000a8a4: 00879713 slli a4,a5,0x8 +4000a8a8: fa0754e3 bgez a4,4000a850 <__subdf3+0x2c8> +4000a8ac: 00148493 addi s1,s1,1 +4000a8b0: 7ff00713 li a4,2047 +4000a8b4: 3ce48463 beq s1,a4,4000ac7c <__subdf3+0x6f4> +4000a8b8: ff800737 lui a4,0xff800 +4000a8bc: fff70713 addi a4,a4,-1 # ff7fffff <_bss_end+0xbf7f3977> +4000a8c0: 00e7f7b3 and a5,a5,a4 +4000a8c4: 0019f693 andi a3,s3,1 +4000a8c8: 0019d713 srli a4,s3,0x1 +4000a8cc: 00d766b3 or a3,a4,a3 +4000a8d0: 01f79993 slli s3,a5,0x1f +4000a8d4: 00d9e9b3 or s3,s3,a3 +4000a8d8: 0017d793 srli a5,a5,0x1 +4000a8dc: 0079f713 andi a4,s3,7 +4000a8e0: ee5ff06f j 4000a7c4 <__subdf3+0x23c> +4000a8e4: 0067e533 or a0,a5,t1 +4000a8e8: d2051ae3 bnez a0,4000a61c <__subdf3+0x94> +4000a8ec: 00000793 li a5,0 +4000a8f0: 7ff00713 li a4,2047 +4000a8f4: f0e48ae3 beq s1,a4,4000a808 <__subdf3+0x280> +4000a8f8: 00100737 lui a4,0x100 +4000a8fc: fff70713 addi a4,a4,-1 # fffff <_heap_size+0xfdfff> +4000a900: 00e7f7b3 and a5,a5,a4 +4000a904: 7ff4f713 andi a4,s1,2047 +4000a908: d4dff06f j 4000a654 <__subdf3+0xcc> +4000a90c: 160e9263 bnez t4,4000aa70 <__subdf3+0x4e8> +4000a910: 00148713 addi a4,s1,1 +4000a914: 7ff77713 andi a4,a4,2047 +4000a918: 00100593 li a1,1 +4000a91c: 2ce5d463 ble a4,a1,4000abe4 <__subdf3+0x65c> +4000a920: 40c309b3 sub s3,t1,a2 +4000a924: 01333733 sltu a4,t1,s3 +4000a928: 41178433 sub s0,a5,a7 +4000a92c: 40e40433 sub s0,s0,a4 +4000a930: 00841713 slli a4,s0,0x8 +4000a934: 1a075263 bgez a4,4000aad8 <__subdf3+0x550> +4000a938: 406609b3 sub s3,a2,t1 +4000a93c: 40f887b3 sub a5,a7,a5 +4000a940: 01363633 sltu a2,a2,s3 +4000a944: 40c78433 sub s0,a5,a2 +4000a948: 00068913 mv s2,a3 +4000a94c: e00414e3 bnez s0,4000a754 <__subdf3+0x1cc> +4000a950: 00098513 mv a0,s3 +4000a954: 44d000ef jal ra,4000b5a0 <__clzsi2> +4000a958: 02050513 addi a0,a0,32 +4000a95c: ff850713 addi a4,a0,-8 +4000a960: 01f00793 li a5,31 +4000a964: e0e7d2e3 ble a4,a5,4000a768 <__subdf3+0x1e0> +4000a968: fd850793 addi a5,a0,-40 +4000a96c: 00f997b3 sll a5,s3,a5 +4000a970: 00000993 li s3,0 +4000a974: e09758e3 ble s1,a4,4000a784 <__subdf3+0x1fc> +4000a978: 40e484b3 sub s1,s1,a4 +4000a97c: ff800737 lui a4,0xff800 +4000a980: fff70713 addi a4,a4,-1 # ff7fffff <_bss_end+0xbf7f3977> +4000a984: 00e7f7b3 and a5,a5,a4 +4000a988: 00197813 andi a6,s2,1 +4000a98c: 0079f713 andi a4,s3,7 +4000a990: e35ff06f j 4000a7c4 <__subdf3+0x23c> +4000a994: 00000793 li a5,0 +4000a998: 00000513 li a0,0 +4000a99c: cb9ff06f j 4000a654 <__subdf3+0xcc> +4000a9a0: 28071c63 bnez a4,4000ac38 <__subdf3+0x6b0> +4000a9a4: 00148593 addi a1,s1,1 +4000a9a8: 7ff5f713 andi a4,a1,2047 +4000a9ac: 00100693 li a3,1 +4000a9b0: 1ce6de63 ble a4,a3,4000ab8c <__subdf3+0x604> +4000a9b4: 7ff00713 li a4,2047 +4000a9b8: 32e58263 beq a1,a4,4000acdc <__subdf3+0x754> +4000a9bc: 00c30633 add a2,t1,a2 +4000a9c0: 00663333 sltu t1,a2,t1 +4000a9c4: 011787b3 add a5,a5,a7 +4000a9c8: 006787b3 add a5,a5,t1 +4000a9cc: 01f79693 slli a3,a5,0x1f +4000a9d0: 00165613 srli a2,a2,0x1 +4000a9d4: 00c6e9b3 or s3,a3,a2 +4000a9d8: 0017d793 srli a5,a5,0x1 +4000a9dc: 0079f713 andi a4,s3,7 +4000a9e0: 00058493 mv s1,a1 +4000a9e4: de1ff06f j 4000a7c4 <__subdf3+0x23c> +4000a9e8: 7ff00693 li a3,2047 +4000a9ec: 00de8663 beq t4,a3,4000a9f8 <__subdf3+0x470> +4000a9f0: 00070e93 mv t4,a4 +4000a9f4: cd1ff06f j 4000a6c4 <__subdf3+0x13c> +4000a9f8: 0067e533 or a0,a5,t1 +4000a9fc: 14050863 beqz a0,4000ab4c <__subdf3+0x5c4> +4000aa00: 7ff00493 li s1,2047 +4000aa04: c19ff06f j 4000a61c <__subdf3+0x94> +4000aa08: fe170713 addi a4,a4,-31 +4000aa0c: 02000593 li a1,32 +4000aa10: 00e7d733 srl a4,a5,a4 +4000aa14: 00000693 li a3,0 +4000aa18: 00b60863 beq a2,a1,4000aa28 <__subdf3+0x4a0> +4000aa1c: 04000693 li a3,64 +4000aa20: 40c686b3 sub a3,a3,a2 +4000aa24: 00d796b3 sll a3,a5,a3 +4000aa28: 00d9e6b3 or a3,s3,a3 +4000aa2c: 00d036b3 snez a3,a3 +4000aa30: 00d769b3 or s3,a4,a3 +4000aa34: 00000793 li a5,0 +4000aa38: 00000493 li s1,0 +4000aa3c: e15ff06f j 4000a850 <__subdf3+0x2c8> +4000aa40: 02000693 li a3,32 +4000aa44: 01d8d9b3 srl s3,a7,t4 +4000aa48: 00000713 li a4,0 +4000aa4c: 00de8863 beq t4,a3,4000aa5c <__subdf3+0x4d4> +4000aa50: 04000713 li a4,64 +4000aa54: 41d70eb3 sub t4,a4,t4 +4000aa58: 01d89733 sll a4,a7,t4 +4000aa5c: 00c76633 or a2,a4,a2 +4000aa60: 00c036b3 snez a3,a2 +4000aa64: 00d9e6b3 or a3,s3,a3 +4000aa68: 00000e93 li t4,0 +4000aa6c: dcdff06f j 4000a838 <__subdf3+0x2b0> +4000aa70: 0e048463 beqz s1,4000ab58 <__subdf3+0x5d0> +4000aa74: 008005b7 lui a1,0x800 +4000aa78: 7ff00713 li a4,2047 +4000aa7c: 41d00eb3 neg t4,t4 +4000aa80: 00b7e7b3 or a5,a5,a1 +4000aa84: 22ee0863 beq t3,a4,4000acb4 <__subdf3+0x72c> +4000aa88: 03800713 li a4,56 +4000aa8c: 25d74063 blt a4,t4,4000accc <__subdf3+0x744> +4000aa90: 01f00713 li a4,31 +4000aa94: 3bd74063 blt a4,t4,4000ae34 <__subdf3+0x8ac> +4000aa98: 02000713 li a4,32 +4000aa9c: 41d70733 sub a4,a4,t4 +4000aaa0: 00e799b3 sll s3,a5,a4 +4000aaa4: 01d355b3 srl a1,t1,t4 +4000aaa8: 00e31733 sll a4,t1,a4 +4000aaac: 00b9e9b3 or s3,s3,a1 +4000aab0: 00e03733 snez a4,a4 +4000aab4: 00e9e9b3 or s3,s3,a4 +4000aab8: 01d7deb3 srl t4,a5,t4 +4000aabc: 413609b3 sub s3,a2,s3 +4000aac0: 41d887b3 sub a5,a7,t4 +4000aac4: 01363633 sltu a2,a2,s3 +4000aac8: 40c787b3 sub a5,a5,a2 +4000aacc: 000e0493 mv s1,t3 +4000aad0: 00068913 mv s2,a3 +4000aad4: c69ff06f j 4000a73c <__subdf3+0x1b4> +4000aad8: 0089e533 or a0,s3,s0 +4000aadc: c6051ae3 bnez a0,4000a750 <__subdf3+0x1c8> +4000aae0: 00000793 li a5,0 +4000aae4: 00000813 li a6,0 +4000aae8: 00000493 li s1,0 +4000aaec: e05ff06f j 4000a8f0 <__subdf3+0x368> +4000aaf0: fff70693 addi a3,a4,-1 +4000aaf4: 08069463 bnez a3,4000ab7c <__subdf3+0x5f4> +4000aaf8: 00c309b3 add s3,t1,a2 +4000aafc: 011787b3 add a5,a5,a7 +4000ab00: 0069b333 sltu t1,s3,t1 +4000ab04: 006787b3 add a5,a5,t1 +4000ab08: 00879713 slli a4,a5,0x8 +4000ab0c: 00100493 li s1,1 +4000ab10: d40750e3 bgez a4,4000a850 <__subdf3+0x2c8> +4000ab14: 00200493 li s1,2 +4000ab18: da1ff06f j 4000a8b8 <__subdf3+0x330> +4000ab1c: 01f00693 li a3,31 +4000ab20: 0ee6c463 blt a3,a4,4000ac08 <__subdf3+0x680> +4000ab24: 02000593 li a1,32 +4000ab28: 40e585b3 sub a1,a1,a4 +4000ab2c: 00b896b3 sll a3,a7,a1 +4000ab30: 00e65533 srl a0,a2,a4 +4000ab34: 00b61633 sll a2,a2,a1 +4000ab38: 00a6e6b3 or a3,a3,a0 +4000ab3c: 00c039b3 snez s3,a2 +4000ab40: 0136e6b3 or a3,a3,s3 +4000ab44: 00e8d8b3 srl a7,a7,a4 +4000ab48: d4dff06f j 4000a894 <__subdf3+0x30c> +4000ab4c: 00000793 li a5,0 +4000ab50: 000e8493 mv s1,t4 +4000ab54: d9dff06f j 4000a8f0 <__subdf3+0x368> +4000ab58: 0067e733 or a4,a5,t1 +4000ab5c: 12071663 bnez a4,4000ac88 <__subdf3+0x700> +4000ab60: 7ff00793 li a5,2047 +4000ab64: 14fe0863 beq t3,a5,4000acb4 <__subdf3+0x72c> +4000ab68: 00068813 mv a6,a3 +4000ab6c: 00088793 mv a5,a7 +4000ab70: 00060313 mv t1,a2 +4000ab74: 000e0493 mv s1,t3 +4000ab78: aa5ff06f j 4000a61c <__subdf3+0x94> +4000ab7c: 7ff00593 li a1,2047 +4000ab80: 04b70863 beq a4,a1,4000abd0 <__subdf3+0x648> +4000ab84: 00068713 mv a4,a3 +4000ab88: cf9ff06f j 4000a880 <__subdf3+0x2f8> +4000ab8c: 0067e733 or a4,a5,t1 +4000ab90: 24049463 bnez s1,4000add8 <__subdf3+0x850> +4000ab94: 06070463 beqz a4,4000abfc <__subdf3+0x674> +4000ab98: 00c8e733 or a4,a7,a2 +4000ab9c: a80700e3 beqz a4,4000a61c <__subdf3+0x94> +4000aba0: 00c309b3 add s3,t1,a2 +4000aba4: 011787b3 add a5,a5,a7 +4000aba8: 0069b333 sltu t1,s3,t1 +4000abac: 006787b3 add a5,a5,t1 +4000abb0: 00879713 slli a4,a5,0x8 +4000abb4: c8075ee3 bgez a4,4000a850 <__subdf3+0x2c8> +4000abb8: ff800737 lui a4,0xff800 +4000abbc: fff70713 addi a4,a4,-1 # ff7fffff <_bss_end+0xbf7f3977> +4000abc0: 00e7f7b3 and a5,a5,a4 +4000abc4: 00068493 mv s1,a3 +4000abc8: 0079f713 andi a4,s3,7 +4000abcc: bf9ff06f j 4000a7c4 <__subdf3+0x23c> +4000abd0: 0067e533 or a0,a5,t1 +4000abd4: b40512e3 bnez a0,4000a718 <__subdf3+0x190> +4000abd8: 00000793 li a5,0 +4000abdc: 00070493 mv s1,a4 +4000abe0: d11ff06f j 4000a8f0 <__subdf3+0x368> +4000abe4: 0067e733 or a4,a5,t1 +4000abe8: 06049a63 bnez s1,4000ac5c <__subdf3+0x6d4> +4000abec: 16071063 bnez a4,4000ad4c <__subdf3+0x7c4> +4000abf0: 00c8e533 or a0,a7,a2 +4000abf4: 22050a63 beqz a0,4000ae28 <__subdf3+0x8a0> +4000abf8: 00068813 mv a6,a3 +4000abfc: 00088793 mv a5,a7 +4000ac00: 00060313 mv t1,a2 +4000ac04: a19ff06f j 4000a61c <__subdf3+0x94> +4000ac08: 02000513 li a0,32 +4000ac0c: 00e8d6b3 srl a3,a7,a4 +4000ac10: 00000593 li a1,0 +4000ac14: 00a70863 beq a4,a0,4000ac24 <__subdf3+0x69c> +4000ac18: 04000593 li a1,64 +4000ac1c: 40e58733 sub a4,a1,a4 +4000ac20: 00e895b3 sll a1,a7,a4 +4000ac24: 00c5e633 or a2,a1,a2 +4000ac28: 00c039b3 snez s3,a2 +4000ac2c: 0136e6b3 or a3,a3,s3 +4000ac30: 00000893 li a7,0 +4000ac34: c61ff06f j 4000a894 <__subdf3+0x30c> +4000ac38: 0a049a63 bnez s1,4000acec <__subdf3+0x764> +4000ac3c: 0067e6b3 or a3,a5,t1 +4000ac40: 22069263 bnez a3,4000ae64 <__subdf3+0x8dc> +4000ac44: 7ff00793 li a5,2047 +4000ac48: 24fe0263 beq t3,a5,4000ae8c <__subdf3+0x904> +4000ac4c: 00088793 mv a5,a7 +4000ac50: 00060313 mv t1,a2 +4000ac54: 000e0493 mv s1,t3 +4000ac58: 9c5ff06f j 4000a61c <__subdf3+0x94> +4000ac5c: 12071663 bnez a4,4000ad88 <__subdf3+0x800> +4000ac60: 00c8e7b3 or a5,a7,a2 +4000ac64: 22078a63 beqz a5,4000ae98 <__subdf3+0x910> +4000ac68: 00068813 mv a6,a3 +4000ac6c: 00088793 mv a5,a7 +4000ac70: 00060313 mv t1,a2 +4000ac74: 7ff00493 li s1,2047 +4000ac78: 9a5ff06f j 4000a61c <__subdf3+0x94> +4000ac7c: 00000793 li a5,0 +4000ac80: 00000513 li a0,0 +4000ac84: c6dff06f j 4000a8f0 <__subdf3+0x368> +4000ac88: fffece93 not t4,t4 +4000ac8c: 020e9063 bnez t4,4000acac <__subdf3+0x724> +4000ac90: 406609b3 sub s3,a2,t1 +4000ac94: 40f887b3 sub a5,a7,a5 +4000ac98: 01363633 sltu a2,a2,s3 +4000ac9c: 40c787b3 sub a5,a5,a2 +4000aca0: 000e0493 mv s1,t3 +4000aca4: 00068913 mv s2,a3 +4000aca8: a95ff06f j 4000a73c <__subdf3+0x1b4> +4000acac: 7ff00713 li a4,2047 +4000acb0: dcee1ce3 bne t3,a4,4000aa88 <__subdf3+0x500> +4000acb4: 00c8e533 or a0,a7,a2 +4000acb8: 00068813 mv a6,a3 +4000acbc: f80518e3 bnez a0,4000ac4c <__subdf3+0x6c4> +4000acc0: 00000793 li a5,0 +4000acc4: 000e0493 mv s1,t3 +4000acc8: c29ff06f j 4000a8f0 <__subdf3+0x368> +4000accc: 0067e9b3 or s3,a5,t1 +4000acd0: 013039b3 snez s3,s3 +4000acd4: 00000e93 li t4,0 +4000acd8: de5ff06f j 4000aabc <__subdf3+0x534> +4000acdc: 00058493 mv s1,a1 +4000ace0: 00000793 li a5,0 +4000ace4: 00000513 li a0,0 +4000ace8: c09ff06f j 4000a8f0 <__subdf3+0x368> +4000acec: 008005b7 lui a1,0x800 +4000acf0: 7ff00693 li a3,2047 +4000acf4: 40e00733 neg a4,a4 +4000acf8: 00b7e7b3 or a5,a5,a1 +4000acfc: 18de0863 beq t3,a3,4000ae8c <__subdf3+0x904> +4000ad00: 03800693 li a3,56 +4000ad04: 1ae6c663 blt a3,a4,4000aeb0 <__subdf3+0x928> +4000ad08: 01f00693 li a3,31 +4000ad0c: 1ce6c663 blt a3,a4,4000aed8 <__subdf3+0x950> +4000ad10: 02000593 li a1,32 +4000ad14: 40e585b3 sub a1,a1,a4 +4000ad18: 00b796b3 sll a3,a5,a1 +4000ad1c: 00e35533 srl a0,t1,a4 +4000ad20: 00b315b3 sll a1,t1,a1 +4000ad24: 00a6e6b3 or a3,a3,a0 +4000ad28: 00b039b3 snez s3,a1 +4000ad2c: 0136e6b3 or a3,a3,s3 +4000ad30: 00e7d7b3 srl a5,a5,a4 +4000ad34: 00c689b3 add s3,a3,a2 +4000ad38: 011787b3 add a5,a5,a7 +4000ad3c: 00c9b633 sltu a2,s3,a2 +4000ad40: 00c787b3 add a5,a5,a2 +4000ad44: 000e0493 mv s1,t3 +4000ad48: b5dff06f j 4000a8a4 <__subdf3+0x31c> +4000ad4c: 00c8e733 or a4,a7,a2 +4000ad50: 8c0706e3 beqz a4,4000a61c <__subdf3+0x94> +4000ad54: 40c309b3 sub s3,t1,a2 +4000ad58: 013335b3 sltu a1,t1,s3 +4000ad5c: 41178733 sub a4,a5,a7 +4000ad60: 40b70733 sub a4,a4,a1 +4000ad64: 00871593 slli a1,a4,0x8 +4000ad68: 0a05da63 bgez a1,4000ae1c <__subdf3+0x894> +4000ad6c: 406609b3 sub s3,a2,t1 +4000ad70: 40f887b3 sub a5,a7,a5 +4000ad74: 01363633 sltu a2,a2,s3 +4000ad78: 40c787b3 sub a5,a5,a2 +4000ad7c: 0079f713 andi a4,s3,7 +4000ad80: 00068813 mv a6,a3 +4000ad84: a41ff06f j 4000a7c4 <__subdf3+0x23c> +4000ad88: 00c8e633 or a2,a7,a2 +4000ad8c: c6060ae3 beqz a2,4000aa00 <__subdf3+0x478> +4000ad90: 00f8e8b3 or a7,a7,a5 +4000ad94: 00989713 slli a4,a7,0x9 +4000ad98: 12074463 bltz a4,4000aec0 <__subdf3+0x938> +4000ad9c: 20000737 lui a4,0x20000 +4000ada0: fff70713 addi a4,a4,-1 # 1fffffff <_heap_size+0x1fffdfff> +4000ada4: 01d79313 slli t1,a5,0x1d +4000ada8: 00a77533 and a0,a4,a0 +4000adac: 00a36533 or a0,t1,a0 +4000adb0: ff87f793 andi a5,a5,-8 +4000adb4: 01d55713 srli a4,a0,0x1d +4000adb8: 00e7e7b3 or a5,a5,a4 +4000adbc: 00351313 slli t1,a0,0x3 +4000adc0: 7ff00493 li s1,2047 +4000adc4: 859ff06f j 4000a61c <__subdf3+0x94> +4000adc8: 00000513 li a0,0 +4000adcc: 00048713 mv a4,s1 +4000add0: 00000793 li a5,0 +4000add4: 881ff06f j 4000a654 <__subdf3+0xcc> +4000add8: e8070ae3 beqz a4,4000ac6c <__subdf3+0x6e4> +4000addc: 00c8e633 or a2,a7,a2 +4000ade0: c20600e3 beqz a2,4000aa00 <__subdf3+0x478> +4000ade4: 00f8e8b3 or a7,a7,a5 +4000ade8: 00989713 slli a4,a7,0x9 +4000adec: 0c074a63 bltz a4,4000aec0 <__subdf3+0x938> +4000adf0: 20000737 lui a4,0x20000 +4000adf4: fff70713 addi a4,a4,-1 # 1fffffff <_heap_size+0x1fffdfff> +4000adf8: 01d79313 slli t1,a5,0x1d +4000adfc: 00a77533 and a0,a4,a0 +4000ae00: 00a36533 or a0,t1,a0 +4000ae04: 01d55713 srli a4,a0,0x1d +4000ae08: ff87f793 andi a5,a5,-8 +4000ae0c: 00f767b3 or a5,a4,a5 +4000ae10: 00351313 slli t1,a0,0x3 +4000ae14: 7ff00493 li s1,2047 +4000ae18: 805ff06f j 4000a61c <__subdf3+0x94> +4000ae1c: 00e9e533 or a0,s3,a4 +4000ae20: 00070793 mv a5,a4 +4000ae24: a20516e3 bnez a0,4000a850 <__subdf3+0x2c8> +4000ae28: 00000793 li a5,0 +4000ae2c: 00000813 li a6,0 +4000ae30: ac1ff06f j 4000a8f0 <__subdf3+0x368> +4000ae34: 02000593 li a1,32 +4000ae38: 01d7d9b3 srl s3,a5,t4 +4000ae3c: 00000713 li a4,0 +4000ae40: 00be8863 beq t4,a1,4000ae50 <__subdf3+0x8c8> +4000ae44: 04000713 li a4,64 +4000ae48: 41d70eb3 sub t4,a4,t4 +4000ae4c: 01d79733 sll a4,a5,t4 +4000ae50: 006767b3 or a5,a4,t1 +4000ae54: 00f037b3 snez a5,a5 +4000ae58: 00f9e9b3 or s3,s3,a5 +4000ae5c: 00000e93 li t4,0 +4000ae60: c5dff06f j 4000aabc <__subdf3+0x534> +4000ae64: fff74713 not a4,a4 +4000ae68: 00071e63 bnez a4,4000ae84 <__subdf3+0x8fc> +4000ae6c: 00c309b3 add s3,t1,a2 +4000ae70: 011787b3 add a5,a5,a7 +4000ae74: 00c9b633 sltu a2,s3,a2 +4000ae78: 00c787b3 add a5,a5,a2 +4000ae7c: 000e0493 mv s1,t3 +4000ae80: a25ff06f j 4000a8a4 <__subdf3+0x31c> +4000ae84: 7ff00693 li a3,2047 +4000ae88: e6de1ce3 bne t3,a3,4000ad00 <__subdf3+0x778> +4000ae8c: 00c8e533 or a0,a7,a2 +4000ae90: da051ee3 bnez a0,4000ac4c <__subdf3+0x6c4> +4000ae94: e2dff06f j 4000acc0 <__subdf3+0x738> +4000ae98: 001007b7 lui a5,0x100 +4000ae9c: 00000813 li a6,0 +4000aea0: fff78793 addi a5,a5,-1 # fffff <_heap_size+0xfdfff> +4000aea4: fff00513 li a0,-1 +4000aea8: 7ff00493 li s1,2047 +4000aeac: a45ff06f j 4000a8f0 <__subdf3+0x368> +4000aeb0: 0067e7b3 or a5,a5,t1 +4000aeb4: 00f036b3 snez a3,a5 +4000aeb8: 00000793 li a5,0 +4000aebc: e79ff06f j 4000ad34 <__subdf3+0x7ac> +4000aec0: 008007b7 lui a5,0x800 +4000aec4: 00000813 li a6,0 +4000aec8: ff800313 li t1,-8 +4000aecc: fff78793 addi a5,a5,-1 # 7fffff <_heap_size+0x7fdfff> +4000aed0: 7ff00493 li s1,2047 +4000aed4: f48ff06f j 4000a61c <__subdf3+0x94> +4000aed8: 02000513 li a0,32 +4000aedc: 00e7d6b3 srl a3,a5,a4 +4000aee0: 00000593 li a1,0 +4000aee4: 00a70863 beq a4,a0,4000aef4 <__subdf3+0x96c> +4000aee8: 04000593 li a1,64 +4000aeec: 40e58733 sub a4,a1,a4 +4000aef0: 00e795b3 sll a1,a5,a4 +4000aef4: 0065e5b3 or a1,a1,t1 +4000aef8: 00b039b3 snez s3,a1 +4000aefc: 0136e6b3 or a3,a3,s3 +4000af00: 00000793 li a5,0 +4000af04: e31ff06f j 4000ad34 <__subdf3+0x7ac> + +4000af08 <__unorddf2>: +4000af08: 0145d713 srli a4,a1,0x14 +4000af0c: 001007b7 lui a5,0x100 +4000af10: fff78793 addi a5,a5,-1 # fffff <_heap_size+0xfdfff> +4000af14: fff74713 not a4,a4 +4000af18: 0146d813 srli a6,a3,0x14 +4000af1c: 00b7f5b3 and a1,a5,a1 +4000af20: 00d7f7b3 and a5,a5,a3 +4000af24: 01571693 slli a3,a4,0x15 +4000af28: 7ff87813 andi a6,a6,2047 +4000af2c: 02068063 beqz a3,4000af4c <__unorddf2+0x44> +4000af30: 7ff00713 li a4,2047 +4000af34: 00000513 li a0,0 +4000af38: 00e80463 beq a6,a4,4000af40 <__unorddf2+0x38> +4000af3c: 00008067 ret +4000af40: 00c7e7b3 or a5,a5,a2 +4000af44: 00f03533 snez a0,a5 +4000af48: 00008067 ret +4000af4c: 00a5e5b3 or a1,a1,a0 +4000af50: 00100513 li a0,1 +4000af54: fc058ee3 beqz a1,4000af30 <__unorddf2+0x28> +4000af58: 00008067 ret + +4000af5c <__fixdfsi>: +4000af5c: 0145d793 srli a5,a1,0x14 +4000af60: 001006b7 lui a3,0x100 +4000af64: fff68713 addi a4,a3,-1 # fffff <_heap_size+0xfdfff> +4000af68: 7ff7f793 andi a5,a5,2047 +4000af6c: 3fe00613 li a2,1022 +4000af70: 00b77733 and a4,a4,a1 +4000af74: 01f5d593 srli a1,a1,0x1f +4000af78: 04f65663 ble a5,a2,4000afc4 <__fixdfsi+0x68> +4000af7c: 41d00613 li a2,1053 +4000af80: 02f64a63 blt a2,a5,4000afb4 <__fixdfsi+0x58> +4000af84: 43300613 li a2,1075 +4000af88: 40f60633 sub a2,a2,a5 +4000af8c: 01f00813 li a6,31 +4000af90: 00d76733 or a4,a4,a3 +4000af94: 02c85c63 ble a2,a6,4000afcc <__fixdfsi+0x70> +4000af98: 41300693 li a3,1043 +4000af9c: 40f687b3 sub a5,a3,a5 +4000afa0: 00f757b3 srl a5,a4,a5 +4000afa4: 40f00533 neg a0,a5 +4000afa8: 02059063 bnez a1,4000afc8 <__fixdfsi+0x6c> +4000afac: 00078513 mv a0,a5 +4000afb0: 00008067 ret +4000afb4: 80000537 lui a0,0x80000 +4000afb8: fff54513 not a0,a0 +4000afbc: 00a58533 add a0,a1,a0 +4000afc0: 00008067 ret +4000afc4: 00000513 li a0,0 +4000afc8: 00008067 ret +4000afcc: bed78793 addi a5,a5,-1043 +4000afd0: 00c55633 srl a2,a0,a2 +4000afd4: 00f717b3 sll a5,a4,a5 +4000afd8: 00c7e7b3 or a5,a5,a2 +4000afdc: fc9ff06f j 4000afa4 <__fixdfsi+0x48> + +4000afe0 <__floatsidf>: +4000afe0: ff010113 addi sp,sp,-16 +4000afe4: 00112623 sw ra,12(sp) +4000afe8: 00812423 sw s0,8(sp) +4000afec: 00912223 sw s1,4(sp) +4000aff0: 0c050663 beqz a0,4000b0bc <__floatsidf+0xdc> +4000aff4: 00050413 mv s0,a0 +4000aff8: 01f55493 srli s1,a0,0x1f +4000affc: 0c054a63 bltz a0,4000b0d0 <__floatsidf+0xf0> +4000b000: 00040513 mv a0,s0 +4000b004: 59c000ef jal ra,4000b5a0 <__clzsi2> +4000b008: 41e00713 li a4,1054 +4000b00c: 40a70733 sub a4,a4,a0 +4000b010: 43300693 li a3,1075 +4000b014: 40e686b3 sub a3,a3,a4 +4000b018: 01f00793 li a5,31 +4000b01c: 06d7dc63 ble a3,a5,4000b094 <__floatsidf+0xb4> +4000b020: 41300793 li a5,1043 +4000b024: 40e787b3 sub a5,a5,a4 +4000b028: 001006b7 lui a3,0x100 +4000b02c: 00f417b3 sll a5,s0,a5 +4000b030: fff68693 addi a3,a3,-1 # fffff <_heap_size+0xfdfff> +4000b034: 00d7f7b3 and a5,a5,a3 +4000b038: 7ff77713 andi a4,a4,2047 +4000b03c: 00048693 mv a3,s1 +4000b040: 00000413 li s0,0 +4000b044: 00100537 lui a0,0x100 +4000b048: fff50513 addi a0,a0,-1 # fffff <_heap_size+0xfdfff> +4000b04c: 80100637 lui a2,0x80100 +4000b050: 00a7f7b3 and a5,a5,a0 +4000b054: fff60613 addi a2,a2,-1 # 800fffff <_bss_end+0x400f3977> +4000b058: 01471713 slli a4,a4,0x14 +4000b05c: 00c7f7b3 and a5,a5,a2 +4000b060: 00e7e7b3 or a5,a5,a4 +4000b064: 01f69713 slli a4,a3,0x1f +4000b068: 800006b7 lui a3,0x80000 +4000b06c: fff6c693 not a3,a3 +4000b070: 00c12083 lw ra,12(sp) +4000b074: 00d7f7b3 and a5,a5,a3 +4000b078: 00e7e7b3 or a5,a5,a4 +4000b07c: 00040513 mv a0,s0 +4000b080: 00078593 mv a1,a5 +4000b084: 00812403 lw s0,8(sp) +4000b088: 00412483 lw s1,4(sp) +4000b08c: 01010113 addi sp,sp,16 +4000b090: 00008067 ret +4000b094: 00b00793 li a5,11 +4000b098: 40a787b3 sub a5,a5,a0 +4000b09c: 00f457b3 srl a5,s0,a5 +4000b0a0: 00d41433 sll s0,s0,a3 +4000b0a4: 001006b7 lui a3,0x100 +4000b0a8: fff68693 addi a3,a3,-1 # fffff <_heap_size+0xfdfff> +4000b0ac: 00d7f7b3 and a5,a5,a3 +4000b0b0: 7ff77713 andi a4,a4,2047 +4000b0b4: 00048693 mv a3,s1 +4000b0b8: f8dff06f j 4000b044 <__floatsidf+0x64> +4000b0bc: 00000693 li a3,0 +4000b0c0: 00000713 li a4,0 +4000b0c4: 00000793 li a5,0 +4000b0c8: 00000413 li s0,0 +4000b0cc: f79ff06f j 4000b044 <__floatsidf+0x64> +4000b0d0: 40a00433 neg s0,a0 +4000b0d4: f2dff06f j 4000b000 <__floatsidf+0x20> + +4000b0d8 <__floatunsidf>: +4000b0d8: ff010113 addi sp,sp,-16 +4000b0dc: 00112623 sw ra,12(sp) +4000b0e0: 00812423 sw s0,8(sp) +4000b0e4: 0a050663 beqz a0,4000b190 <__floatunsidf+0xb8> +4000b0e8: 00050413 mv s0,a0 +4000b0ec: 4b4000ef jal ra,4000b5a0 <__clzsi2> +4000b0f0: 41e00693 li a3,1054 +4000b0f4: 40a686b3 sub a3,a3,a0 +4000b0f8: 43300713 li a4,1075 +4000b0fc: 40d70733 sub a4,a4,a3 +4000b100: 01f00793 li a5,31 +4000b104: 06e7d463 ble a4,a5,4000b16c <__floatunsidf+0x94> +4000b108: 41300793 li a5,1043 +4000b10c: 40d787b3 sub a5,a5,a3 +4000b110: 00100737 lui a4,0x100 +4000b114: fff70713 addi a4,a4,-1 # fffff <_heap_size+0xfdfff> +4000b118: 00f417b3 sll a5,s0,a5 +4000b11c: 00e7f7b3 and a5,a5,a4 +4000b120: 7ff6f693 andi a3,a3,2047 +4000b124: 00000713 li a4,0 +4000b128: 00100537 lui a0,0x100 +4000b12c: fff50513 addi a0,a0,-1 # fffff <_heap_size+0xfdfff> +4000b130: 80100637 lui a2,0x80100 +4000b134: 00a7f7b3 and a5,a5,a0 +4000b138: fff60613 addi a2,a2,-1 # 800fffff <_bss_end+0x400f3977> +4000b13c: 01469693 slli a3,a3,0x14 +4000b140: 00c7f7b3 and a5,a5,a2 +4000b144: 00d7e7b3 or a5,a5,a3 +4000b148: 00c12083 lw ra,12(sp) +4000b14c: 800006b7 lui a3,0x80000 +4000b150: fff6c693 not a3,a3 +4000b154: 00d7f7b3 and a5,a5,a3 +4000b158: 00070513 mv a0,a4 +4000b15c: 00078593 mv a1,a5 +4000b160: 00812403 lw s0,8(sp) +4000b164: 01010113 addi sp,sp,16 +4000b168: 00008067 ret +4000b16c: 00b00793 li a5,11 +4000b170: 40a787b3 sub a5,a5,a0 +4000b174: 00100637 lui a2,0x100 +4000b178: 00f457b3 srl a5,s0,a5 +4000b17c: fff60613 addi a2,a2,-1 # fffff <_heap_size+0xfdfff> +4000b180: 00e41733 sll a4,s0,a4 +4000b184: 00c7f7b3 and a5,a5,a2 +4000b188: 7ff6f693 andi a3,a3,2047 +4000b18c: f9dff06f j 4000b128 <__floatunsidf+0x50> +4000b190: 00000693 li a3,0 +4000b194: 00000793 li a5,0 +4000b198: 00000713 li a4,0 +4000b19c: f8dff06f j 4000b128 <__floatunsidf+0x50> + +4000b1a0 <__trunctfdf2>: +4000b1a0: 00c52783 lw a5,12(a0) +4000b1a4: 00852883 lw a7,8(a0) +4000b1a8: 00452683 lw a3,4(a0) +4000b1ac: 00052803 lw a6,0(a0) +4000b1b0: 01079713 slli a4,a5,0x10 +4000b1b4: fe010113 addi sp,sp,-32 +4000b1b8: 00088593 mv a1,a7 +4000b1bc: 01075713 srli a4,a4,0x10 +4000b1c0: 01112c23 sw a7,24(sp) +4000b1c4: 00e12e23 sw a4,28(sp) +4000b1c8: 01112423 sw a7,8(sp) +4000b1cc: 00371713 slli a4,a4,0x3 +4000b1d0: 01010893 addi a7,sp,16 +4000b1d4: 01d5d593 srli a1,a1,0x1d +4000b1d8: 00d12a23 sw a3,20(sp) +4000b1dc: 00d12223 sw a3,4(sp) +4000b1e0: 01012823 sw a6,16(sp) +4000b1e4: 00088693 mv a3,a7 +4000b1e8: 00b76733 or a4,a4,a1 +4000b1ec: 00179613 slli a2,a5,0x1 +4000b1f0: 00f12623 sw a5,12(sp) +4000b1f4: 01f7d513 srli a0,a5,0x1f +4000b1f8: 00e6a623 sw a4,12(a3) # 8000000c <_bss_end+0x3fff3984> +4000b1fc: 01012023 sw a6,0(sp) +4000b200: 00410793 addi a5,sp,4 +4000b204: ffc68693 addi a3,a3,-4 +4000b208: 01165613 srli a2,a2,0x11 +4000b20c: 02d78263 beq a5,a3,4000b230 <__trunctfdf2+0x90> +4000b210: 00c6a703 lw a4,12(a3) +4000b214: 0086a583 lw a1,8(a3) +4000b218: ffc68693 addi a3,a3,-4 +4000b21c: 00371713 slli a4,a4,0x3 +4000b220: 01d5d593 srli a1,a1,0x1d +4000b224: 00b76733 or a4,a4,a1 +4000b228: 00e6a823 sw a4,16(a3) +4000b22c: fed792e3 bne a5,a3,4000b210 <__trunctfdf2+0x70> +4000b230: 01012683 lw a3,16(sp) +4000b234: 00008837 lui a6,0x8 +4000b238: 00160593 addi a1,a2,1 +4000b23c: 00369793 slli a5,a3,0x3 +4000b240: fff80813 addi a6,a6,-1 # 7fff <_heap_size+0x5fff> +4000b244: 00f12823 sw a5,16(sp) +4000b248: 0105f5b3 and a1,a1,a6 +4000b24c: 00100693 li a3,1 +4000b250: 10b6d063 ble a1,a3,4000b350 <__trunctfdf2+0x1b0> +4000b254: ffffc5b7 lui a1,0xffffc +4000b258: 40058593 addi a1,a1,1024 # ffffc400 <_bss_end+0xbffefd78> +4000b25c: 00b60633 add a2,a2,a1 +4000b260: 7fe00593 li a1,2046 +4000b264: 04c5da63 ble a2,a1,4000b2b8 <__trunctfdf2+0x118> +4000b268: 7ff00613 li a2,2047 +4000b26c: 00000793 li a5,0 +4000b270: 00000693 li a3,0 +4000b274: 00100737 lui a4,0x100 +4000b278: fff70713 addi a4,a4,-1 # fffff <_heap_size+0xfdfff> +4000b27c: 00e7f7b3 and a5,a5,a4 +4000b280: 80100737 lui a4,0x80100 +4000b284: fff70713 addi a4,a4,-1 # 800fffff <_bss_end+0x400f3977> +4000b288: 00e7f7b3 and a5,a5,a4 +4000b28c: 01461613 slli a2,a2,0x14 +4000b290: 80000737 lui a4,0x80000 +4000b294: 00c7e7b3 or a5,a5,a2 +4000b298: fff74713 not a4,a4 +4000b29c: 01f51513 slli a0,a0,0x1f +4000b2a0: 00e7f7b3 and a5,a5,a4 +4000b2a4: 00a7e7b3 or a5,a5,a0 +4000b2a8: 00078593 mv a1,a5 +4000b2ac: 00068513 mv a0,a3 +4000b2b0: 02010113 addi sp,sp,32 +4000b2b4: 00008067 ret +4000b2b8: 16c05863 blez a2,4000b428 <__trunctfdf2+0x288> +4000b2bc: 01412583 lw a1,20(sp) +4000b2c0: 01812803 lw a6,24(sp) +4000b2c4: 01c12703 lw a4,28(sp) +4000b2c8: 00459693 slli a3,a1,0x4 +4000b2cc: 00f6e6b3 or a3,a3,a5 +4000b2d0: 01c5d593 srli a1,a1,0x1c +4000b2d4: 00481793 slli a5,a6,0x4 +4000b2d8: 00f5e5b3 or a1,a1,a5 +4000b2dc: 00d036b3 snez a3,a3 +4000b2e0: 00471713 slli a4,a4,0x4 +4000b2e4: 01c85813 srli a6,a6,0x1c +4000b2e8: 00b6e6b3 or a3,a3,a1 +4000b2ec: 01076733 or a4,a4,a6 +4000b2f0: 0076f793 andi a5,a3,7 +4000b2f4: 0e078c63 beqz a5,4000b3ec <__trunctfdf2+0x24c> +4000b2f8: 00f6f793 andi a5,a3,15 +4000b2fc: 00400593 li a1,4 +4000b300: 0eb78663 beq a5,a1,4000b3ec <__trunctfdf2+0x24c> +4000b304: 00468793 addi a5,a3,4 +4000b308: 00d7b6b3 sltu a3,a5,a3 +4000b30c: 00d70733 add a4,a4,a3 +4000b310: 008005b7 lui a1,0x800 +4000b314: 00b775b3 and a1,a4,a1 +4000b318: 06058063 beqz a1,4000b378 <__trunctfdf2+0x1d8> +4000b31c: 00160613 addi a2,a2,1 +4000b320: 7ff00693 li a3,2047 +4000b324: 0ed60c63 beq a2,a3,4000b41c <__trunctfdf2+0x27c> +4000b328: ff8006b7 lui a3,0xff800 +4000b32c: fff68693 addi a3,a3,-1 # ff7fffff <_bss_end+0xbf7f3977> +4000b330: 00d77733 and a4,a4,a3 +4000b334: 0037d793 srli a5,a5,0x3 +4000b338: 01d71693 slli a3,a4,0x1d +4000b33c: 00971713 slli a4,a4,0x9 +4000b340: 00f6e6b3 or a3,a3,a5 +4000b344: 7ff67613 andi a2,a2,2047 +4000b348: 00c75793 srli a5,a4,0xc +4000b34c: f29ff06f j 4000b274 <__trunctfdf2+0xd4> +4000b350: 04061a63 bnez a2,4000b3a4 <__trunctfdf2+0x204> +4000b354: 01812683 lw a3,24(sp) +4000b358: 01412703 lw a4,20(sp) +4000b35c: 00d76733 or a4,a4,a3 +4000b360: 01c12683 lw a3,28(sp) +4000b364: 00d76733 or a4,a4,a3 +4000b368: 00f76733 or a4,a4,a5 +4000b36c: 18070263 beqz a4,4000b4f0 <__trunctfdf2+0x350> +4000b370: 00000713 li a4,0 +4000b374: 00500793 li a5,5 +4000b378: 01d71693 slli a3,a4,0x1d +4000b37c: 0037d793 srli a5,a5,0x3 +4000b380: 7ff00593 li a1,2047 +4000b384: 00d7e6b3 or a3,a5,a3 +4000b388: 00375713 srli a4,a4,0x3 +4000b38c: 06b60863 beq a2,a1,4000b3fc <__trunctfdf2+0x25c> +4000b390: 001007b7 lui a5,0x100 +4000b394: fff78793 addi a5,a5,-1 # fffff <_heap_size+0xfdfff> +4000b398: 00f777b3 and a5,a4,a5 +4000b39c: 7ff67613 andi a2,a2,2047 +4000b3a0: ed5ff06f j 4000b274 <__trunctfdf2+0xd4> +4000b3a4: 01412583 lw a1,20(sp) +4000b3a8: 01812803 lw a6,24(sp) +4000b3ac: 01c12703 lw a4,28(sp) +4000b3b0: 7ff00613 li a2,2047 +4000b3b4: 0105e8b3 or a7,a1,a6 +4000b3b8: 00e8e8b3 or a7,a7,a4 +4000b3bc: 00f8e6b3 or a3,a7,a5 +4000b3c0: 00000793 li a5,0 +4000b3c4: ea0688e3 beqz a3,4000b274 <__trunctfdf2+0xd4> +4000b3c8: 01c5d693 srli a3,a1,0x1c +4000b3cc: 00471713 slli a4,a4,0x4 +4000b3d0: 00481593 slli a1,a6,0x4 +4000b3d4: 01c85793 srli a5,a6,0x1c +4000b3d8: 00e7e7b3 or a5,a5,a4 +4000b3dc: 00b6e6b3 or a3,a3,a1 +4000b3e0: 00400737 lui a4,0x400 +4000b3e4: ff86f693 andi a3,a3,-8 +4000b3e8: 00e7e733 or a4,a5,a4 +4000b3ec: 008005b7 lui a1,0x800 +4000b3f0: 00b775b3 and a1,a4,a1 +4000b3f4: 00068793 mv a5,a3 +4000b3f8: f21ff06f j 4000b318 <__trunctfdf2+0x178> +4000b3fc: 00e6e7b3 or a5,a3,a4 +4000b400: 18078a63 beqz a5,4000b594 <__trunctfdf2+0x3f4> +4000b404: 000807b7 lui a5,0x80 +4000b408: 00f767b3 or a5,a4,a5 +4000b40c: 00100737 lui a4,0x100 +4000b410: fff70713 addi a4,a4,-1 # fffff <_heap_size+0xfdfff> +4000b414: 00e7f7b3 and a5,a5,a4 +4000b418: e5dff06f j 4000b274 <__trunctfdf2+0xd4> +4000b41c: 00000793 li a5,0 +4000b420: 00000693 li a3,0 +4000b424: e51ff06f j 4000b274 <__trunctfdf2+0xd4> +4000b428: fcc00713 li a4,-52 +4000b42c: 0ce64663 blt a2,a4,4000b4f8 <__trunctfdf2+0x358> +4000b430: 03d00593 li a1,61 +4000b434: 01c12303 lw t1,28(sp) +4000b438: 40c58633 sub a2,a1,a2 +4000b43c: 40565f13 srai t5,a2,0x5 +4000b440: 00080737 lui a4,0x80 +4000b444: 00e36333 or t1,t1,a4 +4000b448: 002f1813 slli a6,t5,0x2 +4000b44c: 01f67593 andi a1,a2,31 +4000b450: 01010713 addi a4,sp,16 +4000b454: 01010613 addi a2,sp,16 +4000b458: 00000693 li a3,0 +4000b45c: 00612e23 sw t1,28(sp) +4000b460: 01070733 add a4,a4,a6 +4000b464: 00460613 addi a2,a2,4 +4000b468: 00f6e6b3 or a3,a3,a5 +4000b46c: 00c70a63 beq a4,a2,4000b480 <__trunctfdf2+0x2e0> +4000b470: 00062783 lw a5,0(a2) +4000b474: 00460613 addi a2,a2,4 +4000b478: 00f6e6b3 or a3,a3,a5 +4000b47c: fec71ae3 bne a4,a2,4000b470 <__trunctfdf2+0x2d0> +4000b480: 08059263 bnez a1,4000b504 <__trunctfdf2+0x364> +4000b484: 00400793 li a5,4 +4000b488: 41e787b3 sub a5,a5,t5 +4000b48c: 00279793 slli a5,a5,0x2 +4000b490: 01010713 addi a4,sp,16 +4000b494: 00f707b3 add a5,a4,a5 +4000b498: 00062703 lw a4,0(a2) +4000b49c: 00488893 addi a7,a7,4 +4000b4a0: 00460613 addi a2,a2,4 +4000b4a4: fee8ae23 sw a4,-4(a7) +4000b4a8: ff1798e3 bne a5,a7,4000b498 <__trunctfdf2+0x2f8> +4000b4ac: 00400713 li a4,4 +4000b4b0: 41e70733 sub a4,a4,t5 +4000b4b4: 01010613 addi a2,sp,16 +4000b4b8: 00271793 slli a5,a4,0x2 +4000b4bc: 00f607b3 add a5,a2,a5 +4000b4c0: 00400613 li a2,4 +4000b4c4: 0007a023 sw zero,0(a5) # 80000 <_heap_size+0x7e000> +4000b4c8: 00170713 addi a4,a4,1 # 80001 <_heap_size+0x7e001> +4000b4cc: 00478793 addi a5,a5,4 +4000b4d0: fec71ae3 bne a4,a2,4000b4c4 <__trunctfdf2+0x324> +4000b4d4: 01012783 lw a5,16(sp) +4000b4d8: 00d036b3 snez a3,a3 +4000b4dc: 01412703 lw a4,20(sp) +4000b4e0: 00f6e6b3 or a3,a3,a5 +4000b4e4: 0076f793 andi a5,a3,7 +4000b4e8: 00000613 li a2,0 +4000b4ec: e09ff06f j 4000b2f4 <__trunctfdf2+0x154> +4000b4f0: 00000693 li a3,0 +4000b4f4: e9dff06f j 4000b390 <__trunctfdf2+0x1f0> +4000b4f8: 00000713 li a4,0 +4000b4fc: 00000613 li a2,0 +4000b500: e05ff06f j 4000b304 <__trunctfdf2+0x164> +4000b504: 02010793 addi a5,sp,32 +4000b508: 01078833 add a6,a5,a6 +4000b50c: ff082783 lw a5,-16(a6) +4000b510: 02000e93 li t4,32 +4000b514: 40be8eb3 sub t4,t4,a1 +4000b518: 00300e13 li t3,3 +4000b51c: 01d797b3 sll a5,a5,t4 +4000b520: 41ee0e33 sub t3,t3,t5 +4000b524: 00f6e6b3 or a3,a3,a5 +4000b528: 060e0063 beqz t3,4000b588 <__trunctfdf2+0x3e8> +4000b52c: 00000713 li a4,0 +4000b530: 0080006f j 4000b538 <__trunctfdf2+0x398> +4000b534: 00080713 mv a4,a6 +4000b538: 00062783 lw a5,0(a2) +4000b53c: 00462303 lw t1,4(a2) +4000b540: 00170813 addi a6,a4,1 +4000b544: 00b7d7b3 srl a5,a5,a1 +4000b548: 01d31333 sll t1,t1,t4 +4000b54c: 0067e7b3 or a5,a5,t1 +4000b550: 00f8a023 sw a5,0(a7) +4000b554: 00460613 addi a2,a2,4 +4000b558: 00488893 addi a7,a7,4 +4000b55c: fd0e1ce3 bne t3,a6,4000b534 <__trunctfdf2+0x394> +4000b560: 01c12303 lw t1,28(sp) +4000b564: 00270713 addi a4,a4,2 +4000b568: 00281793 slli a5,a6,0x2 +4000b56c: 02010613 addi a2,sp,32 +4000b570: 00f607b3 add a5,a2,a5 +4000b574: 00b355b3 srl a1,t1,a1 +4000b578: feb7a823 sw a1,-16(a5) +4000b57c: 00300793 li a5,3 +4000b580: f2e7dae3 ble a4,a5,4000b4b4 <__trunctfdf2+0x314> +4000b584: f51ff06f j 4000b4d4 <__trunctfdf2+0x334> +4000b588: 00000813 li a6,0 +4000b58c: 00100713 li a4,1 +4000b590: fd9ff06f j 4000b568 <__trunctfdf2+0x3c8> +4000b594: 00000693 li a3,0 +4000b598: 00000793 li a5,0 +4000b59c: cd9ff06f j 4000b274 <__trunctfdf2+0xd4> + +4000b5a0 <__clzsi2>: +4000b5a0: 000107b7 lui a5,0x10 +4000b5a4: 02f57c63 bleu a5,a0,4000b5dc <__clzsi2+0x3c> +4000b5a8: 0ff00713 li a4,255 +4000b5ac: 01800693 li a3,24 +4000b5b0: 00800793 li a5,8 +4000b5b4: 00a76663 bltu a4,a0,4000b5c0 <__clzsi2+0x20> +4000b5b8: 02000693 li a3,32 +4000b5bc: 00000793 li a5,0 +4000b5c0: 4000c737 lui a4,0x4000c +4000b5c4: 00f557b3 srl a5,a0,a5 +4000b5c8: b3470713 addi a4,a4,-1228 # 4000bb34 <__clz_tab> +4000b5cc: 00e787b3 add a5,a5,a4 +4000b5d0: 0007c503 lbu a0,0(a5) # 10000 <_heap_size+0xe000> +4000b5d4: 40a68533 sub a0,a3,a0 +4000b5d8: 00008067 ret +4000b5dc: 01000737 lui a4,0x1000 +4000b5e0: 00800693 li a3,8 +4000b5e4: 01800793 li a5,24 +4000b5e8: fce57ce3 bleu a4,a0,4000b5c0 <__clzsi2+0x20> +4000b5ec: 01000693 li a3,16 +4000b5f0: 00068793 mv a5,a3 +4000b5f4: 4000c737 lui a4,0x4000c +4000b5f8: 00f557b3 srl a5,a0,a5 +4000b5fc: b3470713 addi a4,a4,-1228 # 4000bb34 <__clz_tab> +4000b600: 00e787b3 add a5,a5,a4 +4000b604: 0007c503 lbu a0,0(a5) +4000b608: 40a68533 sub a0,a3,a0 +4000b60c: 00008067 ret +4000b610: 694d lui s2,0x13 +4000b612: 6f61 lui t5,0x18 +4000b614: 2075 jal 4000b6c0 <__clzsi2+0x120> +4000b616: 2121 jal 4000ba1e <__mprec_bigtens+0x116> +4000b618: 0000 unimp +4000b61a: 0000 unimp +4000b61c: 0fdc addi a5,sp,980 +4000b61e: 4000 lw s0,0(s0) +4000b620: 10d0 addi a2,sp,100 +4000b622: 4000 lw s0,0(s0) +4000b624: 10d0 addi a2,sp,100 +4000b626: 4000 lw s0,0(s0) +4000b628: 0fd4 addi a3,sp,980 +4000b62a: 4000 lw s0,0(s0) +4000b62c: 10d0 addi a2,sp,100 +4000b62e: 4000 lw s0,0(s0) +4000b630: 10d0 addi a2,sp,100 +4000b632: 4000 lw s0,0(s0) +4000b634: 10d0 addi a2,sp,100 +4000b636: 4000 lw s0,0(s0) +4000b638: 10d0 addi a2,sp,100 +4000b63a: 4000 lw s0,0(s0) +4000b63c: 10d0 addi a2,sp,100 +4000b63e: 4000 lw s0,0(s0) +4000b640: 10d0 addi a2,sp,100 +4000b642: 4000 lw s0,0(s0) +4000b644: 041c addi a5,sp,512 +4000b646: 4000 lw s0,0(s0) +4000b648: 0d44 addi s1,sp,660 +4000b64a: 4000 lw s0,0(s0) +4000b64c: 10d0 addi a2,sp,100 +4000b64e: 4000 lw s0,0(s0) +4000b650: 0434 addi a3,sp,520 +4000b652: 4000 lw s0,0(s0) +4000b654: 0f28 addi a0,sp,920 +4000b656: 4000 lw s0,0(s0) +4000b658: 10d0 addi a2,sp,100 +4000b65a: 4000 lw s0,0(s0) +4000b65c: 0f64 addi s1,sp,924 +4000b65e: 4000 lw s0,0(s0) +4000b660: 0fa8 addi a0,sp,984 +4000b662: 4000 lw s0,0(s0) +4000b664: 0fa8 addi a0,sp,984 +4000b666: 4000 lw s0,0(s0) +4000b668: 0fa8 addi a0,sp,984 +4000b66a: 4000 lw s0,0(s0) +4000b66c: 0fa8 addi a0,sp,984 +4000b66e: 4000 lw s0,0(s0) +4000b670: 0fa8 addi a0,sp,984 +4000b672: 4000 lw s0,0(s0) +4000b674: 0fa8 addi a0,sp,984 +4000b676: 4000 lw s0,0(s0) +4000b678: 0fa8 addi a0,sp,984 +4000b67a: 4000 lw s0,0(s0) +4000b67c: 0fa8 addi a0,sp,984 +4000b67e: 4000 lw s0,0(s0) +4000b680: 0fa8 addi a0,sp,984 +4000b682: 4000 lw s0,0(s0) +4000b684: 10d0 addi a2,sp,100 +4000b686: 4000 lw s0,0(s0) +4000b688: 10d0 addi a2,sp,100 +4000b68a: 4000 lw s0,0(s0) +4000b68c: 10d0 addi a2,sp,100 +4000b68e: 4000 lw s0,0(s0) +4000b690: 10d0 addi a2,sp,100 +4000b692: 4000 lw s0,0(s0) +4000b694: 10d0 addi a2,sp,100 +4000b696: 4000 lw s0,0(s0) +4000b698: 10d0 addi a2,sp,100 +4000b69a: 4000 lw s0,0(s0) +4000b69c: 10d0 addi a2,sp,100 +4000b69e: 4000 lw s0,0(s0) +4000b6a0: 10d0 addi a2,sp,100 +4000b6a2: 4000 lw s0,0(s0) +4000b6a4: 10d0 addi a2,sp,100 +4000b6a6: 4000 lw s0,0(s0) +4000b6a8: 10d0 addi a2,sp,100 +4000b6aa: 4000 lw s0,0(s0) +4000b6ac: 0de8 addi a0,sp,732 +4000b6ae: 4000 lw s0,0(s0) +4000b6b0: 0e20 addi s0,sp,792 +4000b6b2: 4000 lw s0,0(s0) +4000b6b4: 10d0 addi a2,sp,100 +4000b6b6: 4000 lw s0,0(s0) +4000b6b8: 0e20 addi s0,sp,792 +4000b6ba: 4000 lw s0,0(s0) +4000b6bc: 10d0 addi a2,sp,100 +4000b6be: 4000 lw s0,0(s0) +4000b6c0: 10d0 addi a2,sp,100 +4000b6c2: 4000 lw s0,0(s0) +4000b6c4: 10d0 addi a2,sp,100 +4000b6c6: 4000 lw s0,0(s0) +4000b6c8: 10d0 addi a2,sp,100 +4000b6ca: 4000 lw s0,0(s0) +4000b6cc: 0f20 addi s0,sp,920 +4000b6ce: 4000 lw s0,0(s0) +4000b6d0: 10d0 addi a2,sp,100 +4000b6d2: 4000 lw s0,0(s0) +4000b6d4: 10d0 addi a2,sp,100 +4000b6d6: 4000 lw s0,0(s0) +4000b6d8: 0380 addi s0,sp,448 +4000b6da: 4000 lw s0,0(s0) +4000b6dc: 10d0 addi a2,sp,100 +4000b6de: 4000 lw s0,0(s0) +4000b6e0: 10d0 addi a2,sp,100 +4000b6e2: 4000 lw s0,0(s0) +4000b6e4: 10d0 addi a2,sp,100 +4000b6e6: 4000 lw s0,0(s0) +4000b6e8: 10d0 addi a2,sp,100 +4000b6ea: 4000 lw s0,0(s0) +4000b6ec: 10d0 addi a2,sp,100 +4000b6ee: 4000 lw s0,0(s0) +4000b6f0: 03f0 addi a2,sp,460 +4000b6f2: 4000 lw s0,0(s0) +4000b6f4: 10d0 addi a2,sp,100 +4000b6f6: 4000 lw s0,0(s0) +4000b6f8: 10d0 addi a2,sp,100 +4000b6fa: 4000 lw s0,0(s0) +4000b6fc: 1048 addi a0,sp,36 +4000b6fe: 4000 lw s0,0(s0) +4000b700: 10d0 addi a2,sp,100 +4000b702: 4000 lw s0,0(s0) +4000b704: 10d0 addi a2,sp,100 +4000b706: 4000 lw s0,0(s0) +4000b708: 10d0 addi a2,sp,100 +4000b70a: 4000 lw s0,0(s0) +4000b70c: 10d0 addi a2,sp,100 +4000b70e: 4000 lw s0,0(s0) +4000b710: 10d0 addi a2,sp,100 +4000b712: 4000 lw s0,0(s0) +4000b714: 10d0 addi a2,sp,100 +4000b716: 4000 lw s0,0(s0) +4000b718: 10d0 addi a2,sp,100 +4000b71a: 4000 lw s0,0(s0) +4000b71c: 10d0 addi a2,sp,100 +4000b71e: 4000 lw s0,0(s0) +4000b720: 10d0 addi a2,sp,100 +4000b722: 4000 lw s0,0(s0) +4000b724: 10d0 addi a2,sp,100 +4000b726: 4000 lw s0,0(s0) +4000b728: 105c addi a5,sp,36 +4000b72a: 4000 lw s0,0(s0) +4000b72c: 1094 addi a3,sp,96 +4000b72e: 4000 lw s0,0(s0) +4000b730: 0e20 addi s0,sp,792 +4000b732: 4000 lw s0,0(s0) +4000b734: 0e20 addi s0,sp,792 +4000b736: 4000 lw s0,0(s0) +4000b738: 0e20 addi s0,sp,792 +4000b73a: 4000 lw s0,0(s0) +4000b73c: 0fec addi a1,sp,988 +4000b73e: 4000 lw s0,0(s0) +4000b740: 1094 addi a3,sp,96 +4000b742: 4000 lw s0,0(s0) +4000b744: 10d0 addi a2,sp,100 +4000b746: 4000 lw s0,0(s0) +4000b748: 10d0 addi a2,sp,100 +4000b74a: 4000 lw s0,0(s0) +4000b74c: 0378 addi a4,sp,396 +4000b74e: 4000 lw s0,0(s0) +4000b750: 10d0 addi a2,sp,100 +4000b752: 4000 lw s0,0(s0) +4000b754: 0d50 addi a2,sp,660 +4000b756: 4000 lw s0,0(s0) +4000b758: 0384 addi s1,sp,448 +4000b75a: 4000 lw s0,0(s0) +4000b75c: 0f6c addi a1,sp,924 +4000b75e: 4000 lw s0,0(s0) +4000b760: 0378 addi a4,sp,396 +4000b762: 4000 lw s0,0(s0) +4000b764: 10d0 addi a2,sp,100 +4000b766: 4000 lw s0,0(s0) +4000b768: 0d84 addi s1,sp,720 +4000b76a: 4000 lw s0,0(s0) +4000b76c: 10d0 addi a2,sp,100 +4000b76e: 4000 lw s0,0(s0) +4000b770: 03f4 addi a3,sp,460 +4000b772: 4000 lw s0,0(s0) +4000b774: 10d0 addi a2,sp,100 +4000b776: 4000 lw s0,0(s0) +4000b778: 10d0 addi a2,sp,100 +4000b77a: 4000 lw s0,0(s0) +4000b77c: 0ff4 addi a3,sp,988 +4000b77e: 4000 lw s0,0(s0) + +4000b780 : +4000b780: 2020 2020 2020 2020 2020 2020 2020 2020 + +4000b790 : +4000b790: 3030 3030 3030 3030 3030 3030 3030 3030 0000000000000000 +4000b7a0: 4e49 0046 6e69 0066 414e 004e 616e 006e INF.inf.NAN.nan. +4000b7b0: 3130 3332 3534 3736 3938 4241 4443 4645 0123456789ABCDEF +4000b7c0: 0000 0000 3130 3332 3534 3736 3938 6261 ....0123456789ab +4000b7d0: 6463 6665 0000 0000 6e28 6c75 296c 0000 cdef....(null).. +4000b7e0: 0030 0000 6e49 6966 696e 7974 0000 0000 0...Infinity.... +4000b7f0: 614e 004e 0043 0000 4f50 4953 0058 0000 NaN.C...POSIX... +4000b800: 002e 0000 0000 0000 ........ + +4000b808 : +4000b808: 0005 0000 0019 0000 007d 0000 0000 0000 ........}....... + +4000b818 <__mprec_tens>: +4000b818: 0000 0000 0000 3ff0 0000 0000 0000 4024 .......?......$@ +4000b828: 0000 0000 0000 4059 0000 0000 4000 408f ......Y@.....@.@ +4000b838: 0000 0000 8800 40c3 0000 0000 6a00 40f8 .......@.....j.@ +4000b848: 0000 0000 8480 412e 0000 0000 12d0 4163 .......A......cA +4000b858: 0000 0000 d784 4197 0000 0000 cd65 41cd .......A....e..A +4000b868: 0000 2000 a05f 4202 0000 e800 4876 4237 ... _..B....vH7B +4000b878: 0000 a200 1a94 426d 0000 e540 309c 42a2 ......mB..@..0.B +4000b888: 0000 1e90 bcc4 42d6 0000 2634 6bf5 430c .......B..4&.k.C +4000b898: 8000 37e0 c379 4341 a000 85d8 3457 4376 ...7y.AC....W4vC +4000b8a8: c800 674e c16d 43ab 3d00 6091 58e4 43e1 ..Ngm..C.=.`.X.C +4000b8b8: 8c40 78b5 af1d 4415 ef50 d6e2 1ae4 444b @..x...DP.....KD +4000b8c8: d592 064d f0cf 4480 4af6 c7e1 2d02 44b5 ..M....D.J...-.D +4000b8d8: 9db4 79d9 7843 44ea ...yCx.D + +4000b8e0 <__mprec_tinytens>: +4000b8e0: 89bc 97d8 d2b2 3c9c a733 d5a8 f623 3949 .......<3...#.I9 +4000b8f0: a73d 44f4 0ffd 32a5 979d cf8c ba08 255b =..D...2......[% +4000b900: 6f43 64ac 0628 0ac8 Co.d(... + +4000b908 <__mprec_bigtens>: +4000b908: 8000 37e0 c379 4341 6e17 b505 b8b5 4693 ...7y.AC.n.....F +4000b918: f9f5 e93f 4f03 4d38 1d32 f930 7748 5a82 ..?..O8M2.0.Hw.Z +4000b928: bf3c 7f73 4fdd 7515 6ba0 4000 6d14 4000 <.s..O.u.k.@.m.@ +4000b938: 6d14 4000 6cc4 4000 6d14 4000 6d14 4000 .m.@.l.@.m.@.m.@ +4000b948: 6d14 4000 6d14 4000 6d14 4000 6d14 4000 .m.@.m.@.m.@.m.@ +4000b958: 6760 4000 6784 4000 6d14 4000 6778 4000 `g.@.g.@.m.@xg.@ +4000b968: 67cc 4000 6d14 4000 6794 4000 67a0 4000 .g.@.m.@.g.@.g.@ +4000b978: 67a0 4000 67a0 4000 67a0 4000 67a0 4000 .g.@.g.@.g.@.g.@ +4000b988: 67a0 4000 67a0 4000 67a0 4000 67a0 4000 .g.@.g.@.g.@.g.@ +4000b998: 6d14 4000 6d14 4000 6d14 4000 6d14 4000 .m.@.m.@.m.@.m.@ +4000b9a8: 6d14 4000 6d14 4000 6d14 4000 6d14 4000 .m.@.m.@.m.@.m.@ +4000b9b8: 6d14 4000 6d14 4000 6b00 4000 6d14 4000 .m.@.m.@.k.@.m.@ +4000b9c8: 6d14 4000 6d14 4000 6d14 4000 6d14 4000 .m.@.m.@.m.@.m.@ +4000b9d8: 6d14 4000 6d14 4000 6d14 4000 6d14 4000 .m.@.m.@.m.@.m.@ +4000b9e8: 6d14 4000 66ac 4000 6d14 4000 6d14 4000 .m.@.f.@.m.@.m.@ +4000b9f8: 6d14 4000 6d14 4000 6d14 4000 6680 4000 .m.@.m.@.m.@.f.@ +4000ba08: 6d14 4000 6d14 4000 6cd0 4000 6d14 4000 .m.@.m.@.l.@.m.@ +4000ba18: 6d14 4000 6d14 4000 6d14 4000 6d14 4000 .m.@.m.@.m.@.m.@ +4000ba28: 6d14 4000 6d14 4000 6d14 4000 6d14 4000 .m.@.m.@.m.@.m.@ +4000ba38: 6d14 4000 6c28 4000 6c58 4000 6d14 4000 .m.@(l.@Xl.@.m.@ +4000ba48: 6d14 4000 6d14 4000 6c64 4000 6c58 4000 .m.@.m.@dl.@Xl.@ +4000ba58: 6d14 4000 6d14 4000 6674 4000 6d14 4000 .m.@.m.@tf.@.m.@ +4000ba68: 680c 4000 66b0 4000 6bb4 4000 6674 4000 .h.@.f.@.k.@tf.@ +4000ba78: 6d14 4000 683c 4000 6d14 4000 6684 4000 .m.@: +4000ba94: 2020 2020 2020 2020 2020 2020 2020 2020 + +4000baa4 : +4000baa4: 3030 3030 3030 3030 3030 3030 3030 3030 0000000000000000 +4000bab4: 96fc 4000 9558 4000 96ec 4000 95d4 4000 ...@X..@...@...@ +4000bac4: 96ec 4000 96c4 4000 96ec 4000 95d4 4000 ...@...@...@...@ +4000bad4: 9558 4000 9558 4000 96c4 4000 95d4 4000 X..@X..@...@...@ +4000bae4: 95e4 4000 95e4 4000 95e4 4000 9718 4000 ...@...@...@...@ +4000baf4: a1ac 4000 a02c 4000 a02c 4000 a028 4000 ...@,..@,..@(..@ +4000bb04: a3dc 4000 a3dc 4000 a0bc 4000 a028 4000 ...@...@...@(..@ +4000bb14: a3dc 4000 a0bc 4000 a3dc 4000 a028 4000 ...@...@...@(..@ +4000bb24: a198 4000 a198 4000 a198 4000 a3ec 4000 ...@...@...@...@ + +4000bb34 <__clz_tab>: +4000bb34: 0100 0202 0303 0303 0404 0404 0404 0404 ................ +4000bb44: 0505 0505 0505 0505 0505 0505 0505 0505 ................ +4000bb54: 0606 0606 0606 0606 0606 0606 0606 0606 ................ +4000bb64: 0606 0606 0606 0606 0606 0606 0606 0606 ................ +4000bb74: 0707 0707 0707 0707 0707 0707 0707 0707 ................ +4000bb84: 0707 0707 0707 0707 0707 0707 0707 0707 ................ +4000bb94: 0707 0707 0707 0707 0707 0707 0707 0707 ................ +4000bba4: 0707 0707 0707 0707 0707 0707 0707 0707 ................ +4000bbb4: 0808 0808 0808 0808 0808 0808 0808 0808 ................ +4000bbc4: 0808 0808 0808 0808 0808 0808 0808 0808 ................ +4000bbd4: 0808 0808 0808 0808 0808 0808 0808 0808 ................ +4000bbe4: 0808 0808 0808 0808 0808 0808 0808 0808 ................ +4000bbf4: 0808 0808 0808 0808 0808 0808 0808 0808 ................ +4000bc04: 0808 0808 0808 0808 0808 0808 0808 0808 ................ +4000bc14: 0808 0808 0808 0808 0808 0808 0808 0808 ................ +4000bc24: 0808 0808 0808 0808 0808 0808 0808 0808 ................ +4000bc34: 0000 0000 ffff ffff ffff 7fef 0000 0000 ................ +4000bc44: 0000 3ff8 4361 636f 87a7 3fd2 c8b3 8b60 ...?aCoc...?..`. +4000bc54: 8a28 3fc6 79fb 509f 4413 3fd3 0000 0000 (..?.y.P.D.?.... +4000bc64: 0000 3ff0 0000 0000 0000 4024 0000 0000 ...?......$@.... +4000bc74: 0000 401c 0000 0000 0000 4014 0000 0000 ...@.......@.... +4000bc84: 0000 3fe0 0010 0000 0000 0000 7a01 0052 ...?.........zR. +4000bc94: 0401 0101 0d1b 0002 0010 0000 0018 0000 ................ +4000bca4: 435c ffff 0008 0000 0000 0000 0010 0000 \C.............. +4000bcb4: 002c 0000 4350 ffff 0008 0000 0000 0000 ,...PC.......... +4000bcc4: 0010 0000 0040 0000 4344 ffff 0008 0000 ....@...DC...... +4000bcd4: 0000 0000 0010 0000 0054 0000 4338 ffff ........T...8C.. +4000bce4: 0008 0000 0000 0000 0010 0000 0068 0000 ............h... +4000bcf4: 432c ffff 0008 0000 0000 0000 0010 0000 ,C.............. +4000bd04: 007c 0000 4320 ffff 000c 0000 0000 0000 |... C.......... +4000bd14: 0018 0000 0090 0000 4318 ffff 003c 0000 .........C..<... +4000bd24: 4400 100e 1148 7e08 0111 007f 0020 0000 .D..H..~.... ... +4000bd34: 00ac 0000 4338 ffff 0054 0000 4400 100e ....8C..T....D.. +4000bd44: 1150 7d09 1211 117c 7f01 0811 007e 0000 P..}..|.....~... +4000bd54: 0014 0000 00d0 0000 436c ffff 0030 0000 ........lC..0... +4000bd64: 4800 100e 1148 7f01 0010 0000 00e8 0000 .H..H........... +4000bd74: 4350 ffff 0004 0000 0000 0000 PC.......... diff --git a/VexRiscv/src/test/resources/asm/machineCsrCompressed.asm b/VexRiscv/src/test/resources/asm/machineCsrCompressed.asm new file mode 100644 index 0000000..1cf1d28 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/machineCsrCompressed.asm @@ -0,0 +1,323 @@ + +build/machineCsr.elf: file format elf32-littleriscv + + +Disassembly of section .yolo: + +80000000 : + j _start +80000000: 0900006f j 80000090 <_start> + nop +80000004: 00000013 nop + nop +80000008: 00000013 nop + nop +8000000c: 00000013 nop + nop +80000010: 00000013 nop + nop +80000014: 00000013 nop + nop +80000018: 00000013 nop + nop +8000001c: 00000013 nop + +80000020 : + +.global trap_entry +trap_entry: + csrr x28, mcause +80000020: 34202e73 csrr t3,mcause + + bnez x28, notICmdAlignementException +80000024: 000e1e63 bnez t3,80000040 + li x30, 0xFFFFFFFC +80000028: ffc00f13 li t5,-4 + csrr x29, mepc +8000002c: 34102ef3 csrr t4,mepc + and x29,x29,x30 +80000030: 01eefeb3 and t4,t4,t5 + addi x29, x29, 4 +80000034: 004e8e93 addi t4,t4,4 + csrw mepc, x29 +80000038: 341e9073 csrw mepc,t4 + j mepcFixed +8000003c: 01c0006f j 80000058 + +80000040 : + +notICmdAlignementException: + li x29, 0x80000000 +80000040: 80000eb7 lui t4,0x80000 + and x30, x28, x29 +80000044: 01de7f33 and t5,t3,t4 + bnez x30, mepcFixed +80000048: 000f1863 bnez t5,80000058 + csrr x29, mepc +8000004c: 34102ef3 csrr t4,mepc + addi x29, x29, 4 +80000050: 004e8e93 addi t4,t4,4 # 80000004 <_etext+0xfffffdf8> + csrw mepc, x29 +80000054: 341e9073 csrw mepc,t4 + +80000058 : +mepcFixed: + + + li x29, 0x80000003u +80000058: 80000eb7 lui t4,0x80000 +8000005c: 003e8e93 addi t4,t4,3 # 80000003 <_etext+0xfffffdf7> + bne x29, x28, noSoftwareInterrupt +80000060: 01ce9663 bne t4,t3,8000006c + li x29, 0x008 +80000064: 00800e93 li t4,8 + csrc mip, x29 +80000068: 344eb073 csrc mip,t4 + +8000006c : + +noSoftwareInterrupt: + + li x29, 0x80000007u +8000006c: 80000eb7 lui t4,0x80000 +80000070: 007e8e93 addi t4,t4,7 # 80000007 <_etext+0xfffffdfb> + bne x29, x28, noTimerInterrupt +80000074: 01ce9463 bne t4,t3,8000007c + csrw mie, 0 +80000078: 30405073 csrwi mie,0 + +8000007c : +noTimerInterrupt: + + li x29, 0x8000000bu +8000007c: 80000eb7 lui t4,0x80000 +80000080: 00be8e93 addi t4,t4,11 # 8000000b <_etext+0xfffffdff> + bne x29, x28, noExernalInterrupt +80000084: 01ce9463 bne t4,t3,8000008c + csrw mie, 0 +80000088: 30405073 csrwi mie,0 + +8000008c : +noExernalInterrupt: + + mret +8000008c: 30200073 mret + +80000090 <_start>: + + + .text + .globl _start +_start: + li x28, 1 +80000090: 00100e13 li t3,1 + scall +80000094: 00000073 ecall + + li x28, 2 +80000098: 00200e13 li t3,2 + li t0, 0x008 +8000009c: 00800293 li t0,8 + csrs mstatus,t0 +800000a0: 3002a073 csrs mstatus,t0 + li t0, 0x008 +800000a4: 00800293 li t0,8 + csrw mie,t0 +800000a8: 30429073 csrw mie,t0 + li t0, 0x008 +800000ac: 00800293 li t0,8 + csrs mip,t0 +800000b0: 3442a073 csrs mip,t0 + nop +800000b4: 00000013 nop + nop +800000b8: 00000013 nop + nop +800000bc: 00000013 nop + nop +800000c0: 00000013 nop + nop +800000c4: 00000013 nop + nop +800000c8: 00000013 nop + nop +800000cc: 00000013 nop + nop +800000d0: 00000013 nop + nop +800000d4: 00000013 nop + nop +800000d8: 00000013 nop + nop +800000dc: 00000013 nop + nop +800000e0: 00000013 nop + + + li x28, 3 +800000e4: 00300e13 li t3,3 + li t0, 0x080 +800000e8: 08000293 li t0,128 + csrw mie,t0 +800000ec: 30429073 csrw mie,t0 + nop +800000f0: 00000013 nop + nop +800000f4: 00000013 nop + nop +800000f8: 00000013 nop + nop +800000fc: 00000013 nop + nop +80000100: 00000013 nop + nop +80000104: 00000013 nop + nop +80000108: 00000013 nop + + li x28, 4 +8000010c: 00400e13 li t3,4 + li t0, 0x800 +80000110: 000012b7 lui t0,0x1 +80000114: 80028293 addi t0,t0,-2048 # 800 <_stack_size> + csrw mie,t0 +80000118: 30429073 csrw mie,t0 + nop +8000011c: 00000013 nop + nop +80000120: 00000013 nop + nop +80000124: 00000013 nop + nop +80000128: 00000013 nop + nop +8000012c: 00000013 nop + nop +80000130: 00000013 nop + nop +80000134: 00000013 nop + + li x28, 5 +80000138: 00500e13 li t3,5 + li x3, 0xF00FFF40 +8000013c: f01001b7 lui gp,0xf0100 +80000140: f4018193 addi gp,gp,-192 # f00fff40 <_etext+0x700ffd34> + lw x4, 0(x3) +80000144: 0001a203 lw tp,0(gp) + lw x5, 4(x3) +80000148: 0041a283 lw t0,4(gp) + addi x4, x4, 1023 +8000014c: 3ff20213 addi tp,tp,1023 # 3ff <_stack_size-0x401> + sw x4, 8(x3) +80000150: 0041a423 sw tp,8(gp) + sw x5, 12(x3) +80000154: 0051a623 sw t0,12(gp) + li x28, 6 +80000158: 00600e13 li t3,6 + li x4, 0x080 +8000015c: 08000213 li tp,128 + csrw mie,x4 +80000160: 30421073 csrw mie,tp + li x28, 7 +80000164: 00700e13 li t3,7 + wfi +80000168: 10500073 wfi + + + li x28, 8 +8000016c: 00800e13 li t3,8 + li x3, 1 +80000170: 00100193 li gp,1 + sw x4,0(x3) +80000174: 0041a023 sw tp,0(gp) + li x28, 9 +80000178: 00900e13 li t3,9 + sh x4,0(x3) +8000017c: 00419023 sh tp,0(gp) + li x28, 10 +80000180: 00a00e13 li t3,10 + lw x4,0(x3) +80000184: 0001a203 lw tp,0(gp) + li x28, 11 +80000188: 00b00e13 li t3,11 + lh x4,0(x3) +8000018c: 00019203 lh tp,0(gp) + li x28, 12 +80000190: 00c00e13 li t3,12 + + + + li x28, 13 +80000194: 00d00e13 li t3,13 + lw x1,0(x0) +80000198: 00002083 lw ra,0(zero) # 0 <_stack_size-0x800> +//unalignedPcA: + //j unalignedPcA+2 + //lw x1,0(x0) + + li x28, 14 +8000019c: 00e00e13 li t3,14 + hret +800001a0: 20200073 hret + li x28, 15 +800001a4: 00f00e13 li t3,15 + + + li x1, 0xF00FFF60 +800001a8: f01000b7 lui ra,0xf0100 +800001ac: f6008093 addi ra,ra,-160 # f00fff60 <_etext+0x700ffd54> + lw x2, 0(x1) +800001b0: 0000a103 lw sp,0(ra) + li x28, 16 +800001b4: 01000e13 li t3,16 + sw x2, 0(x1) +800001b8: 0020a023 sw sp,0(ra) + li x28, 17 +800001bc: 01100e13 li t3,17 + jr x1 +800001c0: 00008067 ret + +Disassembly of section .text: + +800001c4 : +} + + +void irqCpp(int irq){ + +} +800001c4: 00008067 ret + +800001c8
: +} +800001c8: 00000513 li a0,0 +800001cc: 00008067 ret +800001d0: 0010 0x10 +800001d2: 0000 unimp +800001d4: 0000 unimp +800001d6: 0000 unimp +800001d8: 7a01 lui s4,0xfffe0 +800001da: 0052 c.slli zero,0x14 +800001dc: 7c01 lui s8,0xfffe0 +800001de: 0101 addi sp,sp,0 +800001e0: 00020d1b 0x20d1b +800001e4: 0010 0x10 +800001e6: 0000 unimp +800001e8: 0018 0x18 +800001ea: 0000 unimp +800001ec: ffdc fsw fa5,60(a5) +800001ee: ffff 0xffff +800001f0: 0008 0x8 +800001f2: 0000 unimp +800001f4: 0000 unimp +800001f6: 0000 unimp +800001f8: 0010 0x10 +800001fa: 0000 unimp +800001fc: 002c addi a1,sp,8 +800001fe: 0000 unimp +80000200: ffc4 fsw fs1,60(a5) +80000202: ffff 0xffff +80000204: 0004 0x4 +80000206: 0000 unimp +80000208: 0000 unimp + ... diff --git a/VexRiscv/src/test/resources/asm/rv32uc-p-rvc.dump b/VexRiscv/src/test/resources/asm/rv32uc-p-rvc.dump new file mode 100644 index 0000000..adfa4c2 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32uc-p-rvc.dump @@ -0,0 +1,4904 @@ + +rv32uc-p-rvc: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 5391e193 ori gp,gp,1337 + +80000040 : +80000040: 00003f17 auipc t5,0x3 +80000044: fc3f2023 sw gp,-64(t5) # 80003000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 00000297 auipc t0,0x0 +80000058: 01028293 addi t0,t0,16 # 80000064 +8000005c: 30529073 csrw mtvec,t0 +80000060: 18005073 csrwi satp,0 +80000064: 00000297 auipc t0,0x0 +80000068: 01c28293 addi t0,t0,28 # 80000080 +8000006c: 30529073 csrw mtvec,t0 +80000070: fff00293 li t0,-1 +80000074: 3b029073 csrw pmpaddr0,t0 +80000078: 01f00293 li t0,31 +8000007c: 3a029073 csrw pmpcfg0,t0 +80000080: 00000297 auipc t0,0x0 +80000084: 01828293 addi t0,t0,24 # 80000098 +80000088: 30529073 csrw mtvec,t0 +8000008c: 30205073 csrwi medeleg,0 +80000090: 30305073 csrwi mideleg,0 +80000094: 30405073 csrwi mie,0 +80000098: 00000193 li gp,0 +8000009c: 00000297 auipc t0,0x0 +800000a0: f6828293 addi t0,t0,-152 # 80000004 +800000a4: 30529073 csrw mtvec,t0 +800000a8: 00100513 li a0,1 +800000ac: 01f51513 slli a0,a0,0x1f +800000b0: 00054863 bltz a0,800000c0 +800000b4: 0ff0000f fence +800000b8: 00100193 li gp,1 +800000bc: 00000073 ecall +800000c0: 80000297 auipc t0,0x80000 +800000c4: f4028293 addi t0,t0,-192 # 0 <_start-0x80000000> +800000c8: 00028e63 beqz t0,800000e4 +800000cc: 10529073 csrw stvec,t0 +800000d0: 0000b2b7 lui t0,0xb +800000d4: 10928293 addi t0,t0,265 # b109 <_start-0x7fff4ef7> +800000d8: 30229073 csrw medeleg,t0 +800000dc: 30202373 csrr t1,medeleg +800000e0: f4629ee3 bne t0,t1,8000003c +800000e4: 30005073 csrwi mstatus,0 +800000e8: 00000297 auipc t0,0x0 +800000ec: 01428293 addi t0,t0,20 # 800000fc +800000f0: 34129073 csrw mepc,t0 +800000f4: f1402573 csrr a0,mhartid +800000f8: 30200073 mret +800000fc: 00200193 li gp,2 +80000100: 29a00593 li a1,666 + +80000104 : +80000104: 6fb0106f j 80001ffe + +80000108 : +80000108: 3210 fld fa2,32(a2) +8000010a: 7654 flw fa3,44(a2) +8000010c: ba98 fsd fa4,48(a3) +8000010e: fedc fsw fa5,60(a3) +80000110: 3210 fld fa2,32(a2) +80000112: 7654 flw fa3,44(a2) +80000114: ba98 fsd fa4,48(a3) +80000116: fedc fsw fa5,60(a3) +80000118: 00000013 nop +8000011c: 00000013 nop +80000120: 00000013 nop +80000124: 00000013 nop +80000128: 00000013 nop +8000012c: 00000013 nop +80000130: 00000013 nop +80000134: 00000013 nop +80000138: 00000013 nop +8000013c: 00000013 nop +80000140: 00000013 nop +80000144: 00000013 nop +80000148: 00000013 nop +8000014c: 00000013 nop +80000150: 00000013 nop +80000154: 00000013 nop +80000158: 00000013 nop +8000015c: 00000013 nop +80000160: 00000013 nop +80000164: 00000013 nop +80000168: 00000013 nop +8000016c: 00000013 nop +80000170: 00000013 nop +80000174: 00000013 nop +80000178: 00000013 nop +8000017c: 00000013 nop +80000180: 00000013 nop +80000184: 00000013 nop +80000188: 00000013 nop +8000018c: 00000013 nop +80000190: 00000013 nop +80000194: 00000013 nop +80000198: 00000013 nop +8000019c: 00000013 nop +800001a0: 00000013 nop +800001a4: 00000013 nop +800001a8: 00000013 nop +800001ac: 00000013 nop +800001b0: 00000013 nop +800001b4: 00000013 nop +800001b8: 00000013 nop +800001bc: 00000013 nop +800001c0: 00000013 nop +800001c4: 00000013 nop +800001c8: 00000013 nop +800001cc: 00000013 nop +800001d0: 00000013 nop +800001d4: 00000013 nop +800001d8: 00000013 nop +800001dc: 00000013 nop +800001e0: 00000013 nop +800001e4: 00000013 nop +800001e8: 00000013 nop +800001ec: 00000013 nop +800001f0: 00000013 nop +800001f4: 00000013 nop +800001f8: 00000013 nop +800001fc: 00000013 nop +80000200: 00000013 nop +80000204: 00000013 nop +80000208: 00000013 nop +8000020c: 00000013 nop +80000210: 00000013 nop +80000214: 00000013 nop +80000218: 00000013 nop +8000021c: 00000013 nop +80000220: 00000013 nop +80000224: 00000013 nop +80000228: 00000013 nop +8000022c: 00000013 nop +80000230: 00000013 nop +80000234: 00000013 nop +80000238: 00000013 nop +8000023c: 00000013 nop +80000240: 00000013 nop +80000244: 00000013 nop +80000248: 00000013 nop +8000024c: 00000013 nop +80000250: 00000013 nop +80000254: 00000013 nop +80000258: 00000013 nop +8000025c: 00000013 nop +80000260: 00000013 nop +80000264: 00000013 nop +80000268: 00000013 nop +8000026c: 00000013 nop +80000270: 00000013 nop +80000274: 00000013 nop +80000278: 00000013 nop +8000027c: 00000013 nop +80000280: 00000013 nop +80000284: 00000013 nop +80000288: 00000013 nop +8000028c: 00000013 nop +80000290: 00000013 nop +80000294: 00000013 nop +80000298: 00000013 nop +8000029c: 00000013 nop +800002a0: 00000013 nop +800002a4: 00000013 nop +800002a8: 00000013 nop +800002ac: 00000013 nop +800002b0: 00000013 nop +800002b4: 00000013 nop +800002b8: 00000013 nop +800002bc: 00000013 nop +800002c0: 00000013 nop +800002c4: 00000013 nop +800002c8: 00000013 nop +800002cc: 00000013 nop +800002d0: 00000013 nop +800002d4: 00000013 nop +800002d8: 00000013 nop +800002dc: 00000013 nop +800002e0: 00000013 nop +800002e4: 00000013 nop +800002e8: 00000013 nop +800002ec: 00000013 nop +800002f0: 00000013 nop +800002f4: 00000013 nop +800002f8: 00000013 nop +800002fc: 00000013 nop +80000300: 00000013 nop +80000304: 00000013 nop +80000308: 00000013 nop +8000030c: 00000013 nop +80000310: 00000013 nop +80000314: 00000013 nop +80000318: 00000013 nop +8000031c: 00000013 nop +80000320: 00000013 nop +80000324: 00000013 nop +80000328: 00000013 nop +8000032c: 00000013 nop +80000330: 00000013 nop +80000334: 00000013 nop +80000338: 00000013 nop +8000033c: 00000013 nop +80000340: 00000013 nop +80000344: 00000013 nop +80000348: 00000013 nop +8000034c: 00000013 nop +80000350: 00000013 nop +80000354: 00000013 nop +80000358: 00000013 nop +8000035c: 00000013 nop +80000360: 00000013 nop +80000364: 00000013 nop +80000368: 00000013 nop +8000036c: 00000013 nop +80000370: 00000013 nop +80000374: 00000013 nop +80000378: 00000013 nop +8000037c: 00000013 nop +80000380: 00000013 nop +80000384: 00000013 nop +80000388: 00000013 nop +8000038c: 00000013 nop +80000390: 00000013 nop +80000394: 00000013 nop +80000398: 00000013 nop +8000039c: 00000013 nop +800003a0: 00000013 nop +800003a4: 00000013 nop +800003a8: 00000013 nop +800003ac: 00000013 nop +800003b0: 00000013 nop +800003b4: 00000013 nop +800003b8: 00000013 nop +800003bc: 00000013 nop +800003c0: 00000013 nop +800003c4: 00000013 nop +800003c8: 00000013 nop +800003cc: 00000013 nop +800003d0: 00000013 nop +800003d4: 00000013 nop +800003d8: 00000013 nop +800003dc: 00000013 nop +800003e0: 00000013 nop +800003e4: 00000013 nop +800003e8: 00000013 nop +800003ec: 00000013 nop +800003f0: 00000013 nop +800003f4: 00000013 nop +800003f8: 00000013 nop +800003fc: 00000013 nop +80000400: 00000013 nop +80000404: 00000013 nop +80000408: 00000013 nop +8000040c: 00000013 nop +80000410: 00000013 nop +80000414: 00000013 nop +80000418: 00000013 nop +8000041c: 00000013 nop +80000420: 00000013 nop +80000424: 00000013 nop +80000428: 00000013 nop +8000042c: 00000013 nop +80000430: 00000013 nop +80000434: 00000013 nop +80000438: 00000013 nop +8000043c: 00000013 nop +80000440: 00000013 nop +80000444: 00000013 nop +80000448: 00000013 nop +8000044c: 00000013 nop +80000450: 00000013 nop +80000454: 00000013 nop +80000458: 00000013 nop +8000045c: 00000013 nop +80000460: 00000013 nop +80000464: 00000013 nop +80000468: 00000013 nop +8000046c: 00000013 nop +80000470: 00000013 nop +80000474: 00000013 nop +80000478: 00000013 nop +8000047c: 00000013 nop +80000480: 00000013 nop +80000484: 00000013 nop +80000488: 00000013 nop +8000048c: 00000013 nop +80000490: 00000013 nop +80000494: 00000013 nop +80000498: 00000013 nop +8000049c: 00000013 nop +800004a0: 00000013 nop +800004a4: 00000013 nop +800004a8: 00000013 nop +800004ac: 00000013 nop +800004b0: 00000013 nop +800004b4: 00000013 nop +800004b8: 00000013 nop +800004bc: 00000013 nop +800004c0: 00000013 nop +800004c4: 00000013 nop +800004c8: 00000013 nop +800004cc: 00000013 nop +800004d0: 00000013 nop +800004d4: 00000013 nop +800004d8: 00000013 nop +800004dc: 00000013 nop +800004e0: 00000013 nop +800004e4: 00000013 nop +800004e8: 00000013 nop +800004ec: 00000013 nop +800004f0: 00000013 nop +800004f4: 00000013 nop +800004f8: 00000013 nop +800004fc: 00000013 nop +80000500: 00000013 nop +80000504: 00000013 nop +80000508: 00000013 nop +8000050c: 00000013 nop +80000510: 00000013 nop +80000514: 00000013 nop +80000518: 00000013 nop +8000051c: 00000013 nop +80000520: 00000013 nop +80000524: 00000013 nop +80000528: 00000013 nop +8000052c: 00000013 nop +80000530: 00000013 nop +80000534: 00000013 nop +80000538: 00000013 nop +8000053c: 00000013 nop +80000540: 00000013 nop +80000544: 00000013 nop +80000548: 00000013 nop +8000054c: 00000013 nop +80000550: 00000013 nop +80000554: 00000013 nop +80000558: 00000013 nop +8000055c: 00000013 nop +80000560: 00000013 nop +80000564: 00000013 nop +80000568: 00000013 nop +8000056c: 00000013 nop +80000570: 00000013 nop +80000574: 00000013 nop +80000578: 00000013 nop +8000057c: 00000013 nop +80000580: 00000013 nop +80000584: 00000013 nop +80000588: 00000013 nop +8000058c: 00000013 nop +80000590: 00000013 nop +80000594: 00000013 nop +80000598: 00000013 nop +8000059c: 00000013 nop +800005a0: 00000013 nop +800005a4: 00000013 nop +800005a8: 00000013 nop +800005ac: 00000013 nop +800005b0: 00000013 nop +800005b4: 00000013 nop +800005b8: 00000013 nop +800005bc: 00000013 nop +800005c0: 00000013 nop +800005c4: 00000013 nop +800005c8: 00000013 nop +800005cc: 00000013 nop +800005d0: 00000013 nop +800005d4: 00000013 nop +800005d8: 00000013 nop +800005dc: 00000013 nop +800005e0: 00000013 nop +800005e4: 00000013 nop +800005e8: 00000013 nop +800005ec: 00000013 nop +800005f0: 00000013 nop +800005f4: 00000013 nop +800005f8: 00000013 nop +800005fc: 00000013 nop +80000600: 00000013 nop +80000604: 00000013 nop +80000608: 00000013 nop +8000060c: 00000013 nop +80000610: 00000013 nop +80000614: 00000013 nop +80000618: 00000013 nop +8000061c: 00000013 nop +80000620: 00000013 nop +80000624: 00000013 nop +80000628: 00000013 nop +8000062c: 00000013 nop +80000630: 00000013 nop +80000634: 00000013 nop +80000638: 00000013 nop +8000063c: 00000013 nop +80000640: 00000013 nop +80000644: 00000013 nop +80000648: 00000013 nop +8000064c: 00000013 nop +80000650: 00000013 nop +80000654: 00000013 nop +80000658: 00000013 nop +8000065c: 00000013 nop +80000660: 00000013 nop +80000664: 00000013 nop +80000668: 00000013 nop +8000066c: 00000013 nop +80000670: 00000013 nop +80000674: 00000013 nop +80000678: 00000013 nop +8000067c: 00000013 nop +80000680: 00000013 nop +80000684: 00000013 nop +80000688: 00000013 nop +8000068c: 00000013 nop +80000690: 00000013 nop +80000694: 00000013 nop +80000698: 00000013 nop +8000069c: 00000013 nop +800006a0: 00000013 nop +800006a4: 00000013 nop +800006a8: 00000013 nop +800006ac: 00000013 nop +800006b0: 00000013 nop +800006b4: 00000013 nop +800006b8: 00000013 nop +800006bc: 00000013 nop +800006c0: 00000013 nop +800006c4: 00000013 nop +800006c8: 00000013 nop +800006cc: 00000013 nop +800006d0: 00000013 nop +800006d4: 00000013 nop +800006d8: 00000013 nop +800006dc: 00000013 nop +800006e0: 00000013 nop +800006e4: 00000013 nop +800006e8: 00000013 nop +800006ec: 00000013 nop +800006f0: 00000013 nop +800006f4: 00000013 nop +800006f8: 00000013 nop +800006fc: 00000013 nop +80000700: 00000013 nop +80000704: 00000013 nop +80000708: 00000013 nop +8000070c: 00000013 nop +80000710: 00000013 nop +80000714: 00000013 nop +80000718: 00000013 nop +8000071c: 00000013 nop +80000720: 00000013 nop +80000724: 00000013 nop +80000728: 00000013 nop +8000072c: 00000013 nop +80000730: 00000013 nop +80000734: 00000013 nop +80000738: 00000013 nop +8000073c: 00000013 nop +80000740: 00000013 nop +80000744: 00000013 nop +80000748: 00000013 nop +8000074c: 00000013 nop +80000750: 00000013 nop +80000754: 00000013 nop +80000758: 00000013 nop +8000075c: 00000013 nop +80000760: 00000013 nop +80000764: 00000013 nop +80000768: 00000013 nop +8000076c: 00000013 nop +80000770: 00000013 nop +80000774: 00000013 nop +80000778: 00000013 nop +8000077c: 00000013 nop +80000780: 00000013 nop +80000784: 00000013 nop +80000788: 00000013 nop +8000078c: 00000013 nop +80000790: 00000013 nop +80000794: 00000013 nop +80000798: 00000013 nop +8000079c: 00000013 nop +800007a0: 00000013 nop +800007a4: 00000013 nop +800007a8: 00000013 nop +800007ac: 00000013 nop +800007b0: 00000013 nop +800007b4: 00000013 nop +800007b8: 00000013 nop +800007bc: 00000013 nop +800007c0: 00000013 nop +800007c4: 00000013 nop +800007c8: 00000013 nop +800007cc: 00000013 nop +800007d0: 00000013 nop +800007d4: 00000013 nop +800007d8: 00000013 nop +800007dc: 00000013 nop +800007e0: 00000013 nop +800007e4: 00000013 nop +800007e8: 00000013 nop +800007ec: 00000013 nop +800007f0: 00000013 nop +800007f4: 00000013 nop +800007f8: 00000013 nop +800007fc: 00000013 nop +80000800: 00000013 nop +80000804: 00000013 nop +80000808: 00000013 nop +8000080c: 00000013 nop +80000810: 00000013 nop +80000814: 00000013 nop +80000818: 00000013 nop +8000081c: 00000013 nop +80000820: 00000013 nop +80000824: 00000013 nop +80000828: 00000013 nop +8000082c: 00000013 nop +80000830: 00000013 nop +80000834: 00000013 nop +80000838: 00000013 nop +8000083c: 00000013 nop +80000840: 00000013 nop +80000844: 00000013 nop +80000848: 00000013 nop +8000084c: 00000013 nop +80000850: 00000013 nop +80000854: 00000013 nop +80000858: 00000013 nop +8000085c: 00000013 nop +80000860: 00000013 nop +80000864: 00000013 nop +80000868: 00000013 nop +8000086c: 00000013 nop +80000870: 00000013 nop +80000874: 00000013 nop +80000878: 00000013 nop +8000087c: 00000013 nop +80000880: 00000013 nop +80000884: 00000013 nop +80000888: 00000013 nop +8000088c: 00000013 nop +80000890: 00000013 nop +80000894: 00000013 nop +80000898: 00000013 nop +8000089c: 00000013 nop +800008a0: 00000013 nop +800008a4: 00000013 nop +800008a8: 00000013 nop +800008ac: 00000013 nop +800008b0: 00000013 nop +800008b4: 00000013 nop +800008b8: 00000013 nop +800008bc: 00000013 nop +800008c0: 00000013 nop +800008c4: 00000013 nop +800008c8: 00000013 nop +800008cc: 00000013 nop +800008d0: 00000013 nop +800008d4: 00000013 nop +800008d8: 00000013 nop +800008dc: 00000013 nop +800008e0: 00000013 nop +800008e4: 00000013 nop +800008e8: 00000013 nop +800008ec: 00000013 nop +800008f0: 00000013 nop +800008f4: 00000013 nop +800008f8: 00000013 nop +800008fc: 00000013 nop +80000900: 00000013 nop +80000904: 00000013 nop +80000908: 00000013 nop +8000090c: 00000013 nop +80000910: 00000013 nop +80000914: 00000013 nop +80000918: 00000013 nop +8000091c: 00000013 nop +80000920: 00000013 nop +80000924: 00000013 nop +80000928: 00000013 nop +8000092c: 00000013 nop +80000930: 00000013 nop +80000934: 00000013 nop +80000938: 00000013 nop +8000093c: 00000013 nop +80000940: 00000013 nop +80000944: 00000013 nop +80000948: 00000013 nop +8000094c: 00000013 nop +80000950: 00000013 nop +80000954: 00000013 nop +80000958: 00000013 nop +8000095c: 00000013 nop +80000960: 00000013 nop +80000964: 00000013 nop +80000968: 00000013 nop +8000096c: 00000013 nop +80000970: 00000013 nop +80000974: 00000013 nop +80000978: 00000013 nop +8000097c: 00000013 nop +80000980: 00000013 nop +80000984: 00000013 nop +80000988: 00000013 nop +8000098c: 00000013 nop +80000990: 00000013 nop +80000994: 00000013 nop +80000998: 00000013 nop +8000099c: 00000013 nop +800009a0: 00000013 nop +800009a4: 00000013 nop +800009a8: 00000013 nop +800009ac: 00000013 nop +800009b0: 00000013 nop +800009b4: 00000013 nop +800009b8: 00000013 nop +800009bc: 00000013 nop +800009c0: 00000013 nop +800009c4: 00000013 nop +800009c8: 00000013 nop +800009cc: 00000013 nop +800009d0: 00000013 nop +800009d4: 00000013 nop +800009d8: 00000013 nop +800009dc: 00000013 nop +800009e0: 00000013 nop +800009e4: 00000013 nop +800009e8: 00000013 nop +800009ec: 00000013 nop +800009f0: 00000013 nop +800009f4: 00000013 nop +800009f8: 00000013 nop +800009fc: 00000013 nop +80000a00: 00000013 nop +80000a04: 00000013 nop +80000a08: 00000013 nop +80000a0c: 00000013 nop +80000a10: 00000013 nop +80000a14: 00000013 nop +80000a18: 00000013 nop +80000a1c: 00000013 nop +80000a20: 00000013 nop +80000a24: 00000013 nop +80000a28: 00000013 nop +80000a2c: 00000013 nop +80000a30: 00000013 nop +80000a34: 00000013 nop +80000a38: 00000013 nop +80000a3c: 00000013 nop +80000a40: 00000013 nop +80000a44: 00000013 nop +80000a48: 00000013 nop +80000a4c: 00000013 nop +80000a50: 00000013 nop +80000a54: 00000013 nop +80000a58: 00000013 nop +80000a5c: 00000013 nop +80000a60: 00000013 nop +80000a64: 00000013 nop +80000a68: 00000013 nop +80000a6c: 00000013 nop +80000a70: 00000013 nop +80000a74: 00000013 nop +80000a78: 00000013 nop +80000a7c: 00000013 nop +80000a80: 00000013 nop +80000a84: 00000013 nop +80000a88: 00000013 nop +80000a8c: 00000013 nop +80000a90: 00000013 nop +80000a94: 00000013 nop +80000a98: 00000013 nop +80000a9c: 00000013 nop +80000aa0: 00000013 nop +80000aa4: 00000013 nop +80000aa8: 00000013 nop +80000aac: 00000013 nop +80000ab0: 00000013 nop +80000ab4: 00000013 nop +80000ab8: 00000013 nop +80000abc: 00000013 nop +80000ac0: 00000013 nop +80000ac4: 00000013 nop +80000ac8: 00000013 nop +80000acc: 00000013 nop +80000ad0: 00000013 nop +80000ad4: 00000013 nop +80000ad8: 00000013 nop +80000adc: 00000013 nop +80000ae0: 00000013 nop +80000ae4: 00000013 nop +80000ae8: 00000013 nop +80000aec: 00000013 nop +80000af0: 00000013 nop +80000af4: 00000013 nop +80000af8: 00000013 nop +80000afc: 00000013 nop +80000b00: 00000013 nop +80000b04: 00000013 nop +80000b08: 00000013 nop +80000b0c: 00000013 nop +80000b10: 00000013 nop +80000b14: 00000013 nop +80000b18: 00000013 nop +80000b1c: 00000013 nop +80000b20: 00000013 nop +80000b24: 00000013 nop +80000b28: 00000013 nop +80000b2c: 00000013 nop +80000b30: 00000013 nop +80000b34: 00000013 nop +80000b38: 00000013 nop +80000b3c: 00000013 nop +80000b40: 00000013 nop +80000b44: 00000013 nop +80000b48: 00000013 nop +80000b4c: 00000013 nop +80000b50: 00000013 nop +80000b54: 00000013 nop +80000b58: 00000013 nop +80000b5c: 00000013 nop +80000b60: 00000013 nop +80000b64: 00000013 nop +80000b68: 00000013 nop +80000b6c: 00000013 nop +80000b70: 00000013 nop +80000b74: 00000013 nop +80000b78: 00000013 nop +80000b7c: 00000013 nop +80000b80: 00000013 nop +80000b84: 00000013 nop +80000b88: 00000013 nop +80000b8c: 00000013 nop +80000b90: 00000013 nop +80000b94: 00000013 nop +80000b98: 00000013 nop +80000b9c: 00000013 nop +80000ba0: 00000013 nop +80000ba4: 00000013 nop +80000ba8: 00000013 nop +80000bac: 00000013 nop +80000bb0: 00000013 nop +80000bb4: 00000013 nop +80000bb8: 00000013 nop +80000bbc: 00000013 nop +80000bc0: 00000013 nop +80000bc4: 00000013 nop +80000bc8: 00000013 nop +80000bcc: 00000013 nop +80000bd0: 00000013 nop +80000bd4: 00000013 nop +80000bd8: 00000013 nop +80000bdc: 00000013 nop +80000be0: 00000013 nop +80000be4: 00000013 nop +80000be8: 00000013 nop +80000bec: 00000013 nop +80000bf0: 00000013 nop +80000bf4: 00000013 nop +80000bf8: 00000013 nop +80000bfc: 00000013 nop +80000c00: 00000013 nop +80000c04: 00000013 nop +80000c08: 00000013 nop +80000c0c: 00000013 nop +80000c10: 00000013 nop +80000c14: 00000013 nop +80000c18: 00000013 nop +80000c1c: 00000013 nop +80000c20: 00000013 nop +80000c24: 00000013 nop +80000c28: 00000013 nop +80000c2c: 00000013 nop +80000c30: 00000013 nop +80000c34: 00000013 nop +80000c38: 00000013 nop +80000c3c: 00000013 nop +80000c40: 00000013 nop +80000c44: 00000013 nop +80000c48: 00000013 nop +80000c4c: 00000013 nop +80000c50: 00000013 nop +80000c54: 00000013 nop +80000c58: 00000013 nop +80000c5c: 00000013 nop +80000c60: 00000013 nop +80000c64: 00000013 nop +80000c68: 00000013 nop +80000c6c: 00000013 nop +80000c70: 00000013 nop +80000c74: 00000013 nop +80000c78: 00000013 nop +80000c7c: 00000013 nop +80000c80: 00000013 nop +80000c84: 00000013 nop +80000c88: 00000013 nop +80000c8c: 00000013 nop +80000c90: 00000013 nop +80000c94: 00000013 nop +80000c98: 00000013 nop +80000c9c: 00000013 nop +80000ca0: 00000013 nop +80000ca4: 00000013 nop +80000ca8: 00000013 nop +80000cac: 00000013 nop +80000cb0: 00000013 nop +80000cb4: 00000013 nop +80000cb8: 00000013 nop +80000cbc: 00000013 nop +80000cc0: 00000013 nop +80000cc4: 00000013 nop +80000cc8: 00000013 nop +80000ccc: 00000013 nop +80000cd0: 00000013 nop +80000cd4: 00000013 nop +80000cd8: 00000013 nop +80000cdc: 00000013 nop +80000ce0: 00000013 nop +80000ce4: 00000013 nop +80000ce8: 00000013 nop +80000cec: 00000013 nop +80000cf0: 00000013 nop +80000cf4: 00000013 nop +80000cf8: 00000013 nop +80000cfc: 00000013 nop +80000d00: 00000013 nop +80000d04: 00000013 nop +80000d08: 00000013 nop +80000d0c: 00000013 nop +80000d10: 00000013 nop +80000d14: 00000013 nop +80000d18: 00000013 nop +80000d1c: 00000013 nop +80000d20: 00000013 nop +80000d24: 00000013 nop +80000d28: 00000013 nop +80000d2c: 00000013 nop +80000d30: 00000013 nop +80000d34: 00000013 nop +80000d38: 00000013 nop +80000d3c: 00000013 nop +80000d40: 00000013 nop +80000d44: 00000013 nop +80000d48: 00000013 nop +80000d4c: 00000013 nop +80000d50: 00000013 nop +80000d54: 00000013 nop +80000d58: 00000013 nop +80000d5c: 00000013 nop +80000d60: 00000013 nop +80000d64: 00000013 nop +80000d68: 00000013 nop +80000d6c: 00000013 nop +80000d70: 00000013 nop +80000d74: 00000013 nop +80000d78: 00000013 nop +80000d7c: 00000013 nop +80000d80: 00000013 nop +80000d84: 00000013 nop +80000d88: 00000013 nop +80000d8c: 00000013 nop +80000d90: 00000013 nop +80000d94: 00000013 nop +80000d98: 00000013 nop +80000d9c: 00000013 nop +80000da0: 00000013 nop +80000da4: 00000013 nop +80000da8: 00000013 nop +80000dac: 00000013 nop +80000db0: 00000013 nop +80000db4: 00000013 nop +80000db8: 00000013 nop +80000dbc: 00000013 nop +80000dc0: 00000013 nop +80000dc4: 00000013 nop +80000dc8: 00000013 nop +80000dcc: 00000013 nop +80000dd0: 00000013 nop +80000dd4: 00000013 nop +80000dd8: 00000013 nop +80000ddc: 00000013 nop +80000de0: 00000013 nop +80000de4: 00000013 nop +80000de8: 00000013 nop +80000dec: 00000013 nop +80000df0: 00000013 nop +80000df4: 00000013 nop +80000df8: 00000013 nop +80000dfc: 00000013 nop +80000e00: 00000013 nop +80000e04: 00000013 nop +80000e08: 00000013 nop +80000e0c: 00000013 nop +80000e10: 00000013 nop +80000e14: 00000013 nop +80000e18: 00000013 nop +80000e1c: 00000013 nop +80000e20: 00000013 nop +80000e24: 00000013 nop +80000e28: 00000013 nop +80000e2c: 00000013 nop +80000e30: 00000013 nop +80000e34: 00000013 nop +80000e38: 00000013 nop +80000e3c: 00000013 nop +80000e40: 00000013 nop +80000e44: 00000013 nop +80000e48: 00000013 nop +80000e4c: 00000013 nop +80000e50: 00000013 nop +80000e54: 00000013 nop +80000e58: 00000013 nop +80000e5c: 00000013 nop +80000e60: 00000013 nop +80000e64: 00000013 nop +80000e68: 00000013 nop +80000e6c: 00000013 nop +80000e70: 00000013 nop +80000e74: 00000013 nop +80000e78: 00000013 nop +80000e7c: 00000013 nop +80000e80: 00000013 nop +80000e84: 00000013 nop +80000e88: 00000013 nop +80000e8c: 00000013 nop +80000e90: 00000013 nop +80000e94: 00000013 nop +80000e98: 00000013 nop +80000e9c: 00000013 nop +80000ea0: 00000013 nop +80000ea4: 00000013 nop +80000ea8: 00000013 nop +80000eac: 00000013 nop +80000eb0: 00000013 nop +80000eb4: 00000013 nop +80000eb8: 00000013 nop +80000ebc: 00000013 nop +80000ec0: 00000013 nop +80000ec4: 00000013 nop +80000ec8: 00000013 nop +80000ecc: 00000013 nop +80000ed0: 00000013 nop +80000ed4: 00000013 nop +80000ed8: 00000013 nop +80000edc: 00000013 nop +80000ee0: 00000013 nop +80000ee4: 00000013 nop +80000ee8: 00000013 nop +80000eec: 00000013 nop +80000ef0: 00000013 nop +80000ef4: 00000013 nop +80000ef8: 00000013 nop +80000efc: 00000013 nop +80000f00: 00000013 nop +80000f04: 00000013 nop +80000f08: 00000013 nop +80000f0c: 00000013 nop +80000f10: 00000013 nop +80000f14: 00000013 nop +80000f18: 00000013 nop +80000f1c: 00000013 nop +80000f20: 00000013 nop +80000f24: 00000013 nop +80000f28: 00000013 nop +80000f2c: 00000013 nop +80000f30: 00000013 nop +80000f34: 00000013 nop +80000f38: 00000013 nop +80000f3c: 00000013 nop +80000f40: 00000013 nop +80000f44: 00000013 nop +80000f48: 00000013 nop +80000f4c: 00000013 nop +80000f50: 00000013 nop +80000f54: 00000013 nop +80000f58: 00000013 nop +80000f5c: 00000013 nop +80000f60: 00000013 nop +80000f64: 00000013 nop +80000f68: 00000013 nop +80000f6c: 00000013 nop +80000f70: 00000013 nop +80000f74: 00000013 nop +80000f78: 00000013 nop +80000f7c: 00000013 nop +80000f80: 00000013 nop +80000f84: 00000013 nop +80000f88: 00000013 nop +80000f8c: 00000013 nop +80000f90: 00000013 nop +80000f94: 00000013 nop +80000f98: 00000013 nop +80000f9c: 00000013 nop +80000fa0: 00000013 nop +80000fa4: 00000013 nop +80000fa8: 00000013 nop +80000fac: 00000013 nop +80000fb0: 00000013 nop +80000fb4: 00000013 nop +80000fb8: 00000013 nop +80000fbc: 00000013 nop +80000fc0: 00000013 nop +80000fc4: 00000013 nop +80000fc8: 00000013 nop +80000fcc: 00000013 nop +80000fd0: 00000013 nop +80000fd4: 00000013 nop +80000fd8: 00000013 nop +80000fdc: 00000013 nop +80000fe0: 00000013 nop +80000fe4: 00000013 nop +80000fe8: 00000013 nop +80000fec: 00000013 nop +80000ff0: 00000013 nop +80000ff4: 00000013 nop +80000ff8: 00000013 nop +80000ffc: 00000013 nop +80001000: 0000 unimp +80001002: 0000 unimp +80001004: 0000 unimp +80001006: 0000 unimp +80001008: 0000 unimp +8000100a: 0000 unimp +8000100c: 0000 unimp +8000100e: 0000 unimp +80001010: 0000 unimp +80001012: 0000 unimp +80001014: 0000 unimp +80001016: 0000 unimp +80001018: 0000 unimp +8000101a: 0000 unimp +8000101c: 0000 unimp +8000101e: 0000 unimp +80001020: 0000 unimp +80001022: 0000 unimp +80001024: 0000 unimp +80001026: 0000 unimp +80001028: 0000 unimp +8000102a: 0000 unimp +8000102c: 0000 unimp +8000102e: 0000 unimp +80001030: 0000 unimp +80001032: 0000 unimp +80001034: 0000 unimp +80001036: 0000 unimp +80001038: 0000 unimp +8000103a: 0000 unimp +8000103c: 0000 unimp +8000103e: 0000 unimp +80001040: 0000 unimp +80001042: 0000 unimp +80001044: 0000 unimp +80001046: 0000 unimp +80001048: 0000 unimp +8000104a: 0000 unimp +8000104c: 0000 unimp +8000104e: 0000 unimp +80001050: 0000 unimp +80001052: 0000 unimp +80001054: 0000 unimp +80001056: 0000 unimp +80001058: 0000 unimp +8000105a: 0000 unimp +8000105c: 0000 unimp +8000105e: 0000 unimp +80001060: 0000 unimp +80001062: 0000 unimp +80001064: 0000 unimp +80001066: 0000 unimp +80001068: 0000 unimp +8000106a: 0000 unimp +8000106c: 0000 unimp +8000106e: 0000 unimp +80001070: 0000 unimp +80001072: 0000 unimp +80001074: 0000 unimp +80001076: 0000 unimp +80001078: 0000 unimp +8000107a: 0000 unimp +8000107c: 0000 unimp +8000107e: 0000 unimp +80001080: 0000 unimp +80001082: 0000 unimp +80001084: 0000 unimp +80001086: 0000 unimp +80001088: 0000 unimp +8000108a: 0000 unimp +8000108c: 0000 unimp +8000108e: 0000 unimp +80001090: 0000 unimp +80001092: 0000 unimp +80001094: 0000 unimp +80001096: 0000 unimp +80001098: 0000 unimp +8000109a: 0000 unimp +8000109c: 0000 unimp +8000109e: 0000 unimp +800010a0: 0000 unimp +800010a2: 0000 unimp +800010a4: 0000 unimp +800010a6: 0000 unimp +800010a8: 0000 unimp +800010aa: 0000 unimp +800010ac: 0000 unimp +800010ae: 0000 unimp +800010b0: 0000 unimp +800010b2: 0000 unimp +800010b4: 0000 unimp +800010b6: 0000 unimp +800010b8: 0000 unimp +800010ba: 0000 unimp +800010bc: 0000 unimp +800010be: 0000 unimp +800010c0: 0000 unimp +800010c2: 0000 unimp +800010c4: 0000 unimp +800010c6: 0000 unimp +800010c8: 0000 unimp +800010ca: 0000 unimp +800010cc: 0000 unimp +800010ce: 0000 unimp +800010d0: 0000 unimp +800010d2: 0000 unimp +800010d4: 0000 unimp +800010d6: 0000 unimp +800010d8: 0000 unimp +800010da: 0000 unimp +800010dc: 0000 unimp +800010de: 0000 unimp +800010e0: 0000 unimp +800010e2: 0000 unimp +800010e4: 0000 unimp +800010e6: 0000 unimp +800010e8: 0000 unimp +800010ea: 0000 unimp +800010ec: 0000 unimp +800010ee: 0000 unimp +800010f0: 0000 unimp +800010f2: 0000 unimp +800010f4: 0000 unimp +800010f6: 0000 unimp +800010f8: 0000 unimp +800010fa: 0000 unimp +800010fc: 0000 unimp +800010fe: 0000 unimp +80001100: 0000 unimp +80001102: 0000 unimp +80001104: 0000 unimp +80001106: 0000 unimp +80001108: 0000 unimp +8000110a: 0000 unimp +8000110c: 0000 unimp +8000110e: 0000 unimp +80001110: 0000 unimp +80001112: 0000 unimp +80001114: 0000 unimp +80001116: 0000 unimp +80001118: 0000 unimp +8000111a: 0000 unimp +8000111c: 0000 unimp +8000111e: 0000 unimp +80001120: 0000 unimp +80001122: 0000 unimp +80001124: 0000 unimp +80001126: 0000 unimp +80001128: 0000 unimp +8000112a: 0000 unimp +8000112c: 0000 unimp +8000112e: 0000 unimp +80001130: 0000 unimp +80001132: 0000 unimp +80001134: 0000 unimp +80001136: 0000 unimp +80001138: 0000 unimp +8000113a: 0000 unimp +8000113c: 0000 unimp +8000113e: 0000 unimp +80001140: 0000 unimp +80001142: 0000 unimp +80001144: 0000 unimp +80001146: 0000 unimp +80001148: 0000 unimp +8000114a: 0000 unimp +8000114c: 0000 unimp +8000114e: 0000 unimp +80001150: 0000 unimp +80001152: 0000 unimp +80001154: 0000 unimp +80001156: 0000 unimp +80001158: 0000 unimp +8000115a: 0000 unimp +8000115c: 0000 unimp +8000115e: 0000 unimp +80001160: 0000 unimp +80001162: 0000 unimp +80001164: 0000 unimp +80001166: 0000 unimp +80001168: 0000 unimp +8000116a: 0000 unimp +8000116c: 0000 unimp +8000116e: 0000 unimp +80001170: 0000 unimp +80001172: 0000 unimp +80001174: 0000 unimp +80001176: 0000 unimp +80001178: 0000 unimp +8000117a: 0000 unimp +8000117c: 0000 unimp +8000117e: 0000 unimp +80001180: 0000 unimp +80001182: 0000 unimp +80001184: 0000 unimp +80001186: 0000 unimp +80001188: 0000 unimp +8000118a: 0000 unimp +8000118c: 0000 unimp +8000118e: 0000 unimp +80001190: 0000 unimp +80001192: 0000 unimp +80001194: 0000 unimp +80001196: 0000 unimp +80001198: 0000 unimp +8000119a: 0000 unimp +8000119c: 0000 unimp +8000119e: 0000 unimp +800011a0: 0000 unimp +800011a2: 0000 unimp +800011a4: 0000 unimp +800011a6: 0000 unimp +800011a8: 0000 unimp +800011aa: 0000 unimp +800011ac: 0000 unimp +800011ae: 0000 unimp +800011b0: 0000 unimp +800011b2: 0000 unimp +800011b4: 0000 unimp +800011b6: 0000 unimp +800011b8: 0000 unimp +800011ba: 0000 unimp +800011bc: 0000 unimp +800011be: 0000 unimp +800011c0: 0000 unimp +800011c2: 0000 unimp +800011c4: 0000 unimp +800011c6: 0000 unimp +800011c8: 0000 unimp +800011ca: 0000 unimp +800011cc: 0000 unimp +800011ce: 0000 unimp +800011d0: 0000 unimp +800011d2: 0000 unimp +800011d4: 0000 unimp +800011d6: 0000 unimp +800011d8: 0000 unimp +800011da: 0000 unimp +800011dc: 0000 unimp +800011de: 0000 unimp +800011e0: 0000 unimp +800011e2: 0000 unimp +800011e4: 0000 unimp +800011e6: 0000 unimp +800011e8: 0000 unimp +800011ea: 0000 unimp +800011ec: 0000 unimp +800011ee: 0000 unimp +800011f0: 0000 unimp +800011f2: 0000 unimp +800011f4: 0000 unimp +800011f6: 0000 unimp +800011f8: 0000 unimp +800011fa: 0000 unimp +800011fc: 0000 unimp +800011fe: 0000 unimp +80001200: 0000 unimp +80001202: 0000 unimp +80001204: 0000 unimp +80001206: 0000 unimp +80001208: 0000 unimp +8000120a: 0000 unimp +8000120c: 0000 unimp +8000120e: 0000 unimp +80001210: 0000 unimp +80001212: 0000 unimp +80001214: 0000 unimp +80001216: 0000 unimp +80001218: 0000 unimp +8000121a: 0000 unimp +8000121c: 0000 unimp +8000121e: 0000 unimp +80001220: 0000 unimp +80001222: 0000 unimp +80001224: 0000 unimp +80001226: 0000 unimp +80001228: 0000 unimp +8000122a: 0000 unimp +8000122c: 0000 unimp +8000122e: 0000 unimp +80001230: 0000 unimp +80001232: 0000 unimp +80001234: 0000 unimp +80001236: 0000 unimp +80001238: 0000 unimp +8000123a: 0000 unimp +8000123c: 0000 unimp +8000123e: 0000 unimp +80001240: 0000 unimp +80001242: 0000 unimp +80001244: 0000 unimp +80001246: 0000 unimp +80001248: 0000 unimp +8000124a: 0000 unimp +8000124c: 0000 unimp +8000124e: 0000 unimp +80001250: 0000 unimp +80001252: 0000 unimp +80001254: 0000 unimp +80001256: 0000 unimp +80001258: 0000 unimp +8000125a: 0000 unimp +8000125c: 0000 unimp +8000125e: 0000 unimp +80001260: 0000 unimp +80001262: 0000 unimp +80001264: 0000 unimp +80001266: 0000 unimp +80001268: 0000 unimp +8000126a: 0000 unimp +8000126c: 0000 unimp +8000126e: 0000 unimp +80001270: 0000 unimp +80001272: 0000 unimp +80001274: 0000 unimp +80001276: 0000 unimp +80001278: 0000 unimp +8000127a: 0000 unimp +8000127c: 0000 unimp +8000127e: 0000 unimp +80001280: 0000 unimp +80001282: 0000 unimp +80001284: 0000 unimp +80001286: 0000 unimp +80001288: 0000 unimp +8000128a: 0000 unimp +8000128c: 0000 unimp +8000128e: 0000 unimp +80001290: 0000 unimp +80001292: 0000 unimp +80001294: 0000 unimp +80001296: 0000 unimp +80001298: 0000 unimp +8000129a: 0000 unimp +8000129c: 0000 unimp +8000129e: 0000 unimp +800012a0: 0000 unimp +800012a2: 0000 unimp +800012a4: 0000 unimp +800012a6: 0000 unimp +800012a8: 0000 unimp +800012aa: 0000 unimp +800012ac: 0000 unimp +800012ae: 0000 unimp +800012b0: 0000 unimp +800012b2: 0000 unimp +800012b4: 0000 unimp +800012b6: 0000 unimp +800012b8: 0000 unimp +800012ba: 0000 unimp +800012bc: 0000 unimp +800012be: 0000 unimp +800012c0: 0000 unimp +800012c2: 0000 unimp +800012c4: 0000 unimp +800012c6: 0000 unimp +800012c8: 0000 unimp +800012ca: 0000 unimp +800012cc: 0000 unimp +800012ce: 0000 unimp +800012d0: 0000 unimp +800012d2: 0000 unimp +800012d4: 0000 unimp +800012d6: 0000 unimp +800012d8: 0000 unimp +800012da: 0000 unimp +800012dc: 0000 unimp +800012de: 0000 unimp +800012e0: 0000 unimp +800012e2: 0000 unimp +800012e4: 0000 unimp +800012e6: 0000 unimp +800012e8: 0000 unimp +800012ea: 0000 unimp +800012ec: 0000 unimp +800012ee: 0000 unimp +800012f0: 0000 unimp +800012f2: 0000 unimp +800012f4: 0000 unimp +800012f6: 0000 unimp +800012f8: 0000 unimp +800012fa: 0000 unimp +800012fc: 0000 unimp +800012fe: 0000 unimp +80001300: 0000 unimp +80001302: 0000 unimp +80001304: 0000 unimp +80001306: 0000 unimp +80001308: 0000 unimp +8000130a: 0000 unimp +8000130c: 0000 unimp +8000130e: 0000 unimp +80001310: 0000 unimp +80001312: 0000 unimp +80001314: 0000 unimp +80001316: 0000 unimp +80001318: 0000 unimp +8000131a: 0000 unimp +8000131c: 0000 unimp +8000131e: 0000 unimp +80001320: 0000 unimp +80001322: 0000 unimp +80001324: 0000 unimp +80001326: 0000 unimp +80001328: 0000 unimp +8000132a: 0000 unimp +8000132c: 0000 unimp +8000132e: 0000 unimp +80001330: 0000 unimp +80001332: 0000 unimp +80001334: 0000 unimp +80001336: 0000 unimp +80001338: 0000 unimp +8000133a: 0000 unimp +8000133c: 0000 unimp +8000133e: 0000 unimp +80001340: 0000 unimp +80001342: 0000 unimp +80001344: 0000 unimp +80001346: 0000 unimp +80001348: 0000 unimp +8000134a: 0000 unimp +8000134c: 0000 unimp +8000134e: 0000 unimp +80001350: 0000 unimp +80001352: 0000 unimp +80001354: 0000 unimp +80001356: 0000 unimp +80001358: 0000 unimp +8000135a: 0000 unimp +8000135c: 0000 unimp +8000135e: 0000 unimp +80001360: 0000 unimp +80001362: 0000 unimp +80001364: 0000 unimp +80001366: 0000 unimp +80001368: 0000 unimp +8000136a: 0000 unimp +8000136c: 0000 unimp +8000136e: 0000 unimp +80001370: 0000 unimp +80001372: 0000 unimp +80001374: 0000 unimp +80001376: 0000 unimp +80001378: 0000 unimp +8000137a: 0000 unimp +8000137c: 0000 unimp +8000137e: 0000 unimp +80001380: 0000 unimp +80001382: 0000 unimp +80001384: 0000 unimp +80001386: 0000 unimp +80001388: 0000 unimp +8000138a: 0000 unimp +8000138c: 0000 unimp +8000138e: 0000 unimp +80001390: 0000 unimp +80001392: 0000 unimp +80001394: 0000 unimp +80001396: 0000 unimp +80001398: 0000 unimp +8000139a: 0000 unimp +8000139c: 0000 unimp +8000139e: 0000 unimp +800013a0: 0000 unimp +800013a2: 0000 unimp +800013a4: 0000 unimp +800013a6: 0000 unimp +800013a8: 0000 unimp +800013aa: 0000 unimp +800013ac: 0000 unimp +800013ae: 0000 unimp +800013b0: 0000 unimp +800013b2: 0000 unimp +800013b4: 0000 unimp +800013b6: 0000 unimp +800013b8: 0000 unimp +800013ba: 0000 unimp +800013bc: 0000 unimp +800013be: 0000 unimp +800013c0: 0000 unimp +800013c2: 0000 unimp +800013c4: 0000 unimp +800013c6: 0000 unimp +800013c8: 0000 unimp +800013ca: 0000 unimp +800013cc: 0000 unimp +800013ce: 0000 unimp +800013d0: 0000 unimp +800013d2: 0000 unimp +800013d4: 0000 unimp +800013d6: 0000 unimp +800013d8: 0000 unimp +800013da: 0000 unimp +800013dc: 0000 unimp +800013de: 0000 unimp +800013e0: 0000 unimp +800013e2: 0000 unimp +800013e4: 0000 unimp +800013e6: 0000 unimp +800013e8: 0000 unimp +800013ea: 0000 unimp +800013ec: 0000 unimp +800013ee: 0000 unimp +800013f0: 0000 unimp +800013f2: 0000 unimp +800013f4: 0000 unimp +800013f6: 0000 unimp +800013f8: 0000 unimp +800013fa: 0000 unimp +800013fc: 0000 unimp +800013fe: 0000 unimp +80001400: 0000 unimp +80001402: 0000 unimp +80001404: 0000 unimp +80001406: 0000 unimp +80001408: 0000 unimp +8000140a: 0000 unimp +8000140c: 0000 unimp +8000140e: 0000 unimp +80001410: 0000 unimp +80001412: 0000 unimp +80001414: 0000 unimp +80001416: 0000 unimp +80001418: 0000 unimp +8000141a: 0000 unimp +8000141c: 0000 unimp +8000141e: 0000 unimp +80001420: 0000 unimp +80001422: 0000 unimp +80001424: 0000 unimp +80001426: 0000 unimp +80001428: 0000 unimp +8000142a: 0000 unimp +8000142c: 0000 unimp +8000142e: 0000 unimp +80001430: 0000 unimp +80001432: 0000 unimp +80001434: 0000 unimp +80001436: 0000 unimp +80001438: 0000 unimp +8000143a: 0000 unimp +8000143c: 0000 unimp +8000143e: 0000 unimp +80001440: 0000 unimp +80001442: 0000 unimp +80001444: 0000 unimp +80001446: 0000 unimp +80001448: 0000 unimp +8000144a: 0000 unimp +8000144c: 0000 unimp +8000144e: 0000 unimp +80001450: 0000 unimp +80001452: 0000 unimp +80001454: 0000 unimp +80001456: 0000 unimp +80001458: 0000 unimp +8000145a: 0000 unimp +8000145c: 0000 unimp +8000145e: 0000 unimp +80001460: 0000 unimp +80001462: 0000 unimp +80001464: 0000 unimp +80001466: 0000 unimp +80001468: 0000 unimp +8000146a: 0000 unimp +8000146c: 0000 unimp +8000146e: 0000 unimp +80001470: 0000 unimp +80001472: 0000 unimp +80001474: 0000 unimp +80001476: 0000 unimp +80001478: 0000 unimp +8000147a: 0000 unimp +8000147c: 0000 unimp +8000147e: 0000 unimp +80001480: 0000 unimp +80001482: 0000 unimp +80001484: 0000 unimp +80001486: 0000 unimp +80001488: 0000 unimp +8000148a: 0000 unimp +8000148c: 0000 unimp +8000148e: 0000 unimp +80001490: 0000 unimp +80001492: 0000 unimp +80001494: 0000 unimp +80001496: 0000 unimp +80001498: 0000 unimp +8000149a: 0000 unimp +8000149c: 0000 unimp +8000149e: 0000 unimp +800014a0: 0000 unimp +800014a2: 0000 unimp +800014a4: 0000 unimp +800014a6: 0000 unimp +800014a8: 0000 unimp +800014aa: 0000 unimp +800014ac: 0000 unimp +800014ae: 0000 unimp +800014b0: 0000 unimp +800014b2: 0000 unimp +800014b4: 0000 unimp +800014b6: 0000 unimp +800014b8: 0000 unimp +800014ba: 0000 unimp +800014bc: 0000 unimp +800014be: 0000 unimp +800014c0: 0000 unimp +800014c2: 0000 unimp +800014c4: 0000 unimp +800014c6: 0000 unimp +800014c8: 0000 unimp +800014ca: 0000 unimp +800014cc: 0000 unimp +800014ce: 0000 unimp +800014d0: 0000 unimp +800014d2: 0000 unimp +800014d4: 0000 unimp +800014d6: 0000 unimp +800014d8: 0000 unimp +800014da: 0000 unimp +800014dc: 0000 unimp +800014de: 0000 unimp +800014e0: 0000 unimp +800014e2: 0000 unimp +800014e4: 0000 unimp +800014e6: 0000 unimp +800014e8: 0000 unimp +800014ea: 0000 unimp +800014ec: 0000 unimp +800014ee: 0000 unimp +800014f0: 0000 unimp +800014f2: 0000 unimp +800014f4: 0000 unimp +800014f6: 0000 unimp +800014f8: 0000 unimp +800014fa: 0000 unimp +800014fc: 0000 unimp +800014fe: 0000 unimp +80001500: 0000 unimp +80001502: 0000 unimp +80001504: 0000 unimp +80001506: 0000 unimp +80001508: 0000 unimp +8000150a: 0000 unimp +8000150c: 0000 unimp +8000150e: 0000 unimp +80001510: 0000 unimp +80001512: 0000 unimp +80001514: 0000 unimp +80001516: 0000 unimp +80001518: 0000 unimp +8000151a: 0000 unimp +8000151c: 0000 unimp +8000151e: 0000 unimp +80001520: 0000 unimp +80001522: 0000 unimp +80001524: 0000 unimp +80001526: 0000 unimp +80001528: 0000 unimp +8000152a: 0000 unimp +8000152c: 0000 unimp +8000152e: 0000 unimp +80001530: 0000 unimp +80001532: 0000 unimp +80001534: 0000 unimp +80001536: 0000 unimp +80001538: 0000 unimp +8000153a: 0000 unimp +8000153c: 0000 unimp +8000153e: 0000 unimp +80001540: 0000 unimp +80001542: 0000 unimp +80001544: 0000 unimp +80001546: 0000 unimp +80001548: 0000 unimp +8000154a: 0000 unimp +8000154c: 0000 unimp +8000154e: 0000 unimp +80001550: 0000 unimp +80001552: 0000 unimp +80001554: 0000 unimp +80001556: 0000 unimp +80001558: 0000 unimp +8000155a: 0000 unimp +8000155c: 0000 unimp +8000155e: 0000 unimp +80001560: 0000 unimp +80001562: 0000 unimp +80001564: 0000 unimp +80001566: 0000 unimp +80001568: 0000 unimp +8000156a: 0000 unimp +8000156c: 0000 unimp +8000156e: 0000 unimp +80001570: 0000 unimp +80001572: 0000 unimp +80001574: 0000 unimp +80001576: 0000 unimp +80001578: 0000 unimp +8000157a: 0000 unimp +8000157c: 0000 unimp +8000157e: 0000 unimp +80001580: 0000 unimp +80001582: 0000 unimp +80001584: 0000 unimp +80001586: 0000 unimp +80001588: 0000 unimp +8000158a: 0000 unimp +8000158c: 0000 unimp +8000158e: 0000 unimp +80001590: 0000 unimp +80001592: 0000 unimp +80001594: 0000 unimp +80001596: 0000 unimp +80001598: 0000 unimp +8000159a: 0000 unimp +8000159c: 0000 unimp +8000159e: 0000 unimp +800015a0: 0000 unimp +800015a2: 0000 unimp +800015a4: 0000 unimp +800015a6: 0000 unimp +800015a8: 0000 unimp +800015aa: 0000 unimp +800015ac: 0000 unimp +800015ae: 0000 unimp +800015b0: 0000 unimp +800015b2: 0000 unimp +800015b4: 0000 unimp +800015b6: 0000 unimp +800015b8: 0000 unimp +800015ba: 0000 unimp +800015bc: 0000 unimp +800015be: 0000 unimp +800015c0: 0000 unimp +800015c2: 0000 unimp +800015c4: 0000 unimp +800015c6: 0000 unimp +800015c8: 0000 unimp +800015ca: 0000 unimp +800015cc: 0000 unimp +800015ce: 0000 unimp +800015d0: 0000 unimp +800015d2: 0000 unimp +800015d4: 0000 unimp +800015d6: 0000 unimp +800015d8: 0000 unimp +800015da: 0000 unimp +800015dc: 0000 unimp +800015de: 0000 unimp +800015e0: 0000 unimp +800015e2: 0000 unimp +800015e4: 0000 unimp +800015e6: 0000 unimp +800015e8: 0000 unimp +800015ea: 0000 unimp +800015ec: 0000 unimp +800015ee: 0000 unimp +800015f0: 0000 unimp +800015f2: 0000 unimp +800015f4: 0000 unimp +800015f6: 0000 unimp +800015f8: 0000 unimp +800015fa: 0000 unimp +800015fc: 0000 unimp +800015fe: 0000 unimp +80001600: 0000 unimp +80001602: 0000 unimp +80001604: 0000 unimp +80001606: 0000 unimp +80001608: 0000 unimp +8000160a: 0000 unimp +8000160c: 0000 unimp +8000160e: 0000 unimp +80001610: 0000 unimp +80001612: 0000 unimp +80001614: 0000 unimp +80001616: 0000 unimp +80001618: 0000 unimp +8000161a: 0000 unimp +8000161c: 0000 unimp +8000161e: 0000 unimp +80001620: 0000 unimp +80001622: 0000 unimp +80001624: 0000 unimp +80001626: 0000 unimp +80001628: 0000 unimp +8000162a: 0000 unimp +8000162c: 0000 unimp +8000162e: 0000 unimp +80001630: 0000 unimp +80001632: 0000 unimp +80001634: 0000 unimp +80001636: 0000 unimp +80001638: 0000 unimp +8000163a: 0000 unimp +8000163c: 0000 unimp +8000163e: 0000 unimp +80001640: 0000 unimp +80001642: 0000 unimp +80001644: 0000 unimp +80001646: 0000 unimp +80001648: 0000 unimp +8000164a: 0000 unimp +8000164c: 0000 unimp +8000164e: 0000 unimp +80001650: 0000 unimp +80001652: 0000 unimp +80001654: 0000 unimp +80001656: 0000 unimp +80001658: 0000 unimp +8000165a: 0000 unimp +8000165c: 0000 unimp +8000165e: 0000 unimp +80001660: 0000 unimp +80001662: 0000 unimp +80001664: 0000 unimp +80001666: 0000 unimp +80001668: 0000 unimp +8000166a: 0000 unimp +8000166c: 0000 unimp +8000166e: 0000 unimp +80001670: 0000 unimp +80001672: 0000 unimp +80001674: 0000 unimp +80001676: 0000 unimp +80001678: 0000 unimp +8000167a: 0000 unimp +8000167c: 0000 unimp +8000167e: 0000 unimp +80001680: 0000 unimp +80001682: 0000 unimp +80001684: 0000 unimp +80001686: 0000 unimp +80001688: 0000 unimp +8000168a: 0000 unimp +8000168c: 0000 unimp +8000168e: 0000 unimp +80001690: 0000 unimp +80001692: 0000 unimp +80001694: 0000 unimp +80001696: 0000 unimp +80001698: 0000 unimp +8000169a: 0000 unimp +8000169c: 0000 unimp +8000169e: 0000 unimp +800016a0: 0000 unimp +800016a2: 0000 unimp +800016a4: 0000 unimp +800016a6: 0000 unimp +800016a8: 0000 unimp +800016aa: 0000 unimp +800016ac: 0000 unimp +800016ae: 0000 unimp +800016b0: 0000 unimp +800016b2: 0000 unimp +800016b4: 0000 unimp +800016b6: 0000 unimp +800016b8: 0000 unimp +800016ba: 0000 unimp +800016bc: 0000 unimp +800016be: 0000 unimp +800016c0: 0000 unimp +800016c2: 0000 unimp +800016c4: 0000 unimp +800016c6: 0000 unimp +800016c8: 0000 unimp +800016ca: 0000 unimp +800016cc: 0000 unimp +800016ce: 0000 unimp +800016d0: 0000 unimp +800016d2: 0000 unimp +800016d4: 0000 unimp +800016d6: 0000 unimp +800016d8: 0000 unimp +800016da: 0000 unimp +800016dc: 0000 unimp +800016de: 0000 unimp +800016e0: 0000 unimp +800016e2: 0000 unimp +800016e4: 0000 unimp +800016e6: 0000 unimp +800016e8: 0000 unimp +800016ea: 0000 unimp +800016ec: 0000 unimp +800016ee: 0000 unimp +800016f0: 0000 unimp +800016f2: 0000 unimp +800016f4: 0000 unimp +800016f6: 0000 unimp +800016f8: 0000 unimp +800016fa: 0000 unimp +800016fc: 0000 unimp +800016fe: 0000 unimp +80001700: 0000 unimp +80001702: 0000 unimp +80001704: 0000 unimp +80001706: 0000 unimp +80001708: 0000 unimp +8000170a: 0000 unimp +8000170c: 0000 unimp +8000170e: 0000 unimp +80001710: 0000 unimp +80001712: 0000 unimp +80001714: 0000 unimp +80001716: 0000 unimp +80001718: 0000 unimp +8000171a: 0000 unimp +8000171c: 0000 unimp +8000171e: 0000 unimp +80001720: 0000 unimp +80001722: 0000 unimp +80001724: 0000 unimp +80001726: 0000 unimp +80001728: 0000 unimp +8000172a: 0000 unimp +8000172c: 0000 unimp +8000172e: 0000 unimp +80001730: 0000 unimp +80001732: 0000 unimp +80001734: 0000 unimp +80001736: 0000 unimp +80001738: 0000 unimp +8000173a: 0000 unimp +8000173c: 0000 unimp +8000173e: 0000 unimp +80001740: 0000 unimp +80001742: 0000 unimp +80001744: 0000 unimp +80001746: 0000 unimp +80001748: 0000 unimp +8000174a: 0000 unimp +8000174c: 0000 unimp +8000174e: 0000 unimp +80001750: 0000 unimp +80001752: 0000 unimp +80001754: 0000 unimp +80001756: 0000 unimp +80001758: 0000 unimp +8000175a: 0000 unimp +8000175c: 0000 unimp +8000175e: 0000 unimp +80001760: 0000 unimp +80001762: 0000 unimp +80001764: 0000 unimp +80001766: 0000 unimp +80001768: 0000 unimp +8000176a: 0000 unimp +8000176c: 0000 unimp +8000176e: 0000 unimp +80001770: 0000 unimp +80001772: 0000 unimp +80001774: 0000 unimp +80001776: 0000 unimp +80001778: 0000 unimp +8000177a: 0000 unimp +8000177c: 0000 unimp +8000177e: 0000 unimp +80001780: 0000 unimp +80001782: 0000 unimp +80001784: 0000 unimp +80001786: 0000 unimp +80001788: 0000 unimp +8000178a: 0000 unimp +8000178c: 0000 unimp +8000178e: 0000 unimp +80001790: 0000 unimp +80001792: 0000 unimp +80001794: 0000 unimp +80001796: 0000 unimp +80001798: 0000 unimp +8000179a: 0000 unimp +8000179c: 0000 unimp +8000179e: 0000 unimp +800017a0: 0000 unimp +800017a2: 0000 unimp +800017a4: 0000 unimp +800017a6: 0000 unimp +800017a8: 0000 unimp +800017aa: 0000 unimp +800017ac: 0000 unimp +800017ae: 0000 unimp +800017b0: 0000 unimp +800017b2: 0000 unimp +800017b4: 0000 unimp +800017b6: 0000 unimp +800017b8: 0000 unimp +800017ba: 0000 unimp +800017bc: 0000 unimp +800017be: 0000 unimp +800017c0: 0000 unimp +800017c2: 0000 unimp +800017c4: 0000 unimp +800017c6: 0000 unimp +800017c8: 0000 unimp +800017ca: 0000 unimp +800017cc: 0000 unimp +800017ce: 0000 unimp +800017d0: 0000 unimp +800017d2: 0000 unimp +800017d4: 0000 unimp +800017d6: 0000 unimp +800017d8: 0000 unimp +800017da: 0000 unimp +800017dc: 0000 unimp +800017de: 0000 unimp +800017e0: 0000 unimp +800017e2: 0000 unimp +800017e4: 0000 unimp +800017e6: 0000 unimp +800017e8: 0000 unimp +800017ea: 0000 unimp +800017ec: 0000 unimp +800017ee: 0000 unimp +800017f0: 0000 unimp +800017f2: 0000 unimp +800017f4: 0000 unimp +800017f6: 0000 unimp +800017f8: 0000 unimp +800017fa: 0000 unimp +800017fc: 0000 unimp +800017fe: 0000 unimp +80001800: 0000 unimp +80001802: 0000 unimp +80001804: 0000 unimp +80001806: 0000 unimp +80001808: 0000 unimp +8000180a: 0000 unimp +8000180c: 0000 unimp +8000180e: 0000 unimp +80001810: 0000 unimp +80001812: 0000 unimp +80001814: 0000 unimp +80001816: 0000 unimp +80001818: 0000 unimp +8000181a: 0000 unimp +8000181c: 0000 unimp +8000181e: 0000 unimp +80001820: 0000 unimp +80001822: 0000 unimp +80001824: 0000 unimp +80001826: 0000 unimp +80001828: 0000 unimp +8000182a: 0000 unimp +8000182c: 0000 unimp +8000182e: 0000 unimp +80001830: 0000 unimp +80001832: 0000 unimp +80001834: 0000 unimp +80001836: 0000 unimp +80001838: 0000 unimp +8000183a: 0000 unimp +8000183c: 0000 unimp +8000183e: 0000 unimp +80001840: 0000 unimp +80001842: 0000 unimp +80001844: 0000 unimp +80001846: 0000 unimp +80001848: 0000 unimp +8000184a: 0000 unimp +8000184c: 0000 unimp +8000184e: 0000 unimp +80001850: 0000 unimp +80001852: 0000 unimp +80001854: 0000 unimp +80001856: 0000 unimp +80001858: 0000 unimp +8000185a: 0000 unimp +8000185c: 0000 unimp +8000185e: 0000 unimp +80001860: 0000 unimp +80001862: 0000 unimp +80001864: 0000 unimp +80001866: 0000 unimp +80001868: 0000 unimp +8000186a: 0000 unimp +8000186c: 0000 unimp +8000186e: 0000 unimp +80001870: 0000 unimp +80001872: 0000 unimp +80001874: 0000 unimp +80001876: 0000 unimp +80001878: 0000 unimp +8000187a: 0000 unimp +8000187c: 0000 unimp +8000187e: 0000 unimp +80001880: 0000 unimp +80001882: 0000 unimp +80001884: 0000 unimp +80001886: 0000 unimp +80001888: 0000 unimp +8000188a: 0000 unimp +8000188c: 0000 unimp +8000188e: 0000 unimp +80001890: 0000 unimp +80001892: 0000 unimp +80001894: 0000 unimp +80001896: 0000 unimp +80001898: 0000 unimp +8000189a: 0000 unimp +8000189c: 0000 unimp +8000189e: 0000 unimp +800018a0: 0000 unimp +800018a2: 0000 unimp +800018a4: 0000 unimp +800018a6: 0000 unimp +800018a8: 0000 unimp +800018aa: 0000 unimp +800018ac: 0000 unimp +800018ae: 0000 unimp +800018b0: 0000 unimp +800018b2: 0000 unimp +800018b4: 0000 unimp +800018b6: 0000 unimp +800018b8: 0000 unimp +800018ba: 0000 unimp +800018bc: 0000 unimp +800018be: 0000 unimp +800018c0: 0000 unimp +800018c2: 0000 unimp +800018c4: 0000 unimp +800018c6: 0000 unimp +800018c8: 0000 unimp +800018ca: 0000 unimp +800018cc: 0000 unimp +800018ce: 0000 unimp +800018d0: 0000 unimp +800018d2: 0000 unimp +800018d4: 0000 unimp +800018d6: 0000 unimp +800018d8: 0000 unimp +800018da: 0000 unimp +800018dc: 0000 unimp +800018de: 0000 unimp +800018e0: 0000 unimp +800018e2: 0000 unimp +800018e4: 0000 unimp +800018e6: 0000 unimp +800018e8: 0000 unimp +800018ea: 0000 unimp +800018ec: 0000 unimp +800018ee: 0000 unimp +800018f0: 0000 unimp +800018f2: 0000 unimp +800018f4: 0000 unimp +800018f6: 0000 unimp +800018f8: 0000 unimp +800018fa: 0000 unimp +800018fc: 0000 unimp +800018fe: 0000 unimp +80001900: 0000 unimp +80001902: 0000 unimp +80001904: 0000 unimp +80001906: 0000 unimp +80001908: 0000 unimp +8000190a: 0000 unimp +8000190c: 0000 unimp +8000190e: 0000 unimp +80001910: 0000 unimp +80001912: 0000 unimp +80001914: 0000 unimp +80001916: 0000 unimp +80001918: 0000 unimp +8000191a: 0000 unimp +8000191c: 0000 unimp +8000191e: 0000 unimp +80001920: 0000 unimp +80001922: 0000 unimp +80001924: 0000 unimp +80001926: 0000 unimp +80001928: 0000 unimp +8000192a: 0000 unimp +8000192c: 0000 unimp +8000192e: 0000 unimp +80001930: 0000 unimp +80001932: 0000 unimp +80001934: 0000 unimp +80001936: 0000 unimp +80001938: 0000 unimp +8000193a: 0000 unimp +8000193c: 0000 unimp +8000193e: 0000 unimp +80001940: 0000 unimp +80001942: 0000 unimp +80001944: 0000 unimp +80001946: 0000 unimp +80001948: 0000 unimp +8000194a: 0000 unimp +8000194c: 0000 unimp +8000194e: 0000 unimp +80001950: 0000 unimp +80001952: 0000 unimp +80001954: 0000 unimp +80001956: 0000 unimp +80001958: 0000 unimp +8000195a: 0000 unimp +8000195c: 0000 unimp +8000195e: 0000 unimp +80001960: 0000 unimp +80001962: 0000 unimp +80001964: 0000 unimp +80001966: 0000 unimp +80001968: 0000 unimp +8000196a: 0000 unimp +8000196c: 0000 unimp +8000196e: 0000 unimp +80001970: 0000 unimp +80001972: 0000 unimp +80001974: 0000 unimp +80001976: 0000 unimp +80001978: 0000 unimp +8000197a: 0000 unimp +8000197c: 0000 unimp +8000197e: 0000 unimp +80001980: 0000 unimp +80001982: 0000 unimp +80001984: 0000 unimp +80001986: 0000 unimp +80001988: 0000 unimp +8000198a: 0000 unimp +8000198c: 0000 unimp +8000198e: 0000 unimp +80001990: 0000 unimp +80001992: 0000 unimp +80001994: 0000 unimp +80001996: 0000 unimp +80001998: 0000 unimp +8000199a: 0000 unimp +8000199c: 0000 unimp +8000199e: 0000 unimp +800019a0: 0000 unimp +800019a2: 0000 unimp +800019a4: 0000 unimp +800019a6: 0000 unimp +800019a8: 0000 unimp +800019aa: 0000 unimp +800019ac: 0000 unimp +800019ae: 0000 unimp +800019b0: 0000 unimp +800019b2: 0000 unimp +800019b4: 0000 unimp +800019b6: 0000 unimp +800019b8: 0000 unimp +800019ba: 0000 unimp +800019bc: 0000 unimp +800019be: 0000 unimp +800019c0: 0000 unimp +800019c2: 0000 unimp +800019c4: 0000 unimp +800019c6: 0000 unimp +800019c8: 0000 unimp +800019ca: 0000 unimp +800019cc: 0000 unimp +800019ce: 0000 unimp +800019d0: 0000 unimp +800019d2: 0000 unimp +800019d4: 0000 unimp +800019d6: 0000 unimp +800019d8: 0000 unimp +800019da: 0000 unimp +800019dc: 0000 unimp +800019de: 0000 unimp +800019e0: 0000 unimp +800019e2: 0000 unimp +800019e4: 0000 unimp +800019e6: 0000 unimp +800019e8: 0000 unimp +800019ea: 0000 unimp +800019ec: 0000 unimp +800019ee: 0000 unimp +800019f0: 0000 unimp +800019f2: 0000 unimp +800019f4: 0000 unimp +800019f6: 0000 unimp +800019f8: 0000 unimp +800019fa: 0000 unimp +800019fc: 0000 unimp +800019fe: 0000 unimp +80001a00: 0000 unimp +80001a02: 0000 unimp +80001a04: 0000 unimp +80001a06: 0000 unimp +80001a08: 0000 unimp +80001a0a: 0000 unimp +80001a0c: 0000 unimp +80001a0e: 0000 unimp +80001a10: 0000 unimp +80001a12: 0000 unimp +80001a14: 0000 unimp +80001a16: 0000 unimp +80001a18: 0000 unimp +80001a1a: 0000 unimp +80001a1c: 0000 unimp +80001a1e: 0000 unimp +80001a20: 0000 unimp +80001a22: 0000 unimp +80001a24: 0000 unimp +80001a26: 0000 unimp +80001a28: 0000 unimp +80001a2a: 0000 unimp +80001a2c: 0000 unimp +80001a2e: 0000 unimp +80001a30: 0000 unimp +80001a32: 0000 unimp +80001a34: 0000 unimp +80001a36: 0000 unimp +80001a38: 0000 unimp +80001a3a: 0000 unimp +80001a3c: 0000 unimp +80001a3e: 0000 unimp +80001a40: 0000 unimp +80001a42: 0000 unimp +80001a44: 0000 unimp +80001a46: 0000 unimp +80001a48: 0000 unimp +80001a4a: 0000 unimp +80001a4c: 0000 unimp +80001a4e: 0000 unimp +80001a50: 0000 unimp +80001a52: 0000 unimp +80001a54: 0000 unimp +80001a56: 0000 unimp +80001a58: 0000 unimp +80001a5a: 0000 unimp +80001a5c: 0000 unimp +80001a5e: 0000 unimp +80001a60: 0000 unimp +80001a62: 0000 unimp +80001a64: 0000 unimp +80001a66: 0000 unimp +80001a68: 0000 unimp +80001a6a: 0000 unimp +80001a6c: 0000 unimp +80001a6e: 0000 unimp +80001a70: 0000 unimp +80001a72: 0000 unimp +80001a74: 0000 unimp +80001a76: 0000 unimp +80001a78: 0000 unimp +80001a7a: 0000 unimp +80001a7c: 0000 unimp +80001a7e: 0000 unimp +80001a80: 0000 unimp +80001a82: 0000 unimp +80001a84: 0000 unimp +80001a86: 0000 unimp +80001a88: 0000 unimp +80001a8a: 0000 unimp +80001a8c: 0000 unimp +80001a8e: 0000 unimp +80001a90: 0000 unimp +80001a92: 0000 unimp +80001a94: 0000 unimp +80001a96: 0000 unimp +80001a98: 0000 unimp +80001a9a: 0000 unimp +80001a9c: 0000 unimp +80001a9e: 0000 unimp +80001aa0: 0000 unimp +80001aa2: 0000 unimp +80001aa4: 0000 unimp +80001aa6: 0000 unimp +80001aa8: 0000 unimp +80001aaa: 0000 unimp +80001aac: 0000 unimp +80001aae: 0000 unimp +80001ab0: 0000 unimp +80001ab2: 0000 unimp +80001ab4: 0000 unimp +80001ab6: 0000 unimp +80001ab8: 0000 unimp +80001aba: 0000 unimp +80001abc: 0000 unimp +80001abe: 0000 unimp +80001ac0: 0000 unimp +80001ac2: 0000 unimp +80001ac4: 0000 unimp +80001ac6: 0000 unimp +80001ac8: 0000 unimp +80001aca: 0000 unimp +80001acc: 0000 unimp +80001ace: 0000 unimp +80001ad0: 0000 unimp +80001ad2: 0000 unimp +80001ad4: 0000 unimp +80001ad6: 0000 unimp +80001ad8: 0000 unimp +80001ada: 0000 unimp +80001adc: 0000 unimp +80001ade: 0000 unimp +80001ae0: 0000 unimp +80001ae2: 0000 unimp +80001ae4: 0000 unimp +80001ae6: 0000 unimp +80001ae8: 0000 unimp +80001aea: 0000 unimp +80001aec: 0000 unimp +80001aee: 0000 unimp +80001af0: 0000 unimp +80001af2: 0000 unimp +80001af4: 0000 unimp +80001af6: 0000 unimp +80001af8: 0000 unimp +80001afa: 0000 unimp +80001afc: 0000 unimp +80001afe: 0000 unimp +80001b00: 0000 unimp +80001b02: 0000 unimp +80001b04: 0000 unimp +80001b06: 0000 unimp +80001b08: 0000 unimp +80001b0a: 0000 unimp +80001b0c: 0000 unimp +80001b0e: 0000 unimp +80001b10: 0000 unimp +80001b12: 0000 unimp +80001b14: 0000 unimp +80001b16: 0000 unimp +80001b18: 0000 unimp +80001b1a: 0000 unimp +80001b1c: 0000 unimp +80001b1e: 0000 unimp +80001b20: 0000 unimp +80001b22: 0000 unimp +80001b24: 0000 unimp +80001b26: 0000 unimp +80001b28: 0000 unimp +80001b2a: 0000 unimp +80001b2c: 0000 unimp +80001b2e: 0000 unimp +80001b30: 0000 unimp +80001b32: 0000 unimp +80001b34: 0000 unimp +80001b36: 0000 unimp +80001b38: 0000 unimp +80001b3a: 0000 unimp +80001b3c: 0000 unimp +80001b3e: 0000 unimp +80001b40: 0000 unimp +80001b42: 0000 unimp +80001b44: 0000 unimp +80001b46: 0000 unimp +80001b48: 0000 unimp +80001b4a: 0000 unimp +80001b4c: 0000 unimp +80001b4e: 0000 unimp +80001b50: 0000 unimp +80001b52: 0000 unimp +80001b54: 0000 unimp +80001b56: 0000 unimp +80001b58: 0000 unimp +80001b5a: 0000 unimp +80001b5c: 0000 unimp +80001b5e: 0000 unimp +80001b60: 0000 unimp +80001b62: 0000 unimp +80001b64: 0000 unimp +80001b66: 0000 unimp +80001b68: 0000 unimp +80001b6a: 0000 unimp +80001b6c: 0000 unimp +80001b6e: 0000 unimp +80001b70: 0000 unimp +80001b72: 0000 unimp +80001b74: 0000 unimp +80001b76: 0000 unimp +80001b78: 0000 unimp +80001b7a: 0000 unimp +80001b7c: 0000 unimp +80001b7e: 0000 unimp +80001b80: 0000 unimp +80001b82: 0000 unimp +80001b84: 0000 unimp +80001b86: 0000 unimp +80001b88: 0000 unimp +80001b8a: 0000 unimp +80001b8c: 0000 unimp +80001b8e: 0000 unimp +80001b90: 0000 unimp +80001b92: 0000 unimp +80001b94: 0000 unimp +80001b96: 0000 unimp +80001b98: 0000 unimp +80001b9a: 0000 unimp +80001b9c: 0000 unimp +80001b9e: 0000 unimp +80001ba0: 0000 unimp +80001ba2: 0000 unimp +80001ba4: 0000 unimp +80001ba6: 0000 unimp +80001ba8: 0000 unimp +80001baa: 0000 unimp +80001bac: 0000 unimp +80001bae: 0000 unimp +80001bb0: 0000 unimp +80001bb2: 0000 unimp +80001bb4: 0000 unimp +80001bb6: 0000 unimp +80001bb8: 0000 unimp +80001bba: 0000 unimp +80001bbc: 0000 unimp +80001bbe: 0000 unimp +80001bc0: 0000 unimp +80001bc2: 0000 unimp +80001bc4: 0000 unimp +80001bc6: 0000 unimp +80001bc8: 0000 unimp +80001bca: 0000 unimp +80001bcc: 0000 unimp +80001bce: 0000 unimp +80001bd0: 0000 unimp +80001bd2: 0000 unimp +80001bd4: 0000 unimp +80001bd6: 0000 unimp +80001bd8: 0000 unimp +80001bda: 0000 unimp +80001bdc: 0000 unimp +80001bde: 0000 unimp +80001be0: 0000 unimp +80001be2: 0000 unimp +80001be4: 0000 unimp +80001be6: 0000 unimp +80001be8: 0000 unimp +80001bea: 0000 unimp +80001bec: 0000 unimp +80001bee: 0000 unimp +80001bf0: 0000 unimp +80001bf2: 0000 unimp +80001bf4: 0000 unimp +80001bf6: 0000 unimp +80001bf8: 0000 unimp +80001bfa: 0000 unimp +80001bfc: 0000 unimp +80001bfe: 0000 unimp +80001c00: 0000 unimp +80001c02: 0000 unimp +80001c04: 0000 unimp +80001c06: 0000 unimp +80001c08: 0000 unimp +80001c0a: 0000 unimp +80001c0c: 0000 unimp +80001c0e: 0000 unimp +80001c10: 0000 unimp +80001c12: 0000 unimp +80001c14: 0000 unimp +80001c16: 0000 unimp +80001c18: 0000 unimp +80001c1a: 0000 unimp +80001c1c: 0000 unimp +80001c1e: 0000 unimp +80001c20: 0000 unimp +80001c22: 0000 unimp +80001c24: 0000 unimp +80001c26: 0000 unimp +80001c28: 0000 unimp +80001c2a: 0000 unimp +80001c2c: 0000 unimp +80001c2e: 0000 unimp +80001c30: 0000 unimp +80001c32: 0000 unimp +80001c34: 0000 unimp +80001c36: 0000 unimp +80001c38: 0000 unimp +80001c3a: 0000 unimp +80001c3c: 0000 unimp +80001c3e: 0000 unimp +80001c40: 0000 unimp +80001c42: 0000 unimp +80001c44: 0000 unimp +80001c46: 0000 unimp +80001c48: 0000 unimp +80001c4a: 0000 unimp +80001c4c: 0000 unimp +80001c4e: 0000 unimp +80001c50: 0000 unimp +80001c52: 0000 unimp +80001c54: 0000 unimp +80001c56: 0000 unimp +80001c58: 0000 unimp +80001c5a: 0000 unimp +80001c5c: 0000 unimp +80001c5e: 0000 unimp +80001c60: 0000 unimp +80001c62: 0000 unimp +80001c64: 0000 unimp +80001c66: 0000 unimp +80001c68: 0000 unimp +80001c6a: 0000 unimp +80001c6c: 0000 unimp +80001c6e: 0000 unimp +80001c70: 0000 unimp +80001c72: 0000 unimp +80001c74: 0000 unimp +80001c76: 0000 unimp +80001c78: 0000 unimp +80001c7a: 0000 unimp +80001c7c: 0000 unimp +80001c7e: 0000 unimp +80001c80: 0000 unimp +80001c82: 0000 unimp +80001c84: 0000 unimp +80001c86: 0000 unimp +80001c88: 0000 unimp +80001c8a: 0000 unimp +80001c8c: 0000 unimp +80001c8e: 0000 unimp +80001c90: 0000 unimp +80001c92: 0000 unimp +80001c94: 0000 unimp +80001c96: 0000 unimp +80001c98: 0000 unimp +80001c9a: 0000 unimp +80001c9c: 0000 unimp +80001c9e: 0000 unimp +80001ca0: 0000 unimp +80001ca2: 0000 unimp +80001ca4: 0000 unimp +80001ca6: 0000 unimp +80001ca8: 0000 unimp +80001caa: 0000 unimp +80001cac: 0000 unimp +80001cae: 0000 unimp +80001cb0: 0000 unimp +80001cb2: 0000 unimp +80001cb4: 0000 unimp +80001cb6: 0000 unimp +80001cb8: 0000 unimp +80001cba: 0000 unimp +80001cbc: 0000 unimp +80001cbe: 0000 unimp +80001cc0: 0000 unimp +80001cc2: 0000 unimp +80001cc4: 0000 unimp +80001cc6: 0000 unimp +80001cc8: 0000 unimp +80001cca: 0000 unimp +80001ccc: 0000 unimp +80001cce: 0000 unimp +80001cd0: 0000 unimp +80001cd2: 0000 unimp +80001cd4: 0000 unimp +80001cd6: 0000 unimp +80001cd8: 0000 unimp +80001cda: 0000 unimp +80001cdc: 0000 unimp +80001cde: 0000 unimp +80001ce0: 0000 unimp +80001ce2: 0000 unimp +80001ce4: 0000 unimp +80001ce6: 0000 unimp +80001ce8: 0000 unimp +80001cea: 0000 unimp +80001cec: 0000 unimp +80001cee: 0000 unimp +80001cf0: 0000 unimp +80001cf2: 0000 unimp +80001cf4: 0000 unimp +80001cf6: 0000 unimp +80001cf8: 0000 unimp +80001cfa: 0000 unimp +80001cfc: 0000 unimp +80001cfe: 0000 unimp +80001d00: 0000 unimp +80001d02: 0000 unimp +80001d04: 0000 unimp +80001d06: 0000 unimp +80001d08: 0000 unimp +80001d0a: 0000 unimp +80001d0c: 0000 unimp +80001d0e: 0000 unimp +80001d10: 0000 unimp +80001d12: 0000 unimp +80001d14: 0000 unimp +80001d16: 0000 unimp +80001d18: 0000 unimp +80001d1a: 0000 unimp +80001d1c: 0000 unimp +80001d1e: 0000 unimp +80001d20: 0000 unimp +80001d22: 0000 unimp +80001d24: 0000 unimp +80001d26: 0000 unimp +80001d28: 0000 unimp +80001d2a: 0000 unimp +80001d2c: 0000 unimp +80001d2e: 0000 unimp +80001d30: 0000 unimp +80001d32: 0000 unimp +80001d34: 0000 unimp +80001d36: 0000 unimp +80001d38: 0000 unimp +80001d3a: 0000 unimp +80001d3c: 0000 unimp +80001d3e: 0000 unimp +80001d40: 0000 unimp +80001d42: 0000 unimp +80001d44: 0000 unimp +80001d46: 0000 unimp +80001d48: 0000 unimp +80001d4a: 0000 unimp +80001d4c: 0000 unimp +80001d4e: 0000 unimp +80001d50: 0000 unimp +80001d52: 0000 unimp +80001d54: 0000 unimp +80001d56: 0000 unimp +80001d58: 0000 unimp +80001d5a: 0000 unimp +80001d5c: 0000 unimp +80001d5e: 0000 unimp +80001d60: 0000 unimp +80001d62: 0000 unimp +80001d64: 0000 unimp +80001d66: 0000 unimp +80001d68: 0000 unimp +80001d6a: 0000 unimp +80001d6c: 0000 unimp +80001d6e: 0000 unimp +80001d70: 0000 unimp +80001d72: 0000 unimp +80001d74: 0000 unimp +80001d76: 0000 unimp +80001d78: 0000 unimp +80001d7a: 0000 unimp +80001d7c: 0000 unimp +80001d7e: 0000 unimp +80001d80: 0000 unimp +80001d82: 0000 unimp +80001d84: 0000 unimp +80001d86: 0000 unimp +80001d88: 0000 unimp +80001d8a: 0000 unimp +80001d8c: 0000 unimp +80001d8e: 0000 unimp +80001d90: 0000 unimp +80001d92: 0000 unimp +80001d94: 0000 unimp +80001d96: 0000 unimp +80001d98: 0000 unimp +80001d9a: 0000 unimp +80001d9c: 0000 unimp +80001d9e: 0000 unimp +80001da0: 0000 unimp +80001da2: 0000 unimp +80001da4: 0000 unimp +80001da6: 0000 unimp +80001da8: 0000 unimp +80001daa: 0000 unimp +80001dac: 0000 unimp +80001dae: 0000 unimp +80001db0: 0000 unimp +80001db2: 0000 unimp +80001db4: 0000 unimp +80001db6: 0000 unimp +80001db8: 0000 unimp +80001dba: 0000 unimp +80001dbc: 0000 unimp +80001dbe: 0000 unimp +80001dc0: 0000 unimp +80001dc2: 0000 unimp +80001dc4: 0000 unimp +80001dc6: 0000 unimp +80001dc8: 0000 unimp +80001dca: 0000 unimp +80001dcc: 0000 unimp +80001dce: 0000 unimp +80001dd0: 0000 unimp +80001dd2: 0000 unimp +80001dd4: 0000 unimp +80001dd6: 0000 unimp +80001dd8: 0000 unimp +80001dda: 0000 unimp +80001ddc: 0000 unimp +80001dde: 0000 unimp +80001de0: 0000 unimp +80001de2: 0000 unimp +80001de4: 0000 unimp +80001de6: 0000 unimp +80001de8: 0000 unimp +80001dea: 0000 unimp +80001dec: 0000 unimp +80001dee: 0000 unimp +80001df0: 0000 unimp +80001df2: 0000 unimp +80001df4: 0000 unimp +80001df6: 0000 unimp +80001df8: 0000 unimp +80001dfa: 0000 unimp +80001dfc: 0000 unimp +80001dfe: 0000 unimp +80001e00: 0000 unimp +80001e02: 0000 unimp +80001e04: 0000 unimp +80001e06: 0000 unimp +80001e08: 0000 unimp +80001e0a: 0000 unimp +80001e0c: 0000 unimp +80001e0e: 0000 unimp +80001e10: 0000 unimp +80001e12: 0000 unimp +80001e14: 0000 unimp +80001e16: 0000 unimp +80001e18: 0000 unimp +80001e1a: 0000 unimp +80001e1c: 0000 unimp +80001e1e: 0000 unimp +80001e20: 0000 unimp +80001e22: 0000 unimp +80001e24: 0000 unimp +80001e26: 0000 unimp +80001e28: 0000 unimp +80001e2a: 0000 unimp +80001e2c: 0000 unimp +80001e2e: 0000 unimp +80001e30: 0000 unimp +80001e32: 0000 unimp +80001e34: 0000 unimp +80001e36: 0000 unimp +80001e38: 0000 unimp +80001e3a: 0000 unimp +80001e3c: 0000 unimp +80001e3e: 0000 unimp +80001e40: 0000 unimp +80001e42: 0000 unimp +80001e44: 0000 unimp +80001e46: 0000 unimp +80001e48: 0000 unimp +80001e4a: 0000 unimp +80001e4c: 0000 unimp +80001e4e: 0000 unimp +80001e50: 0000 unimp +80001e52: 0000 unimp +80001e54: 0000 unimp +80001e56: 0000 unimp +80001e58: 0000 unimp +80001e5a: 0000 unimp +80001e5c: 0000 unimp +80001e5e: 0000 unimp +80001e60: 0000 unimp +80001e62: 0000 unimp +80001e64: 0000 unimp +80001e66: 0000 unimp +80001e68: 0000 unimp +80001e6a: 0000 unimp +80001e6c: 0000 unimp +80001e6e: 0000 unimp +80001e70: 0000 unimp +80001e72: 0000 unimp +80001e74: 0000 unimp +80001e76: 0000 unimp +80001e78: 0000 unimp +80001e7a: 0000 unimp +80001e7c: 0000 unimp +80001e7e: 0000 unimp +80001e80: 0000 unimp +80001e82: 0000 unimp +80001e84: 0000 unimp +80001e86: 0000 unimp +80001e88: 0000 unimp +80001e8a: 0000 unimp +80001e8c: 0000 unimp +80001e8e: 0000 unimp +80001e90: 0000 unimp +80001e92: 0000 unimp +80001e94: 0000 unimp +80001e96: 0000 unimp +80001e98: 0000 unimp +80001e9a: 0000 unimp +80001e9c: 0000 unimp +80001e9e: 0000 unimp +80001ea0: 0000 unimp +80001ea2: 0000 unimp +80001ea4: 0000 unimp +80001ea6: 0000 unimp +80001ea8: 0000 unimp +80001eaa: 0000 unimp +80001eac: 0000 unimp +80001eae: 0000 unimp +80001eb0: 0000 unimp +80001eb2: 0000 unimp +80001eb4: 0000 unimp +80001eb6: 0000 unimp +80001eb8: 0000 unimp +80001eba: 0000 unimp +80001ebc: 0000 unimp +80001ebe: 0000 unimp +80001ec0: 0000 unimp +80001ec2: 0000 unimp +80001ec4: 0000 unimp +80001ec6: 0000 unimp +80001ec8: 0000 unimp +80001eca: 0000 unimp +80001ecc: 0000 unimp +80001ece: 0000 unimp +80001ed0: 0000 unimp +80001ed2: 0000 unimp +80001ed4: 0000 unimp +80001ed6: 0000 unimp +80001ed8: 0000 unimp +80001eda: 0000 unimp +80001edc: 0000 unimp +80001ede: 0000 unimp +80001ee0: 0000 unimp +80001ee2: 0000 unimp +80001ee4: 0000 unimp +80001ee6: 0000 unimp +80001ee8: 0000 unimp +80001eea: 0000 unimp +80001eec: 0000 unimp +80001eee: 0000 unimp +80001ef0: 0000 unimp +80001ef2: 0000 unimp +80001ef4: 0000 unimp +80001ef6: 0000 unimp +80001ef8: 0000 unimp +80001efa: 0000 unimp +80001efc: 0000 unimp +80001efe: 0000 unimp +80001f00: 0000 unimp +80001f02: 0000 unimp +80001f04: 0000 unimp +80001f06: 0000 unimp +80001f08: 0000 unimp +80001f0a: 0000 unimp +80001f0c: 0000 unimp +80001f0e: 0000 unimp +80001f10: 0000 unimp +80001f12: 0000 unimp +80001f14: 0000 unimp +80001f16: 0000 unimp +80001f18: 0000 unimp +80001f1a: 0000 unimp +80001f1c: 0000 unimp +80001f1e: 0000 unimp +80001f20: 0000 unimp +80001f22: 0000 unimp +80001f24: 0000 unimp +80001f26: 0000 unimp +80001f28: 0000 unimp +80001f2a: 0000 unimp +80001f2c: 0000 unimp +80001f2e: 0000 unimp +80001f30: 0000 unimp +80001f32: 0000 unimp +80001f34: 0000 unimp +80001f36: 0000 unimp +80001f38: 0000 unimp +80001f3a: 0000 unimp +80001f3c: 0000 unimp +80001f3e: 0000 unimp +80001f40: 0000 unimp +80001f42: 0000 unimp +80001f44: 0000 unimp +80001f46: 0000 unimp +80001f48: 0000 unimp +80001f4a: 0000 unimp +80001f4c: 0000 unimp +80001f4e: 0000 unimp +80001f50: 0000 unimp +80001f52: 0000 unimp +80001f54: 0000 unimp +80001f56: 0000 unimp +80001f58: 0000 unimp +80001f5a: 0000 unimp +80001f5c: 0000 unimp +80001f5e: 0000 unimp +80001f60: 0000 unimp +80001f62: 0000 unimp +80001f64: 0000 unimp +80001f66: 0000 unimp +80001f68: 0000 unimp +80001f6a: 0000 unimp +80001f6c: 0000 unimp +80001f6e: 0000 unimp +80001f70: 0000 unimp +80001f72: 0000 unimp +80001f74: 0000 unimp +80001f76: 0000 unimp +80001f78: 0000 unimp +80001f7a: 0000 unimp +80001f7c: 0000 unimp +80001f7e: 0000 unimp +80001f80: 0000 unimp +80001f82: 0000 unimp +80001f84: 0000 unimp +80001f86: 0000 unimp +80001f88: 0000 unimp +80001f8a: 0000 unimp +80001f8c: 0000 unimp +80001f8e: 0000 unimp +80001f90: 0000 unimp +80001f92: 0000 unimp +80001f94: 0000 unimp +80001f96: 0000 unimp +80001f98: 0000 unimp +80001f9a: 0000 unimp +80001f9c: 0000 unimp +80001f9e: 0000 unimp +80001fa0: 0000 unimp +80001fa2: 0000 unimp +80001fa4: 0000 unimp +80001fa6: 0000 unimp +80001fa8: 0000 unimp +80001faa: 0000 unimp +80001fac: 0000 unimp +80001fae: 0000 unimp +80001fb0: 0000 unimp +80001fb2: 0000 unimp +80001fb4: 0000 unimp +80001fb6: 0000 unimp +80001fb8: 0000 unimp +80001fba: 0000 unimp +80001fbc: 0000 unimp +80001fbe: 0000 unimp +80001fc0: 0000 unimp +80001fc2: 0000 unimp +80001fc4: 0000 unimp +80001fc6: 0000 unimp +80001fc8: 0000 unimp +80001fca: 0000 unimp +80001fcc: 0000 unimp +80001fce: 0000 unimp +80001fd0: 0000 unimp +80001fd2: 0000 unimp +80001fd4: 0000 unimp +80001fd6: 0000 unimp +80001fd8: 0000 unimp +80001fda: 0000 unimp +80001fdc: 0000 unimp +80001fde: 0000 unimp +80001fe0: 0000 unimp +80001fe2: 0000 unimp +80001fe4: 0000 unimp +80001fe6: 0000 unimp +80001fe8: 0000 unimp +80001fea: 0000 unimp +80001fec: 0000 unimp +80001fee: 0000 unimp +80001ff0: 0000 unimp +80001ff2: 0000 unimp +80001ff4: 0000 unimp +80001ff6: 0000 unimp +80001ff8: 0000 unimp +80001ffa: 0000 unimp +80001ffc: 0000 unimp +80001ffe: 00158593 addi a1,a1,1 +80002002: 29b00e93 li t4,667 +80002006: 00200193 li gp,2 +8000200a: 23d59f63 bne a1,t4,80002248 +8000200e: 00001137 lui sp,0x1 +80002012: 23410113 addi sp,sp,564 # 1234 <_start-0x7fffedcc> + +80002016 : +80002016: 1fe8 addi a0,sp,1020 +80002018: 00001eb7 lui t4,0x1 +8000201c: 630e8e93 addi t4,t4,1584 # 1630 <_start-0x7fffe9d0> +80002020: 00300193 li gp,3 +80002024: 23d51263 bne a0,t4,80002248 + +80002028 : +80002028: 617d addi sp,sp,496 +8000202a: 0001 nop +8000202c: 00001eb7 lui t4,0x1 +80002030: 424e8e93 addi t4,t4,1060 # 1424 <_start-0x7fffebdc> +80002034: 00400193 li gp,4 +80002038: 21d11863 bne sp,t4,80002248 + +8000203c : +8000203c: 7101 addi sp,sp,-512 +8000203e: 0001 nop +80002040: 00001eb7 lui t4,0x1 +80002044: 224e8e93 addi t4,t4,548 # 1224 <_start-0x7fffeddc> +80002048: 00500193 li gp,5 +8000204c: 1fd11e63 bne sp,t4,80002248 +80002050: ffffe597 auipc a1,0xffffe +80002054: 0b858593 addi a1,a1,184 # 80000108 + +80002058 : +80002058: 41c8 lw a0,4(a1) +8000205a: 0505 addi a0,a0,1 +8000205c: c1c8 sw a0,4(a1) +8000205e: 41d0 lw a2,4(a1) +80002060: fedcceb7 lui t4,0xfedcc +80002064: a99e8e93 addi t4,t4,-1383 # fedcba99 <_end+0x7edc7a99> +80002068: 00600193 li gp,6 +8000206c: 1dd61e63 bne a2,t4,80002248 + +80002070 : +80002070: 00106513 ori a0,zero,1 +80002074: 1541 addi a0,a0,-16 +80002076: 0001 nop +80002078: ff100e93 li t4,-15 +8000207c: 00800193 li gp,8 +80002080: 1dd51463 bne a0,t4,80002248 + +80002084 : +80002084: 00106793 ori a5,zero,1 +80002088: 57c1 li a5,-16 +8000208a: 0001 nop +8000208c: ff000e93 li t4,-16 +80002090: 00900193 li gp,9 +80002094: 1bd79a63 bne a5,t4,80002248 + +80002098 : +80002098: 7405 lui s0,0xfffe1 +8000209a: 8431 srai s0,s0,0xc +8000209c: fe100e93 li t4,-31 +800020a0: 00b00193 li gp,11 +800020a4: 1bd41263 bne s0,t4,80002248 + +800020a8 : +800020a8: 7405 lui s0,0xfffe1 +800020aa: 8031 srli s0,s0,0xc +800020ac: 00100eb7 lui t4,0x100 +800020b0: fe1e8e93 addi t4,t4,-31 # fffe1 <_start-0x7ff0001f> +800020b4: 00c00193 li gp,12 +800020b8: 19d41863 bne s0,t4,80002248 + +800020bc : +800020bc: 5479 li s0,-2 +800020be: 983d andi s0,s0,-17 +800020c0: fee00e93 li t4,-18 +800020c4: 00e00193 li gp,14 +800020c8: 19d41063 bne s0,t4,80002248 + +800020cc : +800020cc: 44d1 li s1,20 +800020ce: 4519 li a0,6 +800020d0: 8c89 sub s1,s1,a0 +800020d2: 0001 nop +800020d4: 00e00e93 li t4,14 +800020d8: 00f00193 li gp,15 +800020dc: 17d49663 bne s1,t4,80002248 + +800020e0 : +800020e0: 44d1 li s1,20 +800020e2: 4519 li a0,6 +800020e4: 8ca9 xor s1,s1,a0 +800020e6: 0001 nop +800020e8: 01200e93 li t4,18 +800020ec: 01000193 li gp,16 +800020f0: 15d49c63 bne s1,t4,80002248 + +800020f4 : +800020f4: 44d1 li s1,20 +800020f6: 4519 li a0,6 +800020f8: 8cc9 or s1,s1,a0 +800020fa: 0001 nop +800020fc: 01600e93 li t4,22 +80002100: 01100193 li gp,17 +80002104: 15d49263 bne s1,t4,80002248 + +80002108 : +80002108: 44d1 li s1,20 +8000210a: 4519 li a0,6 +8000210c: 8ce9 and s1,s1,a0 +8000210e: 0001 nop +80002110: 00400e93 li t4,4 +80002114: 01200193 li gp,18 +80002118: 13d49863 bne s1,t4,80002248 + +8000211c : +8000211c: 00001437 lui s0,0x1 +80002120: 23440413 addi s0,s0,564 # 1234 <_start-0x7fffedcc> +80002124: 0412 slli s0,s0,0x4 +80002126: 0001 nop +80002128: 00012eb7 lui t4,0x12 +8000212c: 340e8e93 addi t4,t4,832 # 12340 <_start-0x7ffedcc0> +80002130: 01500193 li gp,21 +80002134: 11d41a63 bne s0,t4,80002248 + +80002138 : +80002138: 4081 li ra,0 +8000213a: a011 j 8000213e +8000213c: a011 j 80002140 +8000213e: a011 j 80002142 +80002140: a221 j 80002248 +80002142: 0001 nop +80002144: 00000e93 li t4,0 +80002148: 01e00193 li gp,30 +8000214c: 0fd09e63 bne ra,t4,80002248 + +80002150 : +80002150: 4501 li a0,0 +80002152: c111 beqz a0,80002156 +80002154: a011 j 80002158 +80002156: a011 j 8000215a +80002158: a8c5 j 80002248 +8000215a: 0001 nop +8000215c: 00000e93 li t4,0 +80002160: 01f00193 li gp,31 +80002164: 0fd01263 bne zero,t4,80002248 + +80002168 : +80002168: 4505 li a0,1 +8000216a: e111 bnez a0,8000216e +8000216c: a011 j 80002170 +8000216e: a011 j 80002172 +80002170: a8e1 j 80002248 +80002172: 0001 nop +80002174: 00000e93 li t4,0 +80002178: 02000193 li gp,32 +8000217c: 0dd01663 bne zero,t4,80002248 + +80002180 : +80002180: 4505 li a0,1 +80002182: c111 beqz a0,80002186 +80002184: a011 j 80002188 +80002186: a0c9 j 80002248 +80002188: 00000e93 li t4,0 +8000218c: 02100193 li gp,33 +80002190: 0bd01c63 bne zero,t4,80002248 + +80002194 : +80002194: 4501 li a0,0 +80002196: e111 bnez a0,8000219a +80002198: a011 j 8000219c +8000219a: a07d j 80002248 +8000219c: 00000e93 li t4,0 +800021a0: 02200193 li gp,34 +800021a4: 0bd01263 bne zero,t4,80002248 + +800021a8 : +800021a8: 00000297 auipc t0,0x0 +800021ac: 00e28293 addi t0,t0,14 # 800021b6 +800021b0: 4081 li ra,0 +800021b2: 8282 jr t0 +800021b4: a011 j 800021b8 +800021b6: a011 j 800021ba +800021b8: a841 j 80002248 +800021ba: 0001 nop +800021bc: 00000e93 li t4,0 +800021c0: 02300193 li gp,35 +800021c4: 09d09263 bne ra,t4,80002248 + +800021c8 : +800021c8: 00000297 auipc t0,0x0 +800021cc: 00e28293 addi t0,t0,14 # 800021d6 +800021d0: 4081 li ra,0 +800021d2: 9282 jalr t0 +800021d4: a011 j 800021d8 +800021d6: a011 j 800021da +800021d8: a885 j 80002248 +800021da: 405080b3 sub ra,ra,t0 +800021de: 0001 nop +800021e0: ffe00e93 li t4,-2 +800021e4: 02400193 li gp,36 +800021e8: 07d09063 bne ra,t4,80002248 + +800021ec : +800021ec: 00000297 auipc t0,0x0 +800021f0: 00e28293 addi t0,t0,14 # 800021fa +800021f4: 4081 li ra,0 +800021f6: 2011 jal 800021fa +800021f8: a011 j 800021fc +800021fa: a011 j 800021fe +800021fc: a0b1 j 80002248 +800021fe: 405080b3 sub ra,ra,t0 +80002202: 0001 nop +80002204: ffe00e93 li t4,-2 +80002208: 02500193 li gp,37 +8000220c: 03d09e63 bne ra,t4,80002248 +80002210: ffffe117 auipc sp,0xffffe +80002214: ef810113 addi sp,sp,-264 # 80000108 + +80002218 : +80002218: 4532 lw a0,12(sp) +8000221a: 0505 addi a0,a0,1 +8000221c: c62a sw a0,12(sp) +8000221e: 4632 lw a2,12(sp) +80002220: fedcceb7 lui t4,0xfedcc +80002224: a99e8e93 addi t4,t4,-1383 # fedcba99 <_end+0x7edc7a99> +80002228: 02800193 li gp,40 +8000222c: 01d61e63 bne a2,t4,80002248 + +80002230 : +80002230: 12300513 li a0,291 +80002234: 82aa mv t0,a0 +80002236: 92aa add t0,t0,a0 +80002238: 24600e93 li t4,582 +8000223c: 02a00193 li gp,42 +80002240: 01d29463 bne t0,t4,80002248 +80002244: 00301c63 bne zero,gp,8000225c + +80002248 : +80002248: 0ff0000f fence +8000224c: 00018063 beqz gp,8000224c +80002250: 00119193 slli gp,gp,0x1 +80002254: 0011e193 ori gp,gp,1 +80002258: 00000073 ecall + +8000225c : +8000225c: 0ff0000f fence +80002260: 00100193 li gp,1 +80002264: 00000073 ecall +80002268: c0001073 unimp +8000226c: 0000 unimp +8000226e: 0000 unimp +80002270: 0000 unimp +80002272: 0000 unimp +80002274: 0000 unimp +80002276: 0000 unimp +80002278: 0000 unimp +8000227a: 0000 unimp +8000227c: 0000 unimp +8000227e: 0000 unimp +80002280: 0000 unimp +80002282: 0000 unimp +80002284: 0000 unimp +80002286: 0000 unimp +80002288: 0000 unimp +8000228a: 0000 unimp +8000228c: 0000 unimp +8000228e: 0000 unimp +80002290: 0000 unimp +80002292: 0000 unimp +80002294: 0000 unimp +80002296: 0000 unimp +80002298: 0000 unimp +8000229a: 0000 unimp +8000229c: 0000 unimp +8000229e: 0000 unimp +800022a0: 0000 unimp +800022a2: 0000 unimp +800022a4: 0000 unimp +800022a6: 0000 unimp +800022a8: 0000 unimp +800022aa: 0000 unimp +800022ac: 0000 unimp +800022ae: 0000 unimp +800022b0: 0000 unimp +800022b2: 0000 unimp +800022b4: 0000 unimp +800022b6: 0000 unimp +800022b8: 0000 unimp +800022ba: 0000 unimp +800022bc: 0000 unimp +800022be: 0000 unimp +800022c0: 0000 unimp +800022c2: 0000 unimp +800022c4: 0000 unimp +800022c6: 0000 unimp +800022c8: 0000 unimp +800022ca: 0000 unimp +800022cc: 0000 unimp +800022ce: 0000 unimp +800022d0: 0000 unimp +800022d2: 0000 unimp +800022d4: 0000 unimp +800022d6: 0000 unimp +800022d8: 0000 unimp +800022da: 0000 unimp +800022dc: 0000 unimp +800022de: 0000 unimp +800022e0: 0000 unimp +800022e2: 0000 unimp +800022e4: 0000 unimp +800022e6: 0000 unimp +800022e8: 0000 unimp +800022ea: 0000 unimp +800022ec: 0000 unimp +800022ee: 0000 unimp +800022f0: 0000 unimp +800022f2: 0000 unimp +800022f4: 0000 unimp +800022f6: 0000 unimp +800022f8: 0000 unimp +800022fa: 0000 unimp +800022fc: 0000 unimp +800022fe: 0000 unimp +80002300: 0000 unimp +80002302: 0000 unimp +80002304: 0000 unimp +80002306: 0000 unimp +80002308: 0000 unimp +8000230a: 0000 unimp +8000230c: 0000 unimp +8000230e: 0000 unimp +80002310: 0000 unimp +80002312: 0000 unimp +80002314: 0000 unimp +80002316: 0000 unimp +80002318: 0000 unimp +8000231a: 0000 unimp +8000231c: 0000 unimp +8000231e: 0000 unimp +80002320: 0000 unimp +80002322: 0000 unimp +80002324: 0000 unimp +80002326: 0000 unimp +80002328: 0000 unimp +8000232a: 0000 unimp +8000232c: 0000 unimp +8000232e: 0000 unimp +80002330: 0000 unimp +80002332: 0000 unimp +80002334: 0000 unimp +80002336: 0000 unimp +80002338: 0000 unimp +8000233a: 0000 unimp +8000233c: 0000 unimp +8000233e: 0000 unimp +80002340: 0000 unimp +80002342: 0000 unimp +80002344: 0000 unimp +80002346: 0000 unimp +80002348: 0000 unimp +8000234a: 0000 unimp +8000234c: 0000 unimp +8000234e: 0000 unimp +80002350: 0000 unimp +80002352: 0000 unimp +80002354: 0000 unimp +80002356: 0000 unimp +80002358: 0000 unimp +8000235a: 0000 unimp +8000235c: 0000 unimp +8000235e: 0000 unimp +80002360: 0000 unimp +80002362: 0000 unimp +80002364: 0000 unimp +80002366: 0000 unimp +80002368: 0000 unimp +8000236a: 0000 unimp +8000236c: 0000 unimp +8000236e: 0000 unimp +80002370: 0000 unimp +80002372: 0000 unimp +80002374: 0000 unimp +80002376: 0000 unimp +80002378: 0000 unimp +8000237a: 0000 unimp +8000237c: 0000 unimp +8000237e: 0000 unimp +80002380: 0000 unimp +80002382: 0000 unimp +80002384: 0000 unimp +80002386: 0000 unimp +80002388: 0000 unimp +8000238a: 0000 unimp +8000238c: 0000 unimp +8000238e: 0000 unimp +80002390: 0000 unimp +80002392: 0000 unimp +80002394: 0000 unimp +80002396: 0000 unimp +80002398: 0000 unimp +8000239a: 0000 unimp +8000239c: 0000 unimp +8000239e: 0000 unimp +800023a0: 0000 unimp +800023a2: 0000 unimp +800023a4: 0000 unimp +800023a6: 0000 unimp +800023a8: 0000 unimp +800023aa: 0000 unimp +800023ac: 0000 unimp +800023ae: 0000 unimp +800023b0: 0000 unimp +800023b2: 0000 unimp +800023b4: 0000 unimp +800023b6: 0000 unimp +800023b8: 0000 unimp +800023ba: 0000 unimp +800023bc: 0000 unimp +800023be: 0000 unimp +800023c0: 0000 unimp +800023c2: 0000 unimp +800023c4: 0000 unimp +800023c6: 0000 unimp +800023c8: 0000 unimp +800023ca: 0000 unimp +800023cc: 0000 unimp +800023ce: 0000 unimp +800023d0: 0000 unimp +800023d2: 0000 unimp +800023d4: 0000 unimp +800023d6: 0000 unimp +800023d8: 0000 unimp +800023da: 0000 unimp +800023dc: 0000 unimp +800023de: 0000 unimp +800023e0: 0000 unimp +800023e2: 0000 unimp +800023e4: 0000 unimp +800023e6: 0000 unimp +800023e8: 0000 unimp +800023ea: 0000 unimp +800023ec: 0000 unimp +800023ee: 0000 unimp +800023f0: 0000 unimp +800023f2: 0000 unimp +800023f4: 0000 unimp +800023f6: 0000 unimp +800023f8: 0000 unimp +800023fa: 0000 unimp +800023fc: 0000 unimp +800023fe: 0000 unimp +80002400: 0000 unimp +80002402: 0000 unimp +80002404: 0000 unimp +80002406: 0000 unimp +80002408: 0000 unimp +8000240a: 0000 unimp +8000240c: 0000 unimp +8000240e: 0000 unimp +80002410: 0000 unimp +80002412: 0000 unimp +80002414: 0000 unimp +80002416: 0000 unimp +80002418: 0000 unimp +8000241a: 0000 unimp +8000241c: 0000 unimp +8000241e: 0000 unimp +80002420: 0000 unimp +80002422: 0000 unimp +80002424: 0000 unimp +80002426: 0000 unimp +80002428: 0000 unimp +8000242a: 0000 unimp +8000242c: 0000 unimp +8000242e: 0000 unimp +80002430: 0000 unimp +80002432: 0000 unimp +80002434: 0000 unimp +80002436: 0000 unimp +80002438: 0000 unimp +8000243a: 0000 unimp +8000243c: 0000 unimp +8000243e: 0000 unimp +80002440: 0000 unimp +80002442: 0000 unimp +80002444: 0000 unimp +80002446: 0000 unimp +80002448: 0000 unimp +8000244a: 0000 unimp +8000244c: 0000 unimp +8000244e: 0000 unimp +80002450: 0000 unimp +80002452: 0000 unimp +80002454: 0000 unimp +80002456: 0000 unimp +80002458: 0000 unimp +8000245a: 0000 unimp +8000245c: 0000 unimp +8000245e: 0000 unimp +80002460: 0000 unimp +80002462: 0000 unimp +80002464: 0000 unimp +80002466: 0000 unimp +80002468: 0000 unimp +8000246a: 0000 unimp +8000246c: 0000 unimp +8000246e: 0000 unimp +80002470: 0000 unimp +80002472: 0000 unimp +80002474: 0000 unimp +80002476: 0000 unimp +80002478: 0000 unimp +8000247a: 0000 unimp +8000247c: 0000 unimp +8000247e: 0000 unimp +80002480: 0000 unimp +80002482: 0000 unimp +80002484: 0000 unimp +80002486: 0000 unimp +80002488: 0000 unimp +8000248a: 0000 unimp +8000248c: 0000 unimp +8000248e: 0000 unimp +80002490: 0000 unimp +80002492: 0000 unimp +80002494: 0000 unimp +80002496: 0000 unimp +80002498: 0000 unimp +8000249a: 0000 unimp +8000249c: 0000 unimp +8000249e: 0000 unimp +800024a0: 0000 unimp +800024a2: 0000 unimp +800024a4: 0000 unimp +800024a6: 0000 unimp +800024a8: 0000 unimp +800024aa: 0000 unimp +800024ac: 0000 unimp +800024ae: 0000 unimp +800024b0: 0000 unimp +800024b2: 0000 unimp +800024b4: 0000 unimp +800024b6: 0000 unimp +800024b8: 0000 unimp +800024ba: 0000 unimp +800024bc: 0000 unimp +800024be: 0000 unimp +800024c0: 0000 unimp +800024c2: 0000 unimp +800024c4: 0000 unimp +800024c6: 0000 unimp +800024c8: 0000 unimp +800024ca: 0000 unimp +800024cc: 0000 unimp +800024ce: 0000 unimp +800024d0: 0000 unimp +800024d2: 0000 unimp +800024d4: 0000 unimp +800024d6: 0000 unimp +800024d8: 0000 unimp +800024da: 0000 unimp +800024dc: 0000 unimp +800024de: 0000 unimp +800024e0: 0000 unimp +800024e2: 0000 unimp +800024e4: 0000 unimp +800024e6: 0000 unimp +800024e8: 0000 unimp +800024ea: 0000 unimp +800024ec: 0000 unimp +800024ee: 0000 unimp +800024f0: 0000 unimp +800024f2: 0000 unimp +800024f4: 0000 unimp +800024f6: 0000 unimp +800024f8: 0000 unimp +800024fa: 0000 unimp +800024fc: 0000 unimp +800024fe: 0000 unimp +80002500: 0000 unimp +80002502: 0000 unimp +80002504: 0000 unimp +80002506: 0000 unimp +80002508: 0000 unimp +8000250a: 0000 unimp +8000250c: 0000 unimp +8000250e: 0000 unimp +80002510: 0000 unimp +80002512: 0000 unimp +80002514: 0000 unimp +80002516: 0000 unimp +80002518: 0000 unimp +8000251a: 0000 unimp +8000251c: 0000 unimp +8000251e: 0000 unimp +80002520: 0000 unimp +80002522: 0000 unimp +80002524: 0000 unimp +80002526: 0000 unimp +80002528: 0000 unimp +8000252a: 0000 unimp +8000252c: 0000 unimp +8000252e: 0000 unimp +80002530: 0000 unimp +80002532: 0000 unimp +80002534: 0000 unimp +80002536: 0000 unimp +80002538: 0000 unimp +8000253a: 0000 unimp +8000253c: 0000 unimp +8000253e: 0000 unimp +80002540: 0000 unimp +80002542: 0000 unimp +80002544: 0000 unimp +80002546: 0000 unimp +80002548: 0000 unimp +8000254a: 0000 unimp +8000254c: 0000 unimp +8000254e: 0000 unimp +80002550: 0000 unimp +80002552: 0000 unimp +80002554: 0000 unimp +80002556: 0000 unimp +80002558: 0000 unimp +8000255a: 0000 unimp +8000255c: 0000 unimp +8000255e: 0000 unimp +80002560: 0000 unimp +80002562: 0000 unimp +80002564: 0000 unimp +80002566: 0000 unimp +80002568: 0000 unimp +8000256a: 0000 unimp +8000256c: 0000 unimp +8000256e: 0000 unimp +80002570: 0000 unimp +80002572: 0000 unimp +80002574: 0000 unimp +80002576: 0000 unimp +80002578: 0000 unimp +8000257a: 0000 unimp +8000257c: 0000 unimp +8000257e: 0000 unimp +80002580: 0000 unimp +80002582: 0000 unimp +80002584: 0000 unimp +80002586: 0000 unimp +80002588: 0000 unimp +8000258a: 0000 unimp +8000258c: 0000 unimp +8000258e: 0000 unimp +80002590: 0000 unimp +80002592: 0000 unimp +80002594: 0000 unimp +80002596: 0000 unimp +80002598: 0000 unimp +8000259a: 0000 unimp +8000259c: 0000 unimp +8000259e: 0000 unimp +800025a0: 0000 unimp +800025a2: 0000 unimp +800025a4: 0000 unimp +800025a6: 0000 unimp +800025a8: 0000 unimp +800025aa: 0000 unimp +800025ac: 0000 unimp +800025ae: 0000 unimp +800025b0: 0000 unimp +800025b2: 0000 unimp +800025b4: 0000 unimp +800025b6: 0000 unimp +800025b8: 0000 unimp +800025ba: 0000 unimp +800025bc: 0000 unimp +800025be: 0000 unimp +800025c0: 0000 unimp +800025c2: 0000 unimp +800025c4: 0000 unimp +800025c6: 0000 unimp +800025c8: 0000 unimp +800025ca: 0000 unimp +800025cc: 0000 unimp +800025ce: 0000 unimp +800025d0: 0000 unimp +800025d2: 0000 unimp +800025d4: 0000 unimp +800025d6: 0000 unimp +800025d8: 0000 unimp +800025da: 0000 unimp +800025dc: 0000 unimp +800025de: 0000 unimp +800025e0: 0000 unimp +800025e2: 0000 unimp +800025e4: 0000 unimp +800025e6: 0000 unimp +800025e8: 0000 unimp +800025ea: 0000 unimp +800025ec: 0000 unimp +800025ee: 0000 unimp +800025f0: 0000 unimp +800025f2: 0000 unimp +800025f4: 0000 unimp +800025f6: 0000 unimp +800025f8: 0000 unimp +800025fa: 0000 unimp +800025fc: 0000 unimp +800025fe: 0000 unimp +80002600: 0000 unimp +80002602: 0000 unimp +80002604: 0000 unimp +80002606: 0000 unimp +80002608: 0000 unimp +8000260a: 0000 unimp +8000260c: 0000 unimp +8000260e: 0000 unimp +80002610: 0000 unimp +80002612: 0000 unimp +80002614: 0000 unimp +80002616: 0000 unimp +80002618: 0000 unimp +8000261a: 0000 unimp +8000261c: 0000 unimp +8000261e: 0000 unimp +80002620: 0000 unimp +80002622: 0000 unimp +80002624: 0000 unimp +80002626: 0000 unimp +80002628: 0000 unimp +8000262a: 0000 unimp +8000262c: 0000 unimp +8000262e: 0000 unimp +80002630: 0000 unimp +80002632: 0000 unimp +80002634: 0000 unimp +80002636: 0000 unimp +80002638: 0000 unimp +8000263a: 0000 unimp +8000263c: 0000 unimp +8000263e: 0000 unimp +80002640: 0000 unimp +80002642: 0000 unimp +80002644: 0000 unimp +80002646: 0000 unimp +80002648: 0000 unimp +8000264a: 0000 unimp +8000264c: 0000 unimp +8000264e: 0000 unimp +80002650: 0000 unimp +80002652: 0000 unimp +80002654: 0000 unimp +80002656: 0000 unimp +80002658: 0000 unimp +8000265a: 0000 unimp +8000265c: 0000 unimp +8000265e: 0000 unimp +80002660: 0000 unimp +80002662: 0000 unimp +80002664: 0000 unimp +80002666: 0000 unimp +80002668: 0000 unimp +8000266a: 0000 unimp +8000266c: 0000 unimp +8000266e: 0000 unimp +80002670: 0000 unimp +80002672: 0000 unimp +80002674: 0000 unimp +80002676: 0000 unimp +80002678: 0000 unimp +8000267a: 0000 unimp +8000267c: 0000 unimp +8000267e: 0000 unimp +80002680: 0000 unimp +80002682: 0000 unimp +80002684: 0000 unimp +80002686: 0000 unimp +80002688: 0000 unimp +8000268a: 0000 unimp +8000268c: 0000 unimp +8000268e: 0000 unimp +80002690: 0000 unimp +80002692: 0000 unimp +80002694: 0000 unimp +80002696: 0000 unimp +80002698: 0000 unimp +8000269a: 0000 unimp +8000269c: 0000 unimp +8000269e: 0000 unimp +800026a0: 0000 unimp +800026a2: 0000 unimp +800026a4: 0000 unimp +800026a6: 0000 unimp +800026a8: 0000 unimp +800026aa: 0000 unimp +800026ac: 0000 unimp +800026ae: 0000 unimp +800026b0: 0000 unimp +800026b2: 0000 unimp +800026b4: 0000 unimp +800026b6: 0000 unimp +800026b8: 0000 unimp +800026ba: 0000 unimp +800026bc: 0000 unimp +800026be: 0000 unimp +800026c0: 0000 unimp +800026c2: 0000 unimp +800026c4: 0000 unimp +800026c6: 0000 unimp +800026c8: 0000 unimp +800026ca: 0000 unimp +800026cc: 0000 unimp +800026ce: 0000 unimp +800026d0: 0000 unimp +800026d2: 0000 unimp +800026d4: 0000 unimp +800026d6: 0000 unimp +800026d8: 0000 unimp +800026da: 0000 unimp +800026dc: 0000 unimp +800026de: 0000 unimp +800026e0: 0000 unimp +800026e2: 0000 unimp +800026e4: 0000 unimp +800026e6: 0000 unimp +800026e8: 0000 unimp +800026ea: 0000 unimp +800026ec: 0000 unimp +800026ee: 0000 unimp +800026f0: 0000 unimp +800026f2: 0000 unimp +800026f4: 0000 unimp +800026f6: 0000 unimp +800026f8: 0000 unimp +800026fa: 0000 unimp +800026fc: 0000 unimp +800026fe: 0000 unimp +80002700: 0000 unimp +80002702: 0000 unimp +80002704: 0000 unimp +80002706: 0000 unimp +80002708: 0000 unimp +8000270a: 0000 unimp +8000270c: 0000 unimp +8000270e: 0000 unimp +80002710: 0000 unimp +80002712: 0000 unimp +80002714: 0000 unimp +80002716: 0000 unimp +80002718: 0000 unimp +8000271a: 0000 unimp +8000271c: 0000 unimp +8000271e: 0000 unimp +80002720: 0000 unimp +80002722: 0000 unimp +80002724: 0000 unimp +80002726: 0000 unimp +80002728: 0000 unimp +8000272a: 0000 unimp +8000272c: 0000 unimp +8000272e: 0000 unimp +80002730: 0000 unimp +80002732: 0000 unimp +80002734: 0000 unimp +80002736: 0000 unimp +80002738: 0000 unimp +8000273a: 0000 unimp +8000273c: 0000 unimp +8000273e: 0000 unimp +80002740: 0000 unimp +80002742: 0000 unimp +80002744: 0000 unimp +80002746: 0000 unimp +80002748: 0000 unimp +8000274a: 0000 unimp +8000274c: 0000 unimp +8000274e: 0000 unimp +80002750: 0000 unimp +80002752: 0000 unimp +80002754: 0000 unimp +80002756: 0000 unimp +80002758: 0000 unimp +8000275a: 0000 unimp +8000275c: 0000 unimp +8000275e: 0000 unimp +80002760: 0000 unimp +80002762: 0000 unimp +80002764: 0000 unimp +80002766: 0000 unimp +80002768: 0000 unimp +8000276a: 0000 unimp +8000276c: 0000 unimp +8000276e: 0000 unimp +80002770: 0000 unimp +80002772: 0000 unimp +80002774: 0000 unimp +80002776: 0000 unimp +80002778: 0000 unimp +8000277a: 0000 unimp +8000277c: 0000 unimp +8000277e: 0000 unimp +80002780: 0000 unimp +80002782: 0000 unimp +80002784: 0000 unimp +80002786: 0000 unimp +80002788: 0000 unimp +8000278a: 0000 unimp +8000278c: 0000 unimp +8000278e: 0000 unimp +80002790: 0000 unimp +80002792: 0000 unimp +80002794: 0000 unimp +80002796: 0000 unimp +80002798: 0000 unimp +8000279a: 0000 unimp +8000279c: 0000 unimp +8000279e: 0000 unimp +800027a0: 0000 unimp +800027a2: 0000 unimp +800027a4: 0000 unimp +800027a6: 0000 unimp +800027a8: 0000 unimp +800027aa: 0000 unimp +800027ac: 0000 unimp +800027ae: 0000 unimp +800027b0: 0000 unimp +800027b2: 0000 unimp +800027b4: 0000 unimp +800027b6: 0000 unimp +800027b8: 0000 unimp +800027ba: 0000 unimp +800027bc: 0000 unimp +800027be: 0000 unimp +800027c0: 0000 unimp +800027c2: 0000 unimp +800027c4: 0000 unimp +800027c6: 0000 unimp +800027c8: 0000 unimp +800027ca: 0000 unimp +800027cc: 0000 unimp +800027ce: 0000 unimp +800027d0: 0000 unimp +800027d2: 0000 unimp +800027d4: 0000 unimp +800027d6: 0000 unimp +800027d8: 0000 unimp +800027da: 0000 unimp +800027dc: 0000 unimp +800027de: 0000 unimp +800027e0: 0000 unimp +800027e2: 0000 unimp +800027e4: 0000 unimp +800027e6: 0000 unimp +800027e8: 0000 unimp +800027ea: 0000 unimp +800027ec: 0000 unimp +800027ee: 0000 unimp +800027f0: 0000 unimp +800027f2: 0000 unimp +800027f4: 0000 unimp +800027f6: 0000 unimp +800027f8: 0000 unimp +800027fa: 0000 unimp +800027fc: 0000 unimp +800027fe: 0000 unimp +80002800: 0000 unimp +80002802: 0000 unimp +80002804: 0000 unimp +80002806: 0000 unimp +80002808: 0000 unimp +8000280a: 0000 unimp +8000280c: 0000 unimp +8000280e: 0000 unimp +80002810: 0000 unimp +80002812: 0000 unimp +80002814: 0000 unimp +80002816: 0000 unimp +80002818: 0000 unimp +8000281a: 0000 unimp +8000281c: 0000 unimp +8000281e: 0000 unimp +80002820: 0000 unimp +80002822: 0000 unimp +80002824: 0000 unimp +80002826: 0000 unimp +80002828: 0000 unimp +8000282a: 0000 unimp +8000282c: 0000 unimp +8000282e: 0000 unimp +80002830: 0000 unimp +80002832: 0000 unimp +80002834: 0000 unimp +80002836: 0000 unimp +80002838: 0000 unimp +8000283a: 0000 unimp +8000283c: 0000 unimp +8000283e: 0000 unimp +80002840: 0000 unimp +80002842: 0000 unimp +80002844: 0000 unimp +80002846: 0000 unimp +80002848: 0000 unimp +8000284a: 0000 unimp +8000284c: 0000 unimp +8000284e: 0000 unimp +80002850: 0000 unimp +80002852: 0000 unimp +80002854: 0000 unimp +80002856: 0000 unimp +80002858: 0000 unimp +8000285a: 0000 unimp +8000285c: 0000 unimp +8000285e: 0000 unimp +80002860: 0000 unimp +80002862: 0000 unimp +80002864: 0000 unimp +80002866: 0000 unimp +80002868: 0000 unimp +8000286a: 0000 unimp +8000286c: 0000 unimp +8000286e: 0000 unimp +80002870: 0000 unimp +80002872: 0000 unimp +80002874: 0000 unimp +80002876: 0000 unimp +80002878: 0000 unimp +8000287a: 0000 unimp +8000287c: 0000 unimp +8000287e: 0000 unimp +80002880: 0000 unimp +80002882: 0000 unimp +80002884: 0000 unimp +80002886: 0000 unimp +80002888: 0000 unimp +8000288a: 0000 unimp +8000288c: 0000 unimp +8000288e: 0000 unimp +80002890: 0000 unimp +80002892: 0000 unimp +80002894: 0000 unimp +80002896: 0000 unimp +80002898: 0000 unimp +8000289a: 0000 unimp +8000289c: 0000 unimp +8000289e: 0000 unimp +800028a0: 0000 unimp +800028a2: 0000 unimp +800028a4: 0000 unimp +800028a6: 0000 unimp +800028a8: 0000 unimp +800028aa: 0000 unimp +800028ac: 0000 unimp +800028ae: 0000 unimp +800028b0: 0000 unimp +800028b2: 0000 unimp +800028b4: 0000 unimp +800028b6: 0000 unimp +800028b8: 0000 unimp +800028ba: 0000 unimp +800028bc: 0000 unimp +800028be: 0000 unimp +800028c0: 0000 unimp +800028c2: 0000 unimp +800028c4: 0000 unimp +800028c6: 0000 unimp +800028c8: 0000 unimp +800028ca: 0000 unimp +800028cc: 0000 unimp +800028ce: 0000 unimp +800028d0: 0000 unimp +800028d2: 0000 unimp +800028d4: 0000 unimp +800028d6: 0000 unimp +800028d8: 0000 unimp +800028da: 0000 unimp +800028dc: 0000 unimp +800028de: 0000 unimp +800028e0: 0000 unimp +800028e2: 0000 unimp +800028e4: 0000 unimp +800028e6: 0000 unimp +800028e8: 0000 unimp +800028ea: 0000 unimp +800028ec: 0000 unimp +800028ee: 0000 unimp +800028f0: 0000 unimp +800028f2: 0000 unimp +800028f4: 0000 unimp +800028f6: 0000 unimp +800028f8: 0000 unimp +800028fa: 0000 unimp +800028fc: 0000 unimp +800028fe: 0000 unimp +80002900: 0000 unimp +80002902: 0000 unimp +80002904: 0000 unimp +80002906: 0000 unimp +80002908: 0000 unimp +8000290a: 0000 unimp +8000290c: 0000 unimp +8000290e: 0000 unimp +80002910: 0000 unimp +80002912: 0000 unimp +80002914: 0000 unimp +80002916: 0000 unimp +80002918: 0000 unimp +8000291a: 0000 unimp +8000291c: 0000 unimp +8000291e: 0000 unimp +80002920: 0000 unimp +80002922: 0000 unimp +80002924: 0000 unimp +80002926: 0000 unimp +80002928: 0000 unimp +8000292a: 0000 unimp +8000292c: 0000 unimp +8000292e: 0000 unimp +80002930: 0000 unimp +80002932: 0000 unimp +80002934: 0000 unimp +80002936: 0000 unimp +80002938: 0000 unimp +8000293a: 0000 unimp +8000293c: 0000 unimp +8000293e: 0000 unimp +80002940: 0000 unimp +80002942: 0000 unimp +80002944: 0000 unimp +80002946: 0000 unimp +80002948: 0000 unimp +8000294a: 0000 unimp +8000294c: 0000 unimp +8000294e: 0000 unimp +80002950: 0000 unimp +80002952: 0000 unimp +80002954: 0000 unimp +80002956: 0000 unimp +80002958: 0000 unimp +8000295a: 0000 unimp +8000295c: 0000 unimp +8000295e: 0000 unimp +80002960: 0000 unimp +80002962: 0000 unimp +80002964: 0000 unimp +80002966: 0000 unimp +80002968: 0000 unimp +8000296a: 0000 unimp +8000296c: 0000 unimp +8000296e: 0000 unimp +80002970: 0000 unimp +80002972: 0000 unimp +80002974: 0000 unimp +80002976: 0000 unimp +80002978: 0000 unimp +8000297a: 0000 unimp +8000297c: 0000 unimp +8000297e: 0000 unimp +80002980: 0000 unimp +80002982: 0000 unimp +80002984: 0000 unimp +80002986: 0000 unimp +80002988: 0000 unimp +8000298a: 0000 unimp +8000298c: 0000 unimp +8000298e: 0000 unimp +80002990: 0000 unimp +80002992: 0000 unimp +80002994: 0000 unimp +80002996: 0000 unimp +80002998: 0000 unimp +8000299a: 0000 unimp +8000299c: 0000 unimp +8000299e: 0000 unimp +800029a0: 0000 unimp +800029a2: 0000 unimp +800029a4: 0000 unimp +800029a6: 0000 unimp +800029a8: 0000 unimp +800029aa: 0000 unimp +800029ac: 0000 unimp +800029ae: 0000 unimp +800029b0: 0000 unimp +800029b2: 0000 unimp +800029b4: 0000 unimp +800029b6: 0000 unimp +800029b8: 0000 unimp +800029ba: 0000 unimp +800029bc: 0000 unimp +800029be: 0000 unimp +800029c0: 0000 unimp +800029c2: 0000 unimp +800029c4: 0000 unimp +800029c6: 0000 unimp +800029c8: 0000 unimp +800029ca: 0000 unimp +800029cc: 0000 unimp +800029ce: 0000 unimp +800029d0: 0000 unimp +800029d2: 0000 unimp +800029d4: 0000 unimp +800029d6: 0000 unimp +800029d8: 0000 unimp +800029da: 0000 unimp +800029dc: 0000 unimp +800029de: 0000 unimp +800029e0: 0000 unimp +800029e2: 0000 unimp +800029e4: 0000 unimp +800029e6: 0000 unimp +800029e8: 0000 unimp +800029ea: 0000 unimp +800029ec: 0000 unimp +800029ee: 0000 unimp +800029f0: 0000 unimp +800029f2: 0000 unimp +800029f4: 0000 unimp +800029f6: 0000 unimp +800029f8: 0000 unimp +800029fa: 0000 unimp +800029fc: 0000 unimp +800029fe: 0000 unimp +80002a00: 0000 unimp +80002a02: 0000 unimp +80002a04: 0000 unimp +80002a06: 0000 unimp +80002a08: 0000 unimp +80002a0a: 0000 unimp +80002a0c: 0000 unimp +80002a0e: 0000 unimp +80002a10: 0000 unimp +80002a12: 0000 unimp +80002a14: 0000 unimp +80002a16: 0000 unimp +80002a18: 0000 unimp +80002a1a: 0000 unimp +80002a1c: 0000 unimp +80002a1e: 0000 unimp +80002a20: 0000 unimp +80002a22: 0000 unimp +80002a24: 0000 unimp +80002a26: 0000 unimp +80002a28: 0000 unimp +80002a2a: 0000 unimp +80002a2c: 0000 unimp +80002a2e: 0000 unimp +80002a30: 0000 unimp +80002a32: 0000 unimp +80002a34: 0000 unimp +80002a36: 0000 unimp +80002a38: 0000 unimp +80002a3a: 0000 unimp +80002a3c: 0000 unimp +80002a3e: 0000 unimp +80002a40: 0000 unimp +80002a42: 0000 unimp +80002a44: 0000 unimp +80002a46: 0000 unimp +80002a48: 0000 unimp +80002a4a: 0000 unimp +80002a4c: 0000 unimp +80002a4e: 0000 unimp +80002a50: 0000 unimp +80002a52: 0000 unimp +80002a54: 0000 unimp +80002a56: 0000 unimp +80002a58: 0000 unimp +80002a5a: 0000 unimp +80002a5c: 0000 unimp +80002a5e: 0000 unimp +80002a60: 0000 unimp +80002a62: 0000 unimp +80002a64: 0000 unimp +80002a66: 0000 unimp +80002a68: 0000 unimp +80002a6a: 0000 unimp +80002a6c: 0000 unimp +80002a6e: 0000 unimp +80002a70: 0000 unimp +80002a72: 0000 unimp +80002a74: 0000 unimp +80002a76: 0000 unimp +80002a78: 0000 unimp +80002a7a: 0000 unimp +80002a7c: 0000 unimp +80002a7e: 0000 unimp +80002a80: 0000 unimp +80002a82: 0000 unimp +80002a84: 0000 unimp +80002a86: 0000 unimp +80002a88: 0000 unimp +80002a8a: 0000 unimp +80002a8c: 0000 unimp +80002a8e: 0000 unimp +80002a90: 0000 unimp +80002a92: 0000 unimp +80002a94: 0000 unimp +80002a96: 0000 unimp +80002a98: 0000 unimp +80002a9a: 0000 unimp +80002a9c: 0000 unimp +80002a9e: 0000 unimp +80002aa0: 0000 unimp +80002aa2: 0000 unimp +80002aa4: 0000 unimp +80002aa6: 0000 unimp +80002aa8: 0000 unimp +80002aaa: 0000 unimp +80002aac: 0000 unimp +80002aae: 0000 unimp +80002ab0: 0000 unimp +80002ab2: 0000 unimp +80002ab4: 0000 unimp +80002ab6: 0000 unimp +80002ab8: 0000 unimp +80002aba: 0000 unimp +80002abc: 0000 unimp +80002abe: 0000 unimp +80002ac0: 0000 unimp +80002ac2: 0000 unimp +80002ac4: 0000 unimp +80002ac6: 0000 unimp +80002ac8: 0000 unimp +80002aca: 0000 unimp +80002acc: 0000 unimp +80002ace: 0000 unimp +80002ad0: 0000 unimp +80002ad2: 0000 unimp +80002ad4: 0000 unimp +80002ad6: 0000 unimp +80002ad8: 0000 unimp +80002ada: 0000 unimp +80002adc: 0000 unimp +80002ade: 0000 unimp +80002ae0: 0000 unimp +80002ae2: 0000 unimp +80002ae4: 0000 unimp +80002ae6: 0000 unimp +80002ae8: 0000 unimp +80002aea: 0000 unimp +80002aec: 0000 unimp +80002aee: 0000 unimp +80002af0: 0000 unimp +80002af2: 0000 unimp +80002af4: 0000 unimp +80002af6: 0000 unimp +80002af8: 0000 unimp +80002afa: 0000 unimp +80002afc: 0000 unimp +80002afe: 0000 unimp +80002b00: 0000 unimp +80002b02: 0000 unimp +80002b04: 0000 unimp +80002b06: 0000 unimp +80002b08: 0000 unimp +80002b0a: 0000 unimp +80002b0c: 0000 unimp +80002b0e: 0000 unimp +80002b10: 0000 unimp +80002b12: 0000 unimp +80002b14: 0000 unimp +80002b16: 0000 unimp +80002b18: 0000 unimp +80002b1a: 0000 unimp +80002b1c: 0000 unimp +80002b1e: 0000 unimp +80002b20: 0000 unimp +80002b22: 0000 unimp +80002b24: 0000 unimp +80002b26: 0000 unimp +80002b28: 0000 unimp +80002b2a: 0000 unimp +80002b2c: 0000 unimp +80002b2e: 0000 unimp +80002b30: 0000 unimp +80002b32: 0000 unimp +80002b34: 0000 unimp +80002b36: 0000 unimp +80002b38: 0000 unimp +80002b3a: 0000 unimp +80002b3c: 0000 unimp +80002b3e: 0000 unimp +80002b40: 0000 unimp +80002b42: 0000 unimp +80002b44: 0000 unimp +80002b46: 0000 unimp +80002b48: 0000 unimp +80002b4a: 0000 unimp +80002b4c: 0000 unimp +80002b4e: 0000 unimp +80002b50: 0000 unimp +80002b52: 0000 unimp +80002b54: 0000 unimp +80002b56: 0000 unimp +80002b58: 0000 unimp +80002b5a: 0000 unimp +80002b5c: 0000 unimp +80002b5e: 0000 unimp +80002b60: 0000 unimp +80002b62: 0000 unimp +80002b64: 0000 unimp +80002b66: 0000 unimp +80002b68: 0000 unimp +80002b6a: 0000 unimp +80002b6c: 0000 unimp +80002b6e: 0000 unimp +80002b70: 0000 unimp +80002b72: 0000 unimp +80002b74: 0000 unimp +80002b76: 0000 unimp +80002b78: 0000 unimp +80002b7a: 0000 unimp +80002b7c: 0000 unimp +80002b7e: 0000 unimp +80002b80: 0000 unimp +80002b82: 0000 unimp +80002b84: 0000 unimp +80002b86: 0000 unimp +80002b88: 0000 unimp +80002b8a: 0000 unimp +80002b8c: 0000 unimp +80002b8e: 0000 unimp +80002b90: 0000 unimp +80002b92: 0000 unimp +80002b94: 0000 unimp +80002b96: 0000 unimp +80002b98: 0000 unimp +80002b9a: 0000 unimp +80002b9c: 0000 unimp +80002b9e: 0000 unimp +80002ba0: 0000 unimp +80002ba2: 0000 unimp +80002ba4: 0000 unimp +80002ba6: 0000 unimp +80002ba8: 0000 unimp +80002baa: 0000 unimp +80002bac: 0000 unimp +80002bae: 0000 unimp +80002bb0: 0000 unimp +80002bb2: 0000 unimp +80002bb4: 0000 unimp +80002bb6: 0000 unimp +80002bb8: 0000 unimp +80002bba: 0000 unimp +80002bbc: 0000 unimp +80002bbe: 0000 unimp +80002bc0: 0000 unimp +80002bc2: 0000 unimp +80002bc4: 0000 unimp +80002bc6: 0000 unimp +80002bc8: 0000 unimp +80002bca: 0000 unimp +80002bcc: 0000 unimp +80002bce: 0000 unimp +80002bd0: 0000 unimp +80002bd2: 0000 unimp +80002bd4: 0000 unimp +80002bd6: 0000 unimp +80002bd8: 0000 unimp +80002bda: 0000 unimp +80002bdc: 0000 unimp +80002bde: 0000 unimp +80002be0: 0000 unimp +80002be2: 0000 unimp +80002be4: 0000 unimp +80002be6: 0000 unimp +80002be8: 0000 unimp +80002bea: 0000 unimp +80002bec: 0000 unimp +80002bee: 0000 unimp +80002bf0: 0000 unimp +80002bf2: 0000 unimp +80002bf4: 0000 unimp +80002bf6: 0000 unimp +80002bf8: 0000 unimp +80002bfa: 0000 unimp +80002bfc: 0000 unimp +80002bfe: 0000 unimp +80002c00: 0000 unimp +80002c02: 0000 unimp +80002c04: 0000 unimp +80002c06: 0000 unimp +80002c08: 0000 unimp +80002c0a: 0000 unimp +80002c0c: 0000 unimp +80002c0e: 0000 unimp +80002c10: 0000 unimp +80002c12: 0000 unimp +80002c14: 0000 unimp +80002c16: 0000 unimp +80002c18: 0000 unimp +80002c1a: 0000 unimp +80002c1c: 0000 unimp +80002c1e: 0000 unimp +80002c20: 0000 unimp +80002c22: 0000 unimp +80002c24: 0000 unimp +80002c26: 0000 unimp +80002c28: 0000 unimp +80002c2a: 0000 unimp +80002c2c: 0000 unimp +80002c2e: 0000 unimp +80002c30: 0000 unimp +80002c32: 0000 unimp +80002c34: 0000 unimp +80002c36: 0000 unimp +80002c38: 0000 unimp +80002c3a: 0000 unimp +80002c3c: 0000 unimp +80002c3e: 0000 unimp +80002c40: 0000 unimp +80002c42: 0000 unimp +80002c44: 0000 unimp +80002c46: 0000 unimp +80002c48: 0000 unimp +80002c4a: 0000 unimp +80002c4c: 0000 unimp +80002c4e: 0000 unimp +80002c50: 0000 unimp +80002c52: 0000 unimp +80002c54: 0000 unimp +80002c56: 0000 unimp +80002c58: 0000 unimp +80002c5a: 0000 unimp +80002c5c: 0000 unimp +80002c5e: 0000 unimp +80002c60: 0000 unimp +80002c62: 0000 unimp +80002c64: 0000 unimp +80002c66: 0000 unimp +80002c68: 0000 unimp +80002c6a: 0000 unimp +80002c6c: 0000 unimp +80002c6e: 0000 unimp +80002c70: 0000 unimp +80002c72: 0000 unimp +80002c74: 0000 unimp +80002c76: 0000 unimp +80002c78: 0000 unimp +80002c7a: 0000 unimp +80002c7c: 0000 unimp +80002c7e: 0000 unimp +80002c80: 0000 unimp +80002c82: 0000 unimp +80002c84: 0000 unimp +80002c86: 0000 unimp +80002c88: 0000 unimp +80002c8a: 0000 unimp +80002c8c: 0000 unimp +80002c8e: 0000 unimp +80002c90: 0000 unimp +80002c92: 0000 unimp +80002c94: 0000 unimp +80002c96: 0000 unimp +80002c98: 0000 unimp +80002c9a: 0000 unimp +80002c9c: 0000 unimp +80002c9e: 0000 unimp +80002ca0: 0000 unimp +80002ca2: 0000 unimp +80002ca4: 0000 unimp +80002ca6: 0000 unimp +80002ca8: 0000 unimp +80002caa: 0000 unimp +80002cac: 0000 unimp +80002cae: 0000 unimp +80002cb0: 0000 unimp +80002cb2: 0000 unimp +80002cb4: 0000 unimp +80002cb6: 0000 unimp +80002cb8: 0000 unimp +80002cba: 0000 unimp +80002cbc: 0000 unimp +80002cbe: 0000 unimp +80002cc0: 0000 unimp +80002cc2: 0000 unimp +80002cc4: 0000 unimp +80002cc6: 0000 unimp +80002cc8: 0000 unimp +80002cca: 0000 unimp +80002ccc: 0000 unimp +80002cce: 0000 unimp +80002cd0: 0000 unimp +80002cd2: 0000 unimp +80002cd4: 0000 unimp +80002cd6: 0000 unimp +80002cd8: 0000 unimp +80002cda: 0000 unimp +80002cdc: 0000 unimp +80002cde: 0000 unimp +80002ce0: 0000 unimp +80002ce2: 0000 unimp +80002ce4: 0000 unimp +80002ce6: 0000 unimp +80002ce8: 0000 unimp +80002cea: 0000 unimp +80002cec: 0000 unimp +80002cee: 0000 unimp +80002cf0: 0000 unimp +80002cf2: 0000 unimp +80002cf4: 0000 unimp +80002cf6: 0000 unimp +80002cf8: 0000 unimp +80002cfa: 0000 unimp +80002cfc: 0000 unimp +80002cfe: 0000 unimp +80002d00: 0000 unimp +80002d02: 0000 unimp +80002d04: 0000 unimp +80002d06: 0000 unimp +80002d08: 0000 unimp +80002d0a: 0000 unimp +80002d0c: 0000 unimp +80002d0e: 0000 unimp +80002d10: 0000 unimp +80002d12: 0000 unimp +80002d14: 0000 unimp +80002d16: 0000 unimp +80002d18: 0000 unimp +80002d1a: 0000 unimp +80002d1c: 0000 unimp +80002d1e: 0000 unimp +80002d20: 0000 unimp +80002d22: 0000 unimp +80002d24: 0000 unimp +80002d26: 0000 unimp +80002d28: 0000 unimp +80002d2a: 0000 unimp +80002d2c: 0000 unimp +80002d2e: 0000 unimp +80002d30: 0000 unimp +80002d32: 0000 unimp +80002d34: 0000 unimp +80002d36: 0000 unimp +80002d38: 0000 unimp +80002d3a: 0000 unimp +80002d3c: 0000 unimp +80002d3e: 0000 unimp +80002d40: 0000 unimp +80002d42: 0000 unimp +80002d44: 0000 unimp +80002d46: 0000 unimp +80002d48: 0000 unimp +80002d4a: 0000 unimp +80002d4c: 0000 unimp +80002d4e: 0000 unimp +80002d50: 0000 unimp +80002d52: 0000 unimp +80002d54: 0000 unimp +80002d56: 0000 unimp +80002d58: 0000 unimp +80002d5a: 0000 unimp +80002d5c: 0000 unimp +80002d5e: 0000 unimp +80002d60: 0000 unimp +80002d62: 0000 unimp +80002d64: 0000 unimp +80002d66: 0000 unimp +80002d68: 0000 unimp +80002d6a: 0000 unimp +80002d6c: 0000 unimp +80002d6e: 0000 unimp +80002d70: 0000 unimp +80002d72: 0000 unimp +80002d74: 0000 unimp +80002d76: 0000 unimp +80002d78: 0000 unimp +80002d7a: 0000 unimp +80002d7c: 0000 unimp +80002d7e: 0000 unimp +80002d80: 0000 unimp +80002d82: 0000 unimp +80002d84: 0000 unimp +80002d86: 0000 unimp +80002d88: 0000 unimp +80002d8a: 0000 unimp +80002d8c: 0000 unimp +80002d8e: 0000 unimp +80002d90: 0000 unimp +80002d92: 0000 unimp +80002d94: 0000 unimp +80002d96: 0000 unimp +80002d98: 0000 unimp +80002d9a: 0000 unimp +80002d9c: 0000 unimp +80002d9e: 0000 unimp +80002da0: 0000 unimp +80002da2: 0000 unimp +80002da4: 0000 unimp +80002da6: 0000 unimp +80002da8: 0000 unimp +80002daa: 0000 unimp +80002dac: 0000 unimp +80002dae: 0000 unimp +80002db0: 0000 unimp +80002db2: 0000 unimp +80002db4: 0000 unimp +80002db6: 0000 unimp +80002db8: 0000 unimp +80002dba: 0000 unimp +80002dbc: 0000 unimp +80002dbe: 0000 unimp +80002dc0: 0000 unimp +80002dc2: 0000 unimp +80002dc4: 0000 unimp +80002dc6: 0000 unimp +80002dc8: 0000 unimp +80002dca: 0000 unimp +80002dcc: 0000 unimp +80002dce: 0000 unimp +80002dd0: 0000 unimp +80002dd2: 0000 unimp +80002dd4: 0000 unimp +80002dd6: 0000 unimp +80002dd8: 0000 unimp +80002dda: 0000 unimp +80002ddc: 0000 unimp +80002dde: 0000 unimp +80002de0: 0000 unimp +80002de2: 0000 unimp +80002de4: 0000 unimp +80002de6: 0000 unimp +80002de8: 0000 unimp +80002dea: 0000 unimp +80002dec: 0000 unimp +80002dee: 0000 unimp +80002df0: 0000 unimp +80002df2: 0000 unimp +80002df4: 0000 unimp +80002df6: 0000 unimp +80002df8: 0000 unimp +80002dfa: 0000 unimp +80002dfc: 0000 unimp +80002dfe: 0000 unimp +80002e00: 0000 unimp +80002e02: 0000 unimp +80002e04: 0000 unimp +80002e06: 0000 unimp +80002e08: 0000 unimp +80002e0a: 0000 unimp +80002e0c: 0000 unimp +80002e0e: 0000 unimp +80002e10: 0000 unimp +80002e12: 0000 unimp +80002e14: 0000 unimp +80002e16: 0000 unimp +80002e18: 0000 unimp +80002e1a: 0000 unimp +80002e1c: 0000 unimp +80002e1e: 0000 unimp +80002e20: 0000 unimp +80002e22: 0000 unimp +80002e24: 0000 unimp +80002e26: 0000 unimp +80002e28: 0000 unimp +80002e2a: 0000 unimp +80002e2c: 0000 unimp +80002e2e: 0000 unimp +80002e30: 0000 unimp +80002e32: 0000 unimp +80002e34: 0000 unimp +80002e36: 0000 unimp +80002e38: 0000 unimp +80002e3a: 0000 unimp +80002e3c: 0000 unimp +80002e3e: 0000 unimp +80002e40: 0000 unimp +80002e42: 0000 unimp +80002e44: 0000 unimp +80002e46: 0000 unimp +80002e48: 0000 unimp +80002e4a: 0000 unimp +80002e4c: 0000 unimp +80002e4e: 0000 unimp +80002e50: 0000 unimp +80002e52: 0000 unimp +80002e54: 0000 unimp +80002e56: 0000 unimp +80002e58: 0000 unimp +80002e5a: 0000 unimp +80002e5c: 0000 unimp +80002e5e: 0000 unimp +80002e60: 0000 unimp +80002e62: 0000 unimp +80002e64: 0000 unimp +80002e66: 0000 unimp +80002e68: 0000 unimp +80002e6a: 0000 unimp +80002e6c: 0000 unimp +80002e6e: 0000 unimp +80002e70: 0000 unimp +80002e72: 0000 unimp +80002e74: 0000 unimp +80002e76: 0000 unimp +80002e78: 0000 unimp +80002e7a: 0000 unimp +80002e7c: 0000 unimp +80002e7e: 0000 unimp +80002e80: 0000 unimp +80002e82: 0000 unimp +80002e84: 0000 unimp +80002e86: 0000 unimp +80002e88: 0000 unimp +80002e8a: 0000 unimp +80002e8c: 0000 unimp +80002e8e: 0000 unimp +80002e90: 0000 unimp +80002e92: 0000 unimp +80002e94: 0000 unimp +80002e96: 0000 unimp +80002e98: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ud-p-fadd.dump b/VexRiscv/src/test/resources/asm/rv32ud-p-fadd.dump new file mode 100644 index 0000000..0155a03 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ud-p-fadd.dump @@ -0,0 +1,506 @@ + +rv32ud-p-fadd: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 5391e193 ori gp,gp,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fc3f2023 sw gp,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: 00000093 li ra,0 +80000050: 00000113 li sp,0 +80000054: 00000193 li gp,0 +80000058: 00000213 li tp,0 +8000005c: 00000293 li t0,0 +80000060: 00000313 li t1,0 +80000064: 00000393 li t2,0 +80000068: 00000413 li s0,0 +8000006c: 00000493 li s1,0 +80000070: 00000513 li a0,0 +80000074: 00000593 li a1,0 +80000078: 00000613 li a2,0 +8000007c: 00000693 li a3,0 +80000080: 00000713 li a4,0 +80000084: 00000793 li a5,0 +80000088: 00000813 li a6,0 +8000008c: 00000893 li a7,0 +80000090: 00000913 li s2,0 +80000094: 00000993 li s3,0 +80000098: 00000a13 li s4,0 +8000009c: 00000a93 li s5,0 +800000a0: 00000b13 li s6,0 +800000a4: 00000b93 li s7,0 +800000a8: 00000c13 li s8,0 +800000ac: 00000c93 li s9,0 +800000b0: 00000d13 li s10,0 +800000b4: 00000d93 li s11,0 +800000b8: 00000e13 li t3,0 +800000bc: 00000e93 li t4,0 +800000c0: 00000f13 li t5,0 +800000c4: 00000f93 li t6,0 +800000c8: f1402573 csrr a0,mhartid +800000cc: 00051063 bnez a0,800000cc +800000d0: 00000297 auipc t0,0x0 +800000d4: 01028293 addi t0,t0,16 # 800000e0 +800000d8: 30529073 csrw mtvec,t0 +800000dc: 18005073 csrwi satp,0 +800000e0: 00000297 auipc t0,0x0 +800000e4: 02028293 addi t0,t0,32 # 80000100 +800000e8: 30529073 csrw mtvec,t0 +800000ec: 800002b7 lui t0,0x80000 +800000f0: fff28293 addi t0,t0,-1 # 7fffffff <_end+0xffffdebf> +800000f4: 3b029073 csrw pmpaddr0,t0 +800000f8: 01f00293 li t0,31 +800000fc: 3a029073 csrw pmpcfg0,t0 +80000100: 30405073 csrwi mie,0 +80000104: 00000297 auipc t0,0x0 +80000108: 01428293 addi t0,t0,20 # 80000118 +8000010c: 30529073 csrw mtvec,t0 +80000110: 30205073 csrwi medeleg,0 +80000114: 30305073 csrwi mideleg,0 +80000118: 00000193 li gp,0 +8000011c: 00000297 auipc t0,0x0 +80000120: ee828293 addi t0,t0,-280 # 80000004 +80000124: 30529073 csrw mtvec,t0 +80000128: 00100513 li a0,1 +8000012c: 01f51513 slli a0,a0,0x1f +80000130: 00054c63 bltz a0,80000148 +80000134: 0ff0000f fence +80000138: 00100193 li gp,1 +8000013c: 05d00893 li a7,93 +80000140: 00000513 li a0,0 +80000144: 00000073 ecall +80000148: 80000297 auipc t0,0x80000 +8000014c: eb828293 addi t0,t0,-328 # 0 <_start-0x80000000> +80000150: 00028a63 beqz t0,80000164 +80000154: 10529073 csrw stvec,t0 +80000158: 0000b2b7 lui t0,0xb +8000015c: 10928293 addi t0,t0,265 # b109 <_start-0x7fff4ef7> +80000160: 30229073 csrw medeleg,t0 +80000164: 30005073 csrwi mstatus,0 +80000168: 00002537 lui a0,0x2 +8000016c: 30052073 csrs mstatus,a0 +80000170: 00305073 csrwi fcsr,0 +80000174: 00000297 auipc t0,0x0 +80000178: 01428293 addi t0,t0,20 # 80000188 +8000017c: 34129073 csrw mepc,t0 +80000180: f1402573 csrr a0,mhartid +80000184: 30200073 mret + +80000188 : +80000188: 00200193 li gp,2 +8000018c: 00002517 auipc a0,0x2 +80000190: e7450513 addi a0,a0,-396 # 80002000 +80000194: 00053007 fld ft0,0(a0) +80000198: 00853087 fld ft1,8(a0) +8000019c: 01053107 fld ft2,16(a0) +800001a0: 01852683 lw a3,24(a0) +800001a4: 01c52303 lw t1,28(a0) +800001a8: 021071d3 fadd.d ft3,ft0,ft1 +800001ac: 00353027 fsd ft3,0(a0) +800001b0: 00452383 lw t2,4(a0) +800001b4: 00052503 lw a0,0(a0) +800001b8: 001015f3 fsflags a1,zero +800001bc: 00000613 li a2,0 +800001c0: 26d51a63 bne a0,a3,80000434 +800001c4: 26731863 bne t1,t2,80000434 +800001c8: 26c59663 bne a1,a2,80000434 + +800001cc : +800001cc: 00300193 li gp,3 +800001d0: 00002517 auipc a0,0x2 +800001d4: e5050513 addi a0,a0,-432 # 80002020 +800001d8: 00053007 fld ft0,0(a0) +800001dc: 00853087 fld ft1,8(a0) +800001e0: 01053107 fld ft2,16(a0) +800001e4: 01852683 lw a3,24(a0) +800001e8: 01c52303 lw t1,28(a0) +800001ec: 021071d3 fadd.d ft3,ft0,ft1 +800001f0: 00353027 fsd ft3,0(a0) +800001f4: 00452383 lw t2,4(a0) +800001f8: 00052503 lw a0,0(a0) +800001fc: 001015f3 fsflags a1,zero +80000200: 00100613 li a2,1 +80000204: 22d51863 bne a0,a3,80000434 +80000208: 22731663 bne t1,t2,80000434 +8000020c: 22c59463 bne a1,a2,80000434 + +80000210 : +80000210: 00400193 li gp,4 +80000214: 00002517 auipc a0,0x2 +80000218: e2c50513 addi a0,a0,-468 # 80002040 +8000021c: 00053007 fld ft0,0(a0) +80000220: 00853087 fld ft1,8(a0) +80000224: 01053107 fld ft2,16(a0) +80000228: 01852683 lw a3,24(a0) +8000022c: 01c52303 lw t1,28(a0) +80000230: 021071d3 fadd.d ft3,ft0,ft1 +80000234: 00353027 fsd ft3,0(a0) +80000238: 00452383 lw t2,4(a0) +8000023c: 00052503 lw a0,0(a0) +80000240: 001015f3 fsflags a1,zero +80000244: 00100613 li a2,1 +80000248: 1ed51663 bne a0,a3,80000434 +8000024c: 1e731463 bne t1,t2,80000434 +80000250: 1ec59263 bne a1,a2,80000434 + +80000254 : +80000254: 00500193 li gp,5 +80000258: 00002517 auipc a0,0x2 +8000025c: e0850513 addi a0,a0,-504 # 80002060 +80000260: 00053007 fld ft0,0(a0) +80000264: 00853087 fld ft1,8(a0) +80000268: 01053107 fld ft2,16(a0) +8000026c: 01852683 lw a3,24(a0) +80000270: 01c52303 lw t1,28(a0) +80000274: 0a1071d3 fsub.d ft3,ft0,ft1 +80000278: 00353027 fsd ft3,0(a0) +8000027c: 00452383 lw t2,4(a0) +80000280: 00052503 lw a0,0(a0) +80000284: 001015f3 fsflags a1,zero +80000288: 00000613 li a2,0 +8000028c: 1ad51463 bne a0,a3,80000434 +80000290: 1a731263 bne t1,t2,80000434 +80000294: 1ac59063 bne a1,a2,80000434 + +80000298 : +80000298: 00600193 li gp,6 +8000029c: 00002517 auipc a0,0x2 +800002a0: de450513 addi a0,a0,-540 # 80002080 +800002a4: 00053007 fld ft0,0(a0) +800002a8: 00853087 fld ft1,8(a0) +800002ac: 01053107 fld ft2,16(a0) +800002b0: 01852683 lw a3,24(a0) +800002b4: 01c52303 lw t1,28(a0) +800002b8: 0a1071d3 fsub.d ft3,ft0,ft1 +800002bc: 00353027 fsd ft3,0(a0) +800002c0: 00452383 lw t2,4(a0) +800002c4: 00052503 lw a0,0(a0) +800002c8: 001015f3 fsflags a1,zero +800002cc: 00100613 li a2,1 +800002d0: 16d51263 bne a0,a3,80000434 +800002d4: 16731063 bne t1,t2,80000434 +800002d8: 14c59e63 bne a1,a2,80000434 + +800002dc : +800002dc: 00700193 li gp,7 +800002e0: 00002517 auipc a0,0x2 +800002e4: dc050513 addi a0,a0,-576 # 800020a0 +800002e8: 00053007 fld ft0,0(a0) +800002ec: 00853087 fld ft1,8(a0) +800002f0: 01053107 fld ft2,16(a0) +800002f4: 01852683 lw a3,24(a0) +800002f8: 01c52303 lw t1,28(a0) +800002fc: 0a1071d3 fsub.d ft3,ft0,ft1 +80000300: 00353027 fsd ft3,0(a0) +80000304: 00452383 lw t2,4(a0) +80000308: 00052503 lw a0,0(a0) +8000030c: 001015f3 fsflags a1,zero +80000310: 00100613 li a2,1 +80000314: 12d51063 bne a0,a3,80000434 +80000318: 10731e63 bne t1,t2,80000434 +8000031c: 10c59c63 bne a1,a2,80000434 + +80000320 : +80000320: 00800193 li gp,8 +80000324: 00002517 auipc a0,0x2 +80000328: d9c50513 addi a0,a0,-612 # 800020c0 +8000032c: 00053007 fld ft0,0(a0) +80000330: 00853087 fld ft1,8(a0) +80000334: 01053107 fld ft2,16(a0) +80000338: 01852683 lw a3,24(a0) +8000033c: 01c52303 lw t1,28(a0) +80000340: 121071d3 fmul.d ft3,ft0,ft1 +80000344: 00353027 fsd ft3,0(a0) +80000348: 00452383 lw t2,4(a0) +8000034c: 00052503 lw a0,0(a0) +80000350: 001015f3 fsflags a1,zero +80000354: 00000613 li a2,0 +80000358: 0cd51e63 bne a0,a3,80000434 +8000035c: 0c731c63 bne t1,t2,80000434 +80000360: 0cc59a63 bne a1,a2,80000434 + +80000364 : +80000364: 00900193 li gp,9 +80000368: 00002517 auipc a0,0x2 +8000036c: d7850513 addi a0,a0,-648 # 800020e0 +80000370: 00053007 fld ft0,0(a0) +80000374: 00853087 fld ft1,8(a0) +80000378: 01053107 fld ft2,16(a0) +8000037c: 01852683 lw a3,24(a0) +80000380: 01c52303 lw t1,28(a0) +80000384: 121071d3 fmul.d ft3,ft0,ft1 +80000388: 00353027 fsd ft3,0(a0) +8000038c: 00452383 lw t2,4(a0) +80000390: 00052503 lw a0,0(a0) +80000394: 001015f3 fsflags a1,zero +80000398: 00100613 li a2,1 +8000039c: 08d51c63 bne a0,a3,80000434 +800003a0: 08731a63 bne t1,t2,80000434 +800003a4: 08c59863 bne a1,a2,80000434 + +800003a8 : +800003a8: 00a00193 li gp,10 +800003ac: 00002517 auipc a0,0x2 +800003b0: d5450513 addi a0,a0,-684 # 80002100 +800003b4: 00053007 fld ft0,0(a0) +800003b8: 00853087 fld ft1,8(a0) +800003bc: 01053107 fld ft2,16(a0) +800003c0: 01852683 lw a3,24(a0) +800003c4: 01c52303 lw t1,28(a0) +800003c8: 121071d3 fmul.d ft3,ft0,ft1 +800003cc: 00353027 fsd ft3,0(a0) +800003d0: 00452383 lw t2,4(a0) +800003d4: 00052503 lw a0,0(a0) +800003d8: 001015f3 fsflags a1,zero +800003dc: 00100613 li a2,1 +800003e0: 04d51a63 bne a0,a3,80000434 +800003e4: 04731863 bne t1,t2,80000434 +800003e8: 04c59663 bne a1,a2,80000434 + +800003ec : +800003ec: 00b00193 li gp,11 +800003f0: 00002517 auipc a0,0x2 +800003f4: d3050513 addi a0,a0,-720 # 80002120 +800003f8: 00053007 fld ft0,0(a0) +800003fc: 00853087 fld ft1,8(a0) +80000400: 01053107 fld ft2,16(a0) +80000404: 01852683 lw a3,24(a0) +80000408: 01c52303 lw t1,28(a0) +8000040c: 0a1071d3 fsub.d ft3,ft0,ft1 +80000410: 00353027 fsd ft3,0(a0) +80000414: 00452383 lw t2,4(a0) +80000418: 00052503 lw a0,0(a0) +8000041c: 001015f3 fsflags a1,zero +80000420: 01000613 li a2,16 +80000424: 00d51863 bne a0,a3,80000434 +80000428: 00731663 bne t1,t2,80000434 +8000042c: 00c59463 bne a1,a2,80000434 +80000430: 02301063 bne zero,gp,80000450 + +80000434 : +80000434: 0ff0000f fence +80000438: 00018063 beqz gp,80000438 +8000043c: 00119193 slli gp,gp,0x1 +80000440: 0011e193 ori gp,gp,1 +80000444: 05d00893 li a7,93 +80000448: 00018513 mv a0,gp +8000044c: 00000073 ecall + +80000450 : +80000450: 0ff0000f fence +80000454: 00100193 li gp,1 +80000458: 05d00893 li a7,93 +8000045c: 00000513 li a0,0 +80000460: 00000073 ecall +80000464: c0001073 unimp +80000468: 0000 unimp +8000046a: 0000 unimp +8000046c: 0000 unimp +8000046e: 0000 unimp +80000470: 0000 unimp +80000472: 0000 unimp +80000474: 0000 unimp +80000476: 0000 unimp +80000478: 0000 unimp +8000047a: 0000 unimp +8000047c: 0000 unimp +8000047e: 0000 unimp +80000480: 0000 unimp +80000482: 0000 unimp + +Disassembly of section .data: + +80002000 : +80002000: 0000 unimp +80002002: 0000 unimp +80002004: 0000 unimp +80002006: 4004 lw s1,0(s0) +80002008: 0000 unimp +8000200a: 0000 unimp +8000200c: 0000 unimp +8000200e: 3ff0 fld fa2,248(a5) +80002010: 0000 unimp +80002012: 0000 unimp +80002014: 0000 unimp +80002016: 0000 unimp +80002018: 0000 unimp +8000201a: 0000 unimp +8000201c: 0000 unimp +8000201e: 400c lw a1,0(s0) + +80002020 : +80002020: 6666 flw fa2,88(sp) +80002022: 6666 flw fa2,88(sp) +80002024: 4c66 lw s8,88(sp) +80002026: 999ac093 xori ra,s5,-1639 +8000202a: 9999 andi a1,a1,-26 +8000202c: 9999 andi a1,a1,-26 +8000202e: 3ff1 jal 8000200a +80002030: 0000 unimp +80002032: 0000 unimp +80002034: 0000 unimp +80002036: 0000 unimp +80002038: 0000 unimp +8000203a: 0000 unimp +8000203c: 4800 lw s0,16(s0) +8000203e: xori ra,gp,-689 + +80002040 : +80002040: d4f1 beqz s1,8000200c +80002042: 53c8 lw a0,36(a5) +80002044: 400921fb 0x400921fb +80002048: 8c3a mv s8,a4 +8000204a: e230 fsw fa2,64(a2) +8000204c: 798e flw fs3,224(sp) +8000204e: 3e45 jal 80001bfe +80002050: 0000 unimp +80002052: 0000 unimp +80002054: 0000 unimp +80002056: 0000 unimp +80002058: 6ddf 5520 21fb 0x21fb55206ddf +8000205e: 4009 c.li zero,2 + +80002060 : +80002060: 0000 unimp +80002062: 0000 unimp +80002064: 0000 unimp +80002066: 4004 lw s1,0(s0) +80002068: 0000 unimp +8000206a: 0000 unimp +8000206c: 0000 unimp +8000206e: 3ff0 fld fa2,248(a5) +80002070: 0000 unimp +80002072: 0000 unimp +80002074: 0000 unimp +80002076: 0000 unimp +80002078: 0000 unimp +8000207a: 0000 unimp +8000207c: 0000 unimp +8000207e: 3ff8 fld fa4,248(a5) + +80002080 : +80002080: 6666 flw fa2,88(sp) +80002082: 6666 flw fa2,88(sp) +80002084: 4c66 lw s8,88(sp) +80002086: 999ac093 xori ra,s5,-1639 +8000208a: 9999 andi a1,a1,-26 +8000208c: 9999 andi a1,a1,-26 +8000208e: bff1 j 8000206a +80002090: 0000 unimp +80002092: 0000 unimp +80002094: 0000 unimp +80002096: 0000 unimp +80002098: 0000 unimp +8000209a: 0000 unimp +8000209c: 4800 lw s0,16(s0) +8000209e: xori ra,gp,-689 + +800020a0 : +800020a0: d4f1 beqz s1,8000206c +800020a2: 53c8 lw a0,36(a5) +800020a4: 400921fb 0x400921fb +800020a8: 8c3a mv s8,a4 +800020aa: e230 fsw fa2,64(a2) +800020ac: 798e flw fs3,224(sp) +800020ae: 3e45 jal 80001c5e +800020b0: 0000 unimp +800020b2: 0000 unimp +800020b4: 0000 unimp +800020b6: 0000 unimp +800020b8: 52713c03 0x52713c03 +800020bc: 400921fb 0x400921fb + +800020c0 : +800020c0: 0000 unimp +800020c2: 0000 unimp +800020c4: 0000 unimp +800020c6: 4004 lw s1,0(s0) +800020c8: 0000 unimp +800020ca: 0000 unimp +800020cc: 0000 unimp +800020ce: 3ff0 fld fa2,248(a5) +800020d0: 0000 unimp +800020d2: 0000 unimp +800020d4: 0000 unimp +800020d6: 0000 unimp +800020d8: 0000 unimp +800020da: 0000 unimp +800020dc: 0000 unimp +800020de: 4004 lw s1,0(s0) + +800020e0 : +800020e0: 6666 flw fa2,88(sp) +800020e2: 6666 flw fa2,88(sp) +800020e4: 4c66 lw s8,88(sp) +800020e6: 999ac093 xori ra,s5,-1639 +800020ea: 9999 andi a1,a1,-26 +800020ec: 9999 andi a1,a1,-26 +800020ee: bff1 j 800020ca +800020f0: 0000 unimp +800020f2: 0000 unimp +800020f4: 0000 unimp +800020f6: 0000 unimp +800020f8: 0a3d addi s4,s4,15 +800020fa: 3a70a3d7 0x3a70a3d7 +800020fe: 4095 li ra,5 + +80002100 : +80002100: d4f1 beqz s1,800020cc +80002102: 53c8 lw a0,36(a5) +80002104: 400921fb 0x400921fb +80002108: 8c3a mv s8,a4 +8000210a: e230 fsw fa2,64(a2) +8000210c: 798e flw fs3,224(sp) +8000210e: 3e45 jal 80001cbe +80002110: 0000 unimp +80002112: 0000 unimp +80002114: 0000 unimp +80002116: 0000 unimp +80002118: ff09 bnez a4,80002032 +8000211a: a5c1 j 800027da <_end+0x69a> +8000211c: ddc5 beqz a1,800020d4 +8000211e: 3e60 fld fs0,248(a2) + +80002120 : +80002120: 0000 unimp +80002122: 0000 unimp +80002124: 0000 unimp +80002126: 7ff0 flw fa2,124(a5) +80002128: 0000 unimp +8000212a: 0000 unimp +8000212c: 0000 unimp +8000212e: 7ff0 flw fa2,124(a5) +80002130: 0000 unimp +80002132: 0000 unimp +80002134: 0000 unimp +80002136: 0000 unimp +80002138: 0000 unimp +8000213a: 0000 unimp +8000213c: 0000 unimp +8000213e: 7ff8 flw fa4,124(a5) diff --git a/VexRiscv/src/test/resources/asm/rv32ud-p-fclass.dump b/VexRiscv/src/test/resources/asm/rv32ud-p-fclass.dump new file mode 100644 index 0000000..2b11d2a --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ud-p-fclass.dump @@ -0,0 +1,305 @@ + +rv32ud-p-fclass: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 5391e193 ori gp,gp,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fc3f2023 sw gp,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: 00000093 li ra,0 +80000050: 00000113 li sp,0 +80000054: 00000193 li gp,0 +80000058: 00000213 li tp,0 +8000005c: 00000293 li t0,0 +80000060: 00000313 li t1,0 +80000064: 00000393 li t2,0 +80000068: 00000413 li s0,0 +8000006c: 00000493 li s1,0 +80000070: 00000513 li a0,0 +80000074: 00000593 li a1,0 +80000078: 00000613 li a2,0 +8000007c: 00000693 li a3,0 +80000080: 00000713 li a4,0 +80000084: 00000793 li a5,0 +80000088: 00000813 li a6,0 +8000008c: 00000893 li a7,0 +80000090: 00000913 li s2,0 +80000094: 00000993 li s3,0 +80000098: 00000a13 li s4,0 +8000009c: 00000a93 li s5,0 +800000a0: 00000b13 li s6,0 +800000a4: 00000b93 li s7,0 +800000a8: 00000c13 li s8,0 +800000ac: 00000c93 li s9,0 +800000b0: 00000d13 li s10,0 +800000b4: 00000d93 li s11,0 +800000b8: 00000e13 li t3,0 +800000bc: 00000e93 li t4,0 +800000c0: 00000f13 li t5,0 +800000c4: 00000f93 li t6,0 +800000c8: f1402573 csrr a0,mhartid +800000cc: 00051063 bnez a0,800000cc +800000d0: 00000297 auipc t0,0x0 +800000d4: 01028293 addi t0,t0,16 # 800000e0 +800000d8: 30529073 csrw mtvec,t0 +800000dc: 18005073 csrwi satp,0 +800000e0: 00000297 auipc t0,0x0 +800000e4: 02028293 addi t0,t0,32 # 80000100 +800000e8: 30529073 csrw mtvec,t0 +800000ec: 800002b7 lui t0,0x80000 +800000f0: fff28293 addi t0,t0,-1 # 7fffffff <_end+0xffffdfaf> +800000f4: 3b029073 csrw pmpaddr0,t0 +800000f8: 01f00293 li t0,31 +800000fc: 3a029073 csrw pmpcfg0,t0 +80000100: 30405073 csrwi mie,0 +80000104: 00000297 auipc t0,0x0 +80000108: 01428293 addi t0,t0,20 # 80000118 +8000010c: 30529073 csrw mtvec,t0 +80000110: 30205073 csrwi medeleg,0 +80000114: 30305073 csrwi mideleg,0 +80000118: 00000193 li gp,0 +8000011c: 00000297 auipc t0,0x0 +80000120: ee828293 addi t0,t0,-280 # 80000004 +80000124: 30529073 csrw mtvec,t0 +80000128: 00100513 li a0,1 +8000012c: 01f51513 slli a0,a0,0x1f +80000130: 00054c63 bltz a0,80000148 +80000134: 0ff0000f fence +80000138: 00100193 li gp,1 +8000013c: 05d00893 li a7,93 +80000140: 00000513 li a0,0 +80000144: 00000073 ecall +80000148: 80000297 auipc t0,0x80000 +8000014c: eb828293 addi t0,t0,-328 # 0 <_start-0x80000000> +80000150: 00028a63 beqz t0,80000164 +80000154: 10529073 csrw stvec,t0 +80000158: 0000b2b7 lui t0,0xb +8000015c: 10928293 addi t0,t0,265 # b109 <_start-0x7fff4ef7> +80000160: 30229073 csrw medeleg,t0 +80000164: 30005073 csrwi mstatus,0 +80000168: 00002537 lui a0,0x2 +8000016c: 30052073 csrs mstatus,a0 +80000170: 00305073 csrwi fcsr,0 +80000174: 00000297 auipc t0,0x0 +80000178: 01428293 addi t0,t0,20 # 80000188 +8000017c: 34129073 csrw mepc,t0 +80000180: f1402573 csrr a0,mhartid +80000184: 30200073 mret + +80000188 : +80000188: 00002517 auipc a0,0x2 +8000018c: e7850513 addi a0,a0,-392 # 80002000 +80000190: 00053507 fld fa0,0(a0) +80000194: e2051553 fclass.d a0,fa0 +80000198: 00100393 li t2,1 +8000019c: 00200193 li gp,2 +800001a0: 10751263 bne a0,t2,800002a4 + +800001a4 : +800001a4: 00002517 auipc a0,0x2 +800001a8: e6450513 addi a0,a0,-412 # 80002008 +800001ac: 00053507 fld fa0,0(a0) +800001b0: e2051553 fclass.d a0,fa0 +800001b4: 00200393 li t2,2 +800001b8: 00300193 li gp,3 +800001bc: 0e751463 bne a0,t2,800002a4 + +800001c0 : +800001c0: 00002517 auipc a0,0x2 +800001c4: e5050513 addi a0,a0,-432 # 80002010 +800001c8: 00053507 fld fa0,0(a0) +800001cc: e2051553 fclass.d a0,fa0 +800001d0: 00400393 li t2,4 +800001d4: 00400193 li gp,4 +800001d8: 0c751663 bne a0,t2,800002a4 + +800001dc : +800001dc: 00002517 auipc a0,0x2 +800001e0: e3c50513 addi a0,a0,-452 # 80002018 +800001e4: 00053507 fld fa0,0(a0) +800001e8: e2051553 fclass.d a0,fa0 +800001ec: 00800393 li t2,8 +800001f0: 00500193 li gp,5 +800001f4: 0a751863 bne a0,t2,800002a4 + +800001f8 : +800001f8: 00002517 auipc a0,0x2 +800001fc: e2850513 addi a0,a0,-472 # 80002020 +80000200: 00053507 fld fa0,0(a0) +80000204: e2051553 fclass.d a0,fa0 +80000208: 01000393 li t2,16 +8000020c: 00600193 li gp,6 +80000210: 08751a63 bne a0,t2,800002a4 + +80000214 : +80000214: 00002517 auipc a0,0x2 +80000218: e1450513 addi a0,a0,-492 # 80002028 +8000021c: 00053507 fld fa0,0(a0) +80000220: e2051553 fclass.d a0,fa0 +80000224: 02000393 li t2,32 +80000228: 00700193 li gp,7 +8000022c: 06751c63 bne a0,t2,800002a4 + +80000230 : +80000230: 00002517 auipc a0,0x2 +80000234: e0050513 addi a0,a0,-512 # 80002030 +80000238: 00053507 fld fa0,0(a0) +8000023c: e2051553 fclass.d a0,fa0 +80000240: 04000393 li t2,64 +80000244: 00800193 li gp,8 +80000248: 04751e63 bne a0,t2,800002a4 + +8000024c : +8000024c: 00002517 auipc a0,0x2 +80000250: dec50513 addi a0,a0,-532 # 80002038 +80000254: 00053507 fld fa0,0(a0) +80000258: e2051553 fclass.d a0,fa0 +8000025c: 08000393 li t2,128 +80000260: 00900193 li gp,9 +80000264: 04751063 bne a0,t2,800002a4 + +80000268 : +80000268: 00002517 auipc a0,0x2 +8000026c: dd850513 addi a0,a0,-552 # 80002040 +80000270: 00053507 fld fa0,0(a0) +80000274: e2051553 fclass.d a0,fa0 +80000278: 10000393 li t2,256 +8000027c: 00a00193 li gp,10 +80000280: 02751263 bne a0,t2,800002a4 + +80000284 : +80000284: 00002517 auipc a0,0x2 +80000288: dc450513 addi a0,a0,-572 # 80002048 +8000028c: 00053507 fld fa0,0(a0) +80000290: e2051553 fclass.d a0,fa0 +80000294: 20000393 li t2,512 +80000298: 00b00193 li gp,11 +8000029c: 00751463 bne a0,t2,800002a4 +800002a0: 02301063 bne zero,gp,800002c0 + +800002a4 : +800002a4: 0ff0000f fence +800002a8: 00018063 beqz gp,800002a8 +800002ac: 00119193 slli gp,gp,0x1 +800002b0: 0011e193 ori gp,gp,1 +800002b4: 05d00893 li a7,93 +800002b8: 00018513 mv a0,gp +800002bc: 00000073 ecall + +800002c0 : +800002c0: 0ff0000f fence +800002c4: 00100193 li gp,1 +800002c8: 05d00893 li a7,93 +800002cc: 00000513 li a0,0 +800002d0: 00000073 ecall +800002d4: c0001073 unimp +800002d8: 0000 unimp +800002da: 0000 unimp +800002dc: 0000 unimp +800002de: 0000 unimp +800002e0: 0000 unimp +800002e2: 0000 unimp +800002e4: 0000 unimp +800002e6: 0000 unimp +800002e8: 0000 unimp +800002ea: 0000 unimp +800002ec: 0000 unimp +800002ee: 0000 unimp +800002f0: 0000 unimp +800002f2: 0000 unimp +800002f4: 0000 unimp +800002f6: 0000 unimp +800002f8: 0000 unimp +800002fa: 0000 unimp +800002fc: 0000 unimp +800002fe: 0000 unimp +80000300: 0000 unimp +80000302: 0000 unimp + +Disassembly of section .data: + +80002000 : +80002000: 0000 unimp +80002002: 0000 unimp +80002004: 0000 unimp +80002006: fff0 fsw fa2,124(a5) + +80002008 : +80002008: 0000 unimp +8000200a: 0000 unimp +8000200c: 0000 unimp +8000200e: bff0 fsd fa2,248(a5) + +80002010 : +80002010: ffff 0xffff +80002012: ffff 0xffff +80002014: ffff 0xffff +80002016: 0x800f + +80002018 : +80002018: 0000 unimp +8000201a: 0000 unimp +8000201c: 0000 unimp +8000201e: 8000 0x8000 + +80002020 : +80002020: 0000 unimp +80002022: 0000 unimp +80002024: 0000 unimp +80002026: 0000 unimp + +80002028 : +80002028: ffff 0xffff +8000202a: ffff 0xffff +8000202c: ffff 0xffff +8000202e: fence unknown,unknown + +80002030 : +80002030: 0000 unimp +80002032: 0000 unimp +80002034: 0000 unimp +80002036: 3ff0 fld fa2,248(a5) + +80002038 : +80002038: 0000 unimp +8000203a: 0000 unimp +8000203c: 0000 unimp +8000203e: 7ff0 flw fa2,124(a5) + +80002040 : +80002040: 0001 nop +80002042: 0000 unimp +80002044: 0000 unimp +80002046: 7ff0 flw fa2,124(a5) + +80002048 : +80002048: 0000 unimp +8000204a: 0000 unimp +8000204c: 0000 unimp +8000204e: 7ff8 flw fa4,124(a5) diff --git a/VexRiscv/src/test/resources/asm/rv32ud-p-fcmp.dump b/VexRiscv/src/test/resources/asm/rv32ud-p-fcmp.dump new file mode 100644 index 0000000..1fc9013 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ud-p-fcmp.dump @@ -0,0 +1,640 @@ + +rv32ud-p-fcmp: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 5391e193 ori gp,gp,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fc3f2023 sw gp,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: 00000093 li ra,0 +80000050: 00000113 li sp,0 +80000054: 00000193 li gp,0 +80000058: 00000213 li tp,0 +8000005c: 00000293 li t0,0 +80000060: 00000313 li t1,0 +80000064: 00000393 li t2,0 +80000068: 00000413 li s0,0 +8000006c: 00000493 li s1,0 +80000070: 00000513 li a0,0 +80000074: 00000593 li a1,0 +80000078: 00000613 li a2,0 +8000007c: 00000693 li a3,0 +80000080: 00000713 li a4,0 +80000084: 00000793 li a5,0 +80000088: 00000813 li a6,0 +8000008c: 00000893 li a7,0 +80000090: 00000913 li s2,0 +80000094: 00000993 li s3,0 +80000098: 00000a13 li s4,0 +8000009c: 00000a93 li s5,0 +800000a0: 00000b13 li s6,0 +800000a4: 00000b93 li s7,0 +800000a8: 00000c13 li s8,0 +800000ac: 00000c93 li s9,0 +800000b0: 00000d13 li s10,0 +800000b4: 00000d93 li s11,0 +800000b8: 00000e13 li t3,0 +800000bc: 00000e93 li t4,0 +800000c0: 00000f13 li t5,0 +800000c4: 00000f93 li t6,0 +800000c8: f1402573 csrr a0,mhartid +800000cc: 00051063 bnez a0,800000cc +800000d0: 00000297 auipc t0,0x0 +800000d4: 01028293 addi t0,t0,16 # 800000e0 +800000d8: 30529073 csrw mtvec,t0 +800000dc: 18005073 csrwi satp,0 +800000e0: 00000297 auipc t0,0x0 +800000e4: 02028293 addi t0,t0,32 # 80000100 +800000e8: 30529073 csrw mtvec,t0 +800000ec: 800002b7 lui t0,0x80000 +800000f0: fff28293 addi t0,t0,-1 # 7fffffff <_end+0xffffde1f> +800000f4: 3b029073 csrw pmpaddr0,t0 +800000f8: 01f00293 li t0,31 +800000fc: 3a029073 csrw pmpcfg0,t0 +80000100: 30405073 csrwi mie,0 +80000104: 00000297 auipc t0,0x0 +80000108: 01428293 addi t0,t0,20 # 80000118 +8000010c: 30529073 csrw mtvec,t0 +80000110: 30205073 csrwi medeleg,0 +80000114: 30305073 csrwi mideleg,0 +80000118: 00000193 li gp,0 +8000011c: 00000297 auipc t0,0x0 +80000120: ee828293 addi t0,t0,-280 # 80000004 +80000124: 30529073 csrw mtvec,t0 +80000128: 00100513 li a0,1 +8000012c: 01f51513 slli a0,a0,0x1f +80000130: 00054c63 bltz a0,80000148 +80000134: 0ff0000f fence +80000138: 00100193 li gp,1 +8000013c: 05d00893 li a7,93 +80000140: 00000513 li a0,0 +80000144: 00000073 ecall +80000148: 80000297 auipc t0,0x80000 +8000014c: eb828293 addi t0,t0,-328 # 0 <_start-0x80000000> +80000150: 00028a63 beqz t0,80000164 +80000154: 10529073 csrw stvec,t0 +80000158: 0000b2b7 lui t0,0xb +8000015c: 10928293 addi t0,t0,265 # b109 <_start-0x7fff4ef7> +80000160: 30229073 csrw medeleg,t0 +80000164: 30005073 csrwi mstatus,0 +80000168: 00002537 lui a0,0x2 +8000016c: 30052073 csrs mstatus,a0 +80000170: 00305073 csrwi fcsr,0 +80000174: 00000297 auipc t0,0x0 +80000178: 01428293 addi t0,t0,20 # 80000188 +8000017c: 34129073 csrw mepc,t0 +80000180: f1402573 csrr a0,mhartid +80000184: 30200073 mret + +80000188 : +80000188: 00200193 li gp,2 +8000018c: 00002517 auipc a0,0x2 +80000190: e7450513 addi a0,a0,-396 # 80002000 +80000194: 00053007 fld ft0,0(a0) +80000198: 00853087 fld ft1,8(a0) +8000019c: 01053107 fld ft2,16(a0) +800001a0: 01852683 lw a3,24(a0) +800001a4: 01c52303 lw t1,28(a0) +800001a8: a2102553 feq.d a0,ft0,ft1 +800001ac: 00000393 li t2,0 +800001b0: 001015f3 fsflags a1,zero +800001b4: 00000613 li a2,0 +800001b8: 34d51c63 bne a0,a3,80000510 +800001bc: 34731a63 bne t1,t2,80000510 +800001c0: 34c59863 bne a1,a2,80000510 + +800001c4 : +800001c4: 00300193 li gp,3 +800001c8: 00002517 auipc a0,0x2 +800001cc: e5850513 addi a0,a0,-424 # 80002020 +800001d0: 00053007 fld ft0,0(a0) +800001d4: 00853087 fld ft1,8(a0) +800001d8: 01053107 fld ft2,16(a0) +800001dc: 01852683 lw a3,24(a0) +800001e0: 01c52303 lw t1,28(a0) +800001e4: a2100553 fle.d a0,ft0,ft1 +800001e8: 00000393 li t2,0 +800001ec: 001015f3 fsflags a1,zero +800001f0: 00000613 li a2,0 +800001f4: 30d51e63 bne a0,a3,80000510 +800001f8: 30731c63 bne t1,t2,80000510 +800001fc: 30c59a63 bne a1,a2,80000510 + +80000200 : +80000200: 00400193 li gp,4 +80000204: 00002517 auipc a0,0x2 +80000208: e3c50513 addi a0,a0,-452 # 80002040 +8000020c: 00053007 fld ft0,0(a0) +80000210: 00853087 fld ft1,8(a0) +80000214: 01053107 fld ft2,16(a0) +80000218: 01852683 lw a3,24(a0) +8000021c: 01c52303 lw t1,28(a0) +80000220: a2101553 flt.d a0,ft0,ft1 +80000224: 00000393 li t2,0 +80000228: 001015f3 fsflags a1,zero +8000022c: 00000613 li a2,0 +80000230: 2ed51063 bne a0,a3,80000510 +80000234: 2c731e63 bne t1,t2,80000510 +80000238: 2cc59c63 bne a1,a2,80000510 + +8000023c : +8000023c: 00500193 li gp,5 +80000240: 00002517 auipc a0,0x2 +80000244: e2050513 addi a0,a0,-480 # 80002060 +80000248: 00053007 fld ft0,0(a0) +8000024c: 00853087 fld ft1,8(a0) +80000250: 01053107 fld ft2,16(a0) +80000254: 01852683 lw a3,24(a0) +80000258: 01c52303 lw t1,28(a0) +8000025c: a2102553 feq.d a0,ft0,ft1 +80000260: 00000393 li t2,0 +80000264: 001015f3 fsflags a1,zero +80000268: 00000613 li a2,0 +8000026c: 2ad51263 bne a0,a3,80000510 +80000270: 2a731063 bne t1,t2,80000510 +80000274: 28c59e63 bne a1,a2,80000510 + +80000278 : +80000278: 00600193 li gp,6 +8000027c: 00002517 auipc a0,0x2 +80000280: e0450513 addi a0,a0,-508 # 80002080 +80000284: 00053007 fld ft0,0(a0) +80000288: 00853087 fld ft1,8(a0) +8000028c: 01053107 fld ft2,16(a0) +80000290: 01852683 lw a3,24(a0) +80000294: 01c52303 lw t1,28(a0) +80000298: a2100553 fle.d a0,ft0,ft1 +8000029c: 00000393 li t2,0 +800002a0: 001015f3 fsflags a1,zero +800002a4: 00000613 li a2,0 +800002a8: 26d51463 bne a0,a3,80000510 +800002ac: 26731263 bne t1,t2,80000510 +800002b0: 26c59063 bne a1,a2,80000510 + +800002b4 : +800002b4: 00700193 li gp,7 +800002b8: 00002517 auipc a0,0x2 +800002bc: de850513 addi a0,a0,-536 # 800020a0 +800002c0: 00053007 fld ft0,0(a0) +800002c4: 00853087 fld ft1,8(a0) +800002c8: 01053107 fld ft2,16(a0) +800002cc: 01852683 lw a3,24(a0) +800002d0: 01c52303 lw t1,28(a0) +800002d4: a2101553 flt.d a0,ft0,ft1 +800002d8: 00000393 li t2,0 +800002dc: 001015f3 fsflags a1,zero +800002e0: 00000613 li a2,0 +800002e4: 22d51663 bne a0,a3,80000510 +800002e8: 22731463 bne t1,t2,80000510 +800002ec: 22c59263 bne a1,a2,80000510 + +800002f0 : +800002f0: 00800193 li gp,8 +800002f4: 00002517 auipc a0,0x2 +800002f8: dcc50513 addi a0,a0,-564 # 800020c0 +800002fc: 00053007 fld ft0,0(a0) +80000300: 00853087 fld ft1,8(a0) +80000304: 01053107 fld ft2,16(a0) +80000308: 01852683 lw a3,24(a0) +8000030c: 01c52303 lw t1,28(a0) +80000310: a2102553 feq.d a0,ft0,ft1 +80000314: 00000393 li t2,0 +80000318: 001015f3 fsflags a1,zero +8000031c: 00000613 li a2,0 +80000320: 1ed51863 bne a0,a3,80000510 +80000324: 1e731663 bne t1,t2,80000510 +80000328: 1ec59463 bne a1,a2,80000510 + +8000032c : +8000032c: 00900193 li gp,9 +80000330: 00002517 auipc a0,0x2 +80000334: db050513 addi a0,a0,-592 # 800020e0 +80000338: 00053007 fld ft0,0(a0) +8000033c: 00853087 fld ft1,8(a0) +80000340: 01053107 fld ft2,16(a0) +80000344: 01852683 lw a3,24(a0) +80000348: 01c52303 lw t1,28(a0) +8000034c: a2102553 feq.d a0,ft0,ft1 +80000350: 00000393 li t2,0 +80000354: 001015f3 fsflags a1,zero +80000358: 00000613 li a2,0 +8000035c: 1ad51a63 bne a0,a3,80000510 +80000360: 1a731863 bne t1,t2,80000510 +80000364: 1ac59663 bne a1,a2,80000510 + +80000368 : +80000368: 00a00193 li gp,10 +8000036c: 00002517 auipc a0,0x2 +80000370: d9450513 addi a0,a0,-620 # 80002100 +80000374: 00053007 fld ft0,0(a0) +80000378: 00853087 fld ft1,8(a0) +8000037c: 01053107 fld ft2,16(a0) +80000380: 01852683 lw a3,24(a0) +80000384: 01c52303 lw t1,28(a0) +80000388: a2102553 feq.d a0,ft0,ft1 +8000038c: 00000393 li t2,0 +80000390: 001015f3 fsflags a1,zero +80000394: 01000613 li a2,16 +80000398: 16d51c63 bne a0,a3,80000510 +8000039c: 16731a63 bne t1,t2,80000510 +800003a0: 16c59863 bne a1,a2,80000510 + +800003a4 : +800003a4: 00b00193 li gp,11 +800003a8: 00002517 auipc a0,0x2 +800003ac: d7850513 addi a0,a0,-648 # 80002120 +800003b0: 00053007 fld ft0,0(a0) +800003b4: 00853087 fld ft1,8(a0) +800003b8: 01053107 fld ft2,16(a0) +800003bc: 01852683 lw a3,24(a0) +800003c0: 01c52303 lw t1,28(a0) +800003c4: a2101553 flt.d a0,ft0,ft1 +800003c8: 00000393 li t2,0 +800003cc: 001015f3 fsflags a1,zero +800003d0: 01000613 li a2,16 +800003d4: 12d51e63 bne a0,a3,80000510 +800003d8: 12731c63 bne t1,t2,80000510 +800003dc: 12c59a63 bne a1,a2,80000510 + +800003e0 : +800003e0: 00c00193 li gp,12 +800003e4: 00002517 auipc a0,0x2 +800003e8: d5c50513 addi a0,a0,-676 # 80002140 +800003ec: 00053007 fld ft0,0(a0) +800003f0: 00853087 fld ft1,8(a0) +800003f4: 01053107 fld ft2,16(a0) +800003f8: 01852683 lw a3,24(a0) +800003fc: 01c52303 lw t1,28(a0) +80000400: a2101553 flt.d a0,ft0,ft1 +80000404: 00000393 li t2,0 +80000408: 001015f3 fsflags a1,zero +8000040c: 01000613 li a2,16 +80000410: 10d51063 bne a0,a3,80000510 +80000414: 0e731e63 bne t1,t2,80000510 +80000418: 0ec59c63 bne a1,a2,80000510 + +8000041c : +8000041c: 00d00193 li gp,13 +80000420: 00002517 auipc a0,0x2 +80000424: d4050513 addi a0,a0,-704 # 80002160 +80000428: 00053007 fld ft0,0(a0) +8000042c: 00853087 fld ft1,8(a0) +80000430: 01053107 fld ft2,16(a0) +80000434: 01852683 lw a3,24(a0) +80000438: 01c52303 lw t1,28(a0) +8000043c: a2101553 flt.d a0,ft0,ft1 +80000440: 00000393 li t2,0 +80000444: 001015f3 fsflags a1,zero +80000448: 01000613 li a2,16 +8000044c: 0cd51263 bne a0,a3,80000510 +80000450: 0c731063 bne t1,t2,80000510 +80000454: 0ac59e63 bne a1,a2,80000510 + +80000458 : +80000458: 00e00193 li gp,14 +8000045c: 00002517 auipc a0,0x2 +80000460: d2450513 addi a0,a0,-732 # 80002180 +80000464: 00053007 fld ft0,0(a0) +80000468: 00853087 fld ft1,8(a0) +8000046c: 01053107 fld ft2,16(a0) +80000470: 01852683 lw a3,24(a0) +80000474: 01c52303 lw t1,28(a0) +80000478: a2100553 fle.d a0,ft0,ft1 +8000047c: 00000393 li t2,0 +80000480: 001015f3 fsflags a1,zero +80000484: 01000613 li a2,16 +80000488: 08d51463 bne a0,a3,80000510 +8000048c: 08731263 bne t1,t2,80000510 +80000490: 08c59063 bne a1,a2,80000510 + +80000494 : +80000494: 00f00193 li gp,15 +80000498: 00002517 auipc a0,0x2 +8000049c: d0850513 addi a0,a0,-760 # 800021a0 +800004a0: 00053007 fld ft0,0(a0) +800004a4: 00853087 fld ft1,8(a0) +800004a8: 01053107 fld ft2,16(a0) +800004ac: 01852683 lw a3,24(a0) +800004b0: 01c52303 lw t1,28(a0) +800004b4: a2100553 fle.d a0,ft0,ft1 +800004b8: 00000393 li t2,0 +800004bc: 001015f3 fsflags a1,zero +800004c0: 01000613 li a2,16 +800004c4: 04d51663 bne a0,a3,80000510 +800004c8: 04731463 bne t1,t2,80000510 +800004cc: 04c59263 bne a1,a2,80000510 + +800004d0 : +800004d0: 01000193 li gp,16 +800004d4: 00002517 auipc a0,0x2 +800004d8: cec50513 addi a0,a0,-788 # 800021c0 +800004dc: 00053007 fld ft0,0(a0) +800004e0: 00853087 fld ft1,8(a0) +800004e4: 01053107 fld ft2,16(a0) +800004e8: 01852683 lw a3,24(a0) +800004ec: 01c52303 lw t1,28(a0) +800004f0: a2100553 fle.d a0,ft0,ft1 +800004f4: 00000393 li t2,0 +800004f8: 001015f3 fsflags a1,zero +800004fc: 01000613 li a2,16 +80000500: 00d51863 bne a0,a3,80000510 +80000504: 00731663 bne t1,t2,80000510 +80000508: 00c59463 bne a1,a2,80000510 +8000050c: 02301063 bne zero,gp,8000052c + +80000510 : +80000510: 0ff0000f fence +80000514: 00018063 beqz gp,80000514 +80000518: 00119193 slli gp,gp,0x1 +8000051c: 0011e193 ori gp,gp,1 +80000520: 05d00893 li a7,93 +80000524: 00018513 mv a0,gp +80000528: 00000073 ecall + +8000052c : +8000052c: 0ff0000f fence +80000530: 00100193 li gp,1 +80000534: 05d00893 li a7,93 +80000538: 00000513 li a0,0 +8000053c: 00000073 ecall +80000540: c0001073 unimp + +Disassembly of section .data: + +80002000 : +80002000: 5c28f5c3 0x5c28f5c3 +80002004: bff5c28f 0xbff5c28f +80002008: 5c28f5c3 0x5c28f5c3 +8000200c: bff5c28f 0xbff5c28f +80002010: 0000 unimp +80002012: 0000 unimp +80002014: 0000 unimp +80002016: 0000 unimp +80002018: 0001 nop +8000201a: 0000 unimp +8000201c: 0000 unimp +8000201e: 0000 unimp + +80002020 : +80002020: 5c28f5c3 0x5c28f5c3 +80002024: bff5c28f 0xbff5c28f +80002028: 5c28f5c3 0x5c28f5c3 +8000202c: bff5c28f 0xbff5c28f +80002030: 0000 unimp +80002032: 0000 unimp +80002034: 0000 unimp +80002036: 0000 unimp +80002038: 0001 nop +8000203a: 0000 unimp +8000203c: 0000 unimp +8000203e: 0000 unimp + +80002040 : +80002040: 5c28f5c3 0x5c28f5c3 +80002044: bff5c28f 0xbff5c28f +80002048: 5c28f5c3 0x5c28f5c3 +8000204c: bff5c28f 0xbff5c28f +80002050: 0000 unimp +80002052: 0000 unimp +80002054: 0000 unimp +80002056: 0000 unimp +80002058: 0000 unimp +8000205a: 0000 unimp +8000205c: 0000 unimp +8000205e: 0000 unimp + +80002060 : +80002060: 51ec lw a1,100(a1) +80002062: 1eb8 addi a4,sp,888 +80002064: eb85 bnez a5,80002094 +80002066: bff5 j 80002062 +80002068: 5c28f5c3 0x5c28f5c3 +8000206c: bff5c28f 0xbff5c28f +80002070: 0000 unimp +80002072: 0000 unimp +80002074: 0000 unimp +80002076: 0000 unimp +80002078: 0000 unimp +8000207a: 0000 unimp +8000207c: 0000 unimp +8000207e: 0000 unimp + +80002080 : +80002080: 51ec lw a1,100(a1) +80002082: 1eb8 addi a4,sp,888 +80002084: eb85 bnez a5,800020b4 +80002086: bff5 j 80002082 +80002088: 5c28f5c3 0x5c28f5c3 +8000208c: bff5c28f 0xbff5c28f +80002090: 0000 unimp +80002092: 0000 unimp +80002094: 0000 unimp +80002096: 0000 unimp +80002098: 0001 nop +8000209a: 0000 unimp +8000209c: 0000 unimp +8000209e: 0000 unimp + +800020a0 : +800020a0: 51ec lw a1,100(a1) +800020a2: 1eb8 addi a4,sp,888 +800020a4: eb85 bnez a5,800020d4 +800020a6: bff5 j 800020a2 +800020a8: 5c28f5c3 0x5c28f5c3 +800020ac: bff5c28f 0xbff5c28f +800020b0: 0000 unimp +800020b2: 0000 unimp +800020b4: 0000 unimp +800020b6: 0000 unimp +800020b8: 0001 nop +800020ba: 0000 unimp +800020bc: 0000 unimp +800020be: 0000 unimp + +800020c0 : +800020c0: ffff 0xffff +800020c2: ffff 0xffff +800020c4: ffff 0xffff +800020c6: 7fff 0x7fff +800020c8: 0000 unimp +800020ca: 0000 unimp +800020cc: 0000 unimp +800020ce: 0000 unimp +800020d0: 0000 unimp +800020d2: 0000 unimp +800020d4: 0000 unimp +800020d6: 0000 unimp +800020d8: 0000 unimp +800020da: 0000 unimp +800020dc: 0000 unimp +800020de: 0000 unimp + +800020e0 : +800020e0: ffff 0xffff +800020e2: ffff 0xffff +800020e4: ffff 0xffff +800020e6: 7fff 0x7fff +800020e8: ffff 0xffff +800020ea: ffff 0xffff +800020ec: ffff 0xffff +800020ee: 7fff 0x7fff +800020f0: 0000 unimp +800020f2: 0000 unimp +800020f4: 0000 unimp +800020f6: 0000 unimp +800020f8: 0000 unimp +800020fa: 0000 unimp +800020fc: 0000 unimp +800020fe: 0000 unimp + +80002100 : +80002100: 0001 nop +80002102: 0000 unimp +80002104: 0000 unimp +80002106: 7ff0 flw fa2,124(a5) +80002108: 0000 unimp +8000210a: 0000 unimp +8000210c: 0000 unimp +8000210e: 0000 unimp +80002110: 0000 unimp +80002112: 0000 unimp +80002114: 0000 unimp +80002116: 0000 unimp +80002118: 0000 unimp +8000211a: 0000 unimp +8000211c: 0000 unimp +8000211e: 0000 unimp + +80002120 : +80002120: ffff 0xffff +80002122: ffff 0xffff +80002124: ffff 0xffff +80002126: 7fff 0x7fff +80002128: 0000 unimp +8000212a: 0000 unimp +8000212c: 0000 unimp +8000212e: 0000 unimp +80002130: 0000 unimp +80002132: 0000 unimp +80002134: 0000 unimp +80002136: 0000 unimp +80002138: 0000 unimp +8000213a: 0000 unimp +8000213c: 0000 unimp +8000213e: 0000 unimp + +80002140 : +80002140: ffff 0xffff +80002142: ffff 0xffff +80002144: ffff 0xffff +80002146: 7fff 0x7fff +80002148: ffff 0xffff +8000214a: ffff 0xffff +8000214c: ffff 0xffff +8000214e: 7fff 0x7fff +80002150: 0000 unimp +80002152: 0000 unimp +80002154: 0000 unimp +80002156: 0000 unimp +80002158: 0000 unimp +8000215a: 0000 unimp +8000215c: 0000 unimp +8000215e: 0000 unimp + +80002160 : +80002160: 0001 nop +80002162: 0000 unimp +80002164: 0000 unimp +80002166: 7ff0 flw fa2,124(a5) +80002168: 0000 unimp +8000216a: 0000 unimp +8000216c: 0000 unimp +8000216e: 0000 unimp +80002170: 0000 unimp +80002172: 0000 unimp +80002174: 0000 unimp +80002176: 0000 unimp +80002178: 0000 unimp +8000217a: 0000 unimp +8000217c: 0000 unimp +8000217e: 0000 unimp + +80002180 : +80002180: ffff 0xffff +80002182: ffff 0xffff +80002184: ffff 0xffff +80002186: 7fff 0x7fff +80002188: 0000 unimp +8000218a: 0000 unimp +8000218c: 0000 unimp +8000218e: 0000 unimp +80002190: 0000 unimp +80002192: 0000 unimp +80002194: 0000 unimp +80002196: 0000 unimp +80002198: 0000 unimp +8000219a: 0000 unimp +8000219c: 0000 unimp +8000219e: 0000 unimp + +800021a0 : +800021a0: ffff 0xffff +800021a2: ffff 0xffff +800021a4: ffff 0xffff +800021a6: 7fff 0x7fff +800021a8: ffff 0xffff +800021aa: ffff 0xffff +800021ac: ffff 0xffff +800021ae: 7fff 0x7fff +800021b0: 0000 unimp +800021b2: 0000 unimp +800021b4: 0000 unimp +800021b6: 0000 unimp +800021b8: 0000 unimp +800021ba: 0000 unimp +800021bc: 0000 unimp +800021be: 0000 unimp + +800021c0 : +800021c0: 0001 nop +800021c2: 0000 unimp +800021c4: 0000 unimp +800021c6: 7ff0 flw fa2,124(a5) +800021c8: 0000 unimp +800021ca: 0000 unimp +800021cc: 0000 unimp +800021ce: 0000 unimp +800021d0: 0000 unimp +800021d2: 0000 unimp +800021d4: 0000 unimp +800021d6: 0000 unimp +800021d8: 0000 unimp +800021da: 0000 unimp +800021dc: 0000 unimp +800021de: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ud-p-fcvt.dump b/VexRiscv/src/test/resources/asm/rv32ud-p-fcvt.dump new file mode 100644 index 0000000..f489b6c --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ud-p-fcvt.dump @@ -0,0 +1,346 @@ + +rv32ud-p-fcvt: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 5391e193 ori gp,gp,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fc3f2023 sw gp,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: 00000093 li ra,0 +80000050: 00000113 li sp,0 +80000054: 00000193 li gp,0 +80000058: 00000213 li tp,0 +8000005c: 00000293 li t0,0 +80000060: 00000313 li t1,0 +80000064: 00000393 li t2,0 +80000068: 00000413 li s0,0 +8000006c: 00000493 li s1,0 +80000070: 00000513 li a0,0 +80000074: 00000593 li a1,0 +80000078: 00000613 li a2,0 +8000007c: 00000693 li a3,0 +80000080: 00000713 li a4,0 +80000084: 00000793 li a5,0 +80000088: 00000813 li a6,0 +8000008c: 00000893 li a7,0 +80000090: 00000913 li s2,0 +80000094: 00000993 li s3,0 +80000098: 00000a13 li s4,0 +8000009c: 00000a93 li s5,0 +800000a0: 00000b13 li s6,0 +800000a4: 00000b93 li s7,0 +800000a8: 00000c13 li s8,0 +800000ac: 00000c93 li s9,0 +800000b0: 00000d13 li s10,0 +800000b4: 00000d93 li s11,0 +800000b8: 00000e13 li t3,0 +800000bc: 00000e93 li t4,0 +800000c0: 00000f13 li t5,0 +800000c4: 00000f93 li t6,0 +800000c8: f1402573 csrr a0,mhartid +800000cc: 00051063 bnez a0,800000cc +800000d0: 00000297 auipc t0,0x0 +800000d4: 01028293 addi t0,t0,16 # 800000e0 +800000d8: 30529073 csrw mtvec,t0 +800000dc: 18005073 csrwi satp,0 +800000e0: 00000297 auipc t0,0x0 +800000e4: 02028293 addi t0,t0,32 # 80000100 +800000e8: 30529073 csrw mtvec,t0 +800000ec: 800002b7 lui t0,0x80000 +800000f0: fff28293 addi t0,t0,-1 # 7fffffff <_end+0xffffdf8f> +800000f4: 3b029073 csrw pmpaddr0,t0 +800000f8: 01f00293 li t0,31 +800000fc: 3a029073 csrw pmpcfg0,t0 +80000100: 30405073 csrwi mie,0 +80000104: 00000297 auipc t0,0x0 +80000108: 01428293 addi t0,t0,20 # 80000118 +8000010c: 30529073 csrw mtvec,t0 +80000110: 30205073 csrwi medeleg,0 +80000114: 30305073 csrwi mideleg,0 +80000118: 00000193 li gp,0 +8000011c: 00000297 auipc t0,0x0 +80000120: ee828293 addi t0,t0,-280 # 80000004 +80000124: 30529073 csrw mtvec,t0 +80000128: 00100513 li a0,1 +8000012c: 01f51513 slli a0,a0,0x1f +80000130: 00054c63 bltz a0,80000148 +80000134: 0ff0000f fence +80000138: 00100193 li gp,1 +8000013c: 05d00893 li a7,93 +80000140: 00000513 li a0,0 +80000144: 00000073 ecall +80000148: 80000297 auipc t0,0x80000 +8000014c: eb828293 addi t0,t0,-328 # 0 <_start-0x80000000> +80000150: 00028a63 beqz t0,80000164 +80000154: 10529073 csrw stvec,t0 +80000158: 0000b2b7 lui t0,0xb +8000015c: 10928293 addi t0,t0,265 # b109 <_start-0x7fff4ef7> +80000160: 30229073 csrw medeleg,t0 +80000164: 30005073 csrwi mstatus,0 +80000168: 00002537 lui a0,0x2 +8000016c: 30052073 csrs mstatus,a0 +80000170: 00305073 csrwi fcsr,0 +80000174: 00000297 auipc t0,0x0 +80000178: 01428293 addi t0,t0,20 # 80000188 +8000017c: 34129073 csrw mepc,t0 +80000180: f1402573 csrr a0,mhartid +80000184: 30200073 mret + +80000188 : +80000188: 00200193 li gp,2 +8000018c: 00002517 auipc a0,0x2 +80000190: e7450513 addi a0,a0,-396 # 80002000 +80000194: 00052683 lw a3,0(a0) +80000198: 00452703 lw a4,4(a0) +8000019c: 00200593 li a1,2 +800001a0: d2058053 fcvt.d.w ft0,a1 +800001a4: 00053027 fsd ft0,0(a0) +800001a8: 00452583 lw a1,4(a0) +800001ac: 00052503 lw a0,0(a0) +800001b0: 00101073 fsflags zero +800001b4: 16d51263 bne a0,a3,80000318 +800001b8: 16e59063 bne a1,a4,80000318 + +800001bc : +800001bc: 00300193 li gp,3 +800001c0: 00002517 auipc a0,0x2 +800001c4: e4850513 addi a0,a0,-440 # 80002008 +800001c8: 00052683 lw a3,0(a0) +800001cc: 00452703 lw a4,4(a0) +800001d0: ffe00593 li a1,-2 +800001d4: d2058053 fcvt.d.w ft0,a1 +800001d8: 00053027 fsd ft0,0(a0) +800001dc: 00452583 lw a1,4(a0) +800001e0: 00052503 lw a0,0(a0) +800001e4: 00101073 fsflags zero +800001e8: 12d51863 bne a0,a3,80000318 +800001ec: 12e59663 bne a1,a4,80000318 + +800001f0 : +800001f0: 00400193 li gp,4 +800001f4: 00002517 auipc a0,0x2 +800001f8: e1c50513 addi a0,a0,-484 # 80002010 +800001fc: 00052683 lw a3,0(a0) +80000200: 00452703 lw a4,4(a0) +80000204: 00200593 li a1,2 +80000208: d2158053 fcvt.d.wu ft0,a1 +8000020c: 00053027 fsd ft0,0(a0) +80000210: 00452583 lw a1,4(a0) +80000214: 00052503 lw a0,0(a0) +80000218: 00101073 fsflags zero +8000021c: 0ed51e63 bne a0,a3,80000318 +80000220: 0ee59c63 bne a1,a4,80000318 + +80000224 : +80000224: 00500193 li gp,5 +80000228: 00002517 auipc a0,0x2 +8000022c: df050513 addi a0,a0,-528 # 80002018 +80000230: 00052683 lw a3,0(a0) +80000234: 00452703 lw a4,4(a0) +80000238: ffe00593 li a1,-2 +8000023c: d2158053 fcvt.d.wu ft0,a1 +80000240: 00053027 fsd ft0,0(a0) +80000244: 00452583 lw a1,4(a0) +80000248: 00052503 lw a0,0(a0) +8000024c: 00101073 fsflags zero +80000250: 0cd51463 bne a0,a3,80000318 +80000254: 0ce59263 bne a1,a4,80000318 + +80000258 : +80000258: 00a00193 li gp,10 +8000025c: 00002517 auipc a0,0x2 +80000260: dc450513 addi a0,a0,-572 # 80002020 +80000264: 00053007 fld ft0,0(a0) +80000268: 00853087 fld ft1,8(a0) +8000026c: 01053107 fld ft2,16(a0) +80000270: 01852683 lw a3,24(a0) +80000274: 01c52303 lw t1,28(a0) +80000278: 401071d3 fcvt.s.d ft3,ft0 +8000027c: 420181d3 fcvt.d.s ft3,ft3 +80000280: 00353027 fsd ft3,0(a0) +80000284: 00452383 lw t2,4(a0) +80000288: 00052503 lw a0,0(a0) +8000028c: 001015f3 fsflags a1,zero +80000290: 00000613 li a2,0 +80000294: 08d51263 bne a0,a3,80000318 +80000298: 08731063 bne t1,t2,80000318 +8000029c: 06c59e63 bne a1,a2,80000318 + +800002a0 : +800002a0: 00b00193 li gp,11 +800002a4: 00002517 auipc a0,0x2 +800002a8: d9c50513 addi a0,a0,-612 # 80002040 +800002ac: 00052007 flw ft0,0(a0) +800002b0: 00452087 flw ft1,4(a0) +800002b4: 00852107 flw ft2,8(a0) +800002b8: 00c52683 lw a3,12(a0) +800002bc: 420001d3 fcvt.d.s ft3,ft0 +800002c0: 4011f1d3 fcvt.s.d ft3,ft3 +800002c4: e0018553 fmv.x.w a0,ft3 +800002c8: 001015f3 fsflags a1,zero +800002cc: 00000613 li a2,0 +800002d0: 04d51463 bne a0,a3,80000318 +800002d4: 04c59263 bne a1,a2,80000318 + +800002d8 : +800002d8: 00002597 auipc a1,0x2 +800002dc: d8858593 addi a1,a1,-632 # 80002060 +800002e0: 0005b107 fld ft2,0(a1) +800002e4: 40117153 fcvt.s.d ft2,ft2 +800002e8: 42010153 fcvt.d.s ft2,ft2 +800002ec: 0025b027 fsd ft2,0(a1) +800002f0: 0005a503 lw a0,0(a1) +800002f4: 0045a583 lw a1,4(a1) +800002f8: 00002797 auipc a5,0x2 +800002fc: d5878793 addi a5,a5,-680 # 80002050 +80000300: 0007a383 lw t2,0(a5) +80000304: 0047a783 lw a5,4(a5) +80000308: 00c00193 li gp,12 +8000030c: 00751663 bne a0,t2,80000318 +80000310: 00f59463 bne a1,a5,80000318 +80000314: 02301063 bne zero,gp,80000334 + +80000318 : +80000318: 0ff0000f fence +8000031c: 00018063 beqz gp,8000031c +80000320: 00119193 slli gp,gp,0x1 +80000324: 0011e193 ori gp,gp,1 +80000328: 05d00893 li a7,93 +8000032c: 00018513 mv a0,gp +80000330: 00000073 ecall + +80000334 : +80000334: 0ff0000f fence +80000338: 00100193 li gp,1 +8000033c: 05d00893 li a7,93 +80000340: 00000513 li a0,0 +80000344: 00000073 ecall +80000348: c0001073 unimp +8000034c: 0000 unimp +8000034e: 0000 unimp +80000350: 0000 unimp +80000352: 0000 unimp +80000354: 0000 unimp +80000356: 0000 unimp +80000358: 0000 unimp +8000035a: 0000 unimp +8000035c: 0000 unimp +8000035e: 0000 unimp +80000360: 0000 unimp +80000362: 0000 unimp +80000364: 0000 unimp +80000366: 0000 unimp +80000368: 0000 unimp +8000036a: 0000 unimp +8000036c: 0000 unimp +8000036e: 0000 unimp +80000370: 0000 unimp +80000372: 0000 unimp +80000374: 0000 unimp +80000376: 0000 unimp +80000378: 0000 unimp +8000037a: 0000 unimp +8000037c: 0000 unimp +8000037e: 0000 unimp +80000380: 0000 unimp +80000382: 0000 unimp + +Disassembly of section .data: + +80002000 : +80002000: 0000 unimp +80002002: 0000 unimp +80002004: 0000 unimp +80002006: 4000 lw s0,0(s0) + +80002008 : +80002008: 0000 unimp +8000200a: 0000 unimp +8000200c: 0000 unimp +8000200e: c000 sw s0,0(s0) + +80002010 : +80002010: 0000 unimp +80002012: 0000 unimp +80002014: 0000 unimp +80002016: 4000 lw s0,0(s0) + +80002018 : +80002018: 0000 unimp +8000201a: ffc0 fsw fs0,60(a5) +8000201c: ffff 0xffff +8000201e: jal gp,8000601e <_end+0x3fae> + +80002020 : +80002020: 0000 unimp +80002022: 0000 unimp +80002024: 0000 unimp +80002026: bff8 fsd fa4,248(a5) +80002028: 0000 unimp +8000202a: 0000 unimp +8000202c: 0000 unimp +8000202e: 0000 unimp +80002030: 0000 unimp +80002032: 0000 unimp +80002034: 0000 unimp +80002036: 0000 unimp +80002038: 0000 unimp +8000203a: 0000 unimp +8000203c: 0000 unimp +8000203e: bff8 fsd fa4,248(a5) + +80002040 : +80002040: 0000 unimp +80002042: bfc0 fsd fs0,184(a5) +80002044: 0000 unimp +80002046: 0000 unimp +80002048: 0000 unimp +8000204a: 0000 unimp +8000204c: 0000 unimp +8000204e: bfc0 fsd fs0,184(a5) + +80002050 : +80002050: 0000 unimp +80002052: 0000 unimp +80002054: 0000 unimp +80002056: 7ff8 flw fa4,124(a5) +80002058: 0000 unimp +8000205a: 0000 unimp +8000205c: 0000 unimp +8000205e: 0000 unimp + +80002060 : +80002060: 8004 0x8004 +80002062: ffff 0xffff +80002064: ffff 0xffff +80002066: 7ffc flw fa5,124(a5) +80002068: 0000 unimp +8000206a: 0000 unimp +8000206c: 0000 unimp +8000206e: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ud-p-fcvt_w.dump b/VexRiscv/src/test/resources/asm/rv32ud-p-fcvt_w.dump new file mode 100644 index 0000000..4d0e4e4 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ud-p-fcvt_w.dump @@ -0,0 +1,632 @@ + +rv32ud-p-fcvt_w: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 5391e193 ori gp,gp,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fc3f2023 sw gp,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: 00000093 li ra,0 +80000050: 00000113 li sp,0 +80000054: 00000193 li gp,0 +80000058: 00000213 li tp,0 +8000005c: 00000293 li t0,0 +80000060: 00000313 li t1,0 +80000064: 00000393 li t2,0 +80000068: 00000413 li s0,0 +8000006c: 00000493 li s1,0 +80000070: 00000513 li a0,0 +80000074: 00000593 li a1,0 +80000078: 00000613 li a2,0 +8000007c: 00000693 li a3,0 +80000080: 00000713 li a4,0 +80000084: 00000793 li a5,0 +80000088: 00000813 li a6,0 +8000008c: 00000893 li a7,0 +80000090: 00000913 li s2,0 +80000094: 00000993 li s3,0 +80000098: 00000a13 li s4,0 +8000009c: 00000a93 li s5,0 +800000a0: 00000b13 li s6,0 +800000a4: 00000b93 li s7,0 +800000a8: 00000c13 li s8,0 +800000ac: 00000c93 li s9,0 +800000b0: 00000d13 li s10,0 +800000b4: 00000d93 li s11,0 +800000b8: 00000e13 li t3,0 +800000bc: 00000e93 li t4,0 +800000c0: 00000f13 li t5,0 +800000c4: 00000f93 li t6,0 +800000c8: f1402573 csrr a0,mhartid +800000cc: 00051063 bnez a0,800000cc +800000d0: 00000297 auipc t0,0x0 +800000d4: 01028293 addi t0,t0,16 # 800000e0 +800000d8: 30529073 csrw mtvec,t0 +800000dc: 18005073 csrwi satp,0 +800000e0: 00000297 auipc t0,0x0 +800000e4: 02028293 addi t0,t0,32 # 80000100 +800000e8: 30529073 csrw mtvec,t0 +800000ec: 800002b7 lui t0,0x80000 +800000f0: fff28293 addi t0,t0,-1 # 7fffffff <_end+0xffffdecf> +800000f4: 3b029073 csrw pmpaddr0,t0 +800000f8: 01f00293 li t0,31 +800000fc: 3a029073 csrw pmpcfg0,t0 +80000100: 30405073 csrwi mie,0 +80000104: 00000297 auipc t0,0x0 +80000108: 01428293 addi t0,t0,20 # 80000118 +8000010c: 30529073 csrw mtvec,t0 +80000110: 30205073 csrwi medeleg,0 +80000114: 30305073 csrwi mideleg,0 +80000118: 00000193 li gp,0 +8000011c: 00000297 auipc t0,0x0 +80000120: ee828293 addi t0,t0,-280 # 80000004 +80000124: 30529073 csrw mtvec,t0 +80000128: 00100513 li a0,1 +8000012c: 01f51513 slli a0,a0,0x1f +80000130: 00054c63 bltz a0,80000148 +80000134: 0ff0000f fence +80000138: 00100193 li gp,1 +8000013c: 05d00893 li a7,93 +80000140: 00000513 li a0,0 +80000144: 00000073 ecall +80000148: 80000297 auipc t0,0x80000 +8000014c: eb828293 addi t0,t0,-328 # 0 <_start-0x80000000> +80000150: 00028a63 beqz t0,80000164 +80000154: 10529073 csrw stvec,t0 +80000158: 0000b2b7 lui t0,0xb +8000015c: 10928293 addi t0,t0,265 # b109 <_start-0x7fff4ef7> +80000160: 30229073 csrw medeleg,t0 +80000164: 30005073 csrwi mstatus,0 +80000168: 00002537 lui a0,0x2 +8000016c: 30052073 csrs mstatus,a0 +80000170: 00305073 csrwi fcsr,0 +80000174: 00000297 auipc t0,0x0 +80000178: 01428293 addi t0,t0,20 # 80000188 +8000017c: 34129073 csrw mepc,t0 +80000180: f1402573 csrr a0,mhartid +80000184: 30200073 mret + +80000188 : +80000188: 00200193 li gp,2 +8000018c: 00002517 auipc a0,0x2 +80000190: e7450513 addi a0,a0,-396 # 80002000 +80000194: 00052007 flw ft0,0(a0) +80000198: 00452087 flw ft1,4(a0) +8000019c: 00852107 flw ft2,8(a0) +800001a0: 00c52683 lw a3,12(a0) +800001a4: c0001553 fcvt.w.s a0,ft0,rtz +800001a8: 001015f3 fsflags a1,zero +800001ac: 00100613 li a2,1 +800001b0: 3cd51463 bne a0,a3,80000578 +800001b4: 3cc59263 bne a1,a2,80000578 + +800001b8 : +800001b8: 00300193 li gp,3 +800001bc: 00002517 auipc a0,0x2 +800001c0: e5450513 addi a0,a0,-428 # 80002010 +800001c4: 00052007 flw ft0,0(a0) +800001c8: 00452087 flw ft1,4(a0) +800001cc: 00852107 flw ft2,8(a0) +800001d0: 00c52683 lw a3,12(a0) +800001d4: c0001553 fcvt.w.s a0,ft0,rtz +800001d8: 001015f3 fsflags a1,zero +800001dc: 00000613 li a2,0 +800001e0: 38d51c63 bne a0,a3,80000578 +800001e4: 38c59a63 bne a1,a2,80000578 + +800001e8 : +800001e8: 00400193 li gp,4 +800001ec: 00002517 auipc a0,0x2 +800001f0: e3450513 addi a0,a0,-460 # 80002020 +800001f4: 00052007 flw ft0,0(a0) +800001f8: 00452087 flw ft1,4(a0) +800001fc: 00852107 flw ft2,8(a0) +80000200: 00c52683 lw a3,12(a0) +80000204: c0001553 fcvt.w.s a0,ft0,rtz +80000208: 001015f3 fsflags a1,zero +8000020c: 00100613 li a2,1 +80000210: 36d51463 bne a0,a3,80000578 +80000214: 36c59263 bne a1,a2,80000578 + +80000218 : +80000218: 00500193 li gp,5 +8000021c: 00002517 auipc a0,0x2 +80000220: e1450513 addi a0,a0,-492 # 80002030 +80000224: 00052007 flw ft0,0(a0) +80000228: 00452087 flw ft1,4(a0) +8000022c: 00852107 flw ft2,8(a0) +80000230: 00c52683 lw a3,12(a0) +80000234: c0001553 fcvt.w.s a0,ft0,rtz +80000238: 001015f3 fsflags a1,zero +8000023c: 00100613 li a2,1 +80000240: 32d51c63 bne a0,a3,80000578 +80000244: 32c59a63 bne a1,a2,80000578 + +80000248 : +80000248: 00600193 li gp,6 +8000024c: 00002517 auipc a0,0x2 +80000250: df450513 addi a0,a0,-524 # 80002040 +80000254: 00052007 flw ft0,0(a0) +80000258: 00452087 flw ft1,4(a0) +8000025c: 00852107 flw ft2,8(a0) +80000260: 00c52683 lw a3,12(a0) +80000264: c0001553 fcvt.w.s a0,ft0,rtz +80000268: 001015f3 fsflags a1,zero +8000026c: 00000613 li a2,0 +80000270: 30d51463 bne a0,a3,80000578 +80000274: 30c59263 bne a1,a2,80000578 + +80000278 : +80000278: 00700193 li gp,7 +8000027c: 00002517 auipc a0,0x2 +80000280: dd450513 addi a0,a0,-556 # 80002050 +80000284: 00052007 flw ft0,0(a0) +80000288: 00452087 flw ft1,4(a0) +8000028c: 00852107 flw ft2,8(a0) +80000290: 00c52683 lw a3,12(a0) +80000294: c0001553 fcvt.w.s a0,ft0,rtz +80000298: 001015f3 fsflags a1,zero +8000029c: 00100613 li a2,1 +800002a0: 2cd51c63 bne a0,a3,80000578 +800002a4: 2cc59a63 bne a1,a2,80000578 + +800002a8 : +800002a8: 00800193 li gp,8 +800002ac: 00002517 auipc a0,0x2 +800002b0: db450513 addi a0,a0,-588 # 80002060 +800002b4: 00052007 flw ft0,0(a0) +800002b8: 00452087 flw ft1,4(a0) +800002bc: 00852107 flw ft2,8(a0) +800002c0: 00c52683 lw a3,12(a0) +800002c4: c0001553 fcvt.w.s a0,ft0,rtz +800002c8: 001015f3 fsflags a1,zero +800002cc: 01000613 li a2,16 +800002d0: 2ad51463 bne a0,a3,80000578 +800002d4: 2ac59263 bne a1,a2,80000578 + +800002d8 : +800002d8: 00900193 li gp,9 +800002dc: 00002517 auipc a0,0x2 +800002e0: d9450513 addi a0,a0,-620 # 80002070 +800002e4: 00052007 flw ft0,0(a0) +800002e8: 00452087 flw ft1,4(a0) +800002ec: 00852107 flw ft2,8(a0) +800002f0: 00c52683 lw a3,12(a0) +800002f4: c0001553 fcvt.w.s a0,ft0,rtz +800002f8: 001015f3 fsflags a1,zero +800002fc: 01000613 li a2,16 +80000300: 26d51c63 bne a0,a3,80000578 +80000304: 26c59a63 bne a1,a2,80000578 + +80000308 : +80000308: 00c00193 li gp,12 +8000030c: 00002517 auipc a0,0x2 +80000310: d7450513 addi a0,a0,-652 # 80002080 +80000314: 00052007 flw ft0,0(a0) +80000318: 00452087 flw ft1,4(a0) +8000031c: 00852107 flw ft2,8(a0) +80000320: 00c52683 lw a3,12(a0) +80000324: c0101553 fcvt.wu.s a0,ft0,rtz +80000328: 001015f3 fsflags a1,zero +8000032c: 01000613 li a2,16 +80000330: 24d51463 bne a0,a3,80000578 +80000334: 24c59263 bne a1,a2,80000578 + +80000338 : +80000338: 00d00193 li gp,13 +8000033c: 00002517 auipc a0,0x2 +80000340: d5450513 addi a0,a0,-684 # 80002090 +80000344: 00052007 flw ft0,0(a0) +80000348: 00452087 flw ft1,4(a0) +8000034c: 00852107 flw ft2,8(a0) +80000350: 00c52683 lw a3,12(a0) +80000354: c0101553 fcvt.wu.s a0,ft0,rtz +80000358: 001015f3 fsflags a1,zero +8000035c: 01000613 li a2,16 +80000360: 20d51c63 bne a0,a3,80000578 +80000364: 20c59a63 bne a1,a2,80000578 + +80000368 : +80000368: 00e00193 li gp,14 +8000036c: 00002517 auipc a0,0x2 +80000370: d3450513 addi a0,a0,-716 # 800020a0 +80000374: 00052007 flw ft0,0(a0) +80000378: 00452087 flw ft1,4(a0) +8000037c: 00852107 flw ft2,8(a0) +80000380: 00c52683 lw a3,12(a0) +80000384: c0101553 fcvt.wu.s a0,ft0,rtz +80000388: 001015f3 fsflags a1,zero +8000038c: 00100613 li a2,1 +80000390: 1ed51463 bne a0,a3,80000578 +80000394: 1ec59263 bne a1,a2,80000578 + +80000398 : +80000398: 00f00193 li gp,15 +8000039c: 00002517 auipc a0,0x2 +800003a0: d1450513 addi a0,a0,-748 # 800020b0 +800003a4: 00052007 flw ft0,0(a0) +800003a8: 00452087 flw ft1,4(a0) +800003ac: 00852107 flw ft2,8(a0) +800003b0: 00c52683 lw a3,12(a0) +800003b4: c0101553 fcvt.wu.s a0,ft0,rtz +800003b8: 001015f3 fsflags a1,zero +800003bc: 00100613 li a2,1 +800003c0: 1ad51c63 bne a0,a3,80000578 +800003c4: 1ac59a63 bne a1,a2,80000578 + +800003c8 : +800003c8: 01000193 li gp,16 +800003cc: 00002517 auipc a0,0x2 +800003d0: cf450513 addi a0,a0,-780 # 800020c0 +800003d4: 00052007 flw ft0,0(a0) +800003d8: 00452087 flw ft1,4(a0) +800003dc: 00852107 flw ft2,8(a0) +800003e0: 00c52683 lw a3,12(a0) +800003e4: c0101553 fcvt.wu.s a0,ft0,rtz +800003e8: 001015f3 fsflags a1,zero +800003ec: 00000613 li a2,0 +800003f0: 18d51463 bne a0,a3,80000578 +800003f4: 18c59263 bne a1,a2,80000578 + +800003f8 : +800003f8: 01100193 li gp,17 +800003fc: 00002517 auipc a0,0x2 +80000400: cd450513 addi a0,a0,-812 # 800020d0 +80000404: 00052007 flw ft0,0(a0) +80000408: 00452087 flw ft1,4(a0) +8000040c: 00852107 flw ft2,8(a0) +80000410: 00c52683 lw a3,12(a0) +80000414: c0101553 fcvt.wu.s a0,ft0,rtz +80000418: 001015f3 fsflags a1,zero +8000041c: 00100613 li a2,1 +80000420: 14d51c63 bne a0,a3,80000578 +80000424: 14c59a63 bne a1,a2,80000578 + +80000428 : +80000428: 01200193 li gp,18 +8000042c: 00002517 auipc a0,0x2 +80000430: cb450513 addi a0,a0,-844 # 800020e0 +80000434: 00052007 flw ft0,0(a0) +80000438: 00452087 flw ft1,4(a0) +8000043c: 00852107 flw ft2,8(a0) +80000440: 00c52683 lw a3,12(a0) +80000444: c0101553 fcvt.wu.s a0,ft0,rtz +80000448: 001015f3 fsflags a1,zero +8000044c: 01000613 li a2,16 +80000450: 12d51463 bne a0,a3,80000578 +80000454: 12c59263 bne a1,a2,80000578 + +80000458 : +80000458: 01300193 li gp,19 +8000045c: 00002517 auipc a0,0x2 +80000460: c9450513 addi a0,a0,-876 # 800020f0 +80000464: 00052007 flw ft0,0(a0) +80000468: 00452087 flw ft1,4(a0) +8000046c: 00852107 flw ft2,8(a0) +80000470: 00c52683 lw a3,12(a0) +80000474: c0101553 fcvt.wu.s a0,ft0,rtz +80000478: 001015f3 fsflags a1,zero +8000047c: 00000613 li a2,0 +80000480: 0ed51c63 bne a0,a3,80000578 +80000484: 0ec59a63 bne a1,a2,80000578 + +80000488 : +80000488: 00002097 auipc ra,0x2 +8000048c: c7808093 addi ra,ra,-904 # 80002100 +80000490: 0000a087 flw ft1,0(ra) +80000494: c000f0d3 fcvt.w.s ra,ft1 +80000498: 800003b7 lui t2,0x80000 +8000049c: fff38393 addi t2,t2,-1 # 7fffffff <_end+0xffffdecf> +800004a0: 02a00193 li gp,42 +800004a4: 0c709a63 bne ra,t2,80000578 + +800004a8 : +800004a8: 00002097 auipc ra,0x2 +800004ac: c5808093 addi ra,ra,-936 # 80002100 +800004b0: 0080a087 flw ft1,8(ra) +800004b4: c000f0d3 fcvt.w.s ra,ft1 +800004b8: 800003b7 lui t2,0x80000 +800004bc: 02c00193 li gp,44 +800004c0: 0a709c63 bne ra,t2,80000578 + +800004c4 : +800004c4: 00002097 auipc ra,0x2 +800004c8: c3c08093 addi ra,ra,-964 # 80002100 +800004cc: 0040a087 flw ft1,4(ra) +800004d0: c000f0d3 fcvt.w.s ra,ft1 +800004d4: 800003b7 lui t2,0x80000 +800004d8: fff38393 addi t2,t2,-1 # 7fffffff <_end+0xffffdecf> +800004dc: 03400193 li gp,52 +800004e0: 08709c63 bne ra,t2,80000578 + +800004e4 : +800004e4: 00002097 auipc ra,0x2 +800004e8: c1c08093 addi ra,ra,-996 # 80002100 +800004ec: 00c0a087 flw ft1,12(ra) +800004f0: c000f0d3 fcvt.w.s ra,ft1 +800004f4: 800003b7 lui t2,0x80000 +800004f8: fff38393 addi t2,t2,-1 # 7fffffff <_end+0xffffdecf> +800004fc: 03600193 li gp,54 +80000500: 06709c63 bne ra,t2,80000578 + +80000504 : +80000504: 00002097 auipc ra,0x2 +80000508: bfc08093 addi ra,ra,-1028 # 80002100 +8000050c: 0000a087 flw ft1,0(ra) +80000510: c010f0d3 fcvt.wu.s ra,ft1 +80000514: fff00393 li t2,-1 +80000518: 03e00193 li gp,62 +8000051c: 04709e63 bne ra,t2,80000578 + +80000520 : +80000520: 00002097 auipc ra,0x2 +80000524: be008093 addi ra,ra,-1056 # 80002100 +80000528: 0040a087 flw ft1,4(ra) +8000052c: c010f0d3 fcvt.wu.s ra,ft1 +80000530: fff00393 li t2,-1 +80000534: 03f00193 li gp,63 +80000538: 04709063 bne ra,t2,80000578 + +8000053c : +8000053c: 00002097 auipc ra,0x2 +80000540: bc408093 addi ra,ra,-1084 # 80002100 +80000544: 0080a087 flw ft1,8(ra) +80000548: c010f0d3 fcvt.wu.s ra,ft1 +8000054c: 00000393 li t2,0 +80000550: 04000193 li gp,64 +80000554: 02709263 bne ra,t2,80000578 + +80000558 : +80000558: 00002097 auipc ra,0x2 +8000055c: ba808093 addi ra,ra,-1112 # 80002100 +80000560: 00c0a087 flw ft1,12(ra) +80000564: c010f0d3 fcvt.wu.s ra,ft1 +80000568: fff00393 li t2,-1 +8000056c: 04100193 li gp,65 +80000570: 00709463 bne ra,t2,80000578 +80000574: 02301063 bne zero,gp,80000594 + +80000578 : +80000578: 0ff0000f fence +8000057c: 00018063 beqz gp,8000057c +80000580: 00119193 slli gp,gp,0x1 +80000584: 0011e193 ori gp,gp,1 +80000588: 05d00893 li a7,93 +8000058c: 00018513 mv a0,gp +80000590: 00000073 ecall + +80000594 : +80000594: 0ff0000f fence +80000598: 00100193 li gp,1 +8000059c: 05d00893 li a7,93 +800005a0: 00000513 li a0,0 +800005a4: 00000073 ecall +800005a8: c0001073 unimp +800005ac: 0000 unimp +800005ae: 0000 unimp +800005b0: 0000 unimp +800005b2: 0000 unimp +800005b4: 0000 unimp +800005b6: 0000 unimp +800005b8: 0000 unimp +800005ba: 0000 unimp +800005bc: 0000 unimp +800005be: 0000 unimp +800005c0: 0000 unimp +800005c2: 0000 unimp + +Disassembly of section .data: + +80002000 : +80002000: cccd beqz s1,800020ba +80002002: bf8c fsd fa1,56(a5) +80002004: 0000 unimp +80002006: 0000 unimp +80002008: 0000 unimp +8000200a: 0000 unimp +8000200c: ffff 0xffff +8000200e: ffff 0xffff + +80002010 : +80002010: 0000 unimp +80002012: bf80 fsd fs0,56(a5) +80002014: 0000 unimp +80002016: 0000 unimp +80002018: 0000 unimp +8000201a: 0000 unimp +8000201c: ffff 0xffff +8000201e: ffff 0xffff + +80002020 : +80002020: 6666 flw fa2,88(sp) +80002022: bf66 fsd fs9,440(sp) +80002024: 0000 unimp +80002026: 0000 unimp +80002028: 0000 unimp +8000202a: 0000 unimp +8000202c: 0000 unimp +8000202e: 0000 unimp + +80002030 : +80002030: 6666 flw fa2,88(sp) +80002032: 3f66 fld ft10,120(sp) +80002034: 0000 unimp +80002036: 0000 unimp +80002038: 0000 unimp +8000203a: 0000 unimp +8000203c: 0000 unimp +8000203e: 0000 unimp + +80002040 : +80002040: 0000 unimp +80002042: 3f80 fld fs0,56(a5) +80002044: 0000 unimp +80002046: 0000 unimp +80002048: 0000 unimp +8000204a: 0000 unimp +8000204c: 0001 nop +8000204e: 0000 unimp + +80002050 : +80002050: cccd beqz s1,8000210a +80002052: 3f8c fld fa1,56(a5) +80002054: 0000 unimp +80002056: 0000 unimp +80002058: 0000 unimp +8000205a: 0000 unimp +8000205c: 0001 nop +8000205e: 0000 unimp + +80002060 : +80002060: d05e sw s7,32(sp) +80002062: cf32 sw a2,156(sp) +80002064: 0000 unimp +80002066: 0000 unimp +80002068: 0000 unimp +8000206a: 0000 unimp +8000206c: 0000 unimp +8000206e: 8000 0x8000 + +80002070 : +80002070: d05e sw s7,32(sp) +80002072: 4f32 lw t5,12(sp) +80002074: 0000 unimp +80002076: 0000 unimp +80002078: 0000 unimp +8000207a: 0000 unimp +8000207c: ffff 0xffff +8000207e: 7fff 0x7fff + +80002080 : +80002080: 0000 unimp +80002082: c040 sw s0,4(s0) +80002084: 0000 unimp +80002086: 0000 unimp +80002088: 0000 unimp +8000208a: 0000 unimp +8000208c: 0000 unimp +8000208e: 0000 unimp + +80002090 : +80002090: 0000 unimp +80002092: bf80 fsd fs0,56(a5) +80002094: 0000 unimp +80002096: 0000 unimp +80002098: 0000 unimp +8000209a: 0000 unimp +8000209c: 0000 unimp +8000209e: 0000 unimp + +800020a0 : +800020a0: 6666 flw fa2,88(sp) +800020a2: bf66 fsd fs9,440(sp) +800020a4: 0000 unimp +800020a6: 0000 unimp +800020a8: 0000 unimp +800020aa: 0000 unimp +800020ac: 0000 unimp +800020ae: 0000 unimp + +800020b0 : +800020b0: 6666 flw fa2,88(sp) +800020b2: 3f66 fld ft10,120(sp) +800020b4: 0000 unimp +800020b6: 0000 unimp +800020b8: 0000 unimp +800020ba: 0000 unimp +800020bc: 0000 unimp +800020be: 0000 unimp + +800020c0 : +800020c0: 0000 unimp +800020c2: 3f80 fld fs0,56(a5) +800020c4: 0000 unimp +800020c6: 0000 unimp +800020c8: 0000 unimp +800020ca: 0000 unimp +800020cc: 0001 nop +800020ce: 0000 unimp + +800020d0 : +800020d0: cccd beqz s1,8000218a <_end+0x5a> +800020d2: 3f8c fld fa1,56(a5) +800020d4: 0000 unimp +800020d6: 0000 unimp +800020d8: 0000 unimp +800020da: 0000 unimp +800020dc: 0001 nop +800020de: 0000 unimp + +800020e0 : +800020e0: d05e sw s7,32(sp) +800020e2: cf32 sw a2,156(sp) +800020e4: 0000 unimp +800020e6: 0000 unimp +800020e8: 0000 unimp +800020ea: 0000 unimp +800020ec: 0000 unimp +800020ee: 0000 unimp + +800020f0 : +800020f0: d05e sw s7,32(sp) +800020f2: 4f32 lw t5,12(sp) +800020f4: 0000 unimp +800020f6: 0000 unimp +800020f8: 0000 unimp +800020fa: 0000 unimp +800020fc: 5e00 lw s0,56(a2) +800020fe: b2d0 fsd fa2,160(a3) + +80002100 : +80002100: ffff 0xffff +80002102: ffff 0xffff +80002104: ffff 0xffff +80002106: 7fff 0x7fff +80002108: 0000 unimp +8000210a: ff80 fsw fs0,56(a5) +8000210c: 0000 unimp +8000210e: 7f80 flw fs0,56(a5) + +80002110 : +80002110: ffff 0xffff +80002112: ffff 0xffff +80002114: ffff 0xffff +80002116: ffff 0xffff +80002118: ffff 0xffff +8000211a: ffff 0xffff +8000211c: ffff 0xffff +8000211e: 7fff 0x7fff +80002120: 0000 unimp +80002122: 0000 unimp +80002124: 0000 unimp +80002126: fff0 fsw fa2,124(a5) +80002128: 0000 unimp +8000212a: 0000 unimp +8000212c: 0000 unimp +8000212e: 7ff0 flw fa2,124(a5) diff --git a/VexRiscv/src/test/resources/asm/rv32ud-p-fdiv.dump b/VexRiscv/src/test/resources/asm/rv32ud-p-fdiv.dump new file mode 100644 index 0000000..71c3cf4 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ud-p-fdiv.dump @@ -0,0 +1,435 @@ + +rv32ud-p-fdiv: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 5391e193 ori gp,gp,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fc3f2023 sw gp,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: 00000093 li ra,0 +80000050: 00000113 li sp,0 +80000054: 00000193 li gp,0 +80000058: 00000213 li tp,0 +8000005c: 00000293 li t0,0 +80000060: 00000313 li t1,0 +80000064: 00000393 li t2,0 +80000068: 00000413 li s0,0 +8000006c: 00000493 li s1,0 +80000070: 00000513 li a0,0 +80000074: 00000593 li a1,0 +80000078: 00000613 li a2,0 +8000007c: 00000693 li a3,0 +80000080: 00000713 li a4,0 +80000084: 00000793 li a5,0 +80000088: 00000813 li a6,0 +8000008c: 00000893 li a7,0 +80000090: 00000913 li s2,0 +80000094: 00000993 li s3,0 +80000098: 00000a13 li s4,0 +8000009c: 00000a93 li s5,0 +800000a0: 00000b13 li s6,0 +800000a4: 00000b93 li s7,0 +800000a8: 00000c13 li s8,0 +800000ac: 00000c93 li s9,0 +800000b0: 00000d13 li s10,0 +800000b4: 00000d93 li s11,0 +800000b8: 00000e13 li t3,0 +800000bc: 00000e93 li t4,0 +800000c0: 00000f13 li t5,0 +800000c4: 00000f93 li t6,0 +800000c8: f1402573 csrr a0,mhartid +800000cc: 00051063 bnez a0,800000cc +800000d0: 00000297 auipc t0,0x0 +800000d4: 01028293 addi t0,t0,16 # 800000e0 +800000d8: 30529073 csrw mtvec,t0 +800000dc: 18005073 csrwi satp,0 +800000e0: 00000297 auipc t0,0x0 +800000e4: 02028293 addi t0,t0,32 # 80000100 +800000e8: 30529073 csrw mtvec,t0 +800000ec: 800002b7 lui t0,0x80000 +800000f0: fff28293 addi t0,t0,-1 # 7fffffff <_end+0xffffdeff> +800000f4: 3b029073 csrw pmpaddr0,t0 +800000f8: 01f00293 li t0,31 +800000fc: 3a029073 csrw pmpcfg0,t0 +80000100: 30405073 csrwi mie,0 +80000104: 00000297 auipc t0,0x0 +80000108: 01428293 addi t0,t0,20 # 80000118 +8000010c: 30529073 csrw mtvec,t0 +80000110: 30205073 csrwi medeleg,0 +80000114: 30305073 csrwi mideleg,0 +80000118: 00000193 li gp,0 +8000011c: 00000297 auipc t0,0x0 +80000120: ee828293 addi t0,t0,-280 # 80000004 +80000124: 30529073 csrw mtvec,t0 +80000128: 00100513 li a0,1 +8000012c: 01f51513 slli a0,a0,0x1f +80000130: 00054c63 bltz a0,80000148 +80000134: 0ff0000f fence +80000138: 00100193 li gp,1 +8000013c: 05d00893 li a7,93 +80000140: 00000513 li a0,0 +80000144: 00000073 ecall +80000148: 80000297 auipc t0,0x80000 +8000014c: eb828293 addi t0,t0,-328 # 0 <_start-0x80000000> +80000150: 00028a63 beqz t0,80000164 +80000154: 10529073 csrw stvec,t0 +80000158: 0000b2b7 lui t0,0xb +8000015c: 10928293 addi t0,t0,265 # b109 <_start-0x7fff4ef7> +80000160: 30229073 csrw medeleg,t0 +80000164: 30005073 csrwi mstatus,0 +80000168: 00002537 lui a0,0x2 +8000016c: 30052073 csrs mstatus,a0 +80000170: 00305073 csrwi fcsr,0 +80000174: 00000297 auipc t0,0x0 +80000178: 01428293 addi t0,t0,20 # 80000188 +8000017c: 34129073 csrw mepc,t0 +80000180: f1402573 csrr a0,mhartid +80000184: 30200073 mret + +80000188 : +80000188: 00200193 li gp,2 +8000018c: 00002517 auipc a0,0x2 +80000190: e7450513 addi a0,a0,-396 # 80002000 +80000194: 00053007 fld ft0,0(a0) +80000198: 00853087 fld ft1,8(a0) +8000019c: 01053107 fld ft2,16(a0) +800001a0: 01852683 lw a3,24(a0) +800001a4: 01c52303 lw t1,28(a0) +800001a8: 1a1071d3 fdiv.d ft3,ft0,ft1 +800001ac: 00353027 fsd ft3,0(a0) +800001b0: 00452383 lw t2,4(a0) +800001b4: 00052503 lw a0,0(a0) +800001b8: 001015f3 fsflags a1,zero +800001bc: 00100613 li a2,1 +800001c0: 1ed51663 bne a0,a3,800003ac +800001c4: 1e731463 bne t1,t2,800003ac +800001c8: 1ec59263 bne a1,a2,800003ac + +800001cc : +800001cc: 00300193 li gp,3 +800001d0: 00002517 auipc a0,0x2 +800001d4: e5050513 addi a0,a0,-432 # 80002020 +800001d8: 00053007 fld ft0,0(a0) +800001dc: 00853087 fld ft1,8(a0) +800001e0: 01053107 fld ft2,16(a0) +800001e4: 01852683 lw a3,24(a0) +800001e8: 01c52303 lw t1,28(a0) +800001ec: 1a1071d3 fdiv.d ft3,ft0,ft1 +800001f0: 00353027 fsd ft3,0(a0) +800001f4: 00452383 lw t2,4(a0) +800001f8: 00052503 lw a0,0(a0) +800001fc: 001015f3 fsflags a1,zero +80000200: 00100613 li a2,1 +80000204: 1ad51463 bne a0,a3,800003ac +80000208: 1a731263 bne t1,t2,800003ac +8000020c: 1ac59063 bne a1,a2,800003ac + +80000210 : +80000210: 00400193 li gp,4 +80000214: 00002517 auipc a0,0x2 +80000218: e2c50513 addi a0,a0,-468 # 80002040 +8000021c: 00053007 fld ft0,0(a0) +80000220: 00853087 fld ft1,8(a0) +80000224: 01053107 fld ft2,16(a0) +80000228: 01852683 lw a3,24(a0) +8000022c: 01c52303 lw t1,28(a0) +80000230: 1a1071d3 fdiv.d ft3,ft0,ft1 +80000234: 00353027 fsd ft3,0(a0) +80000238: 00452383 lw t2,4(a0) +8000023c: 00052503 lw a0,0(a0) +80000240: 001015f3 fsflags a1,zero +80000244: 00000613 li a2,0 +80000248: 16d51263 bne a0,a3,800003ac +8000024c: 16731063 bne t1,t2,800003ac +80000250: 14c59e63 bne a1,a2,800003ac + +80000254 : +80000254: 00500193 li gp,5 +80000258: 00002517 auipc a0,0x2 +8000025c: e0850513 addi a0,a0,-504 # 80002060 +80000260: 00053007 fld ft0,0(a0) +80000264: 00853087 fld ft1,8(a0) +80000268: 01053107 fld ft2,16(a0) +8000026c: 01852683 lw a3,24(a0) +80000270: 01c52303 lw t1,28(a0) +80000274: 5a0071d3 fsqrt.d ft3,ft0 +80000278: 00353027 fsd ft3,0(a0) +8000027c: 00452383 lw t2,4(a0) +80000280: 00052503 lw a0,0(a0) +80000284: 001015f3 fsflags a1,zero +80000288: 00100613 li a2,1 +8000028c: 12d51063 bne a0,a3,800003ac +80000290: 10731e63 bne t1,t2,800003ac +80000294: 10c59c63 bne a1,a2,800003ac + +80000298 : +80000298: 00600193 li gp,6 +8000029c: 00002517 auipc a0,0x2 +800002a0: de450513 addi a0,a0,-540 # 80002080 +800002a4: 00053007 fld ft0,0(a0) +800002a8: 00853087 fld ft1,8(a0) +800002ac: 01053107 fld ft2,16(a0) +800002b0: 01852683 lw a3,24(a0) +800002b4: 01c52303 lw t1,28(a0) +800002b8: 5a0071d3 fsqrt.d ft3,ft0 +800002bc: 00353027 fsd ft3,0(a0) +800002c0: 00452383 lw t2,4(a0) +800002c4: 00052503 lw a0,0(a0) +800002c8: 001015f3 fsflags a1,zero +800002cc: 00000613 li a2,0 +800002d0: 0cd51e63 bne a0,a3,800003ac +800002d4: 0c731c63 bne t1,t2,800003ac +800002d8: 0cc59a63 bne a1,a2,800003ac + +800002dc : +800002dc: 01000193 li gp,16 +800002e0: 00002517 auipc a0,0x2 +800002e4: dc050513 addi a0,a0,-576 # 800020a0 +800002e8: 00053007 fld ft0,0(a0) +800002ec: 00853087 fld ft1,8(a0) +800002f0: 01053107 fld ft2,16(a0) +800002f4: 01852683 lw a3,24(a0) +800002f8: 01c52303 lw t1,28(a0) +800002fc: 5a0071d3 fsqrt.d ft3,ft0 +80000300: 00353027 fsd ft3,0(a0) +80000304: 00452383 lw t2,4(a0) +80000308: 00052503 lw a0,0(a0) +8000030c: 001015f3 fsflags a1,zero +80000310: 01000613 li a2,16 +80000314: 08d51c63 bne a0,a3,800003ac +80000318: 08731a63 bne t1,t2,800003ac +8000031c: 08c59863 bne a1,a2,800003ac + +80000320 : +80000320: 00700193 li gp,7 +80000324: 00002517 auipc a0,0x2 +80000328: d9c50513 addi a0,a0,-612 # 800020c0 +8000032c: 00053007 fld ft0,0(a0) +80000330: 00853087 fld ft1,8(a0) +80000334: 01053107 fld ft2,16(a0) +80000338: 01852683 lw a3,24(a0) +8000033c: 01c52303 lw t1,28(a0) +80000340: 5a0071d3 fsqrt.d ft3,ft0 +80000344: 00353027 fsd ft3,0(a0) +80000348: 00452383 lw t2,4(a0) +8000034c: 00052503 lw a0,0(a0) +80000350: 001015f3 fsflags a1,zero +80000354: 00100613 li a2,1 +80000358: 04d51a63 bne a0,a3,800003ac +8000035c: 04731863 bne t1,t2,800003ac +80000360: 04c59663 bne a1,a2,800003ac + +80000364 : +80000364: 00800193 li gp,8 +80000368: 00002517 auipc a0,0x2 +8000036c: d7850513 addi a0,a0,-648 # 800020e0 +80000370: 00053007 fld ft0,0(a0) +80000374: 00853087 fld ft1,8(a0) +80000378: 01053107 fld ft2,16(a0) +8000037c: 01852683 lw a3,24(a0) +80000380: 01c52303 lw t1,28(a0) +80000384: 5a0071d3 fsqrt.d ft3,ft0 +80000388: 00353027 fsd ft3,0(a0) +8000038c: 00452383 lw t2,4(a0) +80000390: 00052503 lw a0,0(a0) +80000394: 001015f3 fsflags a1,zero +80000398: 00100613 li a2,1 +8000039c: 00d51863 bne a0,a3,800003ac +800003a0: 00731663 bne t1,t2,800003ac +800003a4: 00c59463 bne a1,a2,800003ac +800003a8: 02301063 bne zero,gp,800003c8 + +800003ac : +800003ac: 0ff0000f fence +800003b0: 00018063 beqz gp,800003b0 +800003b4: 00119193 slli gp,gp,0x1 +800003b8: 0011e193 ori gp,gp,1 +800003bc: 05d00893 li a7,93 +800003c0: 00018513 mv a0,gp +800003c4: 00000073 ecall + +800003c8 : +800003c8: 0ff0000f fence +800003cc: 00100193 li gp,1 +800003d0: 05d00893 li a7,93 +800003d4: 00000513 li a0,0 +800003d8: 00000073 ecall +800003dc: c0001073 unimp +800003e0: 0000 unimp +800003e2: 0000 unimp +800003e4: 0000 unimp +800003e6: 0000 unimp +800003e8: 0000 unimp +800003ea: 0000 unimp +800003ec: 0000 unimp +800003ee: 0000 unimp +800003f0: 0000 unimp +800003f2: 0000 unimp +800003f4: 0000 unimp +800003f6: 0000 unimp +800003f8: 0000 unimp +800003fa: 0000 unimp +800003fc: 0000 unimp +800003fe: 0000 unimp +80000400: 0000 unimp +80000402: 0000 unimp + +Disassembly of section .data: + +80002000 : +80002000: d4f1 beqz s1,80001fcc +80002002: 53c8 lw a0,36(a5) +80002004: 400921fb 0x400921fb +80002008: b0dd j 800018ee +8000200a: 89f1 andi a1,a1,28 +8000200c: bf0a fsd ft2,440(sp) +8000200e: 4005 c.li zero,1 +80002010: 0000 unimp +80002012: 0000 unimp +80002014: 0000 unimp +80002016: 0000 unimp +80002018: 83ec 0x83ec +8000201a: 7ddbf6c3 0x7ddbf6c3 +8000201e: 3ff2 fld ft11,312(sp) + +80002020 : +80002020: 0000 unimp +80002022: 0000 unimp +80002024: 4800 lw s0,16(s0) +80002026: 6666c093 xori ra,a3,1638 +8000202a: 6666 flw fa2,88(sp) +8000202c: 4c66 lw s8,88(sp) +8000202e: 00004093 xori ra,zero,0 +80002032: 0000 unimp +80002034: 0000 unimp +80002036: 0000 unimp +80002038: 29a5 jal 800024b0 <_end+0x3b0> +8000203a: 3e19 jal 80001b50 +8000203c: f8b4 fsw fa3,112(s1) +8000203e: jal t6,7ff1dd8c <_start-0xe2274> + +80002040 : +80002040: d4f1 beqz s1,8000200c +80002042: 53c8 lw a0,36(a5) +80002044: 400921fb 0x400921fb +80002048: 0000 unimp +8000204a: 0000 unimp +8000204c: 0000 unimp +8000204e: 3ff0 fld fa2,248(a5) +80002050: 0000 unimp +80002052: 0000 unimp +80002054: 0000 unimp +80002056: 0000 unimp +80002058: d4f1 beqz s1,80002024 +8000205a: 53c8 lw a0,36(a5) +8000205c: 400921fb 0x400921fb + +80002060 : +80002060: d4f1 beqz s1,8000202c +80002062: 53c8 lw a0,36(a5) +80002064: 400921fb 0x400921fb +80002068: 0000 unimp +8000206a: 0000 unimp +8000206c: 0000 unimp +8000206e: 0000 unimp +80002070: 0000 unimp +80002072: 0000 unimp +80002074: 0000 unimp +80002076: 0000 unimp +80002078: 916f587b 0x916f587b +8000207c: 5bf8 lw a4,116(a5) +8000207e: 3ffc fld fa5,248(a5) + +80002080 : +80002080: 0000 unimp +80002082: 0000 unimp +80002084: 8800 0x8800 +80002086: 000040c3 fmadd.s ft1,ft0,ft0,ft0,rmm +8000208a: 0000 unimp +8000208c: 0000 unimp +8000208e: 0000 unimp +80002090: 0000 unimp +80002092: 0000 unimp +80002094: 0000 unimp +80002096: 0000 unimp +80002098: 0000 unimp +8000209a: 0000 unimp +8000209c: 0000 unimp +8000209e: 4059 c.li zero,22 + +800020a0 : +800020a0: 0000 unimp +800020a2: 0000 unimp +800020a4: 0000 unimp +800020a6: bff0 fsd fa2,248(a5) +800020a8: 0000 unimp +800020aa: 0000 unimp +800020ac: 0000 unimp +800020ae: 0000 unimp +800020b0: 0000 unimp +800020b2: 0000 unimp +800020b4: 0000 unimp +800020b6: 0000 unimp +800020b8: 0000 unimp +800020ba: 0000 unimp +800020bc: 0000 unimp +800020be: 7ff8 flw fa4,124(a5) + +800020c0 : +800020c0: 0000 unimp +800020c2: 0000 unimp +800020c4: 6000 flw fs0,0(s0) +800020c6: 4065 c.li zero,25 +800020c8: 0000 unimp +800020ca: 0000 unimp +800020cc: 0000 unimp +800020ce: 0000 unimp +800020d0: 0000 unimp +800020d2: 0000 unimp +800020d4: 0000 unimp +800020d6: 0000 unimp +800020d8: 74f5 lui s1,0xffffd +800020da: ce96 sw t0,92(sp) +800020dc: 2744 fld fs1,136(a4) +800020de: 402a 0x402a + +800020e0 : +800020e0: a105 j 80002500 <_end+0x400> +800020e2: c70a sw sp,140(sp) +800020e4: 94df 3e85 0000 0x3e8594df +800020ea: 0000 unimp +800020ec: 0000 unimp +800020ee: 0000 unimp +800020f0: 0000 unimp +800020f2: 0000 unimp +800020f4: 0000 unimp +800020f6: 0000 unimp +800020f8: 7f99 lui t6,0xfffe6 +800020fa: 4789c0e3 blt s3,s8,80002d5a <_end+0xc5a> +800020fe: 3f3a fld ft10,424(sp) diff --git a/VexRiscv/src/test/resources/asm/rv32ud-p-fmadd.dump b/VexRiscv/src/test/resources/asm/rv32ud-p-fmadd.dump new file mode 100644 index 0000000..7bf600a --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ud-p-fmadd.dump @@ -0,0 +1,583 @@ + +rv32ud-p-fmadd: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 5391e193 ori gp,gp,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fc3f2023 sw gp,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: 00000093 li ra,0 +80000050: 00000113 li sp,0 +80000054: 00000193 li gp,0 +80000058: 00000213 li tp,0 +8000005c: 00000293 li t0,0 +80000060: 00000313 li t1,0 +80000064: 00000393 li t2,0 +80000068: 00000413 li s0,0 +8000006c: 00000493 li s1,0 +80000070: 00000513 li a0,0 +80000074: 00000593 li a1,0 +80000078: 00000613 li a2,0 +8000007c: 00000693 li a3,0 +80000080: 00000713 li a4,0 +80000084: 00000793 li a5,0 +80000088: 00000813 li a6,0 +8000008c: 00000893 li a7,0 +80000090: 00000913 li s2,0 +80000094: 00000993 li s3,0 +80000098: 00000a13 li s4,0 +8000009c: 00000a93 li s5,0 +800000a0: 00000b13 li s6,0 +800000a4: 00000b93 li s7,0 +800000a8: 00000c13 li s8,0 +800000ac: 00000c93 li s9,0 +800000b0: 00000d13 li s10,0 +800000b4: 00000d93 li s11,0 +800000b8: 00000e13 li t3,0 +800000bc: 00000e93 li t4,0 +800000c0: 00000f13 li t5,0 +800000c4: 00000f93 li t6,0 +800000c8: f1402573 csrr a0,mhartid +800000cc: 00051063 bnez a0,800000cc +800000d0: 00000297 auipc t0,0x0 +800000d4: 01028293 addi t0,t0,16 # 800000e0 +800000d8: 30529073 csrw mtvec,t0 +800000dc: 18005073 csrwi satp,0 +800000e0: 00000297 auipc t0,0x0 +800000e4: 02028293 addi t0,t0,32 # 80000100 +800000e8: 30529073 csrw mtvec,t0 +800000ec: 800002b7 lui t0,0x80000 +800000f0: fff28293 addi t0,t0,-1 # 7fffffff <_end+0xffffde7f> +800000f4: 3b029073 csrw pmpaddr0,t0 +800000f8: 01f00293 li t0,31 +800000fc: 3a029073 csrw pmpcfg0,t0 +80000100: 30405073 csrwi mie,0 +80000104: 00000297 auipc t0,0x0 +80000108: 01428293 addi t0,t0,20 # 80000118 +8000010c: 30529073 csrw mtvec,t0 +80000110: 30205073 csrwi medeleg,0 +80000114: 30305073 csrwi mideleg,0 +80000118: 00000193 li gp,0 +8000011c: 00000297 auipc t0,0x0 +80000120: ee828293 addi t0,t0,-280 # 80000004 +80000124: 30529073 csrw mtvec,t0 +80000128: 00100513 li a0,1 +8000012c: 01f51513 slli a0,a0,0x1f +80000130: 00054c63 bltz a0,80000148 +80000134: 0ff0000f fence +80000138: 00100193 li gp,1 +8000013c: 05d00893 li a7,93 +80000140: 00000513 li a0,0 +80000144: 00000073 ecall +80000148: 80000297 auipc t0,0x80000 +8000014c: eb828293 addi t0,t0,-328 # 0 <_start-0x80000000> +80000150: 00028a63 beqz t0,80000164 +80000154: 10529073 csrw stvec,t0 +80000158: 0000b2b7 lui t0,0xb +8000015c: 10928293 addi t0,t0,265 # b109 <_start-0x7fff4ef7> +80000160: 30229073 csrw medeleg,t0 +80000164: 30005073 csrwi mstatus,0 +80000168: 00002537 lui a0,0x2 +8000016c: 30052073 csrs mstatus,a0 +80000170: 00305073 csrwi fcsr,0 +80000174: 00000297 auipc t0,0x0 +80000178: 01428293 addi t0,t0,20 # 80000188 +8000017c: 34129073 csrw mepc,t0 +80000180: f1402573 csrr a0,mhartid +80000184: 30200073 mret + +80000188 : +80000188: 00200193 li gp,2 +8000018c: 00002517 auipc a0,0x2 +80000190: e7450513 addi a0,a0,-396 # 80002000 +80000194: 00053007 fld ft0,0(a0) +80000198: 00853087 fld ft1,8(a0) +8000019c: 01053107 fld ft2,16(a0) +800001a0: 01852683 lw a3,24(a0) +800001a4: 01c52303 lw t1,28(a0) +800001a8: 121071c3 fmadd.d ft3,ft0,ft1,ft2 +800001ac: 00353027 fsd ft3,0(a0) +800001b0: 00452383 lw t2,4(a0) +800001b4: 00052503 lw a0,0(a0) +800001b8: 001015f3 fsflags a1,zero +800001bc: 00000613 li a2,0 +800001c0: 2ed51e63 bne a0,a3,800004bc +800001c4: 2e731c63 bne t1,t2,800004bc +800001c8: 2ec59a63 bne a1,a2,800004bc + +800001cc : +800001cc: 00300193 li gp,3 +800001d0: 00002517 auipc a0,0x2 +800001d4: e5050513 addi a0,a0,-432 # 80002020 +800001d8: 00053007 fld ft0,0(a0) +800001dc: 00853087 fld ft1,8(a0) +800001e0: 01053107 fld ft2,16(a0) +800001e4: 01852683 lw a3,24(a0) +800001e8: 01c52303 lw t1,28(a0) +800001ec: 121071c3 fmadd.d ft3,ft0,ft1,ft2 +800001f0: 00353027 fsd ft3,0(a0) +800001f4: 00452383 lw t2,4(a0) +800001f8: 00052503 lw a0,0(a0) +800001fc: 001015f3 fsflags a1,zero +80000200: 00100613 li a2,1 +80000204: 2ad51c63 bne a0,a3,800004bc +80000208: 2a731a63 bne t1,t2,800004bc +8000020c: 2ac59863 bne a1,a2,800004bc + +80000210 : +80000210: 00400193 li gp,4 +80000214: 00002517 auipc a0,0x2 +80000218: e2c50513 addi a0,a0,-468 # 80002040 +8000021c: 00053007 fld ft0,0(a0) +80000220: 00853087 fld ft1,8(a0) +80000224: 01053107 fld ft2,16(a0) +80000228: 01852683 lw a3,24(a0) +8000022c: 01c52303 lw t1,28(a0) +80000230: 121071c3 fmadd.d ft3,ft0,ft1,ft2 +80000234: 00353027 fsd ft3,0(a0) +80000238: 00452383 lw t2,4(a0) +8000023c: 00052503 lw a0,0(a0) +80000240: 001015f3 fsflags a1,zero +80000244: 00000613 li a2,0 +80000248: 26d51a63 bne a0,a3,800004bc +8000024c: 26731863 bne t1,t2,800004bc +80000250: 26c59663 bne a1,a2,800004bc + +80000254 : +80000254: 00500193 li gp,5 +80000258: 00002517 auipc a0,0x2 +8000025c: e0850513 addi a0,a0,-504 # 80002060 +80000260: 00053007 fld ft0,0(a0) +80000264: 00853087 fld ft1,8(a0) +80000268: 01053107 fld ft2,16(a0) +8000026c: 01852683 lw a3,24(a0) +80000270: 01c52303 lw t1,28(a0) +80000274: 121071cf fnmadd.d ft3,ft0,ft1,ft2 +80000278: 00353027 fsd ft3,0(a0) +8000027c: 00452383 lw t2,4(a0) +80000280: 00052503 lw a0,0(a0) +80000284: 001015f3 fsflags a1,zero +80000288: 00000613 li a2,0 +8000028c: 22d51863 bne a0,a3,800004bc +80000290: 22731663 bne t1,t2,800004bc +80000294: 22c59463 bne a1,a2,800004bc + +80000298 : +80000298: 00600193 li gp,6 +8000029c: 00002517 auipc a0,0x2 +800002a0: de450513 addi a0,a0,-540 # 80002080 +800002a4: 00053007 fld ft0,0(a0) +800002a8: 00853087 fld ft1,8(a0) +800002ac: 01053107 fld ft2,16(a0) +800002b0: 01852683 lw a3,24(a0) +800002b4: 01c52303 lw t1,28(a0) +800002b8: 121071cf fnmadd.d ft3,ft0,ft1,ft2 +800002bc: 00353027 fsd ft3,0(a0) +800002c0: 00452383 lw t2,4(a0) +800002c4: 00052503 lw a0,0(a0) +800002c8: 001015f3 fsflags a1,zero +800002cc: 00100613 li a2,1 +800002d0: 1ed51663 bne a0,a3,800004bc +800002d4: 1e731463 bne t1,t2,800004bc +800002d8: 1ec59263 bne a1,a2,800004bc + +800002dc : +800002dc: 00700193 li gp,7 +800002e0: 00002517 auipc a0,0x2 +800002e4: dc050513 addi a0,a0,-576 # 800020a0 +800002e8: 00053007 fld ft0,0(a0) +800002ec: 00853087 fld ft1,8(a0) +800002f0: 01053107 fld ft2,16(a0) +800002f4: 01852683 lw a3,24(a0) +800002f8: 01c52303 lw t1,28(a0) +800002fc: 121071cf fnmadd.d ft3,ft0,ft1,ft2 +80000300: 00353027 fsd ft3,0(a0) +80000304: 00452383 lw t2,4(a0) +80000308: 00052503 lw a0,0(a0) +8000030c: 001015f3 fsflags a1,zero +80000310: 00000613 li a2,0 +80000314: 1ad51463 bne a0,a3,800004bc +80000318: 1a731263 bne t1,t2,800004bc +8000031c: 1ac59063 bne a1,a2,800004bc + +80000320 : +80000320: 00800193 li gp,8 +80000324: 00002517 auipc a0,0x2 +80000328: d9c50513 addi a0,a0,-612 # 800020c0 +8000032c: 00053007 fld ft0,0(a0) +80000330: 00853087 fld ft1,8(a0) +80000334: 01053107 fld ft2,16(a0) +80000338: 01852683 lw a3,24(a0) +8000033c: 01c52303 lw t1,28(a0) +80000340: 121071c7 fmsub.d ft3,ft0,ft1,ft2 +80000344: 00353027 fsd ft3,0(a0) +80000348: 00452383 lw t2,4(a0) +8000034c: 00052503 lw a0,0(a0) +80000350: 001015f3 fsflags a1,zero +80000354: 00000613 li a2,0 +80000358: 16d51263 bne a0,a3,800004bc +8000035c: 16731063 bne t1,t2,800004bc +80000360: 14c59e63 bne a1,a2,800004bc + +80000364 : +80000364: 00900193 li gp,9 +80000368: 00002517 auipc a0,0x2 +8000036c: d7850513 addi a0,a0,-648 # 800020e0 +80000370: 00053007 fld ft0,0(a0) +80000374: 00853087 fld ft1,8(a0) +80000378: 01053107 fld ft2,16(a0) +8000037c: 01852683 lw a3,24(a0) +80000380: 01c52303 lw t1,28(a0) +80000384: 121071c7 fmsub.d ft3,ft0,ft1,ft2 +80000388: 00353027 fsd ft3,0(a0) +8000038c: 00452383 lw t2,4(a0) +80000390: 00052503 lw a0,0(a0) +80000394: 001015f3 fsflags a1,zero +80000398: 00100613 li a2,1 +8000039c: 12d51063 bne a0,a3,800004bc +800003a0: 10731e63 bne t1,t2,800004bc +800003a4: 10c59c63 bne a1,a2,800004bc + +800003a8 : +800003a8: 00a00193 li gp,10 +800003ac: 00002517 auipc a0,0x2 +800003b0: d5450513 addi a0,a0,-684 # 80002100 +800003b4: 00053007 fld ft0,0(a0) +800003b8: 00853087 fld ft1,8(a0) +800003bc: 01053107 fld ft2,16(a0) +800003c0: 01852683 lw a3,24(a0) +800003c4: 01c52303 lw t1,28(a0) +800003c8: 121071c7 fmsub.d ft3,ft0,ft1,ft2 +800003cc: 00353027 fsd ft3,0(a0) +800003d0: 00452383 lw t2,4(a0) +800003d4: 00052503 lw a0,0(a0) +800003d8: 001015f3 fsflags a1,zero +800003dc: 00000613 li a2,0 +800003e0: 0cd51e63 bne a0,a3,800004bc +800003e4: 0c731c63 bne t1,t2,800004bc +800003e8: 0cc59a63 bne a1,a2,800004bc + +800003ec : +800003ec: 00b00193 li gp,11 +800003f0: 00002517 auipc a0,0x2 +800003f4: d3050513 addi a0,a0,-720 # 80002120 +800003f8: 00053007 fld ft0,0(a0) +800003fc: 00853087 fld ft1,8(a0) +80000400: 01053107 fld ft2,16(a0) +80000404: 01852683 lw a3,24(a0) +80000408: 01c52303 lw t1,28(a0) +8000040c: 121071cb fnmsub.d ft3,ft0,ft1,ft2 +80000410: 00353027 fsd ft3,0(a0) +80000414: 00452383 lw t2,4(a0) +80000418: 00052503 lw a0,0(a0) +8000041c: 001015f3 fsflags a1,zero +80000420: 00000613 li a2,0 +80000424: 08d51c63 bne a0,a3,800004bc +80000428: 08731a63 bne t1,t2,800004bc +8000042c: 08c59863 bne a1,a2,800004bc + +80000430 : +80000430: 00c00193 li gp,12 +80000434: 00002517 auipc a0,0x2 +80000438: d0c50513 addi a0,a0,-756 # 80002140 +8000043c: 00053007 fld ft0,0(a0) +80000440: 00853087 fld ft1,8(a0) +80000444: 01053107 fld ft2,16(a0) +80000448: 01852683 lw a3,24(a0) +8000044c: 01c52303 lw t1,28(a0) +80000450: 121071cb fnmsub.d ft3,ft0,ft1,ft2 +80000454: 00353027 fsd ft3,0(a0) +80000458: 00452383 lw t2,4(a0) +8000045c: 00052503 lw a0,0(a0) +80000460: 001015f3 fsflags a1,zero +80000464: 00100613 li a2,1 +80000468: 04d51a63 bne a0,a3,800004bc +8000046c: 04731863 bne t1,t2,800004bc +80000470: 04c59663 bne a1,a2,800004bc + +80000474 : +80000474: 00d00193 li gp,13 +80000478: 00002517 auipc a0,0x2 +8000047c: ce850513 addi a0,a0,-792 # 80002160 +80000480: 00053007 fld ft0,0(a0) +80000484: 00853087 fld ft1,8(a0) +80000488: 01053107 fld ft2,16(a0) +8000048c: 01852683 lw a3,24(a0) +80000490: 01c52303 lw t1,28(a0) +80000494: 121071cb fnmsub.d ft3,ft0,ft1,ft2 +80000498: 00353027 fsd ft3,0(a0) +8000049c: 00452383 lw t2,4(a0) +800004a0: 00052503 lw a0,0(a0) +800004a4: 001015f3 fsflags a1,zero +800004a8: 00000613 li a2,0 +800004ac: 00d51863 bne a0,a3,800004bc +800004b0: 00731663 bne t1,t2,800004bc +800004b4: 00c59463 bne a1,a2,800004bc +800004b8: 02301063 bne zero,gp,800004d8 + +800004bc : +800004bc: 0ff0000f fence +800004c0: 00018063 beqz gp,800004c0 +800004c4: 00119193 slli gp,gp,0x1 +800004c8: 0011e193 ori gp,gp,1 +800004cc: 05d00893 li a7,93 +800004d0: 00018513 mv a0,gp +800004d4: 00000073 ecall + +800004d8 : +800004d8: 0ff0000f fence +800004dc: 00100193 li gp,1 +800004e0: 05d00893 li a7,93 +800004e4: 00000513 li a0,0 +800004e8: 00000073 ecall +800004ec: c0001073 unimp +800004f0: 0000 unimp +800004f2: 0000 unimp +800004f4: 0000 unimp +800004f6: 0000 unimp +800004f8: 0000 unimp +800004fa: 0000 unimp +800004fc: 0000 unimp +800004fe: 0000 unimp +80000500: 0000 unimp +80000502: 0000 unimp + +Disassembly of section .data: + +80002000 : +80002000: 0000 unimp +80002002: 0000 unimp +80002004: 0000 unimp +80002006: 3ff0 fld fa2,248(a5) +80002008: 0000 unimp +8000200a: 0000 unimp +8000200c: 0000 unimp +8000200e: 4004 lw s1,0(s0) +80002010: 0000 unimp +80002012: 0000 unimp +80002014: 0000 unimp +80002016: 3ff0 fld fa2,248(a5) +80002018: 0000 unimp +8000201a: 0000 unimp +8000201c: 0000 unimp +8000201e: 400c lw a1,0(s0) + +80002020 : +80002020: 0000 unimp +80002022: 0000 unimp +80002024: 0000 unimp +80002026: bff0 fsd fa2,248(a5) +80002028: 6666 flw fa2,88(sp) +8000202a: 6666 flw fa2,88(sp) +8000202c: 4c66 lw s8,88(sp) +8000202e: 999ac093 xori ra,s5,-1639 +80002032: 9999 andi a1,a1,-26 +80002034: 9999 andi a1,a1,-26 +80002036: 3ff1 jal 80002012 +80002038: cccc sw a1,28(s1) +8000203a: cccc sw a1,28(s1) +8000203c: 50cc lw a1,36(s1) +8000203e: xori ra,zero,0 + +80002040 : +80002040: 0000 unimp +80002042: 0000 unimp +80002044: 0000 unimp +80002046: 4000 lw s0,0(s0) +80002048: 0000 unimp +8000204a: 0000 unimp +8000204c: 0000 unimp +8000204e: c014 sw a3,0(s0) +80002050: 0000 unimp +80002052: 0000 unimp +80002054: 0000 unimp +80002056: c000 sw s0,0(s0) +80002058: 0000 unimp +8000205a: 0000 unimp +8000205c: 0000 unimp +8000205e: c028 sw a0,64(s0) + +80002060 : +80002060: 0000 unimp +80002062: 0000 unimp +80002064: 0000 unimp +80002066: 3ff0 fld fa2,248(a5) +80002068: 0000 unimp +8000206a: 0000 unimp +8000206c: 0000 unimp +8000206e: 4004 lw s1,0(s0) +80002070: 0000 unimp +80002072: 0000 unimp +80002074: 0000 unimp +80002076: 3ff0 fld fa2,248(a5) +80002078: 0000 unimp +8000207a: 0000 unimp +8000207c: 0000 unimp +8000207e: c00c sw a1,0(s0) + +80002080 : +80002080: 0000 unimp +80002082: 0000 unimp +80002084: 0000 unimp +80002086: bff0 fsd fa2,248(a5) +80002088: 6666 flw fa2,88(sp) +8000208a: 6666 flw fa2,88(sp) +8000208c: 4c66 lw s8,88(sp) +8000208e: 999ac093 xori ra,s5,-1639 +80002092: 9999 andi a1,a1,-26 +80002094: 9999 andi a1,a1,-26 +80002096: 3ff1 jal 80002072 +80002098: cccc sw a1,28(s1) +8000209a: cccc sw a1,28(s1) +8000209c: 50cc lw a1,36(s1) +8000209e: xori ra,ra,0 + +800020a0 : +800020a0: 0000 unimp +800020a2: 0000 unimp +800020a4: 0000 unimp +800020a6: 4000 lw s0,0(s0) +800020a8: 0000 unimp +800020aa: 0000 unimp +800020ac: 0000 unimp +800020ae: c014 sw a3,0(s0) +800020b0: 0000 unimp +800020b2: 0000 unimp +800020b4: 0000 unimp +800020b6: c000 sw s0,0(s0) +800020b8: 0000 unimp +800020ba: 0000 unimp +800020bc: 0000 unimp +800020be: 4028 lw a0,64(s0) + +800020c0 : +800020c0: 0000 unimp +800020c2: 0000 unimp +800020c4: 0000 unimp +800020c6: 3ff0 fld fa2,248(a5) +800020c8: 0000 unimp +800020ca: 0000 unimp +800020cc: 0000 unimp +800020ce: 4004 lw s1,0(s0) +800020d0: 0000 unimp +800020d2: 0000 unimp +800020d4: 0000 unimp +800020d6: 3ff0 fld fa2,248(a5) +800020d8: 0000 unimp +800020da: 0000 unimp +800020dc: 0000 unimp +800020de: 3ff8 fld fa4,248(a5) + +800020e0 : +800020e0: 0000 unimp +800020e2: 0000 unimp +800020e4: 0000 unimp +800020e6: bff0 fsd fa2,248(a5) +800020e8: 6666 flw fa2,88(sp) +800020ea: 6666 flw fa2,88(sp) +800020ec: 4c66 lw s8,88(sp) +800020ee: 999ac093 xori ra,s5,-1639 +800020f2: 9999 andi a1,a1,-26 +800020f4: 9999 andi a1,a1,-26 +800020f6: 3ff1 jal 800020d2 +800020f8: 0000 unimp +800020fa: 0000 unimp +800020fc: 4800 lw s0,16(s0) +800020fe: xori ra,zero,0 + +80002100 : +80002100: 0000 unimp +80002102: 0000 unimp +80002104: 0000 unimp +80002106: 4000 lw s0,0(s0) +80002108: 0000 unimp +8000210a: 0000 unimp +8000210c: 0000 unimp +8000210e: c014 sw a3,0(s0) +80002110: 0000 unimp +80002112: 0000 unimp +80002114: 0000 unimp +80002116: c000 sw s0,0(s0) +80002118: 0000 unimp +8000211a: 0000 unimp +8000211c: 0000 unimp +8000211e: c020 sw s0,64(s0) + +80002120 : +80002120: 0000 unimp +80002122: 0000 unimp +80002124: 0000 unimp +80002126: 3ff0 fld fa2,248(a5) +80002128: 0000 unimp +8000212a: 0000 unimp +8000212c: 0000 unimp +8000212e: 4004 lw s1,0(s0) +80002130: 0000 unimp +80002132: 0000 unimp +80002134: 0000 unimp +80002136: 3ff0 fld fa2,248(a5) +80002138: 0000 unimp +8000213a: 0000 unimp +8000213c: 0000 unimp +8000213e: bff8 fsd fa4,248(a5) + +80002140 : +80002140: 0000 unimp +80002142: 0000 unimp +80002144: 0000 unimp +80002146: bff0 fsd fa2,248(a5) +80002148: 6666 flw fa2,88(sp) +8000214a: 6666 flw fa2,88(sp) +8000214c: 4c66 lw s8,88(sp) +8000214e: 999ac093 xori ra,s5,-1639 +80002152: 9999 andi a1,a1,-26 +80002154: 9999 andi a1,a1,-26 +80002156: 3ff1 jal 80002132 +80002158: 0000 unimp +8000215a: 0000 unimp +8000215c: 4800 lw s0,16(s0) +8000215e: xori ra,ra,0 + +80002160 : +80002160: 0000 unimp +80002162: 0000 unimp +80002164: 0000 unimp +80002166: 4000 lw s0,0(s0) +80002168: 0000 unimp +8000216a: 0000 unimp +8000216c: 0000 unimp +8000216e: c014 sw a3,0(s0) +80002170: 0000 unimp +80002172: 0000 unimp +80002174: 0000 unimp +80002176: c000 sw s0,0(s0) +80002178: 0000 unimp +8000217a: 0000 unimp +8000217c: 0000 unimp +8000217e: 4020 lw s0,64(s0) diff --git a/VexRiscv/src/test/resources/asm/rv32ud-p-fmin.dump b/VexRiscv/src/test/resources/asm/rv32ud-p-fmin.dump new file mode 100644 index 0000000..e1234a2 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ud-p-fmin.dump @@ -0,0 +1,820 @@ + +rv32ud-p-fmin: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 5391e193 ori gp,gp,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fc3f2023 sw gp,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: 00000093 li ra,0 +80000050: 00000113 li sp,0 +80000054: 00000193 li gp,0 +80000058: 00000213 li tp,0 +8000005c: 00000293 li t0,0 +80000060: 00000313 li t1,0 +80000064: 00000393 li t2,0 +80000068: 00000413 li s0,0 +8000006c: 00000493 li s1,0 +80000070: 00000513 li a0,0 +80000074: 00000593 li a1,0 +80000078: 00000613 li a2,0 +8000007c: 00000693 li a3,0 +80000080: 00000713 li a4,0 +80000084: 00000793 li a5,0 +80000088: 00000813 li a6,0 +8000008c: 00000893 li a7,0 +80000090: 00000913 li s2,0 +80000094: 00000993 li s3,0 +80000098: 00000a13 li s4,0 +8000009c: 00000a93 li s5,0 +800000a0: 00000b13 li s6,0 +800000a4: 00000b93 li s7,0 +800000a8: 00000c13 li s8,0 +800000ac: 00000c93 li s9,0 +800000b0: 00000d13 li s10,0 +800000b4: 00000d93 li s11,0 +800000b8: 00000e13 li t3,0 +800000bc: 00000e93 li t4,0 +800000c0: 00000f13 li t5,0 +800000c4: 00000f93 li t6,0 +800000c8: f1402573 csrr a0,mhartid +800000cc: 00051063 bnez a0,800000cc +800000d0: 00000297 auipc t0,0x0 +800000d4: 01028293 addi t0,t0,16 # 800000e0 +800000d8: 30529073 csrw mtvec,t0 +800000dc: 18005073 csrwi satp,0 +800000e0: 00000297 auipc t0,0x0 +800000e4: 02028293 addi t0,t0,32 # 80000100 +800000e8: 30529073 csrw mtvec,t0 +800000ec: 800002b7 lui t0,0x80000 +800000f0: fff28293 addi t0,t0,-1 # 7fffffff <_end+0xffffddbf> +800000f4: 3b029073 csrw pmpaddr0,t0 +800000f8: 01f00293 li t0,31 +800000fc: 3a029073 csrw pmpcfg0,t0 +80000100: 30405073 csrwi mie,0 +80000104: 00000297 auipc t0,0x0 +80000108: 01428293 addi t0,t0,20 # 80000118 +8000010c: 30529073 csrw mtvec,t0 +80000110: 30205073 csrwi medeleg,0 +80000114: 30305073 csrwi mideleg,0 +80000118: 00000193 li gp,0 +8000011c: 00000297 auipc t0,0x0 +80000120: ee828293 addi t0,t0,-280 # 80000004 +80000124: 30529073 csrw mtvec,t0 +80000128: 00100513 li a0,1 +8000012c: 01f51513 slli a0,a0,0x1f +80000130: 00054c63 bltz a0,80000148 +80000134: 0ff0000f fence +80000138: 00100193 li gp,1 +8000013c: 05d00893 li a7,93 +80000140: 00000513 li a0,0 +80000144: 00000073 ecall +80000148: 80000297 auipc t0,0x80000 +8000014c: eb828293 addi t0,t0,-328 # 0 <_start-0x80000000> +80000150: 00028a63 beqz t0,80000164 +80000154: 10529073 csrw stvec,t0 +80000158: 0000b2b7 lui t0,0xb +8000015c: 10928293 addi t0,t0,265 # b109 <_start-0x7fff4ef7> +80000160: 30229073 csrw medeleg,t0 +80000164: 30005073 csrwi mstatus,0 +80000168: 00002537 lui a0,0x2 +8000016c: 30052073 csrs mstatus,a0 +80000170: 00305073 csrwi fcsr,0 +80000174: 00000297 auipc t0,0x0 +80000178: 01428293 addi t0,t0,20 # 80000188 +8000017c: 34129073 csrw mepc,t0 +80000180: f1402573 csrr a0,mhartid +80000184: 30200073 mret + +80000188 : +80000188: 00200193 li gp,2 +8000018c: 00002517 auipc a0,0x2 +80000190: e7450513 addi a0,a0,-396 # 80002000 +80000194: 00053007 fld ft0,0(a0) +80000198: 00853087 fld ft1,8(a0) +8000019c: 01053107 fld ft2,16(a0) +800001a0: 01852683 lw a3,24(a0) +800001a4: 01c52303 lw t1,28(a0) +800001a8: 2a1001d3 fmin.d ft3,ft0,ft1 +800001ac: 00353027 fsd ft3,0(a0) +800001b0: 00452383 lw t2,4(a0) +800001b4: 00052503 lw a0,0(a0) +800001b8: 001015f3 fsflags a1,zero +800001bc: 00000613 li a2,0 +800001c0: 48d51a63 bne a0,a3,80000654 +800001c4: 48731863 bne t1,t2,80000654 +800001c8: 48c59663 bne a1,a2,80000654 + +800001cc : +800001cc: 00300193 li gp,3 +800001d0: 00002517 auipc a0,0x2 +800001d4: e5050513 addi a0,a0,-432 # 80002020 +800001d8: 00053007 fld ft0,0(a0) +800001dc: 00853087 fld ft1,8(a0) +800001e0: 01053107 fld ft2,16(a0) +800001e4: 01852683 lw a3,24(a0) +800001e8: 01c52303 lw t1,28(a0) +800001ec: 2a1001d3 fmin.d ft3,ft0,ft1 +800001f0: 00353027 fsd ft3,0(a0) +800001f4: 00452383 lw t2,4(a0) +800001f8: 00052503 lw a0,0(a0) +800001fc: 001015f3 fsflags a1,zero +80000200: 00000613 li a2,0 +80000204: 44d51863 bne a0,a3,80000654 +80000208: 44731663 bne t1,t2,80000654 +8000020c: 44c59463 bne a1,a2,80000654 + +80000210 : +80000210: 00400193 li gp,4 +80000214: 00002517 auipc a0,0x2 +80000218: e2c50513 addi a0,a0,-468 # 80002040 +8000021c: 00053007 fld ft0,0(a0) +80000220: 00853087 fld ft1,8(a0) +80000224: 01053107 fld ft2,16(a0) +80000228: 01852683 lw a3,24(a0) +8000022c: 01c52303 lw t1,28(a0) +80000230: 2a1001d3 fmin.d ft3,ft0,ft1 +80000234: 00353027 fsd ft3,0(a0) +80000238: 00452383 lw t2,4(a0) +8000023c: 00052503 lw a0,0(a0) +80000240: 001015f3 fsflags a1,zero +80000244: 00000613 li a2,0 +80000248: 40d51663 bne a0,a3,80000654 +8000024c: 40731463 bne t1,t2,80000654 +80000250: 40c59263 bne a1,a2,80000654 + +80000254 : +80000254: 00500193 li gp,5 +80000258: 00002517 auipc a0,0x2 +8000025c: e0850513 addi a0,a0,-504 # 80002060 +80000260: 00053007 fld ft0,0(a0) +80000264: 00853087 fld ft1,8(a0) +80000268: 01053107 fld ft2,16(a0) +8000026c: 01852683 lw a3,24(a0) +80000270: 01c52303 lw t1,28(a0) +80000274: 2a1001d3 fmin.d ft3,ft0,ft1 +80000278: 00353027 fsd ft3,0(a0) +8000027c: 00452383 lw t2,4(a0) +80000280: 00052503 lw a0,0(a0) +80000284: 001015f3 fsflags a1,zero +80000288: 00000613 li a2,0 +8000028c: 3cd51463 bne a0,a3,80000654 +80000290: 3c731263 bne t1,t2,80000654 +80000294: 3cc59063 bne a1,a2,80000654 + +80000298 : +80000298: 00600193 li gp,6 +8000029c: 00002517 auipc a0,0x2 +800002a0: de450513 addi a0,a0,-540 # 80002080 +800002a4: 00053007 fld ft0,0(a0) +800002a8: 00853087 fld ft1,8(a0) +800002ac: 01053107 fld ft2,16(a0) +800002b0: 01852683 lw a3,24(a0) +800002b4: 01c52303 lw t1,28(a0) +800002b8: 2a1001d3 fmin.d ft3,ft0,ft1 +800002bc: 00353027 fsd ft3,0(a0) +800002c0: 00452383 lw t2,4(a0) +800002c4: 00052503 lw a0,0(a0) +800002c8: 001015f3 fsflags a1,zero +800002cc: 00000613 li a2,0 +800002d0: 38d51263 bne a0,a3,80000654 +800002d4: 38731063 bne t1,t2,80000654 +800002d8: 36c59e63 bne a1,a2,80000654 + +800002dc : +800002dc: 00700193 li gp,7 +800002e0: 00002517 auipc a0,0x2 +800002e4: dc050513 addi a0,a0,-576 # 800020a0 +800002e8: 00053007 fld ft0,0(a0) +800002ec: 00853087 fld ft1,8(a0) +800002f0: 01053107 fld ft2,16(a0) +800002f4: 01852683 lw a3,24(a0) +800002f8: 01c52303 lw t1,28(a0) +800002fc: 2a1001d3 fmin.d ft3,ft0,ft1 +80000300: 00353027 fsd ft3,0(a0) +80000304: 00452383 lw t2,4(a0) +80000308: 00052503 lw a0,0(a0) +8000030c: 001015f3 fsflags a1,zero +80000310: 00000613 li a2,0 +80000314: 34d51063 bne a0,a3,80000654 +80000318: 32731e63 bne t1,t2,80000654 +8000031c: 32c59c63 bne a1,a2,80000654 + +80000320 : +80000320: 00c00193 li gp,12 +80000324: 00002517 auipc a0,0x2 +80000328: d9c50513 addi a0,a0,-612 # 800020c0 +8000032c: 00053007 fld ft0,0(a0) +80000330: 00853087 fld ft1,8(a0) +80000334: 01053107 fld ft2,16(a0) +80000338: 01852683 lw a3,24(a0) +8000033c: 01c52303 lw t1,28(a0) +80000340: 2a1011d3 fmax.d ft3,ft0,ft1 +80000344: 00353027 fsd ft3,0(a0) +80000348: 00452383 lw t2,4(a0) +8000034c: 00052503 lw a0,0(a0) +80000350: 001015f3 fsflags a1,zero +80000354: 00000613 li a2,0 +80000358: 2ed51e63 bne a0,a3,80000654 +8000035c: 2e731c63 bne t1,t2,80000654 +80000360: 2ec59a63 bne a1,a2,80000654 + +80000364 : +80000364: 00d00193 li gp,13 +80000368: 00002517 auipc a0,0x2 +8000036c: d7850513 addi a0,a0,-648 # 800020e0 +80000370: 00053007 fld ft0,0(a0) +80000374: 00853087 fld ft1,8(a0) +80000378: 01053107 fld ft2,16(a0) +8000037c: 01852683 lw a3,24(a0) +80000380: 01c52303 lw t1,28(a0) +80000384: 2a1011d3 fmax.d ft3,ft0,ft1 +80000388: 00353027 fsd ft3,0(a0) +8000038c: 00452383 lw t2,4(a0) +80000390: 00052503 lw a0,0(a0) +80000394: 001015f3 fsflags a1,zero +80000398: 00000613 li a2,0 +8000039c: 2ad51c63 bne a0,a3,80000654 +800003a0: 2a731a63 bne t1,t2,80000654 +800003a4: 2ac59863 bne a1,a2,80000654 + +800003a8 : +800003a8: 00e00193 li gp,14 +800003ac: 00002517 auipc a0,0x2 +800003b0: d5450513 addi a0,a0,-684 # 80002100 +800003b4: 00053007 fld ft0,0(a0) +800003b8: 00853087 fld ft1,8(a0) +800003bc: 01053107 fld ft2,16(a0) +800003c0: 01852683 lw a3,24(a0) +800003c4: 01c52303 lw t1,28(a0) +800003c8: 2a1011d3 fmax.d ft3,ft0,ft1 +800003cc: 00353027 fsd ft3,0(a0) +800003d0: 00452383 lw t2,4(a0) +800003d4: 00052503 lw a0,0(a0) +800003d8: 001015f3 fsflags a1,zero +800003dc: 00000613 li a2,0 +800003e0: 26d51a63 bne a0,a3,80000654 +800003e4: 26731863 bne t1,t2,80000654 +800003e8: 26c59663 bne a1,a2,80000654 + +800003ec : +800003ec: 00f00193 li gp,15 +800003f0: 00002517 auipc a0,0x2 +800003f4: d3050513 addi a0,a0,-720 # 80002120 +800003f8: 00053007 fld ft0,0(a0) +800003fc: 00853087 fld ft1,8(a0) +80000400: 01053107 fld ft2,16(a0) +80000404: 01852683 lw a3,24(a0) +80000408: 01c52303 lw t1,28(a0) +8000040c: 2a1011d3 fmax.d ft3,ft0,ft1 +80000410: 00353027 fsd ft3,0(a0) +80000414: 00452383 lw t2,4(a0) +80000418: 00052503 lw a0,0(a0) +8000041c: 001015f3 fsflags a1,zero +80000420: 00000613 li a2,0 +80000424: 22d51863 bne a0,a3,80000654 +80000428: 22731663 bne t1,t2,80000654 +8000042c: 22c59463 bne a1,a2,80000654 + +80000430 : +80000430: 01000193 li gp,16 +80000434: 00002517 auipc a0,0x2 +80000438: d0c50513 addi a0,a0,-756 # 80002140 +8000043c: 00053007 fld ft0,0(a0) +80000440: 00853087 fld ft1,8(a0) +80000444: 01053107 fld ft2,16(a0) +80000448: 01852683 lw a3,24(a0) +8000044c: 01c52303 lw t1,28(a0) +80000450: 2a1011d3 fmax.d ft3,ft0,ft1 +80000454: 00353027 fsd ft3,0(a0) +80000458: 00452383 lw t2,4(a0) +8000045c: 00052503 lw a0,0(a0) +80000460: 001015f3 fsflags a1,zero +80000464: 00000613 li a2,0 +80000468: 1ed51663 bne a0,a3,80000654 +8000046c: 1e731463 bne t1,t2,80000654 +80000470: 1ec59263 bne a1,a2,80000654 + +80000474 : +80000474: 01100193 li gp,17 +80000478: 00002517 auipc a0,0x2 +8000047c: ce850513 addi a0,a0,-792 # 80002160 +80000480: 00053007 fld ft0,0(a0) +80000484: 00853087 fld ft1,8(a0) +80000488: 01053107 fld ft2,16(a0) +8000048c: 01852683 lw a3,24(a0) +80000490: 01c52303 lw t1,28(a0) +80000494: 2a1011d3 fmax.d ft3,ft0,ft1 +80000498: 00353027 fsd ft3,0(a0) +8000049c: 00452383 lw t2,4(a0) +800004a0: 00052503 lw a0,0(a0) +800004a4: 001015f3 fsflags a1,zero +800004a8: 00000613 li a2,0 +800004ac: 1ad51463 bne a0,a3,80000654 +800004b0: 1a731263 bne t1,t2,80000654 +800004b4: 1ac59063 bne a1,a2,80000654 + +800004b8 : +800004b8: 01400193 li gp,20 +800004bc: 00002517 auipc a0,0x2 +800004c0: cc450513 addi a0,a0,-828 # 80002180 +800004c4: 00053007 fld ft0,0(a0) +800004c8: 00853087 fld ft1,8(a0) +800004cc: 01053107 fld ft2,16(a0) +800004d0: 01852683 lw a3,24(a0) +800004d4: 01c52303 lw t1,28(a0) +800004d8: 2a1011d3 fmax.d ft3,ft0,ft1 +800004dc: 00353027 fsd ft3,0(a0) +800004e0: 00452383 lw t2,4(a0) +800004e4: 00052503 lw a0,0(a0) +800004e8: 001015f3 fsflags a1,zero +800004ec: 01000613 li a2,16 +800004f0: 16d51263 bne a0,a3,80000654 +800004f4: 16731063 bne t1,t2,80000654 +800004f8: 14c59e63 bne a1,a2,80000654 + +800004fc : +800004fc: 01500193 li gp,21 +80000500: 00002517 auipc a0,0x2 +80000504: ca050513 addi a0,a0,-864 # 800021a0 +80000508: 00053007 fld ft0,0(a0) +8000050c: 00853087 fld ft1,8(a0) +80000510: 01053107 fld ft2,16(a0) +80000514: 01852683 lw a3,24(a0) +80000518: 01c52303 lw t1,28(a0) +8000051c: 2a1011d3 fmax.d ft3,ft0,ft1 +80000520: 00353027 fsd ft3,0(a0) +80000524: 00452383 lw t2,4(a0) +80000528: 00052503 lw a0,0(a0) +8000052c: 001015f3 fsflags a1,zero +80000530: 00000613 li a2,0 +80000534: 12d51063 bne a0,a3,80000654 +80000538: 10731e63 bne t1,t2,80000654 +8000053c: 10c59c63 bne a1,a2,80000654 + +80000540 : +80000540: 01e00193 li gp,30 +80000544: 00002517 auipc a0,0x2 +80000548: c7c50513 addi a0,a0,-900 # 800021c0 +8000054c: 00053007 fld ft0,0(a0) +80000550: 00853087 fld ft1,8(a0) +80000554: 01053107 fld ft2,16(a0) +80000558: 01852683 lw a3,24(a0) +8000055c: 01c52303 lw t1,28(a0) +80000560: 2a1001d3 fmin.d ft3,ft0,ft1 +80000564: 00353027 fsd ft3,0(a0) +80000568: 00452383 lw t2,4(a0) +8000056c: 00052503 lw a0,0(a0) +80000570: 001015f3 fsflags a1,zero +80000574: 00000613 li a2,0 +80000578: 0cd51e63 bne a0,a3,80000654 +8000057c: 0c731c63 bne t1,t2,80000654 +80000580: 0cc59a63 bne a1,a2,80000654 + +80000584 : +80000584: 01f00193 li gp,31 +80000588: 00002517 auipc a0,0x2 +8000058c: c5850513 addi a0,a0,-936 # 800021e0 +80000590: 00053007 fld ft0,0(a0) +80000594: 00853087 fld ft1,8(a0) +80000598: 01053107 fld ft2,16(a0) +8000059c: 01852683 lw a3,24(a0) +800005a0: 01c52303 lw t1,28(a0) +800005a4: 2a1001d3 fmin.d ft3,ft0,ft1 +800005a8: 00353027 fsd ft3,0(a0) +800005ac: 00452383 lw t2,4(a0) +800005b0: 00052503 lw a0,0(a0) +800005b4: 001015f3 fsflags a1,zero +800005b8: 00000613 li a2,0 +800005bc: 08d51c63 bne a0,a3,80000654 +800005c0: 08731a63 bne t1,t2,80000654 +800005c4: 08c59863 bne a1,a2,80000654 + +800005c8 : +800005c8: 02000193 li gp,32 +800005cc: 00002517 auipc a0,0x2 +800005d0: c3450513 addi a0,a0,-972 # 80002200 +800005d4: 00053007 fld ft0,0(a0) +800005d8: 00853087 fld ft1,8(a0) +800005dc: 01053107 fld ft2,16(a0) +800005e0: 01852683 lw a3,24(a0) +800005e4: 01c52303 lw t1,28(a0) +800005e8: 2a1011d3 fmax.d ft3,ft0,ft1 +800005ec: 00353027 fsd ft3,0(a0) +800005f0: 00452383 lw t2,4(a0) +800005f4: 00052503 lw a0,0(a0) +800005f8: 001015f3 fsflags a1,zero +800005fc: 00000613 li a2,0 +80000600: 04d51a63 bne a0,a3,80000654 +80000604: 04731863 bne t1,t2,80000654 +80000608: 04c59663 bne a1,a2,80000654 + +8000060c : +8000060c: 02100193 li gp,33 +80000610: 00002517 auipc a0,0x2 +80000614: c1050513 addi a0,a0,-1008 # 80002220 +80000618: 00053007 fld ft0,0(a0) +8000061c: 00853087 fld ft1,8(a0) +80000620: 01053107 fld ft2,16(a0) +80000624: 01852683 lw a3,24(a0) +80000628: 01c52303 lw t1,28(a0) +8000062c: 2a1011d3 fmax.d ft3,ft0,ft1 +80000630: 00353027 fsd ft3,0(a0) +80000634: 00452383 lw t2,4(a0) +80000638: 00052503 lw a0,0(a0) +8000063c: 001015f3 fsflags a1,zero +80000640: 00000613 li a2,0 +80000644: 00d51863 bne a0,a3,80000654 +80000648: 00731663 bne t1,t2,80000654 +8000064c: 00c59463 bne a1,a2,80000654 +80000650: 02301063 bne zero,gp,80000670 + +80000654 : +80000654: 0ff0000f fence +80000658: 00018063 beqz gp,80000658 +8000065c: 00119193 slli gp,gp,0x1 +80000660: 0011e193 ori gp,gp,1 +80000664: 05d00893 li a7,93 +80000668: 00018513 mv a0,gp +8000066c: 00000073 ecall + +80000670 : +80000670: 0ff0000f fence +80000674: 00100193 li gp,1 +80000678: 05d00893 li a7,93 +8000067c: 00000513 li a0,0 +80000680: 00000073 ecall +80000684: c0001073 unimp +80000688: 0000 unimp +8000068a: 0000 unimp +8000068c: 0000 unimp +8000068e: 0000 unimp +80000690: 0000 unimp +80000692: 0000 unimp +80000694: 0000 unimp +80000696: 0000 unimp +80000698: 0000 unimp +8000069a: 0000 unimp +8000069c: 0000 unimp +8000069e: 0000 unimp +800006a0: 0000 unimp +800006a2: 0000 unimp +800006a4: 0000 unimp +800006a6: 0000 unimp +800006a8: 0000 unimp +800006aa: 0000 unimp +800006ac: 0000 unimp +800006ae: 0000 unimp +800006b0: 0000 unimp +800006b2: 0000 unimp +800006b4: 0000 unimp +800006b6: 0000 unimp +800006b8: 0000 unimp +800006ba: 0000 unimp +800006bc: 0000 unimp +800006be: 0000 unimp +800006c0: 0000 unimp +800006c2: 0000 unimp + +Disassembly of section .data: + +80002000 : +80002000: 0000 unimp +80002002: 0000 unimp +80002004: 0000 unimp +80002006: 4004 lw s1,0(s0) +80002008: 0000 unimp +8000200a: 0000 unimp +8000200c: 0000 unimp +8000200e: 3ff0 fld fa2,248(a5) +80002010: 0000 unimp +80002012: 0000 unimp +80002014: 0000 unimp +80002016: 0000 unimp +80002018: 0000 unimp +8000201a: 0000 unimp +8000201c: 0000 unimp +8000201e: 3ff0 fld fa2,248(a5) + +80002020 : +80002020: 6666 flw fa2,88(sp) +80002022: 6666 flw fa2,88(sp) +80002024: 4c66 lw s8,88(sp) +80002026: 999ac093 xori ra,s5,-1639 +8000202a: 9999 andi a1,a1,-26 +8000202c: 9999 andi a1,a1,-26 +8000202e: 3ff1 jal 8000200a +80002030: 0000 unimp +80002032: 0000 unimp +80002034: 0000 unimp +80002036: 0000 unimp +80002038: 6666 flw fa2,88(sp) +8000203a: 6666 flw fa2,88(sp) +8000203c: 4c66 lw s8,88(sp) +8000203e: xori ra,s5,-1639 + +80002040 : +80002040: 999a add s3,s3,t1 +80002042: 9999 andi a1,a1,-26 +80002044: 9999 andi a1,a1,-26 +80002046: 3ff1 jal 80002022 +80002048: 6666 flw fa2,88(sp) +8000204a: 6666 flw fa2,88(sp) +8000204c: 4c66 lw s8,88(sp) +8000204e: 0000c093 xori ra,ra,0 +80002052: 0000 unimp +80002054: 0000 unimp +80002056: 0000 unimp +80002058: 6666 flw fa2,88(sp) +8000205a: 6666 flw fa2,88(sp) +8000205c: 4c66 lw s8,88(sp) +8000205e: not ra,t6 + +80002060 : +80002060: ffff 0xffff +80002062: ffff 0xffff +80002064: ffff 0xffff +80002066: 7fff 0x7fff +80002068: 6666 flw fa2,88(sp) +8000206a: 6666 flw fa2,88(sp) +8000206c: 4c66 lw s8,88(sp) +8000206e: 0000c093 xori ra,ra,0 +80002072: 0000 unimp +80002074: 0000 unimp +80002076: 0000 unimp +80002078: 6666 flw fa2,88(sp) +8000207a: 6666 flw fa2,88(sp) +8000207c: 4c66 lw s8,88(sp) +8000207e: xori ra,gp,-689 + +80002080 : +80002080: d4f1 beqz s1,8000204c +80002082: 53c8 lw a0,36(a5) +80002084: 400921fb 0x400921fb +80002088: 8c3a mv s8,a4 +8000208a: e230 fsw fa2,64(a2) +8000208c: 798e flw fs3,224(sp) +8000208e: 3e45 jal 80001c3e +80002090: 0000 unimp +80002092: 0000 unimp +80002094: 0000 unimp +80002096: 0000 unimp +80002098: 8c3a mv s8,a4 +8000209a: e230 fsw fa2,64(a2) +8000209c: 798e flw fs3,224(sp) +8000209e: 3e45 jal 80001c4e + +800020a0 : +800020a0: 0000 unimp +800020a2: 0000 unimp +800020a4: 0000 unimp +800020a6: bff0 fsd fa2,248(a5) +800020a8: 0000 unimp +800020aa: 0000 unimp +800020ac: 0000 unimp +800020ae: c000 sw s0,0(s0) +800020b0: 0000 unimp +800020b2: 0000 unimp +800020b4: 0000 unimp +800020b6: 0000 unimp +800020b8: 0000 unimp +800020ba: 0000 unimp +800020bc: 0000 unimp +800020be: c000 sw s0,0(s0) + +800020c0 : +800020c0: 0000 unimp +800020c2: 0000 unimp +800020c4: 0000 unimp +800020c6: 4004 lw s1,0(s0) +800020c8: 0000 unimp +800020ca: 0000 unimp +800020cc: 0000 unimp +800020ce: 3ff0 fld fa2,248(a5) +800020d0: 0000 unimp +800020d2: 0000 unimp +800020d4: 0000 unimp +800020d6: 0000 unimp +800020d8: 0000 unimp +800020da: 0000 unimp +800020dc: 0000 unimp +800020de: 4004 lw s1,0(s0) + +800020e0 : +800020e0: 6666 flw fa2,88(sp) +800020e2: 6666 flw fa2,88(sp) +800020e4: 4c66 lw s8,88(sp) +800020e6: 999ac093 xori ra,s5,-1639 +800020ea: 9999 andi a1,a1,-26 +800020ec: 9999 andi a1,a1,-26 +800020ee: 3ff1 jal 800020ca +800020f0: 0000 unimp +800020f2: 0000 unimp +800020f4: 0000 unimp +800020f6: 0000 unimp +800020f8: 999a add s3,s3,t1 +800020fa: 9999 andi a1,a1,-26 +800020fc: 9999 andi a1,a1,-26 +800020fe: 3ff1 jal 800020da + +80002100 : +80002100: 999a add s3,s3,t1 +80002102: 9999 andi a1,a1,-26 +80002104: 9999 andi a1,a1,-26 +80002106: 3ff1 jal 800020e2 +80002108: 6666 flw fa2,88(sp) +8000210a: 6666 flw fa2,88(sp) +8000210c: 4c66 lw s8,88(sp) +8000210e: 0000c093 xori ra,ra,0 +80002112: 0000 unimp +80002114: 0000 unimp +80002116: 0000 unimp +80002118: 999a add s3,s3,t1 +8000211a: 9999 andi a1,a1,-26 +8000211c: 9999 andi a1,a1,-26 +8000211e: 3ff1 jal 800020fa + +80002120 : +80002120: ffff 0xffff +80002122: ffff 0xffff +80002124: ffff 0xffff +80002126: 7fff 0x7fff +80002128: 6666 flw fa2,88(sp) +8000212a: 6666 flw fa2,88(sp) +8000212c: 4c66 lw s8,88(sp) +8000212e: 0000c093 xori ra,ra,0 +80002132: 0000 unimp +80002134: 0000 unimp +80002136: 0000 unimp +80002138: 6666 flw fa2,88(sp) +8000213a: 6666 flw fa2,88(sp) +8000213c: 4c66 lw s8,88(sp) +8000213e: xori ra,gp,-689 + +80002140 : +80002140: d4f1 beqz s1,8000210c +80002142: 53c8 lw a0,36(a5) +80002144: 400921fb 0x400921fb +80002148: 8c3a mv s8,a4 +8000214a: e230 fsw fa2,64(a2) +8000214c: 798e flw fs3,224(sp) +8000214e: 3e45 jal 80001cfe +80002150: 0000 unimp +80002152: 0000 unimp +80002154: 0000 unimp +80002156: 0000 unimp +80002158: d4f1 beqz s1,80002124 +8000215a: 53c8 lw a0,36(a5) +8000215c: 400921fb 0x400921fb + +80002160 : +80002160: 0000 unimp +80002162: 0000 unimp +80002164: 0000 unimp +80002166: bff0 fsd fa2,248(a5) +80002168: 0000 unimp +8000216a: 0000 unimp +8000216c: 0000 unimp +8000216e: c000 sw s0,0(s0) +80002170: 0000 unimp +80002172: 0000 unimp +80002174: 0000 unimp +80002176: 0000 unimp +80002178: 0000 unimp +8000217a: 0000 unimp +8000217c: 0000 unimp +8000217e: bff0 fsd fa2,248(a5) + +80002180 : +80002180: 0001 nop +80002182: 0000 unimp +80002184: 0000 unimp +80002186: 7ff0 flw fa2,124(a5) +80002188: 0000 unimp +8000218a: 0000 unimp +8000218c: 0000 unimp +8000218e: 3ff0 fld fa2,248(a5) +80002190: 0000 unimp +80002192: 0000 unimp +80002194: 0000 unimp +80002196: 0000 unimp +80002198: 0000 unimp +8000219a: 0000 unimp +8000219c: 0000 unimp +8000219e: 3ff0 fld fa2,248(a5) + +800021a0 : +800021a0: ffff 0xffff +800021a2: ffff 0xffff +800021a4: ffff 0xffff +800021a6: 7fff 0x7fff +800021a8: ffff 0xffff +800021aa: ffff 0xffff +800021ac: ffff 0xffff +800021ae: 7fff 0x7fff +800021b0: 0000 unimp +800021b2: 0000 unimp +800021b4: 0000 unimp +800021b6: 0000 unimp +800021b8: 0000 unimp +800021ba: 0000 unimp +800021bc: 0000 unimp +800021be: 7ff8 flw fa4,124(a5) + +800021c0 : +800021c0: 0000 unimp +800021c2: 0000 unimp +800021c4: 0000 unimp +800021c6: 8000 0x8000 +800021c8: 0000 unimp +800021ca: 0000 unimp +800021cc: 0000 unimp +800021ce: 0000 unimp +800021d0: 0000 unimp +800021d2: 0000 unimp +800021d4: 0000 unimp +800021d6: 0000 unimp +800021d8: 0000 unimp +800021da: 0000 unimp +800021dc: 0000 unimp +800021de: 8000 0x8000 + +800021e0 : +800021e0: 0000 unimp +800021e2: 0000 unimp +800021e4: 0000 unimp +800021e6: 0000 unimp +800021e8: 0000 unimp +800021ea: 0000 unimp +800021ec: 0000 unimp +800021ee: 8000 0x8000 +800021f0: 0000 unimp +800021f2: 0000 unimp +800021f4: 0000 unimp +800021f6: 0000 unimp +800021f8: 0000 unimp +800021fa: 0000 unimp +800021fc: 0000 unimp +800021fe: 8000 0x8000 + +80002200 : +80002200: 0000 unimp +80002202: 0000 unimp +80002204: 0000 unimp +80002206: 8000 0x8000 +80002208: 0000 unimp +8000220a: 0000 unimp +8000220c: 0000 unimp +8000220e: 0000 unimp +80002210: 0000 unimp +80002212: 0000 unimp +80002214: 0000 unimp +80002216: 0000 unimp +80002218: 0000 unimp +8000221a: 0000 unimp +8000221c: 0000 unimp +8000221e: 0000 unimp + +80002220 : +80002220: 0000 unimp +80002222: 0000 unimp +80002224: 0000 unimp +80002226: 0000 unimp +80002228: 0000 unimp +8000222a: 0000 unimp +8000222c: 0000 unimp +8000222e: 8000 0x8000 +80002230: 0000 unimp +80002232: 0000 unimp +80002234: 0000 unimp +80002236: 0000 unimp +80002238: 0000 unimp +8000223a: 0000 unimp +8000223c: 0000 unimp +8000223e: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ud-p-ldst.dump b/VexRiscv/src/test/resources/asm/rv32ud-p-ldst.dump new file mode 100644 index 0000000..d17d1b6 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ud-p-ldst.dump @@ -0,0 +1,267 @@ + +rv32ud-p-ldst: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 5391e193 ori gp,gp,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fc3f2023 sw gp,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: 00000093 li ra,0 +80000050: 00000113 li sp,0 +80000054: 00000193 li gp,0 +80000058: 00000213 li tp,0 +8000005c: 00000293 li t0,0 +80000060: 00000313 li t1,0 +80000064: 00000393 li t2,0 +80000068: 00000413 li s0,0 +8000006c: 00000493 li s1,0 +80000070: 00000513 li a0,0 +80000074: 00000593 li a1,0 +80000078: 00000613 li a2,0 +8000007c: 00000693 li a3,0 +80000080: 00000713 li a4,0 +80000084: 00000793 li a5,0 +80000088: 00000813 li a6,0 +8000008c: 00000893 li a7,0 +80000090: 00000913 li s2,0 +80000094: 00000993 li s3,0 +80000098: 00000a13 li s4,0 +8000009c: 00000a93 li s5,0 +800000a0: 00000b13 li s6,0 +800000a4: 00000b93 li s7,0 +800000a8: 00000c13 li s8,0 +800000ac: 00000c93 li s9,0 +800000b0: 00000d13 li s10,0 +800000b4: 00000d93 li s11,0 +800000b8: 00000e13 li t3,0 +800000bc: 00000e93 li t4,0 +800000c0: 00000f13 li t5,0 +800000c4: 00000f93 li t6,0 +800000c8: f1402573 csrr a0,mhartid +800000cc: 00051063 bnez a0,800000cc +800000d0: 00000297 auipc t0,0x0 +800000d4: 01028293 addi t0,t0,16 # 800000e0 +800000d8: 30529073 csrw mtvec,t0 +800000dc: 18005073 csrwi satp,0 +800000e0: 00000297 auipc t0,0x0 +800000e4: 02028293 addi t0,t0,32 # 80000100 +800000e8: 30529073 csrw mtvec,t0 +800000ec: 800002b7 lui t0,0x80000 +800000f0: fff28293 addi t0,t0,-1 # 7fffffff <_end+0xffffdfaf> +800000f4: 3b029073 csrw pmpaddr0,t0 +800000f8: 01f00293 li t0,31 +800000fc: 3a029073 csrw pmpcfg0,t0 +80000100: 30405073 csrwi mie,0 +80000104: 00000297 auipc t0,0x0 +80000108: 01428293 addi t0,t0,20 # 80000118 +8000010c: 30529073 csrw mtvec,t0 +80000110: 30205073 csrwi medeleg,0 +80000114: 30305073 csrwi mideleg,0 +80000118: 00000193 li gp,0 +8000011c: 00000297 auipc t0,0x0 +80000120: ee828293 addi t0,t0,-280 # 80000004 +80000124: 30529073 csrw mtvec,t0 +80000128: 00100513 li a0,1 +8000012c: 01f51513 slli a0,a0,0x1f +80000130: 00054c63 bltz a0,80000148 +80000134: 0ff0000f fence +80000138: 00100193 li gp,1 +8000013c: 05d00893 li a7,93 +80000140: 00000513 li a0,0 +80000144: 00000073 ecall +80000148: 80000297 auipc t0,0x80000 +8000014c: eb828293 addi t0,t0,-328 # 0 <_start-0x80000000> +80000150: 00028a63 beqz t0,80000164 +80000154: 10529073 csrw stvec,t0 +80000158: 0000b2b7 lui t0,0xb +8000015c: 10928293 addi t0,t0,265 # b109 <_start-0x7fff4ef7> +80000160: 30229073 csrw medeleg,t0 +80000164: 30005073 csrwi mstatus,0 +80000168: 00002537 lui a0,0x2 +8000016c: 30052073 csrs mstatus,a0 +80000170: 00305073 csrwi fcsr,0 +80000174: 00000297 auipc t0,0x0 +80000178: 01428293 addi t0,t0,20 # 80000188 +8000017c: 34129073 csrw mepc,t0 +80000180: f1402573 csrr a0,mhartid +80000184: 30200073 mret +80000188: 00002417 auipc s0,0x2 +8000018c: ea840413 addi s0,s0,-344 # 80002030 + +80000190 : +80000190: 00043107 fld ft2,0(s0) +80000194: 00243827 fsd ft2,16(s0) +80000198: 01042503 lw a0,16(s0) +8000019c: 01442583 lw a1,20(s0) +800001a0: 00002797 auipc a5,0x2 +800001a4: e6078793 addi a5,a5,-416 # 80002000 +800001a8: 0007a383 lw t2,0(a5) +800001ac: 0047a783 lw a5,4(a5) +800001b0: 00200193 li gp,2 +800001b4: 0a751e63 bne a0,t2,80000270 +800001b8: 0af59c63 bne a1,a5,80000270 + +800001bc : +800001bc: 00043107 fld ft2,0(s0) +800001c0: 00242827 fsw ft2,16(s0) +800001c4: 01042503 lw a0,16(s0) +800001c8: 01442583 lw a1,20(s0) +800001cc: 00002797 auipc a5,0x2 +800001d0: e3c78793 addi a5,a5,-452 # 80002008 +800001d4: 0007a383 lw t2,0(a5) +800001d8: 0047a783 lw a5,4(a5) +800001dc: 00300193 li gp,3 +800001e0: 08751863 bne a0,t2,80000270 +800001e4: 08f59663 bne a1,a5,80000270 + +800001e8 : +800001e8: 00042107 flw ft2,0(s0) +800001ec: 00242827 fsw ft2,16(s0) +800001f0: 01042503 lw a0,16(s0) +800001f4: 01442583 lw a1,20(s0) +800001f8: 00002797 auipc a5,0x2 +800001fc: e1878793 addi a5,a5,-488 # 80002010 +80000200: 0007a383 lw t2,0(a5) +80000204: 0047a783 lw a5,4(a5) +80000208: 00400193 li gp,4 +8000020c: 06751263 bne a0,t2,80000270 +80000210: 06f59063 bne a1,a5,80000270 + +80000214 : +80000214: 00843107 fld ft2,8(s0) +80000218: 00243827 fsd ft2,16(s0) +8000021c: 01042503 lw a0,16(s0) +80000220: 01442583 lw a1,20(s0) +80000224: 00002797 auipc a5,0x2 +80000228: df478793 addi a5,a5,-524 # 80002018 +8000022c: 0007a383 lw t2,0(a5) +80000230: 0047a783 lw a5,4(a5) +80000234: 00500193 li gp,5 +80000238: 02751c63 bne a0,t2,80000270 +8000023c: 02f59a63 bne a1,a5,80000270 + +80000240 : +80000240: 00842107 flw ft2,8(s0) +80000244: 00243827 fsd ft2,16(s0) +80000248: 01042503 lw a0,16(s0) +8000024c: 01442583 lw a1,20(s0) +80000250: 00002797 auipc a5,0x2 +80000254: dd078793 addi a5,a5,-560 # 80002020 +80000258: 0007a383 lw t2,0(a5) +8000025c: 0047a783 lw a5,4(a5) +80000260: 00600193 li gp,6 +80000264: 00751663 bne a0,t2,80000270 +80000268: 00f59463 bne a1,a5,80000270 +8000026c: 02301063 bne zero,gp,8000028c + +80000270 : +80000270: 0ff0000f fence +80000274: 00018063 beqz gp,80000274 +80000278: 00119193 slli gp,gp,0x1 +8000027c: 0011e193 ori gp,gp,1 +80000280: 05d00893 li a7,93 +80000284: 00018513 mv a0,gp +80000288: 00000073 ecall + +8000028c : +8000028c: 0ff0000f fence +80000290: 00100193 li gp,1 +80000294: 05d00893 li a7,93 +80000298: 00000513 li a0,0 +8000029c: 00000073 ecall +800002a0: c0001073 unimp +800002a4: 0000 unimp +800002a6: 0000 unimp +800002a8: 0000 unimp +800002aa: 0000 unimp +800002ac: 0000 unimp +800002ae: 0000 unimp +800002b0: 0000 unimp +800002b2: 0000 unimp +800002b4: 0000 unimp +800002b6: 0000 unimp +800002b8: 0000 unimp +800002ba: 0000 unimp +800002bc: 0000 unimp +800002be: 0000 unimp +800002c0: 0000 unimp +800002c2: 0000 unimp + +Disassembly of section .data: + +80002000 : +80002000: 0000 unimp +80002002: bf80 fsd fs0,56(a5) +80002004: 0000 unimp +80002006: 4000 lw s0,0(s0) + +80002008 : +80002008: 0000 unimp +8000200a: bf80 fsd fs0,56(a5) +8000200c: 0000 unimp +8000200e: 4000 lw s0,0(s0) + +80002010 : +80002010: 0000 unimp +80002012: bf80 fsd fs0,56(a5) +80002014: 0000 unimp +80002016: 4000 lw s0,0(s0) + +80002018 : +80002018: 0000 unimp +8000201a: 4040 lw s0,4(s0) +8000201c: 0000 unimp +8000201e: c080 sw s0,0(s1) + +80002020 : +80002020: 0000 unimp +80002022: 4040 lw s0,4(s0) +80002024: ffff 0xffff +80002026: ffff 0xffff +80002028: 0000 unimp +8000202a: 0000 unimp +8000202c: 0000 unimp +8000202e: 0000 unimp + +80002030 : +80002030: 0000 unimp +80002032: bf80 fsd fs0,56(a5) +80002034: 0000 unimp +80002036: 4000 lw s0,0(s0) +80002038: 0000 unimp +8000203a: 4040 lw s0,4(s0) +8000203c: 0000 unimp +8000203e: c080 sw s0,0(s1) +80002040: deadbeef jal t4,7ffdd62a <_start-0x229d6> +80002044: babe fsd fa5,368(sp) +80002046: cafe sw t6,84(sp) +80002048: 1dea slli s11,s11,0x3a +8000204a: abad j 800025c4 <_end+0x574> +8000204c: d00d beqz s0,80001f6e +8000204e: lui t1,0x1 diff --git a/VexRiscv/src/test/resources/asm/rv32ud-p-recoding.dump b/VexRiscv/src/test/resources/asm/rv32ud-p-recoding.dump new file mode 100644 index 0000000..1136439 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ud-p-recoding.dump @@ -0,0 +1,190 @@ + +rv32ud-p-recoding: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 5391e193 ori gp,gp,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fc3f2023 sw gp,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: 00000093 li ra,0 +80000050: 00000113 li sp,0 +80000054: 00000193 li gp,0 +80000058: 00000213 li tp,0 +8000005c: 00000293 li t0,0 +80000060: 00000313 li t1,0 +80000064: 00000393 li t2,0 +80000068: 00000413 li s0,0 +8000006c: 00000493 li s1,0 +80000070: 00000513 li a0,0 +80000074: 00000593 li a1,0 +80000078: 00000613 li a2,0 +8000007c: 00000693 li a3,0 +80000080: 00000713 li a4,0 +80000084: 00000793 li a5,0 +80000088: 00000813 li a6,0 +8000008c: 00000893 li a7,0 +80000090: 00000913 li s2,0 +80000094: 00000993 li s3,0 +80000098: 00000a13 li s4,0 +8000009c: 00000a93 li s5,0 +800000a0: 00000b13 li s6,0 +800000a4: 00000b93 li s7,0 +800000a8: 00000c13 li s8,0 +800000ac: 00000c93 li s9,0 +800000b0: 00000d13 li s10,0 +800000b4: 00000d93 li s11,0 +800000b8: 00000e13 li t3,0 +800000bc: 00000e93 li t4,0 +800000c0: 00000f13 li t5,0 +800000c4: 00000f93 li t6,0 +800000c8: f1402573 csrr a0,mhartid +800000cc: 00051063 bnez a0,800000cc +800000d0: 00000297 auipc t0,0x0 +800000d4: 01028293 addi t0,t0,16 # 800000e0 +800000d8: 30529073 csrw mtvec,t0 +800000dc: 18005073 csrwi satp,0 +800000e0: 00000297 auipc t0,0x0 +800000e4: 02028293 addi t0,t0,32 # 80000100 +800000e8: 30529073 csrw mtvec,t0 +800000ec: 800002b7 lui t0,0x80000 +800000f0: fff28293 addi t0,t0,-1 # 7fffffff <_end+0xffffdfef> +800000f4: 3b029073 csrw pmpaddr0,t0 +800000f8: 01f00293 li t0,31 +800000fc: 3a029073 csrw pmpcfg0,t0 +80000100: 30405073 csrwi mie,0 +80000104: 00000297 auipc t0,0x0 +80000108: 01428293 addi t0,t0,20 # 80000118 +8000010c: 30529073 csrw mtvec,t0 +80000110: 30205073 csrwi medeleg,0 +80000114: 30305073 csrwi mideleg,0 +80000118: 00000193 li gp,0 +8000011c: 00000297 auipc t0,0x0 +80000120: ee828293 addi t0,t0,-280 # 80000004 +80000124: 30529073 csrw mtvec,t0 +80000128: 00100513 li a0,1 +8000012c: 01f51513 slli a0,a0,0x1f +80000130: 00054c63 bltz a0,80000148 +80000134: 0ff0000f fence +80000138: 00100193 li gp,1 +8000013c: 05d00893 li a7,93 +80000140: 00000513 li a0,0 +80000144: 00000073 ecall +80000148: 80000297 auipc t0,0x80000 +8000014c: eb828293 addi t0,t0,-328 # 0 <_start-0x80000000> +80000150: 00028a63 beqz t0,80000164 +80000154: 10529073 csrw stvec,t0 +80000158: 0000b2b7 lui t0,0xb +8000015c: 10928293 addi t0,t0,265 # b109 <_start-0x7fff4ef7> +80000160: 30229073 csrw medeleg,t0 +80000164: 30005073 csrwi mstatus,0 +80000168: 00002537 lui a0,0x2 +8000016c: 30052073 csrs mstatus,a0 +80000170: 00305073 csrwi fcsr,0 +80000174: 00000297 auipc t0,0x0 +80000178: 01428293 addi t0,t0,20 # 80000188 +8000017c: 34129073 csrw mepc,t0 +80000180: f1402573 csrr a0,mhartid +80000184: 30200073 mret +80000188: 00002517 auipc a0,0x2 +8000018c: e7852007 flw ft0,-392(a0) # 80002000 +80000190: 00002517 auipc a0,0x2 +80000194: e7452087 flw ft1,-396(a0) # 80002004 +80000198: 1000f0d3 fmul.s ft1,ft1,ft0 + +8000019c : +8000019c: a0102553 feq.s a0,ft0,ft1 +800001a0: 00100393 li t2,1 +800001a4: 00200193 li gp,2 +800001a8: 06751463 bne a0,t2,80000210 + +800001ac : +800001ac: a0100553 fle.s a0,ft0,ft1 +800001b0: 00100393 li t2,1 +800001b4: 00300193 li gp,3 +800001b8: 04751c63 bne a0,t2,80000210 + +800001bc : +800001bc: a0101553 flt.s a0,ft0,ft1 +800001c0: 00000393 li t2,0 +800001c4: 00400193 li gp,4 +800001c8: 04751463 bne a0,t2,80000210 +800001cc: d0007053 fcvt.s.w ft0,zero +800001d0: 00100513 li a0,1 +800001d4: d00570d3 fcvt.s.w ft1,a0 +800001d8: 1000f0d3 fmul.s ft1,ft1,ft0 + +800001dc : +800001dc: a0102553 feq.s a0,ft0,ft1 +800001e0: 00100393 li t2,1 +800001e4: 00500193 li gp,5 +800001e8: 02751463 bne a0,t2,80000210 + +800001ec : +800001ec: a0100553 fle.s a0,ft0,ft1 +800001f0: 00100393 li t2,1 +800001f4: 00600193 li gp,6 +800001f8: 00751c63 bne a0,t2,80000210 + +800001fc : +800001fc: a0101553 flt.s a0,ft0,ft1 +80000200: 00000393 li t2,0 +80000204: 00700193 li gp,7 +80000208: 00751463 bne a0,t2,80000210 +8000020c: 02301063 bne zero,gp,8000022c + +80000210 : +80000210: 0ff0000f fence +80000214: 00018063 beqz gp,80000214 +80000218: 00119193 slli gp,gp,0x1 +8000021c: 0011e193 ori gp,gp,1 +80000220: 05d00893 li a7,93 +80000224: 00018513 mv a0,gp +80000228: 00000073 ecall + +8000022c : +8000022c: 0ff0000f fence +80000230: 00100193 li gp,1 +80000234: 05d00893 li a7,93 +80000238: 00000513 li a0,0 +8000023c: 00000073 ecall +80000240: c0001073 unimp + +Disassembly of section .data: + +80002000 : +80002000: 0000 unimp +80002002: ff80 fsw fs0,56(a5) + +80002004 : +80002004: 0000 unimp +80002006: 4040 lw s0,4(s0) +80002008: 0000 unimp +8000200a: 0000 unimp +8000200c: 0000 unimp +8000200e: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32uf-p-fadd.dump b/VexRiscv/src/test/resources/asm/rv32uf-p-fadd.dump new file mode 100644 index 0000000..af247c2 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32uf-p-fadd.dump @@ -0,0 +1,402 @@ + +rv32uf-p-fadd: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 5391e193 ori gp,gp,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fc3f2023 sw gp,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: 00000093 li ra,0 +80000050: 00000113 li sp,0 +80000054: 00000193 li gp,0 +80000058: 00000213 li tp,0 +8000005c: 00000293 li t0,0 +80000060: 00000313 li t1,0 +80000064: 00000393 li t2,0 +80000068: 00000413 li s0,0 +8000006c: 00000493 li s1,0 +80000070: 00000513 li a0,0 +80000074: 00000593 li a1,0 +80000078: 00000613 li a2,0 +8000007c: 00000693 li a3,0 +80000080: 00000713 li a4,0 +80000084: 00000793 li a5,0 +80000088: 00000813 li a6,0 +8000008c: 00000893 li a7,0 +80000090: 00000913 li s2,0 +80000094: 00000993 li s3,0 +80000098: 00000a13 li s4,0 +8000009c: 00000a93 li s5,0 +800000a0: 00000b13 li s6,0 +800000a4: 00000b93 li s7,0 +800000a8: 00000c13 li s8,0 +800000ac: 00000c93 li s9,0 +800000b0: 00000d13 li s10,0 +800000b4: 00000d93 li s11,0 +800000b8: 00000e13 li t3,0 +800000bc: 00000e93 li t4,0 +800000c0: 00000f13 li t5,0 +800000c4: 00000f93 li t6,0 +800000c8: f1402573 csrr a0,mhartid +800000cc: 00051063 bnez a0,800000cc +800000d0: 00000297 auipc t0,0x0 +800000d4: 01028293 addi t0,t0,16 # 800000e0 +800000d8: 30529073 csrw mtvec,t0 +800000dc: 18005073 csrwi satp,0 +800000e0: 00000297 auipc t0,0x0 +800000e4: 02028293 addi t0,t0,32 # 80000100 +800000e8: 30529073 csrw mtvec,t0 +800000ec: 800002b7 lui t0,0x80000 +800000f0: fff28293 addi t0,t0,-1 # 7fffffff <_end+0xffffdf5f> +800000f4: 3b029073 csrw pmpaddr0,t0 +800000f8: 01f00293 li t0,31 +800000fc: 3a029073 csrw pmpcfg0,t0 +80000100: 30405073 csrwi mie,0 +80000104: 00000297 auipc t0,0x0 +80000108: 01428293 addi t0,t0,20 # 80000118 +8000010c: 30529073 csrw mtvec,t0 +80000110: 30205073 csrwi medeleg,0 +80000114: 30305073 csrwi mideleg,0 +80000118: 00000193 li gp,0 +8000011c: 00000297 auipc t0,0x0 +80000120: ee828293 addi t0,t0,-280 # 80000004 +80000124: 30529073 csrw mtvec,t0 +80000128: 00100513 li a0,1 +8000012c: 01f51513 slli a0,a0,0x1f +80000130: 00054c63 bltz a0,80000148 +80000134: 0ff0000f fence +80000138: 00100193 li gp,1 +8000013c: 05d00893 li a7,93 +80000140: 00000513 li a0,0 +80000144: 00000073 ecall +80000148: 80000297 auipc t0,0x80000 +8000014c: eb828293 addi t0,t0,-328 # 0 <_start-0x80000000> +80000150: 00028a63 beqz t0,80000164 +80000154: 10529073 csrw stvec,t0 +80000158: 0000b2b7 lui t0,0xb +8000015c: 10928293 addi t0,t0,265 # b109 <_start-0x7fff4ef7> +80000160: 30229073 csrw medeleg,t0 +80000164: 30005073 csrwi mstatus,0 +80000168: 00002537 lui a0,0x2 +8000016c: 30052073 csrs mstatus,a0 +80000170: 00305073 csrwi fcsr,0 +80000174: 00000297 auipc t0,0x0 +80000178: 01428293 addi t0,t0,20 # 80000188 +8000017c: 34129073 csrw mepc,t0 +80000180: f1402573 csrr a0,mhartid +80000184: 30200073 mret + +80000188 : +80000188: 00200193 li gp,2 +8000018c: 00002517 auipc a0,0x2 +80000190: e7450513 addi a0,a0,-396 # 80002000 +80000194: 00052007 flw ft0,0(a0) +80000198: 00452087 flw ft1,4(a0) +8000019c: 00852107 flw ft2,8(a0) +800001a0: 00c52683 lw a3,12(a0) +800001a4: 001071d3 fadd.s ft3,ft0,ft1 +800001a8: e0018553 fmv.x.w a0,ft3 +800001ac: 001015f3 fsflags a1,zero +800001b0: 00000613 li a2,0 +800001b4: 1ed51063 bne a0,a3,80000394 +800001b8: 1cc59e63 bne a1,a2,80000394 + +800001bc : +800001bc: 00300193 li gp,3 +800001c0: 00002517 auipc a0,0x2 +800001c4: e5050513 addi a0,a0,-432 # 80002010 +800001c8: 00052007 flw ft0,0(a0) +800001cc: 00452087 flw ft1,4(a0) +800001d0: 00852107 flw ft2,8(a0) +800001d4: 00c52683 lw a3,12(a0) +800001d8: 001071d3 fadd.s ft3,ft0,ft1 +800001dc: e0018553 fmv.x.w a0,ft3 +800001e0: 001015f3 fsflags a1,zero +800001e4: 00100613 li a2,1 +800001e8: 1ad51663 bne a0,a3,80000394 +800001ec: 1ac59463 bne a1,a2,80000394 + +800001f0 : +800001f0: 00400193 li gp,4 +800001f4: 00002517 auipc a0,0x2 +800001f8: e2c50513 addi a0,a0,-468 # 80002020 +800001fc: 00052007 flw ft0,0(a0) +80000200: 00452087 flw ft1,4(a0) +80000204: 00852107 flw ft2,8(a0) +80000208: 00c52683 lw a3,12(a0) +8000020c: 001071d3 fadd.s ft3,ft0,ft1 +80000210: e0018553 fmv.x.w a0,ft3 +80000214: 001015f3 fsflags a1,zero +80000218: 00100613 li a2,1 +8000021c: 16d51c63 bne a0,a3,80000394 +80000220: 16c59a63 bne a1,a2,80000394 + +80000224 : +80000224: 00500193 li gp,5 +80000228: 00002517 auipc a0,0x2 +8000022c: e0850513 addi a0,a0,-504 # 80002030 +80000230: 00052007 flw ft0,0(a0) +80000234: 00452087 flw ft1,4(a0) +80000238: 00852107 flw ft2,8(a0) +8000023c: 00c52683 lw a3,12(a0) +80000240: 081071d3 fsub.s ft3,ft0,ft1 +80000244: e0018553 fmv.x.w a0,ft3 +80000248: 001015f3 fsflags a1,zero +8000024c: 00000613 li a2,0 +80000250: 14d51263 bne a0,a3,80000394 +80000254: 14c59063 bne a1,a2,80000394 + +80000258 : +80000258: 00600193 li gp,6 +8000025c: 00002517 auipc a0,0x2 +80000260: de450513 addi a0,a0,-540 # 80002040 +80000264: 00052007 flw ft0,0(a0) +80000268: 00452087 flw ft1,4(a0) +8000026c: 00852107 flw ft2,8(a0) +80000270: 00c52683 lw a3,12(a0) +80000274: 081071d3 fsub.s ft3,ft0,ft1 +80000278: e0018553 fmv.x.w a0,ft3 +8000027c: 001015f3 fsflags a1,zero +80000280: 00100613 li a2,1 +80000284: 10d51863 bne a0,a3,80000394 +80000288: 10c59663 bne a1,a2,80000394 + +8000028c : +8000028c: 00700193 li gp,7 +80000290: 00002517 auipc a0,0x2 +80000294: dc050513 addi a0,a0,-576 # 80002050 +80000298: 00052007 flw ft0,0(a0) +8000029c: 00452087 flw ft1,4(a0) +800002a0: 00852107 flw ft2,8(a0) +800002a4: 00c52683 lw a3,12(a0) +800002a8: 081071d3 fsub.s ft3,ft0,ft1 +800002ac: e0018553 fmv.x.w a0,ft3 +800002b0: 001015f3 fsflags a1,zero +800002b4: 00100613 li a2,1 +800002b8: 0cd51e63 bne a0,a3,80000394 +800002bc: 0cc59c63 bne a1,a2,80000394 + +800002c0 : +800002c0: 00800193 li gp,8 +800002c4: 00002517 auipc a0,0x2 +800002c8: d9c50513 addi a0,a0,-612 # 80002060 +800002cc: 00052007 flw ft0,0(a0) +800002d0: 00452087 flw ft1,4(a0) +800002d4: 00852107 flw ft2,8(a0) +800002d8: 00c52683 lw a3,12(a0) +800002dc: 101071d3 fmul.s ft3,ft0,ft1 +800002e0: e0018553 fmv.x.w a0,ft3 +800002e4: 001015f3 fsflags a1,zero +800002e8: 00000613 li a2,0 +800002ec: 0ad51463 bne a0,a3,80000394 +800002f0: 0ac59263 bne a1,a2,80000394 + +800002f4 : +800002f4: 00900193 li gp,9 +800002f8: 00002517 auipc a0,0x2 +800002fc: d7850513 addi a0,a0,-648 # 80002070 +80000300: 00052007 flw ft0,0(a0) +80000304: 00452087 flw ft1,4(a0) +80000308: 00852107 flw ft2,8(a0) +8000030c: 00c52683 lw a3,12(a0) +80000310: 101071d3 fmul.s ft3,ft0,ft1 +80000314: e0018553 fmv.x.w a0,ft3 +80000318: 001015f3 fsflags a1,zero +8000031c: 00100613 li a2,1 +80000320: 06d51a63 bne a0,a3,80000394 +80000324: 06c59863 bne a1,a2,80000394 + +80000328 : +80000328: 00a00193 li gp,10 +8000032c: 00002517 auipc a0,0x2 +80000330: d5450513 addi a0,a0,-684 # 80002080 +80000334: 00052007 flw ft0,0(a0) +80000338: 00452087 flw ft1,4(a0) +8000033c: 00852107 flw ft2,8(a0) +80000340: 00c52683 lw a3,12(a0) +80000344: 101071d3 fmul.s ft3,ft0,ft1 +80000348: e0018553 fmv.x.w a0,ft3 +8000034c: 001015f3 fsflags a1,zero +80000350: 00100613 li a2,1 +80000354: 04d51063 bne a0,a3,80000394 +80000358: 02c59e63 bne a1,a2,80000394 + +8000035c : +8000035c: 00b00193 li gp,11 +80000360: 00002517 auipc a0,0x2 +80000364: d3050513 addi a0,a0,-720 # 80002090 +80000368: 00052007 flw ft0,0(a0) +8000036c: 00452087 flw ft1,4(a0) +80000370: 00852107 flw ft2,8(a0) +80000374: 00c52683 lw a3,12(a0) +80000378: 081071d3 fsub.s ft3,ft0,ft1 +8000037c: e0018553 fmv.x.w a0,ft3 +80000380: 001015f3 fsflags a1,zero +80000384: 01000613 li a2,16 +80000388: 00d51663 bne a0,a3,80000394 +8000038c: 00c59463 bne a1,a2,80000394 +80000390: 02301063 bne zero,gp,800003b0 + +80000394 : +80000394: 0ff0000f fence +80000398: 00018063 beqz gp,80000398 +8000039c: 00119193 slli gp,gp,0x1 +800003a0: 0011e193 ori gp,gp,1 +800003a4: 05d00893 li a7,93 +800003a8: 00018513 mv a0,gp +800003ac: 00000073 ecall + +800003b0 : +800003b0: 0ff0000f fence +800003b4: 00100193 li gp,1 +800003b8: 05d00893 li a7,93 +800003bc: 00000513 li a0,0 +800003c0: 00000073 ecall +800003c4: c0001073 unimp +800003c8: 0000 unimp +800003ca: 0000 unimp +800003cc: 0000 unimp +800003ce: 0000 unimp +800003d0: 0000 unimp +800003d2: 0000 unimp +800003d4: 0000 unimp +800003d6: 0000 unimp +800003d8: 0000 unimp +800003da: 0000 unimp +800003dc: 0000 unimp +800003de: 0000 unimp +800003e0: 0000 unimp +800003e2: 0000 unimp +800003e4: 0000 unimp +800003e6: 0000 unimp +800003e8: 0000 unimp +800003ea: 0000 unimp +800003ec: 0000 unimp +800003ee: 0000 unimp +800003f0: 0000 unimp +800003f2: 0000 unimp +800003f4: 0000 unimp +800003f6: 0000 unimp +800003f8: 0000 unimp +800003fa: 0000 unimp +800003fc: 0000 unimp +800003fe: 0000 unimp +80000400: 0000 unimp +80000402: 0000 unimp + +Disassembly of section .data: + +80002000 : +80002000: 0000 unimp +80002002: 4020 lw s0,64(s0) +80002004: 0000 unimp +80002006: 3f80 fld fs0,56(a5) +80002008: 0000 unimp +8000200a: 0000 unimp +8000200c: 0000 unimp +8000200e: 4060 lw s0,68(s0) + +80002010 : +80002010: c49a6333 0xc49a6333 +80002014: cccd beqz s1,800020ce <_end+0x2e> +80002016: 3f8c fld fa1,56(a5) +80002018: 0000 unimp +8000201a: 0000 unimp +8000201c: 4000 lw s0,0(s0) +8000201e: c49a sw t1,72(sp) + +80002020 : +80002020: 40490fdb 0x40490fdb +80002024: 322bcc77 0x322bcc77 +80002028: 0000 unimp +8000202a: 0000 unimp +8000202c: 40490fdb 0x40490fdb + +80002030 : +80002030: 0000 unimp +80002032: 4020 lw s0,64(s0) +80002034: 0000 unimp +80002036: 3f80 fld fs0,56(a5) +80002038: 0000 unimp +8000203a: 0000 unimp +8000203c: 0000 unimp +8000203e: 3fc0 fld fs0,184(a5) + +80002040 : +80002040: c49a6333 0xc49a6333 +80002044: cccd beqz s1,800020fe <_end+0x5e> +80002046: bf8c fsd fa1,56(a5) +80002048: 0000 unimp +8000204a: 0000 unimp +8000204c: 4000 lw s0,0(s0) +8000204e: c49a sw t1,72(sp) + +80002050 : +80002050: 40490fdb 0x40490fdb +80002054: 322bcc77 0x322bcc77 +80002058: 0000 unimp +8000205a: 0000 unimp +8000205c: 40490fdb 0x40490fdb + +80002060 : +80002060: 0000 unimp +80002062: 4020 lw s0,64(s0) +80002064: 0000 unimp +80002066: 3f80 fld fs0,56(a5) +80002068: 0000 unimp +8000206a: 0000 unimp +8000206c: 0000 unimp +8000206e: 4020 lw s0,64(s0) + +80002070 : +80002070: c49a6333 0xc49a6333 +80002074: cccd beqz s1,8000212e <_end+0x8e> +80002076: bf8c fsd fa1,56(a5) +80002078: 0000 unimp +8000207a: 0000 unimp +8000207c: d385 beqz a5,80001f9c +8000207e: 44a9 li s1,10 + +80002080 : +80002080: 40490fdb 0x40490fdb +80002084: 322bcc77 0x322bcc77 +80002088: 0000 unimp +8000208a: 0000 unimp +8000208c: ee2d bnez a2,80002106 <_end+0x66> +8000208e: 3306 fld ft6,96(sp) + +80002090 : +80002090: 0000 unimp +80002092: 7f80 flw fs0,56(a5) +80002094: 0000 unimp +80002096: 7f80 flw fs0,56(a5) +80002098: 0000 unimp +8000209a: 0000 unimp +8000209c: 0000 unimp +8000209e: 7fc0 flw fs0,60(a5) diff --git a/VexRiscv/src/test/resources/asm/rv32uf-p-fclass.dump b/VexRiscv/src/test/resources/asm/rv32uf-p-fclass.dump new file mode 100644 index 0000000..38e8286 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32uf-p-fclass.dump @@ -0,0 +1,218 @@ + +rv32uf-p-fclass: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 5391e193 ori gp,gp,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fc3f2023 sw gp,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: 00000093 li ra,0 +80000050: 00000113 li sp,0 +80000054: 00000193 li gp,0 +80000058: 00000213 li tp,0 +8000005c: 00000293 li t0,0 +80000060: 00000313 li t1,0 +80000064: 00000393 li t2,0 +80000068: 00000413 li s0,0 +8000006c: 00000493 li s1,0 +80000070: 00000513 li a0,0 +80000074: 00000593 li a1,0 +80000078: 00000613 li a2,0 +8000007c: 00000693 li a3,0 +80000080: 00000713 li a4,0 +80000084: 00000793 li a5,0 +80000088: 00000813 li a6,0 +8000008c: 00000893 li a7,0 +80000090: 00000913 li s2,0 +80000094: 00000993 li s3,0 +80000098: 00000a13 li s4,0 +8000009c: 00000a93 li s5,0 +800000a0: 00000b13 li s6,0 +800000a4: 00000b93 li s7,0 +800000a8: 00000c13 li s8,0 +800000ac: 00000c93 li s9,0 +800000b0: 00000d13 li s10,0 +800000b4: 00000d93 li s11,0 +800000b8: 00000e13 li t3,0 +800000bc: 00000e93 li t4,0 +800000c0: 00000f13 li t5,0 +800000c4: 00000f93 li t6,0 +800000c8: f1402573 csrr a0,mhartid +800000cc: 00051063 bnez a0,800000cc +800000d0: 00000297 auipc t0,0x0 +800000d4: 01028293 addi t0,t0,16 # 800000e0 +800000d8: 30529073 csrw mtvec,t0 +800000dc: 18005073 csrwi satp,0 +800000e0: 00000297 auipc t0,0x0 +800000e4: 02028293 addi t0,t0,32 # 80000100 +800000e8: 30529073 csrw mtvec,t0 +800000ec: 800002b7 lui t0,0x80000 +800000f0: fff28293 addi t0,t0,-1 # 7fffffff <_end+0xffffdfff> +800000f4: 3b029073 csrw pmpaddr0,t0 +800000f8: 01f00293 li t0,31 +800000fc: 3a029073 csrw pmpcfg0,t0 +80000100: 30405073 csrwi mie,0 +80000104: 00000297 auipc t0,0x0 +80000108: 01428293 addi t0,t0,20 # 80000118 +8000010c: 30529073 csrw mtvec,t0 +80000110: 30205073 csrwi medeleg,0 +80000114: 30305073 csrwi mideleg,0 +80000118: 00000193 li gp,0 +8000011c: 00000297 auipc t0,0x0 +80000120: ee828293 addi t0,t0,-280 # 80000004 +80000124: 30529073 csrw mtvec,t0 +80000128: 00100513 li a0,1 +8000012c: 01f51513 slli a0,a0,0x1f +80000130: 00054c63 bltz a0,80000148 +80000134: 0ff0000f fence +80000138: 00100193 li gp,1 +8000013c: 05d00893 li a7,93 +80000140: 00000513 li a0,0 +80000144: 00000073 ecall +80000148: 80000297 auipc t0,0x80000 +8000014c: eb828293 addi t0,t0,-328 # 0 <_start-0x80000000> +80000150: 00028a63 beqz t0,80000164 +80000154: 10529073 csrw stvec,t0 +80000158: 0000b2b7 lui t0,0xb +8000015c: 10928293 addi t0,t0,265 # b109 <_start-0x7fff4ef7> +80000160: 30229073 csrw medeleg,t0 +80000164: 30005073 csrwi mstatus,0 +80000168: 00002537 lui a0,0x2 +8000016c: 30052073 csrs mstatus,a0 +80000170: 00305073 csrwi fcsr,0 +80000174: 00000297 auipc t0,0x0 +80000178: 01428293 addi t0,t0,20 # 80000188 +8000017c: 34129073 csrw mepc,t0 +80000180: f1402573 csrr a0,mhartid +80000184: 30200073 mret + +80000188 : +80000188: ff800537 lui a0,0xff800 +8000018c: f0050553 fmv.w.x fa0,a0 +80000190: e0051553 fclass.s a0,fa0 +80000194: 00100393 li t2,1 +80000198: 00200193 li gp,2 +8000019c: 0e751663 bne a0,t2,80000288 + +800001a0 : +800001a0: bf800537 lui a0,0xbf800 +800001a4: f0050553 fmv.w.x fa0,a0 +800001a8: e0051553 fclass.s a0,fa0 +800001ac: 00200393 li t2,2 +800001b0: 00300193 li gp,3 +800001b4: 0c751a63 bne a0,t2,80000288 + +800001b8 : +800001b8: 80800537 lui a0,0x80800 +800001bc: fff50513 addi a0,a0,-1 # 807fffff <_end+0x7fdfff> +800001c0: f0050553 fmv.w.x fa0,a0 +800001c4: e0051553 fclass.s a0,fa0 +800001c8: 00400393 li t2,4 +800001cc: 00400193 li gp,4 +800001d0: 0a751c63 bne a0,t2,80000288 + +800001d4 : +800001d4: 80000537 lui a0,0x80000 +800001d8: f0050553 fmv.w.x fa0,a0 +800001dc: e0051553 fclass.s a0,fa0 +800001e0: 00800393 li t2,8 +800001e4: 00500193 li gp,5 +800001e8: 0a751063 bne a0,t2,80000288 + +800001ec : +800001ec: 00000513 li a0,0 +800001f0: f0050553 fmv.w.x fa0,a0 +800001f4: e0051553 fclass.s a0,fa0 +800001f8: 01000393 li t2,16 +800001fc: 00600193 li gp,6 +80000200: 08751463 bne a0,t2,80000288 + +80000204 : +80000204: 00800537 lui a0,0x800 +80000208: fff50513 addi a0,a0,-1 # 7fffff <_start-0x7f800001> +8000020c: f0050553 fmv.w.x fa0,a0 +80000210: e0051553 fclass.s a0,fa0 +80000214: 02000393 li t2,32 +80000218: 00700193 li gp,7 +8000021c: 06751663 bne a0,t2,80000288 + +80000220 : +80000220: 3f800537 lui a0,0x3f800 +80000224: f0050553 fmv.w.x fa0,a0 +80000228: e0051553 fclass.s a0,fa0 +8000022c: 04000393 li t2,64 +80000230: 00800193 li gp,8 +80000234: 04751a63 bne a0,t2,80000288 + +80000238 : +80000238: 7f800537 lui a0,0x7f800 +8000023c: f0050553 fmv.w.x fa0,a0 +80000240: e0051553 fclass.s a0,fa0 +80000244: 08000393 li t2,128 +80000248: 00900193 li gp,9 +8000024c: 02751e63 bne a0,t2,80000288 + +80000250 : +80000250: 7f800537 lui a0,0x7f800 +80000254: 00150513 addi a0,a0,1 # 7f800001 <_start-0x7fffff> +80000258: f0050553 fmv.w.x fa0,a0 +8000025c: e0051553 fclass.s a0,fa0 +80000260: 10000393 li t2,256 +80000264: 00a00193 li gp,10 +80000268: 02751063 bne a0,t2,80000288 + +8000026c : +8000026c: 7fc00537 lui a0,0x7fc00 +80000270: f0050553 fmv.w.x fa0,a0 +80000274: e0051553 fclass.s a0,fa0 +80000278: 20000393 li t2,512 +8000027c: 00b00193 li gp,11 +80000280: 00751463 bne a0,t2,80000288 +80000284: 02301063 bne zero,gp,800002a4 + +80000288 : +80000288: 0ff0000f fence +8000028c: 00018063 beqz gp,8000028c +80000290: 00119193 slli gp,gp,0x1 +80000294: 0011e193 ori gp,gp,1 +80000298: 05d00893 li a7,93 +8000029c: 00018513 mv a0,gp +800002a0: 00000073 ecall + +800002a4 : +800002a4: 0ff0000f fence +800002a8: 00100193 li gp,1 +800002ac: 05d00893 li a7,93 +800002b0: 00000513 li a0,0 +800002b4: 00000073 ecall +800002b8: c0001073 unimp +800002bc: 0000 unimp +800002be: 0000 unimp +800002c0: 0000 unimp +800002c2: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32uf-p-fcmp.dump b/VexRiscv/src/test/resources/asm/rv32uf-p-fcmp.dump new file mode 100644 index 0000000..50d7524 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32uf-p-fcmp.dump @@ -0,0 +1,510 @@ + +rv32uf-p-fcmp: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 5391e193 ori gp,gp,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fc3f2023 sw gp,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: 00000093 li ra,0 +80000050: 00000113 li sp,0 +80000054: 00000193 li gp,0 +80000058: 00000213 li tp,0 +8000005c: 00000293 li t0,0 +80000060: 00000313 li t1,0 +80000064: 00000393 li t2,0 +80000068: 00000413 li s0,0 +8000006c: 00000493 li s1,0 +80000070: 00000513 li a0,0 +80000074: 00000593 li a1,0 +80000078: 00000613 li a2,0 +8000007c: 00000693 li a3,0 +80000080: 00000713 li a4,0 +80000084: 00000793 li a5,0 +80000088: 00000813 li a6,0 +8000008c: 00000893 li a7,0 +80000090: 00000913 li s2,0 +80000094: 00000993 li s3,0 +80000098: 00000a13 li s4,0 +8000009c: 00000a93 li s5,0 +800000a0: 00000b13 li s6,0 +800000a4: 00000b93 li s7,0 +800000a8: 00000c13 li s8,0 +800000ac: 00000c93 li s9,0 +800000b0: 00000d13 li s10,0 +800000b4: 00000d93 li s11,0 +800000b8: 00000e13 li t3,0 +800000bc: 00000e93 li t4,0 +800000c0: 00000f13 li t5,0 +800000c4: 00000f93 li t6,0 +800000c8: f1402573 csrr a0,mhartid +800000cc: 00051063 bnez a0,800000cc +800000d0: 00000297 auipc t0,0x0 +800000d4: 01028293 addi t0,t0,16 # 800000e0 +800000d8: 30529073 csrw mtvec,t0 +800000dc: 18005073 csrwi satp,0 +800000e0: 00000297 auipc t0,0x0 +800000e4: 02028293 addi t0,t0,32 # 80000100 +800000e8: 30529073 csrw mtvec,t0 +800000ec: 800002b7 lui t0,0x80000 +800000f0: fff28293 addi t0,t0,-1 # 7fffffff <_end+0xffffdf0f> +800000f4: 3b029073 csrw pmpaddr0,t0 +800000f8: 01f00293 li t0,31 +800000fc: 3a029073 csrw pmpcfg0,t0 +80000100: 30405073 csrwi mie,0 +80000104: 00000297 auipc t0,0x0 +80000108: 01428293 addi t0,t0,20 # 80000118 +8000010c: 30529073 csrw mtvec,t0 +80000110: 30205073 csrwi medeleg,0 +80000114: 30305073 csrwi mideleg,0 +80000118: 00000193 li gp,0 +8000011c: 00000297 auipc t0,0x0 +80000120: ee828293 addi t0,t0,-280 # 80000004 +80000124: 30529073 csrw mtvec,t0 +80000128: 00100513 li a0,1 +8000012c: 01f51513 slli a0,a0,0x1f +80000130: 00054c63 bltz a0,80000148 +80000134: 0ff0000f fence +80000138: 00100193 li gp,1 +8000013c: 05d00893 li a7,93 +80000140: 00000513 li a0,0 +80000144: 00000073 ecall +80000148: 80000297 auipc t0,0x80000 +8000014c: eb828293 addi t0,t0,-328 # 0 <_start-0x80000000> +80000150: 00028a63 beqz t0,80000164 +80000154: 10529073 csrw stvec,t0 +80000158: 0000b2b7 lui t0,0xb +8000015c: 10928293 addi t0,t0,265 # b109 <_start-0x7fff4ef7> +80000160: 30229073 csrw medeleg,t0 +80000164: 30005073 csrwi mstatus,0 +80000168: 00002537 lui a0,0x2 +8000016c: 30052073 csrs mstatus,a0 +80000170: 00305073 csrwi fcsr,0 +80000174: 00000297 auipc t0,0x0 +80000178: 01428293 addi t0,t0,20 # 80000188 +8000017c: 34129073 csrw mepc,t0 +80000180: f1402573 csrr a0,mhartid +80000184: 30200073 mret + +80000188 : +80000188: 00200193 li gp,2 +8000018c: 00002517 auipc a0,0x2 +80000190: e7450513 addi a0,a0,-396 # 80002000 +80000194: 00052007 flw ft0,0(a0) +80000198: 00452087 flw ft1,4(a0) +8000019c: 00852107 flw ft2,8(a0) +800001a0: 00c52683 lw a3,12(a0) +800001a4: a0102553 feq.s a0,ft0,ft1 +800001a8: 001015f3 fsflags a1,zero +800001ac: 00000613 li a2,0 +800001b0: 2ad51663 bne a0,a3,8000045c +800001b4: 2ac59463 bne a1,a2,8000045c + +800001b8 : +800001b8: 00300193 li gp,3 +800001bc: 00002517 auipc a0,0x2 +800001c0: e5450513 addi a0,a0,-428 # 80002010 +800001c4: 00052007 flw ft0,0(a0) +800001c8: 00452087 flw ft1,4(a0) +800001cc: 00852107 flw ft2,8(a0) +800001d0: 00c52683 lw a3,12(a0) +800001d4: a0100553 fle.s a0,ft0,ft1 +800001d8: 001015f3 fsflags a1,zero +800001dc: 00000613 li a2,0 +800001e0: 26d51e63 bne a0,a3,8000045c +800001e4: 26c59c63 bne a1,a2,8000045c + +800001e8 : +800001e8: 00400193 li gp,4 +800001ec: 00002517 auipc a0,0x2 +800001f0: e3450513 addi a0,a0,-460 # 80002020 +800001f4: 00052007 flw ft0,0(a0) +800001f8: 00452087 flw ft1,4(a0) +800001fc: 00852107 flw ft2,8(a0) +80000200: 00c52683 lw a3,12(a0) +80000204: a0101553 flt.s a0,ft0,ft1 +80000208: 001015f3 fsflags a1,zero +8000020c: 00000613 li a2,0 +80000210: 24d51663 bne a0,a3,8000045c +80000214: 24c59463 bne a1,a2,8000045c + +80000218 : +80000218: 00500193 li gp,5 +8000021c: 00002517 auipc a0,0x2 +80000220: e1450513 addi a0,a0,-492 # 80002030 +80000224: 00052007 flw ft0,0(a0) +80000228: 00452087 flw ft1,4(a0) +8000022c: 00852107 flw ft2,8(a0) +80000230: 00c52683 lw a3,12(a0) +80000234: a0102553 feq.s a0,ft0,ft1 +80000238: 001015f3 fsflags a1,zero +8000023c: 00000613 li a2,0 +80000240: 20d51e63 bne a0,a3,8000045c +80000244: 20c59c63 bne a1,a2,8000045c + +80000248 : +80000248: 00600193 li gp,6 +8000024c: 00002517 auipc a0,0x2 +80000250: df450513 addi a0,a0,-524 # 80002040 +80000254: 00052007 flw ft0,0(a0) +80000258: 00452087 flw ft1,4(a0) +8000025c: 00852107 flw ft2,8(a0) +80000260: 00c52683 lw a3,12(a0) +80000264: a0100553 fle.s a0,ft0,ft1 +80000268: 001015f3 fsflags a1,zero +8000026c: 00000613 li a2,0 +80000270: 1ed51663 bne a0,a3,8000045c +80000274: 1ec59463 bne a1,a2,8000045c + +80000278 : +80000278: 00700193 li gp,7 +8000027c: 00002517 auipc a0,0x2 +80000280: dd450513 addi a0,a0,-556 # 80002050 +80000284: 00052007 flw ft0,0(a0) +80000288: 00452087 flw ft1,4(a0) +8000028c: 00852107 flw ft2,8(a0) +80000290: 00c52683 lw a3,12(a0) +80000294: a0101553 flt.s a0,ft0,ft1 +80000298: 001015f3 fsflags a1,zero +8000029c: 00000613 li a2,0 +800002a0: 1ad51e63 bne a0,a3,8000045c +800002a4: 1ac59c63 bne a1,a2,8000045c + +800002a8 : +800002a8: 00800193 li gp,8 +800002ac: 00002517 auipc a0,0x2 +800002b0: db450513 addi a0,a0,-588 # 80002060 +800002b4: 00052007 flw ft0,0(a0) +800002b8: 00452087 flw ft1,4(a0) +800002bc: 00852107 flw ft2,8(a0) +800002c0: 00c52683 lw a3,12(a0) +800002c4: a0102553 feq.s a0,ft0,ft1 +800002c8: 001015f3 fsflags a1,zero +800002cc: 00000613 li a2,0 +800002d0: 18d51663 bne a0,a3,8000045c +800002d4: 18c59463 bne a1,a2,8000045c + +800002d8 : +800002d8: 00900193 li gp,9 +800002dc: 00002517 auipc a0,0x2 +800002e0: d9450513 addi a0,a0,-620 # 80002070 +800002e4: 00052007 flw ft0,0(a0) +800002e8: 00452087 flw ft1,4(a0) +800002ec: 00852107 flw ft2,8(a0) +800002f0: 00c52683 lw a3,12(a0) +800002f4: a0102553 feq.s a0,ft0,ft1 +800002f8: 001015f3 fsflags a1,zero +800002fc: 00000613 li a2,0 +80000300: 14d51e63 bne a0,a3,8000045c +80000304: 14c59c63 bne a1,a2,8000045c + +80000308 : +80000308: 00a00193 li gp,10 +8000030c: 00002517 auipc a0,0x2 +80000310: d7450513 addi a0,a0,-652 # 80002080 +80000314: 00052007 flw ft0,0(a0) +80000318: 00452087 flw ft1,4(a0) +8000031c: 00852107 flw ft2,8(a0) +80000320: 00c52683 lw a3,12(a0) +80000324: a0102553 feq.s a0,ft0,ft1 +80000328: 001015f3 fsflags a1,zero +8000032c: 01000613 li a2,16 +80000330: 12d51663 bne a0,a3,8000045c +80000334: 12c59463 bne a1,a2,8000045c + +80000338 : +80000338: 00b00193 li gp,11 +8000033c: 00002517 auipc a0,0x2 +80000340: d5450513 addi a0,a0,-684 # 80002090 +80000344: 00052007 flw ft0,0(a0) +80000348: 00452087 flw ft1,4(a0) +8000034c: 00852107 flw ft2,8(a0) +80000350: 00c52683 lw a3,12(a0) +80000354: a0101553 flt.s a0,ft0,ft1 +80000358: 001015f3 fsflags a1,zero +8000035c: 01000613 li a2,16 +80000360: 0ed51e63 bne a0,a3,8000045c +80000364: 0ec59c63 bne a1,a2,8000045c + +80000368 : +80000368: 00c00193 li gp,12 +8000036c: 00002517 auipc a0,0x2 +80000370: d3450513 addi a0,a0,-716 # 800020a0 +80000374: 00052007 flw ft0,0(a0) +80000378: 00452087 flw ft1,4(a0) +8000037c: 00852107 flw ft2,8(a0) +80000380: 00c52683 lw a3,12(a0) +80000384: a0101553 flt.s a0,ft0,ft1 +80000388: 001015f3 fsflags a1,zero +8000038c: 01000613 li a2,16 +80000390: 0cd51663 bne a0,a3,8000045c +80000394: 0cc59463 bne a1,a2,8000045c + +80000398 : +80000398: 00d00193 li gp,13 +8000039c: 00002517 auipc a0,0x2 +800003a0: d1450513 addi a0,a0,-748 # 800020b0 +800003a4: 00052007 flw ft0,0(a0) +800003a8: 00452087 flw ft1,4(a0) +800003ac: 00852107 flw ft2,8(a0) +800003b0: 00c52683 lw a3,12(a0) +800003b4: a0101553 flt.s a0,ft0,ft1 +800003b8: 001015f3 fsflags a1,zero +800003bc: 01000613 li a2,16 +800003c0: 08d51e63 bne a0,a3,8000045c +800003c4: 08c59c63 bne a1,a2,8000045c + +800003c8 : +800003c8: 00e00193 li gp,14 +800003cc: 00002517 auipc a0,0x2 +800003d0: cf450513 addi a0,a0,-780 # 800020c0 +800003d4: 00052007 flw ft0,0(a0) +800003d8: 00452087 flw ft1,4(a0) +800003dc: 00852107 flw ft2,8(a0) +800003e0: 00c52683 lw a3,12(a0) +800003e4: a0100553 fle.s a0,ft0,ft1 +800003e8: 001015f3 fsflags a1,zero +800003ec: 01000613 li a2,16 +800003f0: 06d51663 bne a0,a3,8000045c +800003f4: 06c59463 bne a1,a2,8000045c + +800003f8 : +800003f8: 00f00193 li gp,15 +800003fc: 00002517 auipc a0,0x2 +80000400: cd450513 addi a0,a0,-812 # 800020d0 +80000404: 00052007 flw ft0,0(a0) +80000408: 00452087 flw ft1,4(a0) +8000040c: 00852107 flw ft2,8(a0) +80000410: 00c52683 lw a3,12(a0) +80000414: a0100553 fle.s a0,ft0,ft1 +80000418: 001015f3 fsflags a1,zero +8000041c: 01000613 li a2,16 +80000420: 02d51e63 bne a0,a3,8000045c +80000424: 02c59c63 bne a1,a2,8000045c + +80000428 : +80000428: 01000193 li gp,16 +8000042c: 00002517 auipc a0,0x2 +80000430: cb450513 addi a0,a0,-844 # 800020e0 +80000434: 00052007 flw ft0,0(a0) +80000438: 00452087 flw ft1,4(a0) +8000043c: 00852107 flw ft2,8(a0) +80000440: 00c52683 lw a3,12(a0) +80000444: a0100553 fle.s a0,ft0,ft1 +80000448: 001015f3 fsflags a1,zero +8000044c: 01000613 li a2,16 +80000450: 00d51663 bne a0,a3,8000045c +80000454: 00c59463 bne a1,a2,8000045c +80000458: 02301063 bne zero,gp,80000478 + +8000045c : +8000045c: 0ff0000f fence +80000460: 00018063 beqz gp,80000460 +80000464: 00119193 slli gp,gp,0x1 +80000468: 0011e193 ori gp,gp,1 +8000046c: 05d00893 li a7,93 +80000470: 00018513 mv a0,gp +80000474: 00000073 ecall + +80000478 : +80000478: 0ff0000f fence +8000047c: 00100193 li gp,1 +80000480: 05d00893 li a7,93 +80000484: 00000513 li a0,0 +80000488: 00000073 ecall +8000048c: c0001073 unimp +80000490: 0000 unimp +80000492: 0000 unimp +80000494: 0000 unimp +80000496: 0000 unimp +80000498: 0000 unimp +8000049a: 0000 unimp +8000049c: 0000 unimp +8000049e: 0000 unimp +800004a0: 0000 unimp +800004a2: 0000 unimp +800004a4: 0000 unimp +800004a6: 0000 unimp +800004a8: 0000 unimp +800004aa: 0000 unimp +800004ac: 0000 unimp +800004ae: 0000 unimp +800004b0: 0000 unimp +800004b2: 0000 unimp +800004b4: 0000 unimp +800004b6: 0000 unimp +800004b8: 0000 unimp +800004ba: 0000 unimp +800004bc: 0000 unimp +800004be: 0000 unimp +800004c0: 0000 unimp +800004c2: 0000 unimp + +Disassembly of section .data: + +80002000 : +80002000: bfae147b 0xbfae147b +80002004: bfae147b 0xbfae147b +80002008: 0000 unimp +8000200a: 0000 unimp +8000200c: 0001 nop +8000200e: 0000 unimp + +80002010 : +80002010: bfae147b 0xbfae147b +80002014: bfae147b 0xbfae147b +80002018: 0000 unimp +8000201a: 0000 unimp +8000201c: 0001 nop +8000201e: 0000 unimp + +80002020 : +80002020: bfae147b 0xbfae147b +80002024: bfae147b 0xbfae147b +80002028: 0000 unimp +8000202a: 0000 unimp +8000202c: 0000 unimp +8000202e: 0000 unimp + +80002030 : +80002030: 5c29 li s8,-22 +80002032: 147bbfaf 0x147bbfaf +80002036: bfae fsd fa1,504(sp) +80002038: 0000 unimp +8000203a: 0000 unimp +8000203c: 0000 unimp +8000203e: 0000 unimp + +80002040 : +80002040: 5c29 li s8,-22 +80002042: 147bbfaf 0x147bbfaf +80002046: bfae fsd fa1,504(sp) +80002048: 0000 unimp +8000204a: 0000 unimp +8000204c: 0001 nop +8000204e: 0000 unimp + +80002050 : +80002050: 5c29 li s8,-22 +80002052: 147bbfaf 0x147bbfaf +80002056: bfae fsd fa1,504(sp) +80002058: 0000 unimp +8000205a: 0000 unimp +8000205c: 0001 nop +8000205e: 0000 unimp + +80002060 : +80002060: ffff 0xffff +80002062: 7fff 0x7fff +80002064: 0000 unimp +80002066: 0000 unimp +80002068: 0000 unimp +8000206a: 0000 unimp +8000206c: 0000 unimp +8000206e: 0000 unimp + +80002070 : +80002070: ffff 0xffff +80002072: 7fff 0x7fff +80002074: ffff 0xffff +80002076: 7fff 0x7fff +80002078: 0000 unimp +8000207a: 0000 unimp +8000207c: 0000 unimp +8000207e: 0000 unimp + +80002080 : +80002080: 0001 nop +80002082: 7f80 flw fs0,56(a5) +80002084: 0000 unimp +80002086: 0000 unimp +80002088: 0000 unimp +8000208a: 0000 unimp +8000208c: 0000 unimp +8000208e: 0000 unimp + +80002090 : +80002090: ffff 0xffff +80002092: 7fff 0x7fff +80002094: 0000 unimp +80002096: 0000 unimp +80002098: 0000 unimp +8000209a: 0000 unimp +8000209c: 0000 unimp +8000209e: 0000 unimp + +800020a0 : +800020a0: ffff 0xffff +800020a2: 7fff 0x7fff +800020a4: ffff 0xffff +800020a6: 7fff 0x7fff +800020a8: 0000 unimp +800020aa: 0000 unimp +800020ac: 0000 unimp +800020ae: 0000 unimp + +800020b0 : +800020b0: 0001 nop +800020b2: 7f80 flw fs0,56(a5) +800020b4: 0000 unimp +800020b6: 0000 unimp +800020b8: 0000 unimp +800020ba: 0000 unimp +800020bc: 0000 unimp +800020be: 0000 unimp + +800020c0 : +800020c0: ffff 0xffff +800020c2: 7fff 0x7fff +800020c4: 0000 unimp +800020c6: 0000 unimp +800020c8: 0000 unimp +800020ca: 0000 unimp +800020cc: 0000 unimp +800020ce: 0000 unimp + +800020d0 : +800020d0: ffff 0xffff +800020d2: 7fff 0x7fff +800020d4: ffff 0xffff +800020d6: 7fff 0x7fff +800020d8: 0000 unimp +800020da: 0000 unimp +800020dc: 0000 unimp +800020de: 0000 unimp + +800020e0 : +800020e0: 0001 nop +800020e2: 7f80 flw fs0,56(a5) +800020e4: 0000 unimp +800020e6: 0000 unimp +800020e8: 0000 unimp +800020ea: 0000 unimp +800020ec: 0000 unimp +800020ee: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32uf-p-fcvt.dump b/VexRiscv/src/test/resources/asm/rv32uf-p-fcvt.dump new file mode 100644 index 0000000..2b648da --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32uf-p-fcvt.dump @@ -0,0 +1,219 @@ + +rv32uf-p-fcvt: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 5391e193 ori gp,gp,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fc3f2023 sw gp,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: 00000093 li ra,0 +80000050: 00000113 li sp,0 +80000054: 00000193 li gp,0 +80000058: 00000213 li tp,0 +8000005c: 00000293 li t0,0 +80000060: 00000313 li t1,0 +80000064: 00000393 li t2,0 +80000068: 00000413 li s0,0 +8000006c: 00000493 li s1,0 +80000070: 00000513 li a0,0 +80000074: 00000593 li a1,0 +80000078: 00000613 li a2,0 +8000007c: 00000693 li a3,0 +80000080: 00000713 li a4,0 +80000084: 00000793 li a5,0 +80000088: 00000813 li a6,0 +8000008c: 00000893 li a7,0 +80000090: 00000913 li s2,0 +80000094: 00000993 li s3,0 +80000098: 00000a13 li s4,0 +8000009c: 00000a93 li s5,0 +800000a0: 00000b13 li s6,0 +800000a4: 00000b93 li s7,0 +800000a8: 00000c13 li s8,0 +800000ac: 00000c93 li s9,0 +800000b0: 00000d13 li s10,0 +800000b4: 00000d93 li s11,0 +800000b8: 00000e13 li t3,0 +800000bc: 00000e93 li t4,0 +800000c0: 00000f13 li t5,0 +800000c4: 00000f93 li t6,0 +800000c8: f1402573 csrr a0,mhartid +800000cc: 00051063 bnez a0,800000cc +800000d0: 00000297 auipc t0,0x0 +800000d4: 01028293 addi t0,t0,16 # 800000e0 +800000d8: 30529073 csrw mtvec,t0 +800000dc: 18005073 csrwi satp,0 +800000e0: 00000297 auipc t0,0x0 +800000e4: 02028293 addi t0,t0,32 # 80000100 +800000e8: 30529073 csrw mtvec,t0 +800000ec: 800002b7 lui t0,0x80000 +800000f0: fff28293 addi t0,t0,-1 # 7fffffff <_end+0xffffdfef> +800000f4: 3b029073 csrw pmpaddr0,t0 +800000f8: 01f00293 li t0,31 +800000fc: 3a029073 csrw pmpcfg0,t0 +80000100: 30405073 csrwi mie,0 +80000104: 00000297 auipc t0,0x0 +80000108: 01428293 addi t0,t0,20 # 80000118 +8000010c: 30529073 csrw mtvec,t0 +80000110: 30205073 csrwi medeleg,0 +80000114: 30305073 csrwi mideleg,0 +80000118: 00000193 li gp,0 +8000011c: 00000297 auipc t0,0x0 +80000120: ee828293 addi t0,t0,-280 # 80000004 +80000124: 30529073 csrw mtvec,t0 +80000128: 00100513 li a0,1 +8000012c: 01f51513 slli a0,a0,0x1f +80000130: 00054c63 bltz a0,80000148 +80000134: 0ff0000f fence +80000138: 00100193 li gp,1 +8000013c: 05d00893 li a7,93 +80000140: 00000513 li a0,0 +80000144: 00000073 ecall +80000148: 80000297 auipc t0,0x80000 +8000014c: eb828293 addi t0,t0,-328 # 0 <_start-0x80000000> +80000150: 00028a63 beqz t0,80000164 +80000154: 10529073 csrw stvec,t0 +80000158: 0000b2b7 lui t0,0xb +8000015c: 10928293 addi t0,t0,265 # b109 <_start-0x7fff4ef7> +80000160: 30229073 csrw medeleg,t0 +80000164: 30005073 csrwi mstatus,0 +80000168: 00002537 lui a0,0x2 +8000016c: 30052073 csrs mstatus,a0 +80000170: 00305073 csrwi fcsr,0 +80000174: 00000297 auipc t0,0x0 +80000178: 01428293 addi t0,t0,20 # 80000188 +8000017c: 34129073 csrw mepc,t0 +80000180: f1402573 csrr a0,mhartid +80000184: 30200073 mret + +80000188 : +80000188: 00200193 li gp,2 +8000018c: 00002517 auipc a0,0x2 +80000190: e7450513 addi a0,a0,-396 # 80002000 +80000194: 00052683 lw a3,0(a0) +80000198: 00200513 li a0,2 +8000019c: d0057053 fcvt.s.w ft0,a0 +800001a0: 00101073 fsflags zero +800001a4: e0000553 fmv.x.w a0,ft0 +800001a8: 06d51a63 bne a0,a3,8000021c + +800001ac : +800001ac: 00300193 li gp,3 +800001b0: 00002517 auipc a0,0x2 +800001b4: e5450513 addi a0,a0,-428 # 80002004 +800001b8: 00052683 lw a3,0(a0) +800001bc: ffe00513 li a0,-2 +800001c0: d0057053 fcvt.s.w ft0,a0 +800001c4: 00101073 fsflags zero +800001c8: e0000553 fmv.x.w a0,ft0 +800001cc: 04d51863 bne a0,a3,8000021c + +800001d0 : +800001d0: 00400193 li gp,4 +800001d4: 00002517 auipc a0,0x2 +800001d8: e3450513 addi a0,a0,-460 # 80002008 +800001dc: 00052683 lw a3,0(a0) +800001e0: 00200513 li a0,2 +800001e4: d0157053 fcvt.s.wu ft0,a0 +800001e8: 00101073 fsflags zero +800001ec: e0000553 fmv.x.w a0,ft0 +800001f0: 02d51663 bne a0,a3,8000021c + +800001f4 : +800001f4: 00500193 li gp,5 +800001f8: 00002517 auipc a0,0x2 +800001fc: e1450513 addi a0,a0,-492 # 8000200c +80000200: 00052683 lw a3,0(a0) +80000204: ffe00513 li a0,-2 +80000208: d0157053 fcvt.s.wu ft0,a0 +8000020c: 00101073 fsflags zero +80000210: e0000553 fmv.x.w a0,ft0 +80000214: 00d51463 bne a0,a3,8000021c +80000218: 02301063 bne zero,gp,80000238 + +8000021c : +8000021c: 0ff0000f fence +80000220: 00018063 beqz gp,80000220 +80000224: 00119193 slli gp,gp,0x1 +80000228: 0011e193 ori gp,gp,1 +8000022c: 05d00893 li a7,93 +80000230: 00018513 mv a0,gp +80000234: 00000073 ecall + +80000238 : +80000238: 0ff0000f fence +8000023c: 00100193 li gp,1 +80000240: 05d00893 li a7,93 +80000244: 00000513 li a0,0 +80000248: 00000073 ecall +8000024c: c0001073 unimp +80000250: 0000 unimp +80000252: 0000 unimp +80000254: 0000 unimp +80000256: 0000 unimp +80000258: 0000 unimp +8000025a: 0000 unimp +8000025c: 0000 unimp +8000025e: 0000 unimp +80000260: 0000 unimp +80000262: 0000 unimp +80000264: 0000 unimp +80000266: 0000 unimp +80000268: 0000 unimp +8000026a: 0000 unimp +8000026c: 0000 unimp +8000026e: 0000 unimp +80000270: 0000 unimp +80000272: 0000 unimp +80000274: 0000 unimp +80000276: 0000 unimp +80000278: 0000 unimp +8000027a: 0000 unimp +8000027c: 0000 unimp +8000027e: 0000 unimp +80000280: 0000 unimp +80000282: 0000 unimp + +Disassembly of section .data: + +80002000 : +80002000: 0000 unimp +80002002: 4000 lw s0,0(s0) + +80002004 : +80002004: 0000 unimp +80002006: c000 sw s0,0(s0) + +80002008 : +80002008: 0000 unimp +8000200a: 4000 lw s0,0(s0) + +8000200c : +8000200c: 0000 unimp +8000200e: 4f80 lw s0,24(a5) diff --git a/VexRiscv/src/test/resources/asm/rv32uf-p-fcvt_w.dump b/VexRiscv/src/test/resources/asm/rv32uf-p-fcvt_w.dump new file mode 100644 index 0000000..48a51a3 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32uf-p-fcvt_w.dump @@ -0,0 +1,632 @@ + +rv32uf-p-fcvt_w: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 5391e193 ori gp,gp,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fc3f2023 sw gp,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: 00000093 li ra,0 +80000050: 00000113 li sp,0 +80000054: 00000193 li gp,0 +80000058: 00000213 li tp,0 +8000005c: 00000293 li t0,0 +80000060: 00000313 li t1,0 +80000064: 00000393 li t2,0 +80000068: 00000413 li s0,0 +8000006c: 00000493 li s1,0 +80000070: 00000513 li a0,0 +80000074: 00000593 li a1,0 +80000078: 00000613 li a2,0 +8000007c: 00000693 li a3,0 +80000080: 00000713 li a4,0 +80000084: 00000793 li a5,0 +80000088: 00000813 li a6,0 +8000008c: 00000893 li a7,0 +80000090: 00000913 li s2,0 +80000094: 00000993 li s3,0 +80000098: 00000a13 li s4,0 +8000009c: 00000a93 li s5,0 +800000a0: 00000b13 li s6,0 +800000a4: 00000b93 li s7,0 +800000a8: 00000c13 li s8,0 +800000ac: 00000c93 li s9,0 +800000b0: 00000d13 li s10,0 +800000b4: 00000d93 li s11,0 +800000b8: 00000e13 li t3,0 +800000bc: 00000e93 li t4,0 +800000c0: 00000f13 li t5,0 +800000c4: 00000f93 li t6,0 +800000c8: f1402573 csrr a0,mhartid +800000cc: 00051063 bnez a0,800000cc +800000d0: 00000297 auipc t0,0x0 +800000d4: 01028293 addi t0,t0,16 # 800000e0 +800000d8: 30529073 csrw mtvec,t0 +800000dc: 18005073 csrwi satp,0 +800000e0: 00000297 auipc t0,0x0 +800000e4: 02028293 addi t0,t0,32 # 80000100 +800000e8: 30529073 csrw mtvec,t0 +800000ec: 800002b7 lui t0,0x80000 +800000f0: fff28293 addi t0,t0,-1 # 7fffffff <_end+0xffffdecf> +800000f4: 3b029073 csrw pmpaddr0,t0 +800000f8: 01f00293 li t0,31 +800000fc: 3a029073 csrw pmpcfg0,t0 +80000100: 30405073 csrwi mie,0 +80000104: 00000297 auipc t0,0x0 +80000108: 01428293 addi t0,t0,20 # 80000118 +8000010c: 30529073 csrw mtvec,t0 +80000110: 30205073 csrwi medeleg,0 +80000114: 30305073 csrwi mideleg,0 +80000118: 00000193 li gp,0 +8000011c: 00000297 auipc t0,0x0 +80000120: ee828293 addi t0,t0,-280 # 80000004 +80000124: 30529073 csrw mtvec,t0 +80000128: 00100513 li a0,1 +8000012c: 01f51513 slli a0,a0,0x1f +80000130: 00054c63 bltz a0,80000148 +80000134: 0ff0000f fence +80000138: 00100193 li gp,1 +8000013c: 05d00893 li a7,93 +80000140: 00000513 li a0,0 +80000144: 00000073 ecall +80000148: 80000297 auipc t0,0x80000 +8000014c: eb828293 addi t0,t0,-328 # 0 <_start-0x80000000> +80000150: 00028a63 beqz t0,80000164 +80000154: 10529073 csrw stvec,t0 +80000158: 0000b2b7 lui t0,0xb +8000015c: 10928293 addi t0,t0,265 # b109 <_start-0x7fff4ef7> +80000160: 30229073 csrw medeleg,t0 +80000164: 30005073 csrwi mstatus,0 +80000168: 00002537 lui a0,0x2 +8000016c: 30052073 csrs mstatus,a0 +80000170: 00305073 csrwi fcsr,0 +80000174: 00000297 auipc t0,0x0 +80000178: 01428293 addi t0,t0,20 # 80000188 +8000017c: 34129073 csrw mepc,t0 +80000180: f1402573 csrr a0,mhartid +80000184: 30200073 mret + +80000188 : +80000188: 00200193 li gp,2 +8000018c: 00002517 auipc a0,0x2 +80000190: e7450513 addi a0,a0,-396 # 80002000 +80000194: 00052007 flw ft0,0(a0) +80000198: 00452087 flw ft1,4(a0) +8000019c: 00852107 flw ft2,8(a0) +800001a0: 00c52683 lw a3,12(a0) +800001a4: c0001553 fcvt.w.s a0,ft0,rtz +800001a8: 001015f3 fsflags a1,zero +800001ac: 00100613 li a2,1 +800001b0: 3cd51463 bne a0,a3,80000578 +800001b4: 3cc59263 bne a1,a2,80000578 + +800001b8 : +800001b8: 00300193 li gp,3 +800001bc: 00002517 auipc a0,0x2 +800001c0: e5450513 addi a0,a0,-428 # 80002010 +800001c4: 00052007 flw ft0,0(a0) +800001c8: 00452087 flw ft1,4(a0) +800001cc: 00852107 flw ft2,8(a0) +800001d0: 00c52683 lw a3,12(a0) +800001d4: c0001553 fcvt.w.s a0,ft0,rtz +800001d8: 001015f3 fsflags a1,zero +800001dc: 00000613 li a2,0 +800001e0: 38d51c63 bne a0,a3,80000578 +800001e4: 38c59a63 bne a1,a2,80000578 + +800001e8 : +800001e8: 00400193 li gp,4 +800001ec: 00002517 auipc a0,0x2 +800001f0: e3450513 addi a0,a0,-460 # 80002020 +800001f4: 00052007 flw ft0,0(a0) +800001f8: 00452087 flw ft1,4(a0) +800001fc: 00852107 flw ft2,8(a0) +80000200: 00c52683 lw a3,12(a0) +80000204: c0001553 fcvt.w.s a0,ft0,rtz +80000208: 001015f3 fsflags a1,zero +8000020c: 00100613 li a2,1 +80000210: 36d51463 bne a0,a3,80000578 +80000214: 36c59263 bne a1,a2,80000578 + +80000218 : +80000218: 00500193 li gp,5 +8000021c: 00002517 auipc a0,0x2 +80000220: e1450513 addi a0,a0,-492 # 80002030 +80000224: 00052007 flw ft0,0(a0) +80000228: 00452087 flw ft1,4(a0) +8000022c: 00852107 flw ft2,8(a0) +80000230: 00c52683 lw a3,12(a0) +80000234: c0001553 fcvt.w.s a0,ft0,rtz +80000238: 001015f3 fsflags a1,zero +8000023c: 00100613 li a2,1 +80000240: 32d51c63 bne a0,a3,80000578 +80000244: 32c59a63 bne a1,a2,80000578 + +80000248 : +80000248: 00600193 li gp,6 +8000024c: 00002517 auipc a0,0x2 +80000250: df450513 addi a0,a0,-524 # 80002040 +80000254: 00052007 flw ft0,0(a0) +80000258: 00452087 flw ft1,4(a0) +8000025c: 00852107 flw ft2,8(a0) +80000260: 00c52683 lw a3,12(a0) +80000264: c0001553 fcvt.w.s a0,ft0,rtz +80000268: 001015f3 fsflags a1,zero +8000026c: 00000613 li a2,0 +80000270: 30d51463 bne a0,a3,80000578 +80000274: 30c59263 bne a1,a2,80000578 + +80000278 : +80000278: 00700193 li gp,7 +8000027c: 00002517 auipc a0,0x2 +80000280: dd450513 addi a0,a0,-556 # 80002050 +80000284: 00052007 flw ft0,0(a0) +80000288: 00452087 flw ft1,4(a0) +8000028c: 00852107 flw ft2,8(a0) +80000290: 00c52683 lw a3,12(a0) +80000294: c0001553 fcvt.w.s a0,ft0,rtz +80000298: 001015f3 fsflags a1,zero +8000029c: 00100613 li a2,1 +800002a0: 2cd51c63 bne a0,a3,80000578 +800002a4: 2cc59a63 bne a1,a2,80000578 + +800002a8 : +800002a8: 00800193 li gp,8 +800002ac: 00002517 auipc a0,0x2 +800002b0: db450513 addi a0,a0,-588 # 80002060 +800002b4: 00052007 flw ft0,0(a0) +800002b8: 00452087 flw ft1,4(a0) +800002bc: 00852107 flw ft2,8(a0) +800002c0: 00c52683 lw a3,12(a0) +800002c4: c0001553 fcvt.w.s a0,ft0,rtz +800002c8: 001015f3 fsflags a1,zero +800002cc: 01000613 li a2,16 +800002d0: 2ad51463 bne a0,a3,80000578 +800002d4: 2ac59263 bne a1,a2,80000578 + +800002d8 : +800002d8: 00900193 li gp,9 +800002dc: 00002517 auipc a0,0x2 +800002e0: d9450513 addi a0,a0,-620 # 80002070 +800002e4: 00052007 flw ft0,0(a0) +800002e8: 00452087 flw ft1,4(a0) +800002ec: 00852107 flw ft2,8(a0) +800002f0: 00c52683 lw a3,12(a0) +800002f4: c0001553 fcvt.w.s a0,ft0,rtz +800002f8: 001015f3 fsflags a1,zero +800002fc: 01000613 li a2,16 +80000300: 26d51c63 bne a0,a3,80000578 +80000304: 26c59a63 bne a1,a2,80000578 + +80000308 : +80000308: 00c00193 li gp,12 +8000030c: 00002517 auipc a0,0x2 +80000310: d7450513 addi a0,a0,-652 # 80002080 +80000314: 00052007 flw ft0,0(a0) +80000318: 00452087 flw ft1,4(a0) +8000031c: 00852107 flw ft2,8(a0) +80000320: 00c52683 lw a3,12(a0) +80000324: c0101553 fcvt.wu.s a0,ft0,rtz +80000328: 001015f3 fsflags a1,zero +8000032c: 01000613 li a2,16 +80000330: 24d51463 bne a0,a3,80000578 +80000334: 24c59263 bne a1,a2,80000578 + +80000338 : +80000338: 00d00193 li gp,13 +8000033c: 00002517 auipc a0,0x2 +80000340: d5450513 addi a0,a0,-684 # 80002090 +80000344: 00052007 flw ft0,0(a0) +80000348: 00452087 flw ft1,4(a0) +8000034c: 00852107 flw ft2,8(a0) +80000350: 00c52683 lw a3,12(a0) +80000354: c0101553 fcvt.wu.s a0,ft0,rtz +80000358: 001015f3 fsflags a1,zero +8000035c: 01000613 li a2,16 +80000360: 20d51c63 bne a0,a3,80000578 +80000364: 20c59a63 bne a1,a2,80000578 + +80000368 : +80000368: 00e00193 li gp,14 +8000036c: 00002517 auipc a0,0x2 +80000370: d3450513 addi a0,a0,-716 # 800020a0 +80000374: 00052007 flw ft0,0(a0) +80000378: 00452087 flw ft1,4(a0) +8000037c: 00852107 flw ft2,8(a0) +80000380: 00c52683 lw a3,12(a0) +80000384: c0101553 fcvt.wu.s a0,ft0,rtz +80000388: 001015f3 fsflags a1,zero +8000038c: 00100613 li a2,1 +80000390: 1ed51463 bne a0,a3,80000578 +80000394: 1ec59263 bne a1,a2,80000578 + +80000398 : +80000398: 00f00193 li gp,15 +8000039c: 00002517 auipc a0,0x2 +800003a0: d1450513 addi a0,a0,-748 # 800020b0 +800003a4: 00052007 flw ft0,0(a0) +800003a8: 00452087 flw ft1,4(a0) +800003ac: 00852107 flw ft2,8(a0) +800003b0: 00c52683 lw a3,12(a0) +800003b4: c0101553 fcvt.wu.s a0,ft0,rtz +800003b8: 001015f3 fsflags a1,zero +800003bc: 00100613 li a2,1 +800003c0: 1ad51c63 bne a0,a3,80000578 +800003c4: 1ac59a63 bne a1,a2,80000578 + +800003c8 : +800003c8: 01000193 li gp,16 +800003cc: 00002517 auipc a0,0x2 +800003d0: cf450513 addi a0,a0,-780 # 800020c0 +800003d4: 00052007 flw ft0,0(a0) +800003d8: 00452087 flw ft1,4(a0) +800003dc: 00852107 flw ft2,8(a0) +800003e0: 00c52683 lw a3,12(a0) +800003e4: c0101553 fcvt.wu.s a0,ft0,rtz +800003e8: 001015f3 fsflags a1,zero +800003ec: 00000613 li a2,0 +800003f0: 18d51463 bne a0,a3,80000578 +800003f4: 18c59263 bne a1,a2,80000578 + +800003f8 : +800003f8: 01100193 li gp,17 +800003fc: 00002517 auipc a0,0x2 +80000400: cd450513 addi a0,a0,-812 # 800020d0 +80000404: 00052007 flw ft0,0(a0) +80000408: 00452087 flw ft1,4(a0) +8000040c: 00852107 flw ft2,8(a0) +80000410: 00c52683 lw a3,12(a0) +80000414: c0101553 fcvt.wu.s a0,ft0,rtz +80000418: 001015f3 fsflags a1,zero +8000041c: 00100613 li a2,1 +80000420: 14d51c63 bne a0,a3,80000578 +80000424: 14c59a63 bne a1,a2,80000578 + +80000428 : +80000428: 01200193 li gp,18 +8000042c: 00002517 auipc a0,0x2 +80000430: cb450513 addi a0,a0,-844 # 800020e0 +80000434: 00052007 flw ft0,0(a0) +80000438: 00452087 flw ft1,4(a0) +8000043c: 00852107 flw ft2,8(a0) +80000440: 00c52683 lw a3,12(a0) +80000444: c0101553 fcvt.wu.s a0,ft0,rtz +80000448: 001015f3 fsflags a1,zero +8000044c: 01000613 li a2,16 +80000450: 12d51463 bne a0,a3,80000578 +80000454: 12c59263 bne a1,a2,80000578 + +80000458 : +80000458: 01300193 li gp,19 +8000045c: 00002517 auipc a0,0x2 +80000460: c9450513 addi a0,a0,-876 # 800020f0 +80000464: 00052007 flw ft0,0(a0) +80000468: 00452087 flw ft1,4(a0) +8000046c: 00852107 flw ft2,8(a0) +80000470: 00c52683 lw a3,12(a0) +80000474: c0101553 fcvt.wu.s a0,ft0,rtz +80000478: 001015f3 fsflags a1,zero +8000047c: 00000613 li a2,0 +80000480: 0ed51c63 bne a0,a3,80000578 +80000484: 0ec59a63 bne a1,a2,80000578 + +80000488 : +80000488: 00002097 auipc ra,0x2 +8000048c: c7808093 addi ra,ra,-904 # 80002100 +80000490: 0000a087 flw ft1,0(ra) +80000494: c000f0d3 fcvt.w.s ra,ft1 +80000498: 800003b7 lui t2,0x80000 +8000049c: fff38393 addi t2,t2,-1 # 7fffffff <_end+0xffffdecf> +800004a0: 02a00193 li gp,42 +800004a4: 0c709a63 bne ra,t2,80000578 + +800004a8 : +800004a8: 00002097 auipc ra,0x2 +800004ac: c5808093 addi ra,ra,-936 # 80002100 +800004b0: 0080a087 flw ft1,8(ra) +800004b4: c000f0d3 fcvt.w.s ra,ft1 +800004b8: 800003b7 lui t2,0x80000 +800004bc: 02c00193 li gp,44 +800004c0: 0a709c63 bne ra,t2,80000578 + +800004c4 : +800004c4: 00002097 auipc ra,0x2 +800004c8: c3c08093 addi ra,ra,-964 # 80002100 +800004cc: 0040a087 flw ft1,4(ra) +800004d0: c000f0d3 fcvt.w.s ra,ft1 +800004d4: 800003b7 lui t2,0x80000 +800004d8: fff38393 addi t2,t2,-1 # 7fffffff <_end+0xffffdecf> +800004dc: 03400193 li gp,52 +800004e0: 08709c63 bne ra,t2,80000578 + +800004e4 : +800004e4: 00002097 auipc ra,0x2 +800004e8: c1c08093 addi ra,ra,-996 # 80002100 +800004ec: 00c0a087 flw ft1,12(ra) +800004f0: c000f0d3 fcvt.w.s ra,ft1 +800004f4: 800003b7 lui t2,0x80000 +800004f8: fff38393 addi t2,t2,-1 # 7fffffff <_end+0xffffdecf> +800004fc: 03600193 li gp,54 +80000500: 06709c63 bne ra,t2,80000578 + +80000504 : +80000504: 00002097 auipc ra,0x2 +80000508: bfc08093 addi ra,ra,-1028 # 80002100 +8000050c: 0000a087 flw ft1,0(ra) +80000510: c010f0d3 fcvt.wu.s ra,ft1 +80000514: fff00393 li t2,-1 +80000518: 03e00193 li gp,62 +8000051c: 04709e63 bne ra,t2,80000578 + +80000520 : +80000520: 00002097 auipc ra,0x2 +80000524: be008093 addi ra,ra,-1056 # 80002100 +80000528: 0040a087 flw ft1,4(ra) +8000052c: c010f0d3 fcvt.wu.s ra,ft1 +80000530: fff00393 li t2,-1 +80000534: 03f00193 li gp,63 +80000538: 04709063 bne ra,t2,80000578 + +8000053c : +8000053c: 00002097 auipc ra,0x2 +80000540: bc408093 addi ra,ra,-1084 # 80002100 +80000544: 0080a087 flw ft1,8(ra) +80000548: c010f0d3 fcvt.wu.s ra,ft1 +8000054c: 00000393 li t2,0 +80000550: 04000193 li gp,64 +80000554: 02709263 bne ra,t2,80000578 + +80000558 : +80000558: 00002097 auipc ra,0x2 +8000055c: ba808093 addi ra,ra,-1112 # 80002100 +80000560: 00c0a087 flw ft1,12(ra) +80000564: c010f0d3 fcvt.wu.s ra,ft1 +80000568: fff00393 li t2,-1 +8000056c: 04100193 li gp,65 +80000570: 00709463 bne ra,t2,80000578 +80000574: 02301063 bne zero,gp,80000594 + +80000578 : +80000578: 0ff0000f fence +8000057c: 00018063 beqz gp,8000057c +80000580: 00119193 slli gp,gp,0x1 +80000584: 0011e193 ori gp,gp,1 +80000588: 05d00893 li a7,93 +8000058c: 00018513 mv a0,gp +80000590: 00000073 ecall + +80000594 : +80000594: 0ff0000f fence +80000598: 00100193 li gp,1 +8000059c: 05d00893 li a7,93 +800005a0: 00000513 li a0,0 +800005a4: 00000073 ecall +800005a8: c0001073 unimp +800005ac: 0000 unimp +800005ae: 0000 unimp +800005b0: 0000 unimp +800005b2: 0000 unimp +800005b4: 0000 unimp +800005b6: 0000 unimp +800005b8: 0000 unimp +800005ba: 0000 unimp +800005bc: 0000 unimp +800005be: 0000 unimp +800005c0: 0000 unimp +800005c2: 0000 unimp + +Disassembly of section .data: + +80002000 : +80002000: cccd beqz s1,800020ba +80002002: bf8c fsd fa1,56(a5) +80002004: 0000 unimp +80002006: 0000 unimp +80002008: 0000 unimp +8000200a: 0000 unimp +8000200c: ffff 0xffff +8000200e: ffff 0xffff + +80002010 : +80002010: 0000 unimp +80002012: bf80 fsd fs0,56(a5) +80002014: 0000 unimp +80002016: 0000 unimp +80002018: 0000 unimp +8000201a: 0000 unimp +8000201c: ffff 0xffff +8000201e: ffff 0xffff + +80002020 : +80002020: 6666 flw fa2,88(sp) +80002022: bf66 fsd fs9,440(sp) +80002024: 0000 unimp +80002026: 0000 unimp +80002028: 0000 unimp +8000202a: 0000 unimp +8000202c: 0000 unimp +8000202e: 0000 unimp + +80002030 : +80002030: 6666 flw fa2,88(sp) +80002032: 3f66 fld ft10,120(sp) +80002034: 0000 unimp +80002036: 0000 unimp +80002038: 0000 unimp +8000203a: 0000 unimp +8000203c: 0000 unimp +8000203e: 0000 unimp + +80002040 : +80002040: 0000 unimp +80002042: 3f80 fld fs0,56(a5) +80002044: 0000 unimp +80002046: 0000 unimp +80002048: 0000 unimp +8000204a: 0000 unimp +8000204c: 0001 nop +8000204e: 0000 unimp + +80002050 : +80002050: cccd beqz s1,8000210a +80002052: 3f8c fld fa1,56(a5) +80002054: 0000 unimp +80002056: 0000 unimp +80002058: 0000 unimp +8000205a: 0000 unimp +8000205c: 0001 nop +8000205e: 0000 unimp + +80002060 : +80002060: d05e sw s7,32(sp) +80002062: cf32 sw a2,156(sp) +80002064: 0000 unimp +80002066: 0000 unimp +80002068: 0000 unimp +8000206a: 0000 unimp +8000206c: 0000 unimp +8000206e: 8000 0x8000 + +80002070 : +80002070: d05e sw s7,32(sp) +80002072: 4f32 lw t5,12(sp) +80002074: 0000 unimp +80002076: 0000 unimp +80002078: 0000 unimp +8000207a: 0000 unimp +8000207c: ffff 0xffff +8000207e: 7fff 0x7fff + +80002080 : +80002080: 0000 unimp +80002082: c040 sw s0,4(s0) +80002084: 0000 unimp +80002086: 0000 unimp +80002088: 0000 unimp +8000208a: 0000 unimp +8000208c: 0000 unimp +8000208e: 0000 unimp + +80002090 : +80002090: 0000 unimp +80002092: bf80 fsd fs0,56(a5) +80002094: 0000 unimp +80002096: 0000 unimp +80002098: 0000 unimp +8000209a: 0000 unimp +8000209c: 0000 unimp +8000209e: 0000 unimp + +800020a0 : +800020a0: 6666 flw fa2,88(sp) +800020a2: bf66 fsd fs9,440(sp) +800020a4: 0000 unimp +800020a6: 0000 unimp +800020a8: 0000 unimp +800020aa: 0000 unimp +800020ac: 0000 unimp +800020ae: 0000 unimp + +800020b0 : +800020b0: 6666 flw fa2,88(sp) +800020b2: 3f66 fld ft10,120(sp) +800020b4: 0000 unimp +800020b6: 0000 unimp +800020b8: 0000 unimp +800020ba: 0000 unimp +800020bc: 0000 unimp +800020be: 0000 unimp + +800020c0 : +800020c0: 0000 unimp +800020c2: 3f80 fld fs0,56(a5) +800020c4: 0000 unimp +800020c6: 0000 unimp +800020c8: 0000 unimp +800020ca: 0000 unimp +800020cc: 0001 nop +800020ce: 0000 unimp + +800020d0 : +800020d0: cccd beqz s1,8000218a <_end+0x5a> +800020d2: 3f8c fld fa1,56(a5) +800020d4: 0000 unimp +800020d6: 0000 unimp +800020d8: 0000 unimp +800020da: 0000 unimp +800020dc: 0001 nop +800020de: 0000 unimp + +800020e0 : +800020e0: d05e sw s7,32(sp) +800020e2: cf32 sw a2,156(sp) +800020e4: 0000 unimp +800020e6: 0000 unimp +800020e8: 0000 unimp +800020ea: 0000 unimp +800020ec: 0000 unimp +800020ee: 0000 unimp + +800020f0 : +800020f0: d05e sw s7,32(sp) +800020f2: 4f32 lw t5,12(sp) +800020f4: 0000 unimp +800020f6: 0000 unimp +800020f8: 0000 unimp +800020fa: 0000 unimp +800020fc: 5e00 lw s0,56(a2) +800020fe: b2d0 fsd fa2,160(a3) + +80002100 : +80002100: ffff 0xffff +80002102: ffff 0xffff +80002104: ffff 0xffff +80002106: 7fff 0x7fff +80002108: 0000 unimp +8000210a: ff80 fsw fs0,56(a5) +8000210c: 0000 unimp +8000210e: 7f80 flw fs0,56(a5) + +80002110 : +80002110: ffff 0xffff +80002112: ffff 0xffff +80002114: ffff 0xffff +80002116: ffff 0xffff +80002118: ffff 0xffff +8000211a: ffff 0xffff +8000211c: ffff 0xffff +8000211e: 7fff 0x7fff +80002120: 0000 unimp +80002122: 0000 unimp +80002124: 0000 unimp +80002126: fff0 fsw fa2,124(a5) +80002128: 0000 unimp +8000212a: 0000 unimp +8000212c: 0000 unimp +8000212e: 7ff0 flw fa2,124(a5) diff --git a/VexRiscv/src/test/resources/asm/rv32uf-p-fdiv.dump b/VexRiscv/src/test/resources/asm/rv32uf-p-fdiv.dump new file mode 100644 index 0000000..0f13dda --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32uf-p-fdiv.dump @@ -0,0 +1,322 @@ + +rv32uf-p-fdiv: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 5391e193 ori gp,gp,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fc3f2023 sw gp,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: 00000093 li ra,0 +80000050: 00000113 li sp,0 +80000054: 00000193 li gp,0 +80000058: 00000213 li tp,0 +8000005c: 00000293 li t0,0 +80000060: 00000313 li t1,0 +80000064: 00000393 li t2,0 +80000068: 00000413 li s0,0 +8000006c: 00000493 li s1,0 +80000070: 00000513 li a0,0 +80000074: 00000593 li a1,0 +80000078: 00000613 li a2,0 +8000007c: 00000693 li a3,0 +80000080: 00000713 li a4,0 +80000084: 00000793 li a5,0 +80000088: 00000813 li a6,0 +8000008c: 00000893 li a7,0 +80000090: 00000913 li s2,0 +80000094: 00000993 li s3,0 +80000098: 00000a13 li s4,0 +8000009c: 00000a93 li s5,0 +800000a0: 00000b13 li s6,0 +800000a4: 00000b93 li s7,0 +800000a8: 00000c13 li s8,0 +800000ac: 00000c93 li s9,0 +800000b0: 00000d13 li s10,0 +800000b4: 00000d93 li s11,0 +800000b8: 00000e13 li t3,0 +800000bc: 00000e93 li t4,0 +800000c0: 00000f13 li t5,0 +800000c4: 00000f93 li t6,0 +800000c8: f1402573 csrr a0,mhartid +800000cc: 00051063 bnez a0,800000cc +800000d0: 00000297 auipc t0,0x0 +800000d4: 01028293 addi t0,t0,16 # 800000e0 +800000d8: 30529073 csrw mtvec,t0 +800000dc: 18005073 csrwi satp,0 +800000e0: 00000297 auipc t0,0x0 +800000e4: 02028293 addi t0,t0,32 # 80000100 +800000e8: 30529073 csrw mtvec,t0 +800000ec: 800002b7 lui t0,0x80000 +800000f0: fff28293 addi t0,t0,-1 # 7fffffff <_end+0xffffdf7f> +800000f4: 3b029073 csrw pmpaddr0,t0 +800000f8: 01f00293 li t0,31 +800000fc: 3a029073 csrw pmpcfg0,t0 +80000100: 30405073 csrwi mie,0 +80000104: 00000297 auipc t0,0x0 +80000108: 01428293 addi t0,t0,20 # 80000118 +8000010c: 30529073 csrw mtvec,t0 +80000110: 30205073 csrwi medeleg,0 +80000114: 30305073 csrwi mideleg,0 +80000118: 00000193 li gp,0 +8000011c: 00000297 auipc t0,0x0 +80000120: ee828293 addi t0,t0,-280 # 80000004 +80000124: 30529073 csrw mtvec,t0 +80000128: 00100513 li a0,1 +8000012c: 01f51513 slli a0,a0,0x1f +80000130: 00054c63 bltz a0,80000148 +80000134: 0ff0000f fence +80000138: 00100193 li gp,1 +8000013c: 05d00893 li a7,93 +80000140: 00000513 li a0,0 +80000144: 00000073 ecall +80000148: 80000297 auipc t0,0x80000 +8000014c: eb828293 addi t0,t0,-328 # 0 <_start-0x80000000> +80000150: 00028a63 beqz t0,80000164 +80000154: 10529073 csrw stvec,t0 +80000158: 0000b2b7 lui t0,0xb +8000015c: 10928293 addi t0,t0,265 # b109 <_start-0x7fff4ef7> +80000160: 30229073 csrw medeleg,t0 +80000164: 30005073 csrwi mstatus,0 +80000168: 00002537 lui a0,0x2 +8000016c: 30052073 csrs mstatus,a0 +80000170: 00305073 csrwi fcsr,0 +80000174: 00000297 auipc t0,0x0 +80000178: 01428293 addi t0,t0,20 # 80000188 +8000017c: 34129073 csrw mepc,t0 +80000180: f1402573 csrr a0,mhartid +80000184: 30200073 mret + +80000188 : +80000188: 00200193 li gp,2 +8000018c: 00002517 auipc a0,0x2 +80000190: e7450513 addi a0,a0,-396 # 80002000 +80000194: 00052007 flw ft0,0(a0) +80000198: 00452087 flw ft1,4(a0) +8000019c: 00852107 flw ft2,8(a0) +800001a0: 00c52683 lw a3,12(a0) +800001a4: 181071d3 fdiv.s ft3,ft0,ft1 +800001a8: e0018553 fmv.x.w a0,ft3 +800001ac: 001015f3 fsflags a1,zero +800001b0: 00100613 li a2,1 +800001b4: 14d51263 bne a0,a3,800002f8 +800001b8: 14c59063 bne a1,a2,800002f8 + +800001bc : +800001bc: 00300193 li gp,3 +800001c0: 00002517 auipc a0,0x2 +800001c4: e5050513 addi a0,a0,-432 # 80002010 +800001c8: 00052007 flw ft0,0(a0) +800001cc: 00452087 flw ft1,4(a0) +800001d0: 00852107 flw ft2,8(a0) +800001d4: 00c52683 lw a3,12(a0) +800001d8: 181071d3 fdiv.s ft3,ft0,ft1 +800001dc: e0018553 fmv.x.w a0,ft3 +800001e0: 001015f3 fsflags a1,zero +800001e4: 00100613 li a2,1 +800001e8: 10d51863 bne a0,a3,800002f8 +800001ec: 10c59663 bne a1,a2,800002f8 + +800001f0 : +800001f0: 00400193 li gp,4 +800001f4: 00002517 auipc a0,0x2 +800001f8: e2c50513 addi a0,a0,-468 # 80002020 +800001fc: 00052007 flw ft0,0(a0) +80000200: 00452087 flw ft1,4(a0) +80000204: 00852107 flw ft2,8(a0) +80000208: 00c52683 lw a3,12(a0) +8000020c: 181071d3 fdiv.s ft3,ft0,ft1 +80000210: e0018553 fmv.x.w a0,ft3 +80000214: 001015f3 fsflags a1,zero +80000218: 00000613 li a2,0 +8000021c: 0cd51e63 bne a0,a3,800002f8 +80000220: 0cc59c63 bne a1,a2,800002f8 + +80000224 : +80000224: 00500193 li gp,5 +80000228: 00002517 auipc a0,0x2 +8000022c: e0850513 addi a0,a0,-504 # 80002030 +80000230: 00052007 flw ft0,0(a0) +80000234: 00452087 flw ft1,4(a0) +80000238: 00852107 flw ft2,8(a0) +8000023c: 00c52683 lw a3,12(a0) +80000240: 580071d3 fsqrt.s ft3,ft0 +80000244: e0018553 fmv.x.w a0,ft3 +80000248: 001015f3 fsflags a1,zero +8000024c: 00100613 li a2,1 +80000250: 0ad51463 bne a0,a3,800002f8 +80000254: 0ac59263 bne a1,a2,800002f8 + +80000258 : +80000258: 00600193 li gp,6 +8000025c: 00002517 auipc a0,0x2 +80000260: de450513 addi a0,a0,-540 # 80002040 +80000264: 00052007 flw ft0,0(a0) +80000268: 00452087 flw ft1,4(a0) +8000026c: 00852107 flw ft2,8(a0) +80000270: 00c52683 lw a3,12(a0) +80000274: 580071d3 fsqrt.s ft3,ft0 +80000278: e0018553 fmv.x.w a0,ft3 +8000027c: 001015f3 fsflags a1,zero +80000280: 00000613 li a2,0 +80000284: 06d51a63 bne a0,a3,800002f8 +80000288: 06c59863 bne a1,a2,800002f8 + +8000028c : +8000028c: 00700193 li gp,7 +80000290: 00002517 auipc a0,0x2 +80000294: dc050513 addi a0,a0,-576 # 80002050 +80000298: 00052007 flw ft0,0(a0) +8000029c: 00452087 flw ft1,4(a0) +800002a0: 00852107 flw ft2,8(a0) +800002a4: 00c52683 lw a3,12(a0) +800002a8: 580071d3 fsqrt.s ft3,ft0 +800002ac: e0018553 fmv.x.w a0,ft3 +800002b0: 001015f3 fsflags a1,zero +800002b4: 01000613 li a2,16 +800002b8: 04d51063 bne a0,a3,800002f8 +800002bc: 02c59e63 bne a1,a2,800002f8 + +800002c0 : +800002c0: 00800193 li gp,8 +800002c4: 00002517 auipc a0,0x2 +800002c8: da050513 addi a0,a0,-608 # 80002064 +800002cc: 00052007 flw ft0,0(a0) +800002d0: 00452087 flw ft1,4(a0) +800002d4: 00852107 flw ft2,8(a0) +800002d8: 00c52683 lw a3,12(a0) +800002dc: 580071d3 fsqrt.s ft3,ft0 +800002e0: e0018553 fmv.x.w a0,ft3 +800002e4: 001015f3 fsflags a1,zero +800002e8: 00100613 li a2,1 +800002ec: 00d51663 bne a0,a3,800002f8 +800002f0: 00c59463 bne a1,a2,800002f8 +800002f4: 02301063 bne zero,gp,80000314 + +800002f8 : +800002f8: 0ff0000f fence +800002fc: 00018063 beqz gp,800002fc +80000300: 00119193 slli gp,gp,0x1 +80000304: 0011e193 ori gp,gp,1 +80000308: 05d00893 li a7,93 +8000030c: 00018513 mv a0,gp +80000310: 00000073 ecall + +80000314 : +80000314: 0ff0000f fence +80000318: 00100193 li gp,1 +8000031c: 05d00893 li a7,93 +80000320: 00000513 li a0,0 +80000324: 00000073 ecall +80000328: c0001073 unimp +8000032c: 0000 unimp +8000032e: 0000 unimp +80000330: 0000 unimp +80000332: 0000 unimp +80000334: 0000 unimp +80000336: 0000 unimp +80000338: 0000 unimp +8000033a: 0000 unimp +8000033c: 0000 unimp +8000033e: 0000 unimp +80000340: 0000 unimp +80000342: 0000 unimp + +Disassembly of section .data: + +80002000 : +80002000: 40490fdb 0x40490fdb +80002004: f854 fsw fa3,52(s0) +80002006: 402d c.li zero,11 +80002008: 0000 unimp +8000200a: 0000 unimp +8000200c: eee0 fsw fs0,92(a3) +8000200e: sltiu t6,zero,1024 + +80002010 : +80002010: 4000 lw s0,0(s0) +80002012: c49a sw t1,72(sp) +80002014: 449a6333 0x449a6333 +80002018: 0000 unimp +8000201a: 0000 unimp +8000201c: c5a2 sw s0,200(sp) +8000201e: bf7f 0xbf7f + +80002020 : +80002020: 40490fdb 0x40490fdb +80002024: 0000 unimp +80002026: 3f80 fld fs0,56(a5) +80002028: 0000 unimp +8000202a: 0000 unimp +8000202c: 40490fdb 0x40490fdb + +80002030 : +80002030: 40490fdb 0x40490fdb +80002034: 0000 unimp +80002036: 0000 unimp +80002038: 0000 unimp +8000203a: 0000 unimp +8000203c: dfc5 beqz a5,80001ff4 +8000203e: 3fe2 fld ft11,56(sp) + +80002040 : +80002040: 4000 lw s0,0(s0) +80002042: 461c lw a5,8(a2) +80002044: 0000 unimp +80002046: 0000 unimp +80002048: 0000 unimp +8000204a: 0000 unimp +8000204c: 0000 unimp +8000204e: 42c8 lw a0,4(a3) + +80002050 : +80002050: 0000 unimp +80002052: bf80 fsd fs0,56(a5) +80002054: 0000 unimp +80002056: 0000 unimp +80002058: 0000 unimp +8000205a: 0000 unimp +8000205c: 0000 unimp +8000205e: 7fc0 flw fs0,60(a5) +80002060: 0000 unimp +80002062: 0000 unimp + +80002064 : +80002064: 0000 unimp +80002066: 0000432b 0x432b +8000206a: 0000 unimp +8000206c: 0000 unimp +8000206e: 0000 unimp +80002070: 3a26 fld fs4,104(sp) +80002072: 4151 li sp,20 +80002074: 0000 unimp +80002076: 0000 unimp +80002078: 0000 unimp +8000207a: 0000 unimp +8000207c: 0000 unimp +8000207e: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32uf-p-fmadd.dump b/VexRiscv/src/test/resources/asm/rv32uf-p-fmadd.dump new file mode 100644 index 0000000..bfec06c --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32uf-p-fmadd.dump @@ -0,0 +1,439 @@ + +rv32uf-p-fmadd: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 5391e193 ori gp,gp,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fc3f2023 sw gp,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: 00000093 li ra,0 +80000050: 00000113 li sp,0 +80000054: 00000193 li gp,0 +80000058: 00000213 li tp,0 +8000005c: 00000293 li t0,0 +80000060: 00000313 li t1,0 +80000064: 00000393 li t2,0 +80000068: 00000413 li s0,0 +8000006c: 00000493 li s1,0 +80000070: 00000513 li a0,0 +80000074: 00000593 li a1,0 +80000078: 00000613 li a2,0 +8000007c: 00000693 li a3,0 +80000080: 00000713 li a4,0 +80000084: 00000793 li a5,0 +80000088: 00000813 li a6,0 +8000008c: 00000893 li a7,0 +80000090: 00000913 li s2,0 +80000094: 00000993 li s3,0 +80000098: 00000a13 li s4,0 +8000009c: 00000a93 li s5,0 +800000a0: 00000b13 li s6,0 +800000a4: 00000b93 li s7,0 +800000a8: 00000c13 li s8,0 +800000ac: 00000c93 li s9,0 +800000b0: 00000d13 li s10,0 +800000b4: 00000d93 li s11,0 +800000b8: 00000e13 li t3,0 +800000bc: 00000e93 li t4,0 +800000c0: 00000f13 li t5,0 +800000c4: 00000f93 li t6,0 +800000c8: f1402573 csrr a0,mhartid +800000cc: 00051063 bnez a0,800000cc +800000d0: 00000297 auipc t0,0x0 +800000d4: 01028293 addi t0,t0,16 # 800000e0 +800000d8: 30529073 csrw mtvec,t0 +800000dc: 18005073 csrwi satp,0 +800000e0: 00000297 auipc t0,0x0 +800000e4: 02028293 addi t0,t0,32 # 80000100 +800000e8: 30529073 csrw mtvec,t0 +800000ec: 800002b7 lui t0,0x80000 +800000f0: fff28293 addi t0,t0,-1 # 7fffffff <_end+0xffffdf3f> +800000f4: 3b029073 csrw pmpaddr0,t0 +800000f8: 01f00293 li t0,31 +800000fc: 3a029073 csrw pmpcfg0,t0 +80000100: 30405073 csrwi mie,0 +80000104: 00000297 auipc t0,0x0 +80000108: 01428293 addi t0,t0,20 # 80000118 +8000010c: 30529073 csrw mtvec,t0 +80000110: 30205073 csrwi medeleg,0 +80000114: 30305073 csrwi mideleg,0 +80000118: 00000193 li gp,0 +8000011c: 00000297 auipc t0,0x0 +80000120: ee828293 addi t0,t0,-280 # 80000004 +80000124: 30529073 csrw mtvec,t0 +80000128: 00100513 li a0,1 +8000012c: 01f51513 slli a0,a0,0x1f +80000130: 00054c63 bltz a0,80000148 +80000134: 0ff0000f fence +80000138: 00100193 li gp,1 +8000013c: 05d00893 li a7,93 +80000140: 00000513 li a0,0 +80000144: 00000073 ecall +80000148: 80000297 auipc t0,0x80000 +8000014c: eb828293 addi t0,t0,-328 # 0 <_start-0x80000000> +80000150: 00028a63 beqz t0,80000164 +80000154: 10529073 csrw stvec,t0 +80000158: 0000b2b7 lui t0,0xb +8000015c: 10928293 addi t0,t0,265 # b109 <_start-0x7fff4ef7> +80000160: 30229073 csrw medeleg,t0 +80000164: 30005073 csrwi mstatus,0 +80000168: 00002537 lui a0,0x2 +8000016c: 30052073 csrs mstatus,a0 +80000170: 00305073 csrwi fcsr,0 +80000174: 00000297 auipc t0,0x0 +80000178: 01428293 addi t0,t0,20 # 80000188 +8000017c: 34129073 csrw mepc,t0 +80000180: f1402573 csrr a0,mhartid +80000184: 30200073 mret + +80000188 : +80000188: 00200193 li gp,2 +8000018c: 00002517 auipc a0,0x2 +80000190: e7450513 addi a0,a0,-396 # 80002000 +80000194: 00052007 flw ft0,0(a0) +80000198: 00452087 flw ft1,4(a0) +8000019c: 00852107 flw ft2,8(a0) +800001a0: 00c52683 lw a3,12(a0) +800001a4: 101071c3 fmadd.s ft3,ft0,ft1,ft2 +800001a8: e0018553 fmv.x.w a0,ft3 +800001ac: 001015f3 fsflags a1,zero +800001b0: 00000613 li a2,0 +800001b4: 24d51463 bne a0,a3,800003fc +800001b8: 24c59263 bne a1,a2,800003fc + +800001bc : +800001bc: 00300193 li gp,3 +800001c0: 00002517 auipc a0,0x2 +800001c4: e5050513 addi a0,a0,-432 # 80002010 +800001c8: 00052007 flw ft0,0(a0) +800001cc: 00452087 flw ft1,4(a0) +800001d0: 00852107 flw ft2,8(a0) +800001d4: 00c52683 lw a3,12(a0) +800001d8: 101071c3 fmadd.s ft3,ft0,ft1,ft2 +800001dc: e0018553 fmv.x.w a0,ft3 +800001e0: 001015f3 fsflags a1,zero +800001e4: 00100613 li a2,1 +800001e8: 20d51a63 bne a0,a3,800003fc +800001ec: 20c59863 bne a1,a2,800003fc + +800001f0 : +800001f0: 00400193 li gp,4 +800001f4: 00002517 auipc a0,0x2 +800001f8: e2c50513 addi a0,a0,-468 # 80002020 +800001fc: 00052007 flw ft0,0(a0) +80000200: 00452087 flw ft1,4(a0) +80000204: 00852107 flw ft2,8(a0) +80000208: 00c52683 lw a3,12(a0) +8000020c: 101071c3 fmadd.s ft3,ft0,ft1,ft2 +80000210: e0018553 fmv.x.w a0,ft3 +80000214: 001015f3 fsflags a1,zero +80000218: 00000613 li a2,0 +8000021c: 1ed51063 bne a0,a3,800003fc +80000220: 1cc59e63 bne a1,a2,800003fc + +80000224 : +80000224: 00500193 li gp,5 +80000228: 00002517 auipc a0,0x2 +8000022c: e0850513 addi a0,a0,-504 # 80002030 +80000230: 00052007 flw ft0,0(a0) +80000234: 00452087 flw ft1,4(a0) +80000238: 00852107 flw ft2,8(a0) +8000023c: 00c52683 lw a3,12(a0) +80000240: 101071cf fnmadd.s ft3,ft0,ft1,ft2 +80000244: e0018553 fmv.x.w a0,ft3 +80000248: 001015f3 fsflags a1,zero +8000024c: 00000613 li a2,0 +80000250: 1ad51663 bne a0,a3,800003fc +80000254: 1ac59463 bne a1,a2,800003fc + +80000258 : +80000258: 00600193 li gp,6 +8000025c: 00002517 auipc a0,0x2 +80000260: de450513 addi a0,a0,-540 # 80002040 +80000264: 00052007 flw ft0,0(a0) +80000268: 00452087 flw ft1,4(a0) +8000026c: 00852107 flw ft2,8(a0) +80000270: 00c52683 lw a3,12(a0) +80000274: 101071cf fnmadd.s ft3,ft0,ft1,ft2 +80000278: e0018553 fmv.x.w a0,ft3 +8000027c: 001015f3 fsflags a1,zero +80000280: 00100613 li a2,1 +80000284: 16d51c63 bne a0,a3,800003fc +80000288: 16c59a63 bne a1,a2,800003fc + +8000028c : +8000028c: 00700193 li gp,7 +80000290: 00002517 auipc a0,0x2 +80000294: dc050513 addi a0,a0,-576 # 80002050 +80000298: 00052007 flw ft0,0(a0) +8000029c: 00452087 flw ft1,4(a0) +800002a0: 00852107 flw ft2,8(a0) +800002a4: 00c52683 lw a3,12(a0) +800002a8: 101071cf fnmadd.s ft3,ft0,ft1,ft2 +800002ac: e0018553 fmv.x.w a0,ft3 +800002b0: 001015f3 fsflags a1,zero +800002b4: 00000613 li a2,0 +800002b8: 14d51263 bne a0,a3,800003fc +800002bc: 14c59063 bne a1,a2,800003fc + +800002c0 : +800002c0: 00800193 li gp,8 +800002c4: 00002517 auipc a0,0x2 +800002c8: d9c50513 addi a0,a0,-612 # 80002060 +800002cc: 00052007 flw ft0,0(a0) +800002d0: 00452087 flw ft1,4(a0) +800002d4: 00852107 flw ft2,8(a0) +800002d8: 00c52683 lw a3,12(a0) +800002dc: 101071c7 fmsub.s ft3,ft0,ft1,ft2 +800002e0: e0018553 fmv.x.w a0,ft3 +800002e4: 001015f3 fsflags a1,zero +800002e8: 00000613 li a2,0 +800002ec: 10d51863 bne a0,a3,800003fc +800002f0: 10c59663 bne a1,a2,800003fc + +800002f4 : +800002f4: 00900193 li gp,9 +800002f8: 00002517 auipc a0,0x2 +800002fc: d7850513 addi a0,a0,-648 # 80002070 +80000300: 00052007 flw ft0,0(a0) +80000304: 00452087 flw ft1,4(a0) +80000308: 00852107 flw ft2,8(a0) +8000030c: 00c52683 lw a3,12(a0) +80000310: 101071c7 fmsub.s ft3,ft0,ft1,ft2 +80000314: e0018553 fmv.x.w a0,ft3 +80000318: 001015f3 fsflags a1,zero +8000031c: 00100613 li a2,1 +80000320: 0cd51e63 bne a0,a3,800003fc +80000324: 0cc59c63 bne a1,a2,800003fc + +80000328 : +80000328: 00a00193 li gp,10 +8000032c: 00002517 auipc a0,0x2 +80000330: d5450513 addi a0,a0,-684 # 80002080 +80000334: 00052007 flw ft0,0(a0) +80000338: 00452087 flw ft1,4(a0) +8000033c: 00852107 flw ft2,8(a0) +80000340: 00c52683 lw a3,12(a0) +80000344: 101071c7 fmsub.s ft3,ft0,ft1,ft2 +80000348: e0018553 fmv.x.w a0,ft3 +8000034c: 001015f3 fsflags a1,zero +80000350: 00000613 li a2,0 +80000354: 0ad51463 bne a0,a3,800003fc +80000358: 0ac59263 bne a1,a2,800003fc + +8000035c : +8000035c: 00b00193 li gp,11 +80000360: 00002517 auipc a0,0x2 +80000364: d3050513 addi a0,a0,-720 # 80002090 +80000368: 00052007 flw ft0,0(a0) +8000036c: 00452087 flw ft1,4(a0) +80000370: 00852107 flw ft2,8(a0) +80000374: 00c52683 lw a3,12(a0) +80000378: 101071cb fnmsub.s ft3,ft0,ft1,ft2 +8000037c: e0018553 fmv.x.w a0,ft3 +80000380: 001015f3 fsflags a1,zero +80000384: 00000613 li a2,0 +80000388: 06d51a63 bne a0,a3,800003fc +8000038c: 06c59863 bne a1,a2,800003fc + +80000390 : +80000390: 00c00193 li gp,12 +80000394: 00002517 auipc a0,0x2 +80000398: d0c50513 addi a0,a0,-756 # 800020a0 +8000039c: 00052007 flw ft0,0(a0) +800003a0: 00452087 flw ft1,4(a0) +800003a4: 00852107 flw ft2,8(a0) +800003a8: 00c52683 lw a3,12(a0) +800003ac: 101071cb fnmsub.s ft3,ft0,ft1,ft2 +800003b0: e0018553 fmv.x.w a0,ft3 +800003b4: 001015f3 fsflags a1,zero +800003b8: 00100613 li a2,1 +800003bc: 04d51063 bne a0,a3,800003fc +800003c0: 02c59e63 bne a1,a2,800003fc + +800003c4 : +800003c4: 00d00193 li gp,13 +800003c8: 00002517 auipc a0,0x2 +800003cc: ce850513 addi a0,a0,-792 # 800020b0 +800003d0: 00052007 flw ft0,0(a0) +800003d4: 00452087 flw ft1,4(a0) +800003d8: 00852107 flw ft2,8(a0) +800003dc: 00c52683 lw a3,12(a0) +800003e0: 101071cb fnmsub.s ft3,ft0,ft1,ft2 +800003e4: e0018553 fmv.x.w a0,ft3 +800003e8: 001015f3 fsflags a1,zero +800003ec: 00000613 li a2,0 +800003f0: 00d51663 bne a0,a3,800003fc +800003f4: 00c59463 bne a1,a2,800003fc +800003f8: 02301063 bne zero,gp,80000418 + +800003fc : +800003fc: 0ff0000f fence +80000400: 00018063 beqz gp,80000400 +80000404: 00119193 slli gp,gp,0x1 +80000408: 0011e193 ori gp,gp,1 +8000040c: 05d00893 li a7,93 +80000410: 00018513 mv a0,gp +80000414: 00000073 ecall + +80000418 : +80000418: 0ff0000f fence +8000041c: 00100193 li gp,1 +80000420: 05d00893 li a7,93 +80000424: 00000513 li a0,0 +80000428: 00000073 ecall +8000042c: c0001073 unimp +80000430: 0000 unimp +80000432: 0000 unimp +80000434: 0000 unimp +80000436: 0000 unimp +80000438: 0000 unimp +8000043a: 0000 unimp +8000043c: 0000 unimp +8000043e: 0000 unimp +80000440: 0000 unimp +80000442: 0000 unimp + +Disassembly of section .data: + +80002000 : +80002000: 0000 unimp +80002002: 3f80 fld fs0,56(a5) +80002004: 0000 unimp +80002006: 4020 lw s0,64(s0) +80002008: 0000 unimp +8000200a: 3f80 fld fs0,56(a5) +8000200c: 0000 unimp +8000200e: 4060 lw s0,68(s0) + +80002010 : +80002010: 0000 unimp +80002012: bf80 fsd fs0,56(a5) +80002014: c49a6333 0xc49a6333 +80002018: cccd beqz s1,800020d2 <_end+0x12> +8000201a: 3f8c fld fa1,56(a5) +8000201c: 8666 mv a2,s9 +8000201e: 449a lw s1,132(sp) + +80002020 : +80002020: 0000 unimp +80002022: 4000 lw s0,0(s0) +80002024: 0000 unimp +80002026: c0a0 sw s0,64(s1) +80002028: 0000 unimp +8000202a: c000 sw s0,0(s0) +8000202c: 0000 unimp +8000202e: c140 sw s0,4(a0) + +80002030 : +80002030: 0000 unimp +80002032: 3f80 fld fs0,56(a5) +80002034: 0000 unimp +80002036: 4020 lw s0,64(s0) +80002038: 0000 unimp +8000203a: 3f80 fld fs0,56(a5) +8000203c: 0000 unimp +8000203e: c060 sw s0,68(s0) + +80002040 : +80002040: 0000 unimp +80002042: bf80 fsd fs0,56(a5) +80002044: c49a6333 0xc49a6333 +80002048: cccd beqz s1,80002102 <_end+0x42> +8000204a: 3f8c fld fa1,56(a5) +8000204c: 8666 mv a2,s9 +8000204e: c49a sw t1,72(sp) + +80002050 : +80002050: 0000 unimp +80002052: 4000 lw s0,0(s0) +80002054: 0000 unimp +80002056: c0a0 sw s0,64(s1) +80002058: 0000 unimp +8000205a: c000 sw s0,0(s0) +8000205c: 0000 unimp +8000205e: 4140 lw s0,4(a0) + +80002060 : +80002060: 0000 unimp +80002062: 3f80 fld fs0,56(a5) +80002064: 0000 unimp +80002066: 4020 lw s0,64(s0) +80002068: 0000 unimp +8000206a: 3f80 fld fs0,56(a5) +8000206c: 0000 unimp +8000206e: 3fc0 fld fs0,184(a5) + +80002070 : +80002070: 0000 unimp +80002072: bf80 fsd fs0,56(a5) +80002074: c49a6333 0xc49a6333 +80002078: cccd beqz s1,80002132 <_end+0x72> +8000207a: 3f8c fld fa1,56(a5) +8000207c: 4000 lw s0,0(s0) +8000207e: 449a lw s1,132(sp) + +80002080 : +80002080: 0000 unimp +80002082: 4000 lw s0,0(s0) +80002084: 0000 unimp +80002086: c0a0 sw s0,64(s1) +80002088: 0000 unimp +8000208a: c000 sw s0,0(s0) +8000208c: 0000 unimp +8000208e: c100 sw s0,0(a0) + +80002090 : +80002090: 0000 unimp +80002092: 3f80 fld fs0,56(a5) +80002094: 0000 unimp +80002096: 4020 lw s0,64(s0) +80002098: 0000 unimp +8000209a: 3f80 fld fs0,56(a5) +8000209c: 0000 unimp +8000209e: bfc0 fsd fs0,184(a5) + +800020a0 : +800020a0: 0000 unimp +800020a2: bf80 fsd fs0,56(a5) +800020a4: c49a6333 0xc49a6333 +800020a8: cccd beqz s1,80002162 <_end+0xa2> +800020aa: 3f8c fld fa1,56(a5) +800020ac: 4000 lw s0,0(s0) +800020ae: c49a sw t1,72(sp) + +800020b0 : +800020b0: 0000 unimp +800020b2: 4000 lw s0,0(s0) +800020b4: 0000 unimp +800020b6: c0a0 sw s0,64(s1) +800020b8: 0000 unimp +800020ba: c000 sw s0,0(s0) +800020bc: 0000 unimp +800020be: 4100 lw s0,0(a0) diff --git a/VexRiscv/src/test/resources/asm/rv32uf-p-fmin.dump b/VexRiscv/src/test/resources/asm/rv32uf-p-fmin.dump new file mode 100644 index 0000000..7d75180 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32uf-p-fmin.dump @@ -0,0 +1,581 @@ + +rv32uf-p-fmin: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 5391e193 ori gp,gp,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fc3f2023 sw gp,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: 00000093 li ra,0 +80000050: 00000113 li sp,0 +80000054: 00000193 li gp,0 +80000058: 00000213 li tp,0 +8000005c: 00000293 li t0,0 +80000060: 00000313 li t1,0 +80000064: 00000393 li t2,0 +80000068: 00000413 li s0,0 +8000006c: 00000493 li s1,0 +80000070: 00000513 li a0,0 +80000074: 00000593 li a1,0 +80000078: 00000613 li a2,0 +8000007c: 00000693 li a3,0 +80000080: 00000713 li a4,0 +80000084: 00000793 li a5,0 +80000088: 00000813 li a6,0 +8000008c: 00000893 li a7,0 +80000090: 00000913 li s2,0 +80000094: 00000993 li s3,0 +80000098: 00000a13 li s4,0 +8000009c: 00000a93 li s5,0 +800000a0: 00000b13 li s6,0 +800000a4: 00000b93 li s7,0 +800000a8: 00000c13 li s8,0 +800000ac: 00000c93 li s9,0 +800000b0: 00000d13 li s10,0 +800000b4: 00000d93 li s11,0 +800000b8: 00000e13 li t3,0 +800000bc: 00000e93 li t4,0 +800000c0: 00000f13 li t5,0 +800000c4: 00000f93 li t6,0 +800000c8: f1402573 csrr a0,mhartid +800000cc: 00051063 bnez a0,800000cc +800000d0: 00000297 auipc t0,0x0 +800000d4: 01028293 addi t0,t0,16 # 800000e0 +800000d8: 30529073 csrw mtvec,t0 +800000dc: 18005073 csrwi satp,0 +800000e0: 00000297 auipc t0,0x0 +800000e4: 02028293 addi t0,t0,32 # 80000100 +800000e8: 30529073 csrw mtvec,t0 +800000ec: 800002b7 lui t0,0x80000 +800000f0: fff28293 addi t0,t0,-1 # 7fffffff <_end+0xffffdedf> +800000f4: 3b029073 csrw pmpaddr0,t0 +800000f8: 01f00293 li t0,31 +800000fc: 3a029073 csrw pmpcfg0,t0 +80000100: 30405073 csrwi mie,0 +80000104: 00000297 auipc t0,0x0 +80000108: 01428293 addi t0,t0,20 # 80000118 +8000010c: 30529073 csrw mtvec,t0 +80000110: 30205073 csrwi medeleg,0 +80000114: 30305073 csrwi mideleg,0 +80000118: 00000193 li gp,0 +8000011c: 00000297 auipc t0,0x0 +80000120: ee828293 addi t0,t0,-280 # 80000004 +80000124: 30529073 csrw mtvec,t0 +80000128: 00100513 li a0,1 +8000012c: 01f51513 slli a0,a0,0x1f +80000130: 00054c63 bltz a0,80000148 +80000134: 0ff0000f fence +80000138: 00100193 li gp,1 +8000013c: 05d00893 li a7,93 +80000140: 00000513 li a0,0 +80000144: 00000073 ecall +80000148: 80000297 auipc t0,0x80000 +8000014c: eb828293 addi t0,t0,-328 # 0 <_start-0x80000000> +80000150: 00028a63 beqz t0,80000164 +80000154: 10529073 csrw stvec,t0 +80000158: 0000b2b7 lui t0,0xb +8000015c: 10928293 addi t0,t0,265 # b109 <_start-0x7fff4ef7> +80000160: 30229073 csrw medeleg,t0 +80000164: 30005073 csrwi mstatus,0 +80000168: 00002537 lui a0,0x2 +8000016c: 30052073 csrs mstatus,a0 +80000170: 00305073 csrwi fcsr,0 +80000174: 00000297 auipc t0,0x0 +80000178: 01428293 addi t0,t0,20 # 80000188 +8000017c: 34129073 csrw mepc,t0 +80000180: f1402573 csrr a0,mhartid +80000184: 30200073 mret + +80000188 : +80000188: 00200193 li gp,2 +8000018c: 00002517 auipc a0,0x2 +80000190: e7450513 addi a0,a0,-396 # 80002000 +80000194: 00052007 flw ft0,0(a0) +80000198: 00452087 flw ft1,4(a0) +8000019c: 00852107 flw ft2,8(a0) +800001a0: 00c52683 lw a3,12(a0) +800001a4: 281001d3 fmin.s ft3,ft0,ft1 +800001a8: e0018553 fmv.x.w a0,ft3 +800001ac: 001015f3 fsflags a1,zero +800001b0: 00000613 li a2,0 +800001b4: 38d51063 bne a0,a3,80000534 +800001b8: 36c59e63 bne a1,a2,80000534 + +800001bc : +800001bc: 00300193 li gp,3 +800001c0: 00002517 auipc a0,0x2 +800001c4: e5050513 addi a0,a0,-432 # 80002010 +800001c8: 00052007 flw ft0,0(a0) +800001cc: 00452087 flw ft1,4(a0) +800001d0: 00852107 flw ft2,8(a0) +800001d4: 00c52683 lw a3,12(a0) +800001d8: 281001d3 fmin.s ft3,ft0,ft1 +800001dc: e0018553 fmv.x.w a0,ft3 +800001e0: 001015f3 fsflags a1,zero +800001e4: 00000613 li a2,0 +800001e8: 34d51663 bne a0,a3,80000534 +800001ec: 34c59463 bne a1,a2,80000534 + +800001f0 : +800001f0: 00400193 li gp,4 +800001f4: 00002517 auipc a0,0x2 +800001f8: e2c50513 addi a0,a0,-468 # 80002020 +800001fc: 00052007 flw ft0,0(a0) +80000200: 00452087 flw ft1,4(a0) +80000204: 00852107 flw ft2,8(a0) +80000208: 00c52683 lw a3,12(a0) +8000020c: 281001d3 fmin.s ft3,ft0,ft1 +80000210: e0018553 fmv.x.w a0,ft3 +80000214: 001015f3 fsflags a1,zero +80000218: 00000613 li a2,0 +8000021c: 30d51c63 bne a0,a3,80000534 +80000220: 30c59a63 bne a1,a2,80000534 + +80000224 : +80000224: 00500193 li gp,5 +80000228: 00002517 auipc a0,0x2 +8000022c: e0850513 addi a0,a0,-504 # 80002030 +80000230: 00052007 flw ft0,0(a0) +80000234: 00452087 flw ft1,4(a0) +80000238: 00852107 flw ft2,8(a0) +8000023c: 00c52683 lw a3,12(a0) +80000240: 281001d3 fmin.s ft3,ft0,ft1 +80000244: e0018553 fmv.x.w a0,ft3 +80000248: 001015f3 fsflags a1,zero +8000024c: 00000613 li a2,0 +80000250: 2ed51263 bne a0,a3,80000534 +80000254: 2ec59063 bne a1,a2,80000534 + +80000258 : +80000258: 00600193 li gp,6 +8000025c: 00002517 auipc a0,0x2 +80000260: de450513 addi a0,a0,-540 # 80002040 +80000264: 00052007 flw ft0,0(a0) +80000268: 00452087 flw ft1,4(a0) +8000026c: 00852107 flw ft2,8(a0) +80000270: 00c52683 lw a3,12(a0) +80000274: 281001d3 fmin.s ft3,ft0,ft1 +80000278: e0018553 fmv.x.w a0,ft3 +8000027c: 001015f3 fsflags a1,zero +80000280: 00000613 li a2,0 +80000284: 2ad51863 bne a0,a3,80000534 +80000288: 2ac59663 bne a1,a2,80000534 + +8000028c : +8000028c: 00700193 li gp,7 +80000290: 00002517 auipc a0,0x2 +80000294: dc050513 addi a0,a0,-576 # 80002050 +80000298: 00052007 flw ft0,0(a0) +8000029c: 00452087 flw ft1,4(a0) +800002a0: 00852107 flw ft2,8(a0) +800002a4: 00c52683 lw a3,12(a0) +800002a8: 281001d3 fmin.s ft3,ft0,ft1 +800002ac: e0018553 fmv.x.w a0,ft3 +800002b0: 001015f3 fsflags a1,zero +800002b4: 00000613 li a2,0 +800002b8: 26d51e63 bne a0,a3,80000534 +800002bc: 26c59c63 bne a1,a2,80000534 + +800002c0 : +800002c0: 00c00193 li gp,12 +800002c4: 00002517 auipc a0,0x2 +800002c8: d9c50513 addi a0,a0,-612 # 80002060 +800002cc: 00052007 flw ft0,0(a0) +800002d0: 00452087 flw ft1,4(a0) +800002d4: 00852107 flw ft2,8(a0) +800002d8: 00c52683 lw a3,12(a0) +800002dc: 281011d3 fmax.s ft3,ft0,ft1 +800002e0: e0018553 fmv.x.w a0,ft3 +800002e4: 001015f3 fsflags a1,zero +800002e8: 00000613 li a2,0 +800002ec: 24d51463 bne a0,a3,80000534 +800002f0: 24c59263 bne a1,a2,80000534 + +800002f4 : +800002f4: 00d00193 li gp,13 +800002f8: 00002517 auipc a0,0x2 +800002fc: d7850513 addi a0,a0,-648 # 80002070 +80000300: 00052007 flw ft0,0(a0) +80000304: 00452087 flw ft1,4(a0) +80000308: 00852107 flw ft2,8(a0) +8000030c: 00c52683 lw a3,12(a0) +80000310: 281011d3 fmax.s ft3,ft0,ft1 +80000314: e0018553 fmv.x.w a0,ft3 +80000318: 001015f3 fsflags a1,zero +8000031c: 00000613 li a2,0 +80000320: 20d51a63 bne a0,a3,80000534 +80000324: 20c59863 bne a1,a2,80000534 + +80000328 : +80000328: 00e00193 li gp,14 +8000032c: 00002517 auipc a0,0x2 +80000330: d5450513 addi a0,a0,-684 # 80002080 +80000334: 00052007 flw ft0,0(a0) +80000338: 00452087 flw ft1,4(a0) +8000033c: 00852107 flw ft2,8(a0) +80000340: 00c52683 lw a3,12(a0) +80000344: 281011d3 fmax.s ft3,ft0,ft1 +80000348: e0018553 fmv.x.w a0,ft3 +8000034c: 001015f3 fsflags a1,zero +80000350: 00000613 li a2,0 +80000354: 1ed51063 bne a0,a3,80000534 +80000358: 1cc59e63 bne a1,a2,80000534 + +8000035c : +8000035c: 00f00193 li gp,15 +80000360: 00002517 auipc a0,0x2 +80000364: d3050513 addi a0,a0,-720 # 80002090 +80000368: 00052007 flw ft0,0(a0) +8000036c: 00452087 flw ft1,4(a0) +80000370: 00852107 flw ft2,8(a0) +80000374: 00c52683 lw a3,12(a0) +80000378: 281011d3 fmax.s ft3,ft0,ft1 +8000037c: e0018553 fmv.x.w a0,ft3 +80000380: 001015f3 fsflags a1,zero +80000384: 00000613 li a2,0 +80000388: 1ad51663 bne a0,a3,80000534 +8000038c: 1ac59463 bne a1,a2,80000534 + +80000390 : +80000390: 01000193 li gp,16 +80000394: 00002517 auipc a0,0x2 +80000398: d0c50513 addi a0,a0,-756 # 800020a0 +8000039c: 00052007 flw ft0,0(a0) +800003a0: 00452087 flw ft1,4(a0) +800003a4: 00852107 flw ft2,8(a0) +800003a8: 00c52683 lw a3,12(a0) +800003ac: 281011d3 fmax.s ft3,ft0,ft1 +800003b0: e0018553 fmv.x.w a0,ft3 +800003b4: 001015f3 fsflags a1,zero +800003b8: 00000613 li a2,0 +800003bc: 16d51c63 bne a0,a3,80000534 +800003c0: 16c59a63 bne a1,a2,80000534 + +800003c4 : +800003c4: 01100193 li gp,17 +800003c8: 00002517 auipc a0,0x2 +800003cc: ce850513 addi a0,a0,-792 # 800020b0 +800003d0: 00052007 flw ft0,0(a0) +800003d4: 00452087 flw ft1,4(a0) +800003d8: 00852107 flw ft2,8(a0) +800003dc: 00c52683 lw a3,12(a0) +800003e0: 281011d3 fmax.s ft3,ft0,ft1 +800003e4: e0018553 fmv.x.w a0,ft3 +800003e8: 001015f3 fsflags a1,zero +800003ec: 00000613 li a2,0 +800003f0: 14d51263 bne a0,a3,80000534 +800003f4: 14c59063 bne a1,a2,80000534 + +800003f8 : +800003f8: 01400193 li gp,20 +800003fc: 00002517 auipc a0,0x2 +80000400: cc450513 addi a0,a0,-828 # 800020c0 +80000404: 00052007 flw ft0,0(a0) +80000408: 00452087 flw ft1,4(a0) +8000040c: 00852107 flw ft2,8(a0) +80000410: 00c52683 lw a3,12(a0) +80000414: 281011d3 fmax.s ft3,ft0,ft1 +80000418: e0018553 fmv.x.w a0,ft3 +8000041c: 001015f3 fsflags a1,zero +80000420: 01000613 li a2,16 +80000424: 10d51863 bne a0,a3,80000534 +80000428: 10c59663 bne a1,a2,80000534 + +8000042c : +8000042c: 01500193 li gp,21 +80000430: 00002517 auipc a0,0x2 +80000434: ca050513 addi a0,a0,-864 # 800020d0 +80000438: 00052007 flw ft0,0(a0) +8000043c: 00452087 flw ft1,4(a0) +80000440: 00852107 flw ft2,8(a0) +80000444: 00c52683 lw a3,12(a0) +80000448: 281011d3 fmax.s ft3,ft0,ft1 +8000044c: e0018553 fmv.x.w a0,ft3 +80000450: 001015f3 fsflags a1,zero +80000454: 00000613 li a2,0 +80000458: 0cd51e63 bne a0,a3,80000534 +8000045c: 0cc59c63 bne a1,a2,80000534 + +80000460 : +80000460: 01e00193 li gp,30 +80000464: 00002517 auipc a0,0x2 +80000468: c7c50513 addi a0,a0,-900 # 800020e0 +8000046c: 00052007 flw ft0,0(a0) +80000470: 00452087 flw ft1,4(a0) +80000474: 00852107 flw ft2,8(a0) +80000478: 00c52683 lw a3,12(a0) +8000047c: 281001d3 fmin.s ft3,ft0,ft1 +80000480: e0018553 fmv.x.w a0,ft3 +80000484: 001015f3 fsflags a1,zero +80000488: 00000613 li a2,0 +8000048c: 0ad51463 bne a0,a3,80000534 +80000490: 0ac59263 bne a1,a2,80000534 + +80000494 : +80000494: 01f00193 li gp,31 +80000498: 00002517 auipc a0,0x2 +8000049c: c5850513 addi a0,a0,-936 # 800020f0 +800004a0: 00052007 flw ft0,0(a0) +800004a4: 00452087 flw ft1,4(a0) +800004a8: 00852107 flw ft2,8(a0) +800004ac: 00c52683 lw a3,12(a0) +800004b0: 281001d3 fmin.s ft3,ft0,ft1 +800004b4: e0018553 fmv.x.w a0,ft3 +800004b8: 001015f3 fsflags a1,zero +800004bc: 00000613 li a2,0 +800004c0: 06d51a63 bne a0,a3,80000534 +800004c4: 06c59863 bne a1,a2,80000534 + +800004c8 : +800004c8: 02000193 li gp,32 +800004cc: 00002517 auipc a0,0x2 +800004d0: c3450513 addi a0,a0,-972 # 80002100 +800004d4: 00052007 flw ft0,0(a0) +800004d8: 00452087 flw ft1,4(a0) +800004dc: 00852107 flw ft2,8(a0) +800004e0: 00c52683 lw a3,12(a0) +800004e4: 281011d3 fmax.s ft3,ft0,ft1 +800004e8: e0018553 fmv.x.w a0,ft3 +800004ec: 001015f3 fsflags a1,zero +800004f0: 00000613 li a2,0 +800004f4: 04d51063 bne a0,a3,80000534 +800004f8: 02c59e63 bne a1,a2,80000534 + +800004fc : +800004fc: 02100193 li gp,33 +80000500: 00002517 auipc a0,0x2 +80000504: c1050513 addi a0,a0,-1008 # 80002110 +80000508: 00052007 flw ft0,0(a0) +8000050c: 00452087 flw ft1,4(a0) +80000510: 00852107 flw ft2,8(a0) +80000514: 00c52683 lw a3,12(a0) +80000518: 281011d3 fmax.s ft3,ft0,ft1 +8000051c: e0018553 fmv.x.w a0,ft3 +80000520: 001015f3 fsflags a1,zero +80000524: 00000613 li a2,0 +80000528: 00d51663 bne a0,a3,80000534 +8000052c: 00c59463 bne a1,a2,80000534 +80000530: 02301063 bne zero,gp,80000550 + +80000534 : +80000534: 0ff0000f fence +80000538: 00018063 beqz gp,80000538 +8000053c: 00119193 slli gp,gp,0x1 +80000540: 0011e193 ori gp,gp,1 +80000544: 05d00893 li a7,93 +80000548: 00018513 mv a0,gp +8000054c: 00000073 ecall + +80000550 : +80000550: 0ff0000f fence +80000554: 00100193 li gp,1 +80000558: 05d00893 li a7,93 +8000055c: 00000513 li a0,0 +80000560: 00000073 ecall +80000564: c0001073 unimp +80000568: 0000 unimp +8000056a: 0000 unimp +8000056c: 0000 unimp +8000056e: 0000 unimp +80000570: 0000 unimp +80000572: 0000 unimp +80000574: 0000 unimp +80000576: 0000 unimp +80000578: 0000 unimp +8000057a: 0000 unimp +8000057c: 0000 unimp +8000057e: 0000 unimp +80000580: 0000 unimp +80000582: 0000 unimp + +Disassembly of section .data: + +80002000 : +80002000: 0000 unimp +80002002: 4020 lw s0,64(s0) +80002004: 0000 unimp +80002006: 3f80 fld fs0,56(a5) +80002008: 0000 unimp +8000200a: 0000 unimp +8000200c: 0000 unimp +8000200e: 3f80 fld fs0,56(a5) + +80002010 : +80002010: c49a6333 0xc49a6333 +80002014: cccd beqz s1,800020ce +80002016: 3f8c fld fa1,56(a5) +80002018: 0000 unimp +8000201a: 0000 unimp +8000201c: c49a6333 0xc49a6333 + +80002020 : +80002020: cccd beqz s1,800020da +80002022: 3f8c fld fa1,56(a5) +80002024: c49a6333 0xc49a6333 +80002028: 0000 unimp +8000202a: 0000 unimp +8000202c: c49a6333 0xc49a6333 + +80002030 : +80002030: ffff 0xffff +80002032: 7fff 0x7fff +80002034: c49a6333 0xc49a6333 +80002038: 0000 unimp +8000203a: 0000 unimp +8000203c: c49a6333 0xc49a6333 + +80002040 : +80002040: 40490fdb 0x40490fdb +80002044: 322bcc77 0x322bcc77 +80002048: 0000 unimp +8000204a: 0000 unimp +8000204c: 322bcc77 0x322bcc77 + +80002050 : +80002050: 0000 unimp +80002052: bf80 fsd fs0,56(a5) +80002054: 0000 unimp +80002056: c000 sw s0,0(s0) +80002058: 0000 unimp +8000205a: 0000 unimp +8000205c: 0000 unimp +8000205e: c000 sw s0,0(s0) + +80002060 : +80002060: 0000 unimp +80002062: 4020 lw s0,64(s0) +80002064: 0000 unimp +80002066: 3f80 fld fs0,56(a5) +80002068: 0000 unimp +8000206a: 0000 unimp +8000206c: 0000 unimp +8000206e: 4020 lw s0,64(s0) + +80002070 : +80002070: c49a6333 0xc49a6333 +80002074: cccd beqz s1,8000212e <_end+0xe> +80002076: 3f8c fld fa1,56(a5) +80002078: 0000 unimp +8000207a: 0000 unimp +8000207c: cccd beqz s1,80002136 <_end+0x16> +8000207e: 3f8c fld fa1,56(a5) + +80002080 : +80002080: cccd beqz s1,8000213a <_end+0x1a> +80002082: 3f8c fld fa1,56(a5) +80002084: c49a6333 0xc49a6333 +80002088: 0000 unimp +8000208a: 0000 unimp +8000208c: cccd beqz s1,80002146 <_end+0x26> +8000208e: 3f8c fld fa1,56(a5) + +80002090 : +80002090: ffff 0xffff +80002092: 7fff 0x7fff +80002094: c49a6333 0xc49a6333 +80002098: 0000 unimp +8000209a: 0000 unimp +8000209c: c49a6333 0xc49a6333 + +800020a0 : +800020a0: 40490fdb 0x40490fdb +800020a4: 322bcc77 0x322bcc77 +800020a8: 0000 unimp +800020aa: 0000 unimp +800020ac: 40490fdb 0x40490fdb + +800020b0 : +800020b0: 0000 unimp +800020b2: bf80 fsd fs0,56(a5) +800020b4: 0000 unimp +800020b6: c000 sw s0,0(s0) +800020b8: 0000 unimp +800020ba: 0000 unimp +800020bc: 0000 unimp +800020be: bf80 fsd fs0,56(a5) + +800020c0 : +800020c0: 0001 nop +800020c2: 7f80 flw fs0,56(a5) +800020c4: 0000 unimp +800020c6: 3f80 fld fs0,56(a5) +800020c8: 0000 unimp +800020ca: 0000 unimp +800020cc: 0000 unimp +800020ce: 3f80 fld fs0,56(a5) + +800020d0 : +800020d0: ffff 0xffff +800020d2: 7fff 0x7fff +800020d4: ffff 0xffff +800020d6: 7fff 0x7fff +800020d8: 0000 unimp +800020da: 0000 unimp +800020dc: 0000 unimp +800020de: 7fc0 flw fs0,60(a5) + +800020e0 : +800020e0: 0000 unimp +800020e2: 8000 0x8000 +800020e4: 0000 unimp +800020e6: 0000 unimp +800020e8: 0000 unimp +800020ea: 0000 unimp +800020ec: 0000 unimp +800020ee: 8000 0x8000 + +800020f0 : +800020f0: 0000 unimp +800020f2: 0000 unimp +800020f4: 0000 unimp +800020f6: 8000 0x8000 +800020f8: 0000 unimp +800020fa: 0000 unimp +800020fc: 0000 unimp +800020fe: 8000 0x8000 + +80002100 : +80002100: 0000 unimp +80002102: 8000 0x8000 +80002104: 0000 unimp +80002106: 0000 unimp +80002108: 0000 unimp +8000210a: 0000 unimp +8000210c: 0000 unimp +8000210e: 0000 unimp + +80002110 : +80002110: 0000 unimp +80002112: 0000 unimp +80002114: 0000 unimp +80002116: 8000 0x8000 +80002118: 0000 unimp +8000211a: 0000 unimp +8000211c: 0000 unimp +8000211e: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32uf-p-ldst.dump b/VexRiscv/src/test/resources/asm/rv32uf-p-ldst.dump new file mode 100644 index 0000000..7550b73 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32uf-p-ldst.dump @@ -0,0 +1,172 @@ + +rv32uf-p-ldst: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 5391e193 ori gp,gp,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fc3f2023 sw gp,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: 00000093 li ra,0 +80000050: 00000113 li sp,0 +80000054: 00000193 li gp,0 +80000058: 00000213 li tp,0 +8000005c: 00000293 li t0,0 +80000060: 00000313 li t1,0 +80000064: 00000393 li t2,0 +80000068: 00000413 li s0,0 +8000006c: 00000493 li s1,0 +80000070: 00000513 li a0,0 +80000074: 00000593 li a1,0 +80000078: 00000613 li a2,0 +8000007c: 00000693 li a3,0 +80000080: 00000713 li a4,0 +80000084: 00000793 li a5,0 +80000088: 00000813 li a6,0 +8000008c: 00000893 li a7,0 +80000090: 00000913 li s2,0 +80000094: 00000993 li s3,0 +80000098: 00000a13 li s4,0 +8000009c: 00000a93 li s5,0 +800000a0: 00000b13 li s6,0 +800000a4: 00000b93 li s7,0 +800000a8: 00000c13 li s8,0 +800000ac: 00000c93 li s9,0 +800000b0: 00000d13 li s10,0 +800000b4: 00000d93 li s11,0 +800000b8: 00000e13 li t3,0 +800000bc: 00000e93 li t4,0 +800000c0: 00000f13 li t5,0 +800000c4: 00000f93 li t6,0 +800000c8: f1402573 csrr a0,mhartid +800000cc: 00051063 bnez a0,800000cc +800000d0: 00000297 auipc t0,0x0 +800000d4: 01028293 addi t0,t0,16 # 800000e0 +800000d8: 30529073 csrw mtvec,t0 +800000dc: 18005073 csrwi satp,0 +800000e0: 00000297 auipc t0,0x0 +800000e4: 02028293 addi t0,t0,32 # 80000100 +800000e8: 30529073 csrw mtvec,t0 +800000ec: 800002b7 lui t0,0x80000 +800000f0: fff28293 addi t0,t0,-1 # 7fffffff <_end+0xffffdfdf> +800000f4: 3b029073 csrw pmpaddr0,t0 +800000f8: 01f00293 li t0,31 +800000fc: 3a029073 csrw pmpcfg0,t0 +80000100: 30405073 csrwi mie,0 +80000104: 00000297 auipc t0,0x0 +80000108: 01428293 addi t0,t0,20 # 80000118 +8000010c: 30529073 csrw mtvec,t0 +80000110: 30205073 csrwi medeleg,0 +80000114: 30305073 csrwi mideleg,0 +80000118: 00000193 li gp,0 +8000011c: 00000297 auipc t0,0x0 +80000120: ee828293 addi t0,t0,-280 # 80000004 +80000124: 30529073 csrw mtvec,t0 +80000128: 00100513 li a0,1 +8000012c: 01f51513 slli a0,a0,0x1f +80000130: 00054c63 bltz a0,80000148 +80000134: 0ff0000f fence +80000138: 00100193 li gp,1 +8000013c: 05d00893 li a7,93 +80000140: 00000513 li a0,0 +80000144: 00000073 ecall +80000148: 80000297 auipc t0,0x80000 +8000014c: eb828293 addi t0,t0,-328 # 0 <_start-0x80000000> +80000150: 00028a63 beqz t0,80000164 +80000154: 10529073 csrw stvec,t0 +80000158: 0000b2b7 lui t0,0xb +8000015c: 10928293 addi t0,t0,265 # b109 <_start-0x7fff4ef7> +80000160: 30229073 csrw medeleg,t0 +80000164: 30005073 csrwi mstatus,0 +80000168: 00002537 lui a0,0x2 +8000016c: 30052073 csrs mstatus,a0 +80000170: 00305073 csrwi fcsr,0 +80000174: 00000297 auipc t0,0x0 +80000178: 01428293 addi t0,t0,20 # 80000188 +8000017c: 34129073 csrw mepc,t0 +80000180: f1402573 csrr a0,mhartid +80000184: 30200073 mret + +80000188 : +80000188: 00002597 auipc a1,0x2 +8000018c: e7858593 addi a1,a1,-392 # 80002000 +80000190: 0045a087 flw ft1,4(a1) +80000194: 0015aa27 fsw ft1,20(a1) +80000198: 0145a503 lw a0,20(a1) +8000019c: 400003b7 lui t2,0x40000 +800001a0: 00200193 li gp,2 +800001a4: 02751463 bne a0,t2,800001cc + +800001a8 : +800001a8: 00002597 auipc a1,0x2 +800001ac: e5858593 addi a1,a1,-424 # 80002000 +800001b0: 0005a087 flw ft1,0(a1) +800001b4: 0015ac27 fsw ft1,24(a1) +800001b8: 0185a503 lw a0,24(a1) +800001bc: bf8003b7 lui t2,0xbf800 +800001c0: 00300193 li gp,3 +800001c4: 00751463 bne a0,t2,800001cc +800001c8: 02301063 bne zero,gp,800001e8 + +800001cc : +800001cc: 0ff0000f fence +800001d0: 00018063 beqz gp,800001d0 +800001d4: 00119193 slli gp,gp,0x1 +800001d8: 0011e193 ori gp,gp,1 +800001dc: 05d00893 li a7,93 +800001e0: 00018513 mv a0,gp +800001e4: 00000073 ecall + +800001e8 : +800001e8: 0ff0000f fence +800001ec: 00100193 li gp,1 +800001f0: 05d00893 li a7,93 +800001f4: 00000513 li a0,0 +800001f8: 00000073 ecall +800001fc: c0001073 unimp +80000200: 0000 unimp +80000202: 0000 unimp + +Disassembly of section .data: + +80002000 : +80002000: 0000 unimp +80002002: bf80 fsd fs0,56(a5) +80002004: 0000 unimp +80002006: 4000 lw s0,0(s0) +80002008: 0000 unimp +8000200a: 4040 lw s0,4(s0) +8000200c: 0000 unimp +8000200e: c080 sw s0,0(s1) +80002010: deadbeef jal t4,7ffdd5fa <_start-0x22a06> +80002014: babe fsd fa5,368(sp) +80002016: cafe sw t6,84(sp) +80002018: 1dea slli s11,s11,0x3a +8000201a: abad j 80002594 <_end+0x574> +8000201c: d00d beqz s0,80001f3e +8000201e: lui t1,0x1 diff --git a/VexRiscv/src/test/resources/asm/rv32uf-p-move.dump b/VexRiscv/src/test/resources/asm/rv32uf-p-move.dump new file mode 100644 index 0000000..045df28 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32uf-p-move.dump @@ -0,0 +1,360 @@ + +rv32uf-p-move: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 5391e193 ori gp,gp,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fc3f2023 sw gp,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: 00000093 li ra,0 +80000050: 00000113 li sp,0 +80000054: 00000193 li gp,0 +80000058: 00000213 li tp,0 +8000005c: 00000293 li t0,0 +80000060: 00000313 li t1,0 +80000064: 00000393 li t2,0 +80000068: 00000413 li s0,0 +8000006c: 00000493 li s1,0 +80000070: 00000513 li a0,0 +80000074: 00000593 li a1,0 +80000078: 00000613 li a2,0 +8000007c: 00000693 li a3,0 +80000080: 00000713 li a4,0 +80000084: 00000793 li a5,0 +80000088: 00000813 li a6,0 +8000008c: 00000893 li a7,0 +80000090: 00000913 li s2,0 +80000094: 00000993 li s3,0 +80000098: 00000a13 li s4,0 +8000009c: 00000a93 li s5,0 +800000a0: 00000b13 li s6,0 +800000a4: 00000b93 li s7,0 +800000a8: 00000c13 li s8,0 +800000ac: 00000c93 li s9,0 +800000b0: 00000d13 li s10,0 +800000b4: 00000d93 li s11,0 +800000b8: 00000e13 li t3,0 +800000bc: 00000e93 li t4,0 +800000c0: 00000f13 li t5,0 +800000c4: 00000f93 li t6,0 +800000c8: f1402573 csrr a0,mhartid +800000cc: 00051063 bnez a0,800000cc +800000d0: 00000297 auipc t0,0x0 +800000d4: 01028293 addi t0,t0,16 # 800000e0 +800000d8: 30529073 csrw mtvec,t0 +800000dc: 18005073 csrwi satp,0 +800000e0: 00000297 auipc t0,0x0 +800000e4: 02028293 addi t0,t0,32 # 80000100 +800000e8: 30529073 csrw mtvec,t0 +800000ec: 800002b7 lui t0,0x80000 +800000f0: fff28293 addi t0,t0,-1 # 7fffffff <_end+0xffffdfff> +800000f4: 3b029073 csrw pmpaddr0,t0 +800000f8: 01f00293 li t0,31 +800000fc: 3a029073 csrw pmpcfg0,t0 +80000100: 30405073 csrwi mie,0 +80000104: 00000297 auipc t0,0x0 +80000108: 01428293 addi t0,t0,20 # 80000118 +8000010c: 30529073 csrw mtvec,t0 +80000110: 30205073 csrwi medeleg,0 +80000114: 30305073 csrwi mideleg,0 +80000118: 00000193 li gp,0 +8000011c: 00000297 auipc t0,0x0 +80000120: ee828293 addi t0,t0,-280 # 80000004 +80000124: 30529073 csrw mtvec,t0 +80000128: 00100513 li a0,1 +8000012c: 01f51513 slli a0,a0,0x1f +80000130: 00054c63 bltz a0,80000148 +80000134: 0ff0000f fence +80000138: 00100193 li gp,1 +8000013c: 05d00893 li a7,93 +80000140: 00000513 li a0,0 +80000144: 00000073 ecall +80000148: 80000297 auipc t0,0x80000 +8000014c: eb828293 addi t0,t0,-328 # 0 <_start-0x80000000> +80000150: 00028a63 beqz t0,80000164 +80000154: 10529073 csrw stvec,t0 +80000158: 0000b2b7 lui t0,0xb +8000015c: 10928293 addi t0,t0,265 # b109 <_start-0x7fff4ef7> +80000160: 30229073 csrw medeleg,t0 +80000164: 30005073 csrwi mstatus,0 +80000168: 00002537 lui a0,0x2 +8000016c: 30052073 csrs mstatus,a0 +80000170: 00305073 csrwi fcsr,0 +80000174: 00000297 auipc t0,0x0 +80000178: 01428293 addi t0,t0,20 # 80000188 +8000017c: 34129073 csrw mepc,t0 +80000180: f1402573 csrr a0,mhartid +80000184: 30200073 mret + +80000188 : +80000188: 0030d073 csrwi fcsr,1 +8000018c: 00001537 lui a0,0x1 +80000190: 23450513 addi a0,a0,564 # 1234 <_start-0x7fffedcc> +80000194: 003515f3 fscsr a1,a0 +80000198: 00100393 li t2,1 +8000019c: 00200193 li gp,2 +800001a0: 26759c63 bne a1,t2,80000418 + +800001a4 : +800001a4: 00302573 frcsr a0 +800001a8: 03400393 li t2,52 +800001ac: 00300193 li gp,3 +800001b0: 26751463 bne a0,t2,80000418 + +800001b4 : +800001b4: 00102573 frflags a0 +800001b8: 01400393 li t2,20 +800001bc: 00400193 li gp,4 +800001c0: 24751c63 bne a0,t2,80000418 + +800001c4 : +800001c4: 00215573 fsrmi a0,2 +800001c8: 00100393 li t2,1 +800001cc: 00500193 li gp,5 +800001d0: 24751463 bne a0,t2,80000418 + +800001d4 : +800001d4: 00302573 frcsr a0 +800001d8: 05400393 li t2,84 +800001dc: 00600193 li gp,6 +800001e0: 22751c63 bne a0,t2,80000418 + +800001e4 : +800001e4: 00127573 csrrci a0,fflags,4 +800001e8: 01400393 li t2,20 +800001ec: 00700193 li gp,7 +800001f0: 22751463 bne a0,t2,80000418 + +800001f4 : +800001f4: 00302573 frcsr a0 +800001f8: 05000393 li t2,80 +800001fc: 00800193 li gp,8 +80000200: 20751c63 bne a0,t2,80000418 + +80000204 : +80000204: 123455b7 lui a1,0x12345 +80000208: 67858593 addi a1,a1,1656 # 12345678 <_start-0x6dcba988> +8000020c: 00000613 li a2,0 +80000210: f00580d3 fmv.w.x ft1,a1 +80000214: f0060153 fmv.w.x ft2,a2 +80000218: 20208053 fsgnj.s ft0,ft1,ft2 +8000021c: e0000553 fmv.x.w a0,ft0 +80000220: 123453b7 lui t2,0x12345 +80000224: 67838393 addi t2,t2,1656 # 12345678 <_start-0x6dcba988> +80000228: 00a00193 li gp,10 +8000022c: 1e751663 bne a0,t2,80000418 + +80000230 : +80000230: 123455b7 lui a1,0x12345 +80000234: 67858593 addi a1,a1,1656 # 12345678 <_start-0x6dcba988> +80000238: fff00613 li a2,-1 +8000023c: f00580d3 fmv.w.x ft1,a1 +80000240: f0060153 fmv.w.x ft2,a2 +80000244: 20208053 fsgnj.s ft0,ft1,ft2 +80000248: e0000553 fmv.x.w a0,ft0 +8000024c: 923453b7 lui t2,0x92345 +80000250: 67838393 addi t2,t2,1656 # 92345678 <_end+0x12343678> +80000254: 00b00193 li gp,11 +80000258: 1c751063 bne a0,t2,80000418 + +8000025c : +8000025c: 923455b7 lui a1,0x92345 +80000260: 67858593 addi a1,a1,1656 # 92345678 <_end+0x12343678> +80000264: 00000613 li a2,0 +80000268: f00580d3 fmv.w.x ft1,a1 +8000026c: f0060153 fmv.w.x ft2,a2 +80000270: 20208053 fsgnj.s ft0,ft1,ft2 +80000274: e0000553 fmv.x.w a0,ft0 +80000278: 123453b7 lui t2,0x12345 +8000027c: 67838393 addi t2,t2,1656 # 12345678 <_start-0x6dcba988> +80000280: 00c00193 li gp,12 +80000284: 18751a63 bne a0,t2,80000418 + +80000288 : +80000288: 923455b7 lui a1,0x92345 +8000028c: 67858593 addi a1,a1,1656 # 92345678 <_end+0x12343678> +80000290: fff00613 li a2,-1 +80000294: f00580d3 fmv.w.x ft1,a1 +80000298: f0060153 fmv.w.x ft2,a2 +8000029c: 20208053 fsgnj.s ft0,ft1,ft2 +800002a0: e0000553 fmv.x.w a0,ft0 +800002a4: 923453b7 lui t2,0x92345 +800002a8: 67838393 addi t2,t2,1656 # 92345678 <_end+0x12343678> +800002ac: 00d00193 li gp,13 +800002b0: 16751463 bne a0,t2,80000418 + +800002b4 : +800002b4: 123455b7 lui a1,0x12345 +800002b8: 67858593 addi a1,a1,1656 # 12345678 <_start-0x6dcba988> +800002bc: 00000613 li a2,0 +800002c0: f00580d3 fmv.w.x ft1,a1 +800002c4: f0060153 fmv.w.x ft2,a2 +800002c8: 20209053 fsgnjn.s ft0,ft1,ft2 +800002cc: e0000553 fmv.x.w a0,ft0 +800002d0: 923453b7 lui t2,0x92345 +800002d4: 67838393 addi t2,t2,1656 # 92345678 <_end+0x12343678> +800002d8: 01400193 li gp,20 +800002dc: 12751e63 bne a0,t2,80000418 + +800002e0 : +800002e0: 123455b7 lui a1,0x12345 +800002e4: 67858593 addi a1,a1,1656 # 12345678 <_start-0x6dcba988> +800002e8: fff00613 li a2,-1 +800002ec: f00580d3 fmv.w.x ft1,a1 +800002f0: f0060153 fmv.w.x ft2,a2 +800002f4: 20209053 fsgnjn.s ft0,ft1,ft2 +800002f8: e0000553 fmv.x.w a0,ft0 +800002fc: 123453b7 lui t2,0x12345 +80000300: 67838393 addi t2,t2,1656 # 12345678 <_start-0x6dcba988> +80000304: 01500193 li gp,21 +80000308: 10751863 bne a0,t2,80000418 + +8000030c : +8000030c: 923455b7 lui a1,0x92345 +80000310: 67858593 addi a1,a1,1656 # 92345678 <_end+0x12343678> +80000314: 00000613 li a2,0 +80000318: f00580d3 fmv.w.x ft1,a1 +8000031c: f0060153 fmv.w.x ft2,a2 +80000320: 20209053 fsgnjn.s ft0,ft1,ft2 +80000324: e0000553 fmv.x.w a0,ft0 +80000328: 923453b7 lui t2,0x92345 +8000032c: 67838393 addi t2,t2,1656 # 92345678 <_end+0x12343678> +80000330: 01600193 li gp,22 +80000334: 0e751263 bne a0,t2,80000418 + +80000338 : +80000338: 923455b7 lui a1,0x92345 +8000033c: 67858593 addi a1,a1,1656 # 92345678 <_end+0x12343678> +80000340: fff00613 li a2,-1 +80000344: f00580d3 fmv.w.x ft1,a1 +80000348: f0060153 fmv.w.x ft2,a2 +8000034c: 20209053 fsgnjn.s ft0,ft1,ft2 +80000350: e0000553 fmv.x.w a0,ft0 +80000354: 123453b7 lui t2,0x12345 +80000358: 67838393 addi t2,t2,1656 # 12345678 <_start-0x6dcba988> +8000035c: 01700193 li gp,23 +80000360: 0a751c63 bne a0,t2,80000418 + +80000364 : +80000364: 123455b7 lui a1,0x12345 +80000368: 67858593 addi a1,a1,1656 # 12345678 <_start-0x6dcba988> +8000036c: 00000613 li a2,0 +80000370: f00580d3 fmv.w.x ft1,a1 +80000374: f0060153 fmv.w.x ft2,a2 +80000378: 2020a053 fsgnjx.s ft0,ft1,ft2 +8000037c: e0000553 fmv.x.w a0,ft0 +80000380: 123453b7 lui t2,0x12345 +80000384: 67838393 addi t2,t2,1656 # 12345678 <_start-0x6dcba988> +80000388: 01e00193 li gp,30 +8000038c: 08751663 bne a0,t2,80000418 + +80000390 : +80000390: 123455b7 lui a1,0x12345 +80000394: 67858593 addi a1,a1,1656 # 12345678 <_start-0x6dcba988> +80000398: fff00613 li a2,-1 +8000039c: f00580d3 fmv.w.x ft1,a1 +800003a0: f0060153 fmv.w.x ft2,a2 +800003a4: 2020a053 fsgnjx.s ft0,ft1,ft2 +800003a8: e0000553 fmv.x.w a0,ft0 +800003ac: 923453b7 lui t2,0x92345 +800003b0: 67838393 addi t2,t2,1656 # 92345678 <_end+0x12343678> +800003b4: 01f00193 li gp,31 +800003b8: 06751063 bne a0,t2,80000418 + +800003bc : +800003bc: 923455b7 lui a1,0x92345 +800003c0: 67858593 addi a1,a1,1656 # 92345678 <_end+0x12343678> +800003c4: 00000613 li a2,0 +800003c8: f00580d3 fmv.w.x ft1,a1 +800003cc: f0060153 fmv.w.x ft2,a2 +800003d0: 2020a053 fsgnjx.s ft0,ft1,ft2 +800003d4: e0000553 fmv.x.w a0,ft0 +800003d8: 923453b7 lui t2,0x92345 +800003dc: 67838393 addi t2,t2,1656 # 92345678 <_end+0x12343678> +800003e0: 02000193 li gp,32 +800003e4: 02751a63 bne a0,t2,80000418 + +800003e8 : +800003e8: 923455b7 lui a1,0x92345 +800003ec: 67858593 addi a1,a1,1656 # 92345678 <_end+0x12343678> +800003f0: fff00613 li a2,-1 +800003f4: f00580d3 fmv.w.x ft1,a1 +800003f8: f0060153 fmv.w.x ft2,a2 +800003fc: 2020a053 fsgnjx.s ft0,ft1,ft2 +80000400: e0000553 fmv.x.w a0,ft0 +80000404: 123453b7 lui t2,0x12345 +80000408: 67838393 addi t2,t2,1656 # 12345678 <_start-0x6dcba988> +8000040c: 02100193 li gp,33 +80000410: 00751463 bne a0,t2,80000418 +80000414: 02301063 bne zero,gp,80000434 + +80000418 : +80000418: 0ff0000f fence +8000041c: 00018063 beqz gp,8000041c +80000420: 00119193 slli gp,gp,0x1 +80000424: 0011e193 ori gp,gp,1 +80000428: 05d00893 li a7,93 +8000042c: 00018513 mv a0,gp +80000430: 00000073 ecall + +80000434 : +80000434: 0ff0000f fence +80000438: 00100193 li gp,1 +8000043c: 05d00893 li a7,93 +80000440: 00000513 li a0,0 +80000444: 00000073 ecall +80000448: c0001073 unimp +8000044c: 0000 unimp +8000044e: 0000 unimp +80000450: 0000 unimp +80000452: 0000 unimp +80000454: 0000 unimp +80000456: 0000 unimp +80000458: 0000 unimp +8000045a: 0000 unimp +8000045c: 0000 unimp +8000045e: 0000 unimp +80000460: 0000 unimp +80000462: 0000 unimp +80000464: 0000 unimp +80000466: 0000 unimp +80000468: 0000 unimp +8000046a: 0000 unimp +8000046c: 0000 unimp +8000046e: 0000 unimp +80000470: 0000 unimp +80000472: 0000 unimp +80000474: 0000 unimp +80000476: 0000 unimp +80000478: 0000 unimp +8000047a: 0000 unimp +8000047c: 0000 unimp +8000047e: 0000 unimp +80000480: 0000 unimp +80000482: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32uf-p-recoding.dump b/VexRiscv/src/test/resources/asm/rv32uf-p-recoding.dump new file mode 100644 index 0000000..12d0f41 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32uf-p-recoding.dump @@ -0,0 +1,190 @@ + +rv32uf-p-recoding: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 5391e193 ori gp,gp,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fc3f2023 sw gp,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: 00000093 li ra,0 +80000050: 00000113 li sp,0 +80000054: 00000193 li gp,0 +80000058: 00000213 li tp,0 +8000005c: 00000293 li t0,0 +80000060: 00000313 li t1,0 +80000064: 00000393 li t2,0 +80000068: 00000413 li s0,0 +8000006c: 00000493 li s1,0 +80000070: 00000513 li a0,0 +80000074: 00000593 li a1,0 +80000078: 00000613 li a2,0 +8000007c: 00000693 li a3,0 +80000080: 00000713 li a4,0 +80000084: 00000793 li a5,0 +80000088: 00000813 li a6,0 +8000008c: 00000893 li a7,0 +80000090: 00000913 li s2,0 +80000094: 00000993 li s3,0 +80000098: 00000a13 li s4,0 +8000009c: 00000a93 li s5,0 +800000a0: 00000b13 li s6,0 +800000a4: 00000b93 li s7,0 +800000a8: 00000c13 li s8,0 +800000ac: 00000c93 li s9,0 +800000b0: 00000d13 li s10,0 +800000b4: 00000d93 li s11,0 +800000b8: 00000e13 li t3,0 +800000bc: 00000e93 li t4,0 +800000c0: 00000f13 li t5,0 +800000c4: 00000f93 li t6,0 +800000c8: f1402573 csrr a0,mhartid +800000cc: 00051063 bnez a0,800000cc +800000d0: 00000297 auipc t0,0x0 +800000d4: 01028293 addi t0,t0,16 # 800000e0 +800000d8: 30529073 csrw mtvec,t0 +800000dc: 18005073 csrwi satp,0 +800000e0: 00000297 auipc t0,0x0 +800000e4: 02028293 addi t0,t0,32 # 80000100 +800000e8: 30529073 csrw mtvec,t0 +800000ec: 800002b7 lui t0,0x80000 +800000f0: fff28293 addi t0,t0,-1 # 7fffffff <_end+0xffffdfef> +800000f4: 3b029073 csrw pmpaddr0,t0 +800000f8: 01f00293 li t0,31 +800000fc: 3a029073 csrw pmpcfg0,t0 +80000100: 30405073 csrwi mie,0 +80000104: 00000297 auipc t0,0x0 +80000108: 01428293 addi t0,t0,20 # 80000118 +8000010c: 30529073 csrw mtvec,t0 +80000110: 30205073 csrwi medeleg,0 +80000114: 30305073 csrwi mideleg,0 +80000118: 00000193 li gp,0 +8000011c: 00000297 auipc t0,0x0 +80000120: ee828293 addi t0,t0,-280 # 80000004 +80000124: 30529073 csrw mtvec,t0 +80000128: 00100513 li a0,1 +8000012c: 01f51513 slli a0,a0,0x1f +80000130: 00054c63 bltz a0,80000148 +80000134: 0ff0000f fence +80000138: 00100193 li gp,1 +8000013c: 05d00893 li a7,93 +80000140: 00000513 li a0,0 +80000144: 00000073 ecall +80000148: 80000297 auipc t0,0x80000 +8000014c: eb828293 addi t0,t0,-328 # 0 <_start-0x80000000> +80000150: 00028a63 beqz t0,80000164 +80000154: 10529073 csrw stvec,t0 +80000158: 0000b2b7 lui t0,0xb +8000015c: 10928293 addi t0,t0,265 # b109 <_start-0x7fff4ef7> +80000160: 30229073 csrw medeleg,t0 +80000164: 30005073 csrwi mstatus,0 +80000168: 00002537 lui a0,0x2 +8000016c: 30052073 csrs mstatus,a0 +80000170: 00305073 csrwi fcsr,0 +80000174: 00000297 auipc t0,0x0 +80000178: 01428293 addi t0,t0,20 # 80000188 +8000017c: 34129073 csrw mepc,t0 +80000180: f1402573 csrr a0,mhartid +80000184: 30200073 mret +80000188: 00002517 auipc a0,0x2 +8000018c: e7852007 flw ft0,-392(a0) # 80002000 +80000190: 00002517 auipc a0,0x2 +80000194: e7452087 flw ft1,-396(a0) # 80002004 +80000198: 1000f0d3 fmul.s ft1,ft1,ft0 + +8000019c : +8000019c: a0102553 feq.s a0,ft0,ft1 +800001a0: 00100393 li t2,1 +800001a4: 00200193 li gp,2 +800001a8: 06751463 bne a0,t2,80000210 + +800001ac : +800001ac: a0100553 fle.s a0,ft0,ft1 +800001b0: 00100393 li t2,1 +800001b4: 00300193 li gp,3 +800001b8: 04751c63 bne a0,t2,80000210 + +800001bc : +800001bc: a0101553 flt.s a0,ft0,ft1 +800001c0: 00000393 li t2,0 +800001c4: 00400193 li gp,4 +800001c8: 04751463 bne a0,t2,80000210 +800001cc: d0007053 fcvt.s.w ft0,zero +800001d0: 00100513 li a0,1 +800001d4: d00570d3 fcvt.s.w ft1,a0 +800001d8: 1000f0d3 fmul.s ft1,ft1,ft0 + +800001dc : +800001dc: a0102553 feq.s a0,ft0,ft1 +800001e0: 00100393 li t2,1 +800001e4: 00500193 li gp,5 +800001e8: 02751463 bne a0,t2,80000210 + +800001ec : +800001ec: a0100553 fle.s a0,ft0,ft1 +800001f0: 00100393 li t2,1 +800001f4: 00600193 li gp,6 +800001f8: 00751c63 bne a0,t2,80000210 + +800001fc : +800001fc: a0101553 flt.s a0,ft0,ft1 +80000200: 00000393 li t2,0 +80000204: 00700193 li gp,7 +80000208: 00751463 bne a0,t2,80000210 +8000020c: 02301063 bne zero,gp,8000022c + +80000210 : +80000210: 0ff0000f fence +80000214: 00018063 beqz gp,80000214 +80000218: 00119193 slli gp,gp,0x1 +8000021c: 0011e193 ori gp,gp,1 +80000220: 05d00893 li a7,93 +80000224: 00018513 mv a0,gp +80000228: 00000073 ecall + +8000022c : +8000022c: 0ff0000f fence +80000230: 00100193 li gp,1 +80000234: 05d00893 li a7,93 +80000238: 00000513 li a0,0 +8000023c: 00000073 ecall +80000240: c0001073 unimp + +Disassembly of section .data: + +80002000 : +80002000: 0000 unimp +80002002: ff80 fsw fs0,56(a5) + +80002004 : +80002004: 0000 unimp +80002006: 4040 lw s0,4(s0) +80002008: 0000 unimp +8000200a: 0000 unimp +8000200c: 0000 unimp +8000200e: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-add.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-add.dump new file mode 100644 index 0000000..00fa611 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-add.dump @@ -0,0 +1,463 @@ + +rv32ui-p-add: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00000093 li ra,0 +800000c0: 00000113 li sp,0 +800000c4: 002081b3 add gp,ra,sp +800000c8: 00000e93 li t4,0 +800000cc: 00200e13 li t3,2 +800000d0: 4dd19663 bne gp,t4,8000059c + +800000d4 : +800000d4: 00100093 li ra,1 +800000d8: 00100113 li sp,1 +800000dc: 002081b3 add gp,ra,sp +800000e0: 00200e93 li t4,2 +800000e4: 00300e13 li t3,3 +800000e8: 4bd19a63 bne gp,t4,8000059c + +800000ec : +800000ec: 00300093 li ra,3 +800000f0: 00700113 li sp,7 +800000f4: 002081b3 add gp,ra,sp +800000f8: 00a00e93 li t4,10 +800000fc: 00400e13 li t3,4 +80000100: 49d19e63 bne gp,t4,8000059c + +80000104 : +80000104: 00000093 li ra,0 +80000108: ffff8137 lui sp,0xffff8 +8000010c: 002081b3 add gp,ra,sp +80000110: ffff8eb7 lui t4,0xffff8 +80000114: 00500e13 li t3,5 +80000118: 49d19263 bne gp,t4,8000059c + +8000011c : +8000011c: 800000b7 lui ra,0x80000 +80000120: 00000113 li sp,0 +80000124: 002081b3 add gp,ra,sp +80000128: 80000eb7 lui t4,0x80000 +8000012c: 00600e13 li t3,6 +80000130: 47d19663 bne gp,t4,8000059c + +80000134 : +80000134: 800000b7 lui ra,0x80000 +80000138: ffff8137 lui sp,0xffff8 +8000013c: 002081b3 add gp,ra,sp +80000140: 7fff8eb7 lui t4,0x7fff8 +80000144: 00700e13 li t3,7 +80000148: 45d19a63 bne gp,t4,8000059c + +8000014c : +8000014c: 00000093 li ra,0 +80000150: 00008137 lui sp,0x8 +80000154: fff10113 addi sp,sp,-1 # 7fff <_start-0x7fff8001> +80000158: 002081b3 add gp,ra,sp +8000015c: 00008eb7 lui t4,0x8 +80000160: fffe8e93 addi t4,t4,-1 # 7fff <_start-0x7fff8001> +80000164: 00800e13 li t3,8 +80000168: 43d19a63 bne gp,t4,8000059c + +8000016c : +8000016c: 800000b7 lui ra,0x80000 +80000170: fff08093 addi ra,ra,-1 # 7fffffff +80000174: 00000113 li sp,0 +80000178: 002081b3 add gp,ra,sp +8000017c: 80000eb7 lui t4,0x80000 +80000180: fffe8e93 addi t4,t4,-1 # 7fffffff +80000184: 00900e13 li t3,9 +80000188: 41d19a63 bne gp,t4,8000059c + +8000018c : +8000018c: 800000b7 lui ra,0x80000 +80000190: fff08093 addi ra,ra,-1 # 7fffffff +80000194: 00008137 lui sp,0x8 +80000198: fff10113 addi sp,sp,-1 # 7fff <_start-0x7fff8001> +8000019c: 002081b3 add gp,ra,sp +800001a0: 80008eb7 lui t4,0x80008 +800001a4: ffee8e93 addi t4,t4,-2 # 80007ffe +800001a8: 00a00e13 li t3,10 +800001ac: 3fd19863 bne gp,t4,8000059c + +800001b0 : +800001b0: 800000b7 lui ra,0x80000 +800001b4: 00008137 lui sp,0x8 +800001b8: fff10113 addi sp,sp,-1 # 7fff <_start-0x7fff8001> +800001bc: 002081b3 add gp,ra,sp +800001c0: 80008eb7 lui t4,0x80008 +800001c4: fffe8e93 addi t4,t4,-1 # 80007fff +800001c8: 00b00e13 li t3,11 +800001cc: 3dd19863 bne gp,t4,8000059c + +800001d0 : +800001d0: 800000b7 lui ra,0x80000 +800001d4: fff08093 addi ra,ra,-1 # 7fffffff +800001d8: ffff8137 lui sp,0xffff8 +800001dc: 002081b3 add gp,ra,sp +800001e0: 7fff8eb7 lui t4,0x7fff8 +800001e4: fffe8e93 addi t4,t4,-1 # 7fff7fff <_start-0x8001> +800001e8: 00c00e13 li t3,12 +800001ec: 3bd19863 bne gp,t4,8000059c + +800001f0 : +800001f0: 00000093 li ra,0 +800001f4: fff00113 li sp,-1 +800001f8: 002081b3 add gp,ra,sp +800001fc: fff00e93 li t4,-1 +80000200: 00d00e13 li t3,13 +80000204: 39d19c63 bne gp,t4,8000059c + +80000208 : +80000208: fff00093 li ra,-1 +8000020c: 00100113 li sp,1 +80000210: 002081b3 add gp,ra,sp +80000214: 00000e93 li t4,0 +80000218: 00e00e13 li t3,14 +8000021c: 39d19063 bne gp,t4,8000059c + +80000220 : +80000220: fff00093 li ra,-1 +80000224: fff00113 li sp,-1 +80000228: 002081b3 add gp,ra,sp +8000022c: ffe00e93 li t4,-2 +80000230: 00f00e13 li t3,15 +80000234: 37d19463 bne gp,t4,8000059c + +80000238 : +80000238: 00100093 li ra,1 +8000023c: 80000137 lui sp,0x80000 +80000240: fff10113 addi sp,sp,-1 # 7fffffff +80000244: 002081b3 add gp,ra,sp +80000248: 80000eb7 lui t4,0x80000 +8000024c: 01000e13 li t3,16 +80000250: 35d19663 bne gp,t4,8000059c + +80000254 : +80000254: 00d00093 li ra,13 +80000258: 00b00113 li sp,11 +8000025c: 002080b3 add ra,ra,sp +80000260: 01800e93 li t4,24 +80000264: 01100e13 li t3,17 +80000268: 33d09a63 bne ra,t4,8000059c + +8000026c : +8000026c: 00e00093 li ra,14 +80000270: 00b00113 li sp,11 +80000274: 00208133 add sp,ra,sp +80000278: 01900e93 li t4,25 +8000027c: 01200e13 li t3,18 +80000280: 31d11e63 bne sp,t4,8000059c + +80000284 : +80000284: 00d00093 li ra,13 +80000288: 001080b3 add ra,ra,ra +8000028c: 01a00e93 li t4,26 +80000290: 01300e13 li t3,19 +80000294: 31d09463 bne ra,t4,8000059c + +80000298 : +80000298: 00000213 li tp,0 +8000029c: 00d00093 li ra,13 +800002a0: 00b00113 li sp,11 +800002a4: 002081b3 add gp,ra,sp +800002a8: 00018313 mv t1,gp +800002ac: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002b0: 00200293 li t0,2 +800002b4: fe5214e3 bne tp,t0,8000029c +800002b8: 01800e93 li t4,24 +800002bc: 01400e13 li t3,20 +800002c0: 2dd31e63 bne t1,t4,8000059c + +800002c4 : +800002c4: 00000213 li tp,0 +800002c8: 00e00093 li ra,14 +800002cc: 00b00113 li sp,11 +800002d0: 002081b3 add gp,ra,sp +800002d4: 00000013 nop +800002d8: 00018313 mv t1,gp +800002dc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002e0: 00200293 li t0,2 +800002e4: fe5212e3 bne tp,t0,800002c8 +800002e8: 01900e93 li t4,25 +800002ec: 01500e13 li t3,21 +800002f0: 2bd31663 bne t1,t4,8000059c + +800002f4 : +800002f4: 00000213 li tp,0 +800002f8: 00f00093 li ra,15 +800002fc: 00b00113 li sp,11 +80000300: 002081b3 add gp,ra,sp +80000304: 00000013 nop +80000308: 00000013 nop +8000030c: 00018313 mv t1,gp +80000310: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000314: 00200293 li t0,2 +80000318: fe5210e3 bne tp,t0,800002f8 +8000031c: 01a00e93 li t4,26 +80000320: 01600e13 li t3,22 +80000324: 27d31c63 bne t1,t4,8000059c + +80000328 : +80000328: 00000213 li tp,0 +8000032c: 00d00093 li ra,13 +80000330: 00b00113 li sp,11 +80000334: 002081b3 add gp,ra,sp +80000338: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000033c: 00200293 li t0,2 +80000340: fe5216e3 bne tp,t0,8000032c +80000344: 01800e93 li t4,24 +80000348: 01700e13 li t3,23 +8000034c: 25d19863 bne gp,t4,8000059c + +80000350 : +80000350: 00000213 li tp,0 +80000354: 00e00093 li ra,14 +80000358: 00b00113 li sp,11 +8000035c: 00000013 nop +80000360: 002081b3 add gp,ra,sp +80000364: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000368: 00200293 li t0,2 +8000036c: fe5214e3 bne tp,t0,80000354 +80000370: 01900e93 li t4,25 +80000374: 01800e13 li t3,24 +80000378: 23d19263 bne gp,t4,8000059c + +8000037c : +8000037c: 00000213 li tp,0 +80000380: 00f00093 li ra,15 +80000384: 00b00113 li sp,11 +80000388: 00000013 nop +8000038c: 00000013 nop +80000390: 002081b3 add gp,ra,sp +80000394: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000398: 00200293 li t0,2 +8000039c: fe5212e3 bne tp,t0,80000380 +800003a0: 01a00e93 li t4,26 +800003a4: 01900e13 li t3,25 +800003a8: 1fd19a63 bne gp,t4,8000059c + +800003ac : +800003ac: 00000213 li tp,0 +800003b0: 00d00093 li ra,13 +800003b4: 00000013 nop +800003b8: 00b00113 li sp,11 +800003bc: 002081b3 add gp,ra,sp +800003c0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003c4: 00200293 li t0,2 +800003c8: fe5214e3 bne tp,t0,800003b0 +800003cc: 01800e93 li t4,24 +800003d0: 01a00e13 li t3,26 +800003d4: 1dd19463 bne gp,t4,8000059c + +800003d8 : +800003d8: 00000213 li tp,0 +800003dc: 00e00093 li ra,14 +800003e0: 00000013 nop +800003e4: 00b00113 li sp,11 +800003e8: 00000013 nop +800003ec: 002081b3 add gp,ra,sp +800003f0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003f4: 00200293 li t0,2 +800003f8: fe5212e3 bne tp,t0,800003dc +800003fc: 01900e93 li t4,25 +80000400: 01b00e13 li t3,27 +80000404: 19d19c63 bne gp,t4,8000059c + +80000408 : +80000408: 00000213 li tp,0 +8000040c: 00f00093 li ra,15 +80000410: 00000013 nop +80000414: 00000013 nop +80000418: 00b00113 li sp,11 +8000041c: 002081b3 add gp,ra,sp +80000420: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000424: 00200293 li t0,2 +80000428: fe5212e3 bne tp,t0,8000040c +8000042c: 01a00e93 li t4,26 +80000430: 01c00e13 li t3,28 +80000434: 17d19463 bne gp,t4,8000059c + +80000438 : +80000438: 00000213 li tp,0 +8000043c: 00b00113 li sp,11 +80000440: 00d00093 li ra,13 +80000444: 002081b3 add gp,ra,sp +80000448: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000044c: 00200293 li t0,2 +80000450: fe5216e3 bne tp,t0,8000043c +80000454: 01800e93 li t4,24 +80000458: 01d00e13 li t3,29 +8000045c: 15d19063 bne gp,t4,8000059c + +80000460 : +80000460: 00000213 li tp,0 +80000464: 00b00113 li sp,11 +80000468: 00e00093 li ra,14 +8000046c: 00000013 nop +80000470: 002081b3 add gp,ra,sp +80000474: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000478: 00200293 li t0,2 +8000047c: fe5214e3 bne tp,t0,80000464 +80000480: 01900e93 li t4,25 +80000484: 01e00e13 li t3,30 +80000488: 11d19a63 bne gp,t4,8000059c + +8000048c : +8000048c: 00000213 li tp,0 +80000490: 00b00113 li sp,11 +80000494: 00f00093 li ra,15 +80000498: 00000013 nop +8000049c: 00000013 nop +800004a0: 002081b3 add gp,ra,sp +800004a4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004a8: 00200293 li t0,2 +800004ac: fe5212e3 bne tp,t0,80000490 +800004b0: 01a00e93 li t4,26 +800004b4: 01f00e13 li t3,31 +800004b8: 0fd19263 bne gp,t4,8000059c + +800004bc : +800004bc: 00000213 li tp,0 +800004c0: 00b00113 li sp,11 +800004c4: 00000013 nop +800004c8: 00d00093 li ra,13 +800004cc: 002081b3 add gp,ra,sp +800004d0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004d4: 00200293 li t0,2 +800004d8: fe5214e3 bne tp,t0,800004c0 +800004dc: 01800e93 li t4,24 +800004e0: 02000e13 li t3,32 +800004e4: 0bd19c63 bne gp,t4,8000059c + +800004e8 : +800004e8: 00000213 li tp,0 +800004ec: 00b00113 li sp,11 +800004f0: 00000013 nop +800004f4: 00e00093 li ra,14 +800004f8: 00000013 nop +800004fc: 002081b3 add gp,ra,sp +80000500: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000504: 00200293 li t0,2 +80000508: fe5212e3 bne tp,t0,800004ec +8000050c: 01900e93 li t4,25 +80000510: 02100e13 li t3,33 +80000514: 09d19463 bne gp,t4,8000059c + +80000518 : +80000518: 00000213 li tp,0 +8000051c: 00b00113 li sp,11 +80000520: 00000013 nop +80000524: 00000013 nop +80000528: 00f00093 li ra,15 +8000052c: 002081b3 add gp,ra,sp +80000530: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000534: 00200293 li t0,2 +80000538: fe5212e3 bne tp,t0,8000051c +8000053c: 01a00e93 li t4,26 +80000540: 02200e13 li t3,34 +80000544: 05d19c63 bne gp,t4,8000059c + +80000548 : +80000548: 00f00093 li ra,15 +8000054c: 00100133 add sp,zero,ra +80000550: 00f00e93 li t4,15 +80000554: 02300e13 li t3,35 +80000558: 05d11263 bne sp,t4,8000059c + +8000055c : +8000055c: 02000093 li ra,32 +80000560: 00008133 add sp,ra,zero +80000564: 02000e93 li t4,32 +80000568: 02400e13 li t3,36 +8000056c: 03d11863 bne sp,t4,8000059c + +80000570 : +80000570: 000000b3 add ra,zero,zero +80000574: 00000e93 li t4,0 +80000578: 02500e13 li t3,37 +8000057c: 03d09063 bne ra,t4,8000059c + +80000580 : +80000580: 01000093 li ra,16 +80000584: 01e00113 li sp,30 +80000588: 00208033 add zero,ra,sp +8000058c: 00000e93 li t4,0 +80000590: 02600e13 li t3,38 +80000594: 01d01463 bne zero,t4,8000059c +80000598: 01c01c63 bne zero,t3,800005b0 + +8000059c : +8000059c: 0ff0000f fence +800005a0: 000e0063 beqz t3,800005a0 +800005a4: 001e1e13 slli t3,t3,0x1 +800005a8: 001e6e13 ori t3,t3,1 +800005ac: 00000073 ecall + +800005b0 : +800005b0: 0ff0000f fence +800005b4: 00100e13 li t3,1 +800005b8: 00000073 ecall +800005bc: c0001073 unimp +800005c0: 0000 unimp +800005c2: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-addi.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-addi.dump new file mode 100644 index 0000000..a6cec3b --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-addi.dump @@ -0,0 +1,299 @@ + +rv32ui-p-addi: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00000093 li ra,0 +800000c0: 00008193 mv gp,ra +800000c4: 00000e93 li t4,0 +800000c8: 00200e13 li t3,2 +800000cc: 27d19c63 bne gp,t4,80000344 + +800000d0 : +800000d0: 00100093 li ra,1 +800000d4: 00108193 addi gp,ra,1 +800000d8: 00200e93 li t4,2 +800000dc: 00300e13 li t3,3 +800000e0: 27d19263 bne gp,t4,80000344 + +800000e4 : +800000e4: 00300093 li ra,3 +800000e8: 00708193 addi gp,ra,7 +800000ec: 00a00e93 li t4,10 +800000f0: 00400e13 li t3,4 +800000f4: 25d19863 bne gp,t4,80000344 + +800000f8 : +800000f8: 00000093 li ra,0 +800000fc: 80008193 addi gp,ra,-2048 +80000100: 80000e93 li t4,-2048 +80000104: 00500e13 li t3,5 +80000108: 23d19e63 bne gp,t4,80000344 + +8000010c : +8000010c: 800000b7 lui ra,0x80000 +80000110: 00008193 mv gp,ra +80000114: 80000eb7 lui t4,0x80000 +80000118: 00600e13 li t3,6 +8000011c: 23d19463 bne gp,t4,80000344 + +80000120 : +80000120: 800000b7 lui ra,0x80000 +80000124: 80008193 addi gp,ra,-2048 # 7ffff800 +80000128: 80000eb7 lui t4,0x80000 +8000012c: 800e8e93 addi t4,t4,-2048 # 7ffff800 +80000130: 00700e13 li t3,7 +80000134: 21d19863 bne gp,t4,80000344 + +80000138 : +80000138: 00000093 li ra,0 +8000013c: 7ff08193 addi gp,ra,2047 +80000140: 7ff00e93 li t4,2047 +80000144: 00800e13 li t3,8 +80000148: 1fd19e63 bne gp,t4,80000344 + +8000014c : +8000014c: 800000b7 lui ra,0x80000 +80000150: fff08093 addi ra,ra,-1 # 7fffffff +80000154: 00008193 mv gp,ra +80000158: 80000eb7 lui t4,0x80000 +8000015c: fffe8e93 addi t4,t4,-1 # 7fffffff +80000160: 00900e13 li t3,9 +80000164: 1fd19063 bne gp,t4,80000344 + +80000168 : +80000168: 800000b7 lui ra,0x80000 +8000016c: fff08093 addi ra,ra,-1 # 7fffffff +80000170: 7ff08193 addi gp,ra,2047 +80000174: 80000eb7 lui t4,0x80000 +80000178: 7fee8e93 addi t4,t4,2046 # 800007fe +8000017c: 00a00e13 li t3,10 +80000180: 1dd19263 bne gp,t4,80000344 + +80000184 : +80000184: 800000b7 lui ra,0x80000 +80000188: 7ff08193 addi gp,ra,2047 # 800007ff +8000018c: 80000eb7 lui t4,0x80000 +80000190: 7ffe8e93 addi t4,t4,2047 # 800007ff +80000194: 00b00e13 li t3,11 +80000198: 1bd19663 bne gp,t4,80000344 + +8000019c : +8000019c: 800000b7 lui ra,0x80000 +800001a0: fff08093 addi ra,ra,-1 # 7fffffff +800001a4: 80008193 addi gp,ra,-2048 +800001a8: 7ffffeb7 lui t4,0x7ffff +800001ac: 7ffe8e93 addi t4,t4,2047 # 7ffff7ff <_start-0x801> +800001b0: 00c00e13 li t3,12 +800001b4: 19d19863 bne gp,t4,80000344 + +800001b8 : +800001b8: 00000093 li ra,0 +800001bc: fff08193 addi gp,ra,-1 +800001c0: fff00e93 li t4,-1 +800001c4: 00d00e13 li t3,13 +800001c8: 17d19e63 bne gp,t4,80000344 + +800001cc : +800001cc: fff00093 li ra,-1 +800001d0: 00108193 addi gp,ra,1 +800001d4: 00000e93 li t4,0 +800001d8: 00e00e13 li t3,14 +800001dc: 17d19463 bne gp,t4,80000344 + +800001e0 : +800001e0: fff00093 li ra,-1 +800001e4: fff08193 addi gp,ra,-1 +800001e8: ffe00e93 li t4,-2 +800001ec: 00f00e13 li t3,15 +800001f0: 15d19a63 bne gp,t4,80000344 + +800001f4 : +800001f4: 800000b7 lui ra,0x80000 +800001f8: fff08093 addi ra,ra,-1 # 7fffffff +800001fc: 00108193 addi gp,ra,1 +80000200: 80000eb7 lui t4,0x80000 +80000204: 01000e13 li t3,16 +80000208: 13d19e63 bne gp,t4,80000344 + +8000020c : +8000020c: 00d00093 li ra,13 +80000210: 00b08093 addi ra,ra,11 +80000214: 01800e93 li t4,24 +80000218: 01100e13 li t3,17 +8000021c: 13d09463 bne ra,t4,80000344 + +80000220 : +80000220: 00000213 li tp,0 +80000224: 00d00093 li ra,13 +80000228: 00b08193 addi gp,ra,11 +8000022c: 00018313 mv t1,gp +80000230: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000234: 00200293 li t0,2 +80000238: fe5216e3 bne tp,t0,80000224 +8000023c: 01800e93 li t4,24 +80000240: 01200e13 li t3,18 +80000244: 11d31063 bne t1,t4,80000344 + +80000248 : +80000248: 00000213 li tp,0 +8000024c: 00d00093 li ra,13 +80000250: 00a08193 addi gp,ra,10 +80000254: 00000013 nop +80000258: 00018313 mv t1,gp +8000025c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000260: 00200293 li t0,2 +80000264: fe5214e3 bne tp,t0,8000024c +80000268: 01700e93 li t4,23 +8000026c: 01300e13 li t3,19 +80000270: 0dd31a63 bne t1,t4,80000344 + +80000274 : +80000274: 00000213 li tp,0 +80000278: 00d00093 li ra,13 +8000027c: 00908193 addi gp,ra,9 +80000280: 00000013 nop +80000284: 00000013 nop +80000288: 00018313 mv t1,gp +8000028c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000290: 00200293 li t0,2 +80000294: fe5212e3 bne tp,t0,80000278 +80000298: 01600e93 li t4,22 +8000029c: 01400e13 li t3,20 +800002a0: 0bd31263 bne t1,t4,80000344 + +800002a4 : +800002a4: 00000213 li tp,0 +800002a8: 00d00093 li ra,13 +800002ac: 00b08193 addi gp,ra,11 +800002b0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002b4: 00200293 li t0,2 +800002b8: fe5218e3 bne tp,t0,800002a8 +800002bc: 01800e93 li t4,24 +800002c0: 01500e13 li t3,21 +800002c4: 09d19063 bne gp,t4,80000344 + +800002c8 : +800002c8: 00000213 li tp,0 +800002cc: 00d00093 li ra,13 +800002d0: 00000013 nop +800002d4: 00a08193 addi gp,ra,10 +800002d8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002dc: 00200293 li t0,2 +800002e0: fe5216e3 bne tp,t0,800002cc +800002e4: 01700e93 li t4,23 +800002e8: 01600e13 li t3,22 +800002ec: 05d19c63 bne gp,t4,80000344 + +800002f0 : +800002f0: 00000213 li tp,0 +800002f4: 00d00093 li ra,13 +800002f8: 00000013 nop +800002fc: 00000013 nop +80000300: 00908193 addi gp,ra,9 +80000304: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000308: 00200293 li t0,2 +8000030c: fe5214e3 bne tp,t0,800002f4 +80000310: 01600e93 li t4,22 +80000314: 01700e13 li t3,23 +80000318: 03d19663 bne gp,t4,80000344 + +8000031c : +8000031c: 02000093 li ra,32 +80000320: 02000e93 li t4,32 +80000324: 01800e13 li t3,24 +80000328: 01d09e63 bne ra,t4,80000344 + +8000032c : +8000032c: 02100093 li ra,33 +80000330: 03208013 addi zero,ra,50 +80000334: 00000e93 li t4,0 +80000338: 01900e13 li t3,25 +8000033c: 01d01463 bne zero,t4,80000344 +80000340: 01c01c63 bne zero,t3,80000358 + +80000344 : +80000344: 0ff0000f fence +80000348: 000e0063 beqz t3,80000348 +8000034c: 001e1e13 slli t3,t3,0x1 +80000350: 001e6e13 ori t3,t3,1 +80000354: 00000073 ecall + +80000358 : +80000358: 0ff0000f fence +8000035c: 00100e13 li t3,1 +80000360: 00000073 ecall +80000364: c0001073 unimp +80000368: 0000 unimp +8000036a: 0000 unimp +8000036c: 0000 unimp +8000036e: 0000 unimp +80000370: 0000 unimp +80000372: 0000 unimp +80000374: 0000 unimp +80000376: 0000 unimp +80000378: 0000 unimp +8000037a: 0000 unimp +8000037c: 0000 unimp +8000037e: 0000 unimp +80000380: 0000 unimp +80000382: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-and.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-and.dump new file mode 100644 index 0000000..1e1d6a4 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-and.dump @@ -0,0 +1,451 @@ + +rv32ui-p-and: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: ff0100b7 lui ra,0xff010 +800000c0: f0008093 addi ra,ra,-256 # ff00ff00 +800000c4: 0f0f1137 lui sp,0xf0f1 +800000c8: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +800000cc: 0020f1b3 and gp,ra,sp +800000d0: 0f001eb7 lui t4,0xf001 +800000d4: f00e8e93 addi t4,t4,-256 # f000f00 <_start-0x70fff100> +800000d8: 00200e13 li t3,2 +800000dc: 49d19c63 bne gp,t4,80000574 + +800000e0 : +800000e0: 0ff010b7 lui ra,0xff01 +800000e4: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +800000e8: f0f0f137 lui sp,0xf0f0f +800000ec: 0f010113 addi sp,sp,240 # f0f0f0f0 +800000f0: 0020f1b3 and gp,ra,sp +800000f4: 00f00eb7 lui t4,0xf00 +800000f8: 0f0e8e93 addi t4,t4,240 # f000f0 <_start-0x7f0fff10> +800000fc: 00300e13 li t3,3 +80000100: 47d19a63 bne gp,t4,80000574 + +80000104 : +80000104: 00ff00b7 lui ra,0xff0 +80000108: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +8000010c: 0f0f1137 lui sp,0xf0f1 +80000110: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +80000114: 0020f1b3 and gp,ra,sp +80000118: 000f0eb7 lui t4,0xf0 +8000011c: 00fe8e93 addi t4,t4,15 # f000f <_start-0x7ff0fff1> +80000120: 00400e13 li t3,4 +80000124: 45d19863 bne gp,t4,80000574 + +80000128 : +80000128: f00ff0b7 lui ra,0xf00ff +8000012c: 00f08093 addi ra,ra,15 # f00ff00f +80000130: f0f0f137 lui sp,0xf0f0f +80000134: 0f010113 addi sp,sp,240 # f0f0f0f0 +80000138: 0020f1b3 and gp,ra,sp +8000013c: f000feb7 lui t4,0xf000f +80000140: 00500e13 li t3,5 +80000144: 43d19863 bne gp,t4,80000574 + +80000148 : +80000148: ff0100b7 lui ra,0xff010 +8000014c: f0008093 addi ra,ra,-256 # ff00ff00 +80000150: 0f0f1137 lui sp,0xf0f1 +80000154: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +80000158: 0020f0b3 and ra,ra,sp +8000015c: 0f001eb7 lui t4,0xf001 +80000160: f00e8e93 addi t4,t4,-256 # f000f00 <_start-0x70fff100> +80000164: 00600e13 li t3,6 +80000168: 41d09663 bne ra,t4,80000574 + +8000016c : +8000016c: 0ff010b7 lui ra,0xff01 +80000170: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +80000174: f0f0f137 lui sp,0xf0f0f +80000178: 0f010113 addi sp,sp,240 # f0f0f0f0 +8000017c: 0020f133 and sp,ra,sp +80000180: 00f00eb7 lui t4,0xf00 +80000184: 0f0e8e93 addi t4,t4,240 # f000f0 <_start-0x7f0fff10> +80000188: 00700e13 li t3,7 +8000018c: 3fd11463 bne sp,t4,80000574 + +80000190 : +80000190: ff0100b7 lui ra,0xff010 +80000194: f0008093 addi ra,ra,-256 # ff00ff00 +80000198: 0010f0b3 and ra,ra,ra +8000019c: ff010eb7 lui t4,0xff010 +800001a0: f00e8e93 addi t4,t4,-256 # ff00ff00 +800001a4: 00800e13 li t3,8 +800001a8: 3dd09663 bne ra,t4,80000574 + +800001ac : +800001ac: 00000213 li tp,0 +800001b0: ff0100b7 lui ra,0xff010 +800001b4: f0008093 addi ra,ra,-256 # ff00ff00 +800001b8: 0f0f1137 lui sp,0xf0f1 +800001bc: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +800001c0: 0020f1b3 and gp,ra,sp +800001c4: 00018313 mv t1,gp +800001c8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800001cc: 00200293 li t0,2 +800001d0: fe5210e3 bne tp,t0,800001b0 +800001d4: 0f001eb7 lui t4,0xf001 +800001d8: f00e8e93 addi t4,t4,-256 # f000f00 <_start-0x70fff100> +800001dc: 00900e13 li t3,9 +800001e0: 39d31a63 bne t1,t4,80000574 + +800001e4 : +800001e4: 00000213 li tp,0 +800001e8: 0ff010b7 lui ra,0xff01 +800001ec: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +800001f0: f0f0f137 lui sp,0xf0f0f +800001f4: 0f010113 addi sp,sp,240 # f0f0f0f0 +800001f8: 0020f1b3 and gp,ra,sp +800001fc: 00000013 nop +80000200: 00018313 mv t1,gp +80000204: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000208: 00200293 li t0,2 +8000020c: fc521ee3 bne tp,t0,800001e8 +80000210: 00f00eb7 lui t4,0xf00 +80000214: 0f0e8e93 addi t4,t4,240 # f000f0 <_start-0x7f0fff10> +80000218: 00a00e13 li t3,10 +8000021c: 35d31c63 bne t1,t4,80000574 + +80000220 : +80000220: 00000213 li tp,0 +80000224: 00ff00b7 lui ra,0xff0 +80000228: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +8000022c: 0f0f1137 lui sp,0xf0f1 +80000230: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +80000234: 0020f1b3 and gp,ra,sp +80000238: 00000013 nop +8000023c: 00000013 nop +80000240: 00018313 mv t1,gp +80000244: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000248: 00200293 li t0,2 +8000024c: fc521ce3 bne tp,t0,80000224 +80000250: 000f0eb7 lui t4,0xf0 +80000254: 00fe8e93 addi t4,t4,15 # f000f <_start-0x7ff0fff1> +80000258: 00b00e13 li t3,11 +8000025c: 31d31c63 bne t1,t4,80000574 + +80000260 : +80000260: 00000213 li tp,0 +80000264: ff0100b7 lui ra,0xff010 +80000268: f0008093 addi ra,ra,-256 # ff00ff00 +8000026c: 0f0f1137 lui sp,0xf0f1 +80000270: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +80000274: 0020f1b3 and gp,ra,sp +80000278: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000027c: 00200293 li t0,2 +80000280: fe5212e3 bne tp,t0,80000264 +80000284: 0f001eb7 lui t4,0xf001 +80000288: f00e8e93 addi t4,t4,-256 # f000f00 <_start-0x70fff100> +8000028c: 00c00e13 li t3,12 +80000290: 2fd19263 bne gp,t4,80000574 + +80000294 : +80000294: 00000213 li tp,0 +80000298: 0ff010b7 lui ra,0xff01 +8000029c: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +800002a0: f0f0f137 lui sp,0xf0f0f +800002a4: 0f010113 addi sp,sp,240 # f0f0f0f0 +800002a8: 00000013 nop +800002ac: 0020f1b3 and gp,ra,sp +800002b0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002b4: 00200293 li t0,2 +800002b8: fe5210e3 bne tp,t0,80000298 +800002bc: 00f00eb7 lui t4,0xf00 +800002c0: 0f0e8e93 addi t4,t4,240 # f000f0 <_start-0x7f0fff10> +800002c4: 00d00e13 li t3,13 +800002c8: 2bd19663 bne gp,t4,80000574 + +800002cc : +800002cc: 00000213 li tp,0 +800002d0: 00ff00b7 lui ra,0xff0 +800002d4: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +800002d8: 0f0f1137 lui sp,0xf0f1 +800002dc: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +800002e0: 00000013 nop +800002e4: 00000013 nop +800002e8: 0020f1b3 and gp,ra,sp +800002ec: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002f0: 00200293 li t0,2 +800002f4: fc521ee3 bne tp,t0,800002d0 +800002f8: 000f0eb7 lui t4,0xf0 +800002fc: 00fe8e93 addi t4,t4,15 # f000f <_start-0x7ff0fff1> +80000300: 00e00e13 li t3,14 +80000304: 27d19863 bne gp,t4,80000574 + +80000308 : +80000308: 00000213 li tp,0 +8000030c: ff0100b7 lui ra,0xff010 +80000310: f0008093 addi ra,ra,-256 # ff00ff00 +80000314: 00000013 nop +80000318: 0f0f1137 lui sp,0xf0f1 +8000031c: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +80000320: 0020f1b3 and gp,ra,sp +80000324: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000328: 00200293 li t0,2 +8000032c: fe5210e3 bne tp,t0,8000030c +80000330: 0f001eb7 lui t4,0xf001 +80000334: f00e8e93 addi t4,t4,-256 # f000f00 <_start-0x70fff100> +80000338: 00f00e13 li t3,15 +8000033c: 23d19c63 bne gp,t4,80000574 + +80000340 : +80000340: 00000213 li tp,0 +80000344: 0ff010b7 lui ra,0xff01 +80000348: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +8000034c: 00000013 nop +80000350: f0f0f137 lui sp,0xf0f0f +80000354: 0f010113 addi sp,sp,240 # f0f0f0f0 +80000358: 00000013 nop +8000035c: 0020f1b3 and gp,ra,sp +80000360: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000364: 00200293 li t0,2 +80000368: fc521ee3 bne tp,t0,80000344 +8000036c: 00f00eb7 lui t4,0xf00 +80000370: 0f0e8e93 addi t4,t4,240 # f000f0 <_start-0x7f0fff10> +80000374: 01000e13 li t3,16 +80000378: 1fd19e63 bne gp,t4,80000574 + +8000037c : +8000037c: 00000213 li tp,0 +80000380: 00ff00b7 lui ra,0xff0 +80000384: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +80000388: 00000013 nop +8000038c: 00000013 nop +80000390: 0f0f1137 lui sp,0xf0f1 +80000394: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +80000398: 0020f1b3 and gp,ra,sp +8000039c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003a0: 00200293 li t0,2 +800003a4: fc521ee3 bne tp,t0,80000380 +800003a8: 000f0eb7 lui t4,0xf0 +800003ac: 00fe8e93 addi t4,t4,15 # f000f <_start-0x7ff0fff1> +800003b0: 01100e13 li t3,17 +800003b4: 1dd19063 bne gp,t4,80000574 + +800003b8 : +800003b8: 00000213 li tp,0 +800003bc: 0f0f1137 lui sp,0xf0f1 +800003c0: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +800003c4: ff0100b7 lui ra,0xff010 +800003c8: f0008093 addi ra,ra,-256 # ff00ff00 +800003cc: 0020f1b3 and gp,ra,sp +800003d0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003d4: 00200293 li t0,2 +800003d8: fe5212e3 bne tp,t0,800003bc +800003dc: 0f001eb7 lui t4,0xf001 +800003e0: f00e8e93 addi t4,t4,-256 # f000f00 <_start-0x70fff100> +800003e4: 01200e13 li t3,18 +800003e8: 19d19663 bne gp,t4,80000574 + +800003ec : +800003ec: 00000213 li tp,0 +800003f0: f0f0f137 lui sp,0xf0f0f +800003f4: 0f010113 addi sp,sp,240 # f0f0f0f0 +800003f8: 0ff010b7 lui ra,0xff01 +800003fc: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +80000400: 00000013 nop +80000404: 0020f1b3 and gp,ra,sp +80000408: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000040c: 00200293 li t0,2 +80000410: fe5210e3 bne tp,t0,800003f0 +80000414: 00f00eb7 lui t4,0xf00 +80000418: 0f0e8e93 addi t4,t4,240 # f000f0 <_start-0x7f0fff10> +8000041c: 01300e13 li t3,19 +80000420: 15d19a63 bne gp,t4,80000574 + +80000424 : +80000424: 00000213 li tp,0 +80000428: 0f0f1137 lui sp,0xf0f1 +8000042c: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +80000430: 00ff00b7 lui ra,0xff0 +80000434: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +80000438: 00000013 nop +8000043c: 00000013 nop +80000440: 0020f1b3 and gp,ra,sp +80000444: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000448: 00200293 li t0,2 +8000044c: fc521ee3 bne tp,t0,80000428 +80000450: 000f0eb7 lui t4,0xf0 +80000454: 00fe8e93 addi t4,t4,15 # f000f <_start-0x7ff0fff1> +80000458: 01400e13 li t3,20 +8000045c: 11d19c63 bne gp,t4,80000574 + +80000460 : +80000460: 00000213 li tp,0 +80000464: 0f0f1137 lui sp,0xf0f1 +80000468: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +8000046c: 00000013 nop +80000470: ff0100b7 lui ra,0xff010 +80000474: f0008093 addi ra,ra,-256 # ff00ff00 +80000478: 0020f1b3 and gp,ra,sp +8000047c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000480: 00200293 li t0,2 +80000484: fe5210e3 bne tp,t0,80000464 +80000488: 0f001eb7 lui t4,0xf001 +8000048c: f00e8e93 addi t4,t4,-256 # f000f00 <_start-0x70fff100> +80000490: 01500e13 li t3,21 +80000494: 0fd19063 bne gp,t4,80000574 + +80000498 : +80000498: 00000213 li tp,0 +8000049c: f0f0f137 lui sp,0xf0f0f +800004a0: 0f010113 addi sp,sp,240 # f0f0f0f0 +800004a4: 00000013 nop +800004a8: 0ff010b7 lui ra,0xff01 +800004ac: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +800004b0: 00000013 nop +800004b4: 0020f1b3 and gp,ra,sp +800004b8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004bc: 00200293 li t0,2 +800004c0: fc521ee3 bne tp,t0,8000049c +800004c4: 00f00eb7 lui t4,0xf00 +800004c8: 0f0e8e93 addi t4,t4,240 # f000f0 <_start-0x7f0fff10> +800004cc: 01600e13 li t3,22 +800004d0: 0bd19263 bne gp,t4,80000574 + +800004d4 : +800004d4: 00000213 li tp,0 +800004d8: 0f0f1137 lui sp,0xf0f1 +800004dc: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +800004e0: 00000013 nop +800004e4: 00000013 nop +800004e8: 00ff00b7 lui ra,0xff0 +800004ec: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +800004f0: 0020f1b3 and gp,ra,sp +800004f4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004f8: 00200293 li t0,2 +800004fc: fc521ee3 bne tp,t0,800004d8 +80000500: 000f0eb7 lui t4,0xf0 +80000504: 00fe8e93 addi t4,t4,15 # f000f <_start-0x7ff0fff1> +80000508: 01700e13 li t3,23 +8000050c: 07d19463 bne gp,t4,80000574 + +80000510 : +80000510: ff0100b7 lui ra,0xff010 +80000514: f0008093 addi ra,ra,-256 # ff00ff00 +80000518: 00107133 and sp,zero,ra +8000051c: 00000e93 li t4,0 +80000520: 01800e13 li t3,24 +80000524: 05d11863 bne sp,t4,80000574 + +80000528 : +80000528: 00ff00b7 lui ra,0xff0 +8000052c: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +80000530: 0000f133 and sp,ra,zero +80000534: 00000e93 li t4,0 +80000538: 01900e13 li t3,25 +8000053c: 03d11c63 bne sp,t4,80000574 + +80000540 : +80000540: 000070b3 and ra,zero,zero +80000544: 00000e93 li t4,0 +80000548: 01a00e13 li t3,26 +8000054c: 03d09463 bne ra,t4,80000574 + +80000550 : +80000550: 111110b7 lui ra,0x11111 +80000554: 11108093 addi ra,ra,273 # 11111111 <_start-0x6eeeeeef> +80000558: 22222137 lui sp,0x22222 +8000055c: 22210113 addi sp,sp,546 # 22222222 <_start-0x5dddddde> +80000560: 0020f033 and zero,ra,sp +80000564: 00000e93 li t4,0 +80000568: 01b00e13 li t3,27 +8000056c: 01d01463 bne zero,t4,80000574 +80000570: 01c01c63 bne zero,t3,80000588 + +80000574 : +80000574: 0ff0000f fence +80000578: 000e0063 beqz t3,80000578 +8000057c: 001e1e13 slli t3,t3,0x1 +80000580: 001e6e13 ori t3,t3,1 +80000584: 00000073 ecall + +80000588 : +80000588: 0ff0000f fence +8000058c: 00100e13 li t3,1 +80000590: 00000073 ecall +80000594: c0001073 unimp +80000598: 0000 unimp +8000059a: 0000 unimp +8000059c: 0000 unimp +8000059e: 0000 unimp +800005a0: 0000 unimp +800005a2: 0000 unimp +800005a4: 0000 unimp +800005a6: 0000 unimp +800005a8: 0000 unimp +800005aa: 0000 unimp +800005ac: 0000 unimp +800005ae: 0000 unimp +800005b0: 0000 unimp +800005b2: 0000 unimp +800005b4: 0000 unimp +800005b6: 0000 unimp +800005b8: 0000 unimp +800005ba: 0000 unimp +800005bc: 0000 unimp +800005be: 0000 unimp +800005c0: 0000 unimp +800005c2: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-andi.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-andi.dump new file mode 100644 index 0000000..1296a5b --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-andi.dump @@ -0,0 +1,231 @@ + +rv32ui-p-andi: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: ff0100b7 lui ra,0xff010 +800000c0: f0008093 addi ra,ra,-256 # ff00ff00 +800000c4: f0f0f193 andi gp,ra,-241 +800000c8: ff010eb7 lui t4,0xff010 +800000cc: f00e8e93 addi t4,t4,-256 # ff00ff00 +800000d0: 00200e13 li t3,2 +800000d4: 1bd19463 bne gp,t4,8000027c + +800000d8 : +800000d8: 0ff010b7 lui ra,0xff01 +800000dc: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +800000e0: 0f00f193 andi gp,ra,240 +800000e4: 0f000e93 li t4,240 +800000e8: 00300e13 li t3,3 +800000ec: 19d19863 bne gp,t4,8000027c + +800000f0 : +800000f0: 00ff00b7 lui ra,0xff0 +800000f4: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +800000f8: 70f0f193 andi gp,ra,1807 +800000fc: 00f00e93 li t4,15 +80000100: 00400e13 li t3,4 +80000104: 17d19c63 bne gp,t4,8000027c + +80000108 : +80000108: f00ff0b7 lui ra,0xf00ff +8000010c: 00f08093 addi ra,ra,15 # f00ff00f +80000110: 0f00f193 andi gp,ra,240 +80000114: 00000e93 li t4,0 +80000118: 00500e13 li t3,5 +8000011c: 17d19063 bne gp,t4,8000027c + +80000120 : +80000120: ff0100b7 lui ra,0xff010 +80000124: f0008093 addi ra,ra,-256 # ff00ff00 +80000128: 0f00f093 andi ra,ra,240 +8000012c: 00000e93 li t4,0 +80000130: 00600e13 li t3,6 +80000134: 15d09463 bne ra,t4,8000027c + +80000138 : +80000138: 00000213 li tp,0 +8000013c: 0ff010b7 lui ra,0xff01 +80000140: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +80000144: 70f0f193 andi gp,ra,1807 +80000148: 00018313 mv t1,gp +8000014c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000150: 00200293 li t0,2 +80000154: fe5214e3 bne tp,t0,8000013c +80000158: 70000e93 li t4,1792 +8000015c: 00700e13 li t3,7 +80000160: 11d31e63 bne t1,t4,8000027c + +80000164 : +80000164: 00000213 li tp,0 +80000168: 00ff00b7 lui ra,0xff0 +8000016c: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +80000170: 0f00f193 andi gp,ra,240 +80000174: 00000013 nop +80000178: 00018313 mv t1,gp +8000017c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000180: 00200293 li t0,2 +80000184: fe5212e3 bne tp,t0,80000168 +80000188: 0f000e93 li t4,240 +8000018c: 00800e13 li t3,8 +80000190: 0fd31663 bne t1,t4,8000027c + +80000194 : +80000194: 00000213 li tp,0 +80000198: f00ff0b7 lui ra,0xf00ff +8000019c: 00f08093 addi ra,ra,15 # f00ff00f +800001a0: f0f0f193 andi gp,ra,-241 +800001a4: 00000013 nop +800001a8: 00000013 nop +800001ac: 00018313 mv t1,gp +800001b0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800001b4: 00200293 li t0,2 +800001b8: fe5210e3 bne tp,t0,80000198 +800001bc: f00ffeb7 lui t4,0xf00ff +800001c0: 00fe8e93 addi t4,t4,15 # f00ff00f +800001c4: 00900e13 li t3,9 +800001c8: 0bd31a63 bne t1,t4,8000027c + +800001cc : +800001cc: 00000213 li tp,0 +800001d0: 0ff010b7 lui ra,0xff01 +800001d4: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +800001d8: 70f0f193 andi gp,ra,1807 +800001dc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800001e0: 00200293 li t0,2 +800001e4: fe5216e3 bne tp,t0,800001d0 +800001e8: 70000e93 li t4,1792 +800001ec: 00a00e13 li t3,10 +800001f0: 09d19663 bne gp,t4,8000027c + +800001f4 : +800001f4: 00000213 li tp,0 +800001f8: 00ff00b7 lui ra,0xff0 +800001fc: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +80000200: 00000013 nop +80000204: 0f00f193 andi gp,ra,240 +80000208: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000020c: 00200293 li t0,2 +80000210: fe5214e3 bne tp,t0,800001f8 +80000214: 0f000e93 li t4,240 +80000218: 00b00e13 li t3,11 +8000021c: 07d19063 bne gp,t4,8000027c + +80000220 : +80000220: 00000213 li tp,0 +80000224: f00ff0b7 lui ra,0xf00ff +80000228: 00f08093 addi ra,ra,15 # f00ff00f +8000022c: 00000013 nop +80000230: 00000013 nop +80000234: 70f0f193 andi gp,ra,1807 +80000238: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000023c: 00200293 li t0,2 +80000240: fe5212e3 bne tp,t0,80000224 +80000244: 00f00e93 li t4,15 +80000248: 00c00e13 li t3,12 +8000024c: 03d19863 bne gp,t4,8000027c + +80000250 : +80000250: 0f007093 andi ra,zero,240 +80000254: 00000e93 li t4,0 +80000258: 00d00e13 li t3,13 +8000025c: 03d09063 bne ra,t4,8000027c + +80000260 : +80000260: 00ff00b7 lui ra,0xff0 +80000264: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +80000268: 70f0f013 andi zero,ra,1807 +8000026c: 00000e93 li t4,0 +80000270: 00e00e13 li t3,14 +80000274: 01d01463 bne zero,t4,8000027c +80000278: 01c01c63 bne zero,t3,80000290 + +8000027c : +8000027c: 0ff0000f fence +80000280: 000e0063 beqz t3,80000280 +80000284: 001e1e13 slli t3,t3,0x1 +80000288: 001e6e13 ori t3,t3,1 +8000028c: 00000073 ecall + +80000290 : +80000290: 0ff0000f fence +80000294: 00100e13 li t3,1 +80000298: 00000073 ecall +8000029c: c0001073 unimp +800002a0: 0000 unimp +800002a2: 0000 unimp +800002a4: 0000 unimp +800002a6: 0000 unimp +800002a8: 0000 unimp +800002aa: 0000 unimp +800002ac: 0000 unimp +800002ae: 0000 unimp +800002b0: 0000 unimp +800002b2: 0000 unimp +800002b4: 0000 unimp +800002b6: 0000 unimp +800002b8: 0000 unimp +800002ba: 0000 unimp +800002bc: 0000 unimp +800002be: 0000 unimp +800002c0: 0000 unimp +800002c2: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-auipc.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-auipc.dump new file mode 100644 index 0000000..66a47ef --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-auipc.dump @@ -0,0 +1,109 @@ + +rv32ui-p-auipc: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00000013 nop +800000c0: 00002517 auipc a0,0x2 +800000c4: 71c50513 addi a0,a0,1820 # 800027dc +800000c8: 004005ef jal a1,800000cc +800000cc: 40b50533 sub a0,a0,a1 +800000d0: 00002eb7 lui t4,0x2 +800000d4: 710e8e93 addi t4,t4,1808 # 2710 <_start-0x7fffd8f0> +800000d8: 00200e13 li t3,2 +800000dc: 03d51463 bne a0,t4,80000104 + +800000e0 : +800000e0: ffffe517 auipc a0,0xffffe +800000e4: 8fc50513 addi a0,a0,-1796 # 7fffd9dc <_start-0x2624> +800000e8: 004005ef jal a1,800000ec +800000ec: 40b50533 sub a0,a0,a1 +800000f0: ffffeeb7 lui t4,0xffffe +800000f4: 8f0e8e93 addi t4,t4,-1808 # ffffd8f0 +800000f8: 00300e13 li t3,3 +800000fc: 01d51463 bne a0,t4,80000104 +80000100: 01c01c63 bne zero,t3,80000118 + +80000104 : +80000104: 0ff0000f fence +80000108: 000e0063 beqz t3,80000108 +8000010c: 001e1e13 slli t3,t3,0x1 +80000110: 001e6e13 ori t3,t3,1 +80000114: 00000073 ecall + +80000118 : +80000118: 0ff0000f fence +8000011c: 00100e13 li t3,1 +80000120: 00000073 ecall +80000124: c0001073 unimp +80000128: 0000 unimp +8000012a: 0000 unimp +8000012c: 0000 unimp +8000012e: 0000 unimp +80000130: 0000 unimp +80000132: 0000 unimp +80000134: 0000 unimp +80000136: 0000 unimp +80000138: 0000 unimp +8000013a: 0000 unimp +8000013c: 0000 unimp +8000013e: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-beq.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-beq.dump new file mode 100644 index 0000000..d998921 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-beq.dump @@ -0,0 +1,309 @@ + +rv32ui-p-beq: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00200e13 li t3,2 +800000c0: 00000093 li ra,0 +800000c4: 00000113 li sp,0 +800000c8: 00208663 beq ra,sp,800000d4 +800000cc: 2bc01863 bne zero,t3,8000037c +800000d0: 01c01663 bne zero,t3,800000dc +800000d4: fe208ee3 beq ra,sp,800000d0 +800000d8: 2bc01263 bne zero,t3,8000037c + +800000dc : +800000dc: 00300e13 li t3,3 +800000e0: 00100093 li ra,1 +800000e4: 00100113 li sp,1 +800000e8: 00208663 beq ra,sp,800000f4 +800000ec: 29c01863 bne zero,t3,8000037c +800000f0: 01c01663 bne zero,t3,800000fc +800000f4: fe208ee3 beq ra,sp,800000f0 +800000f8: 29c01263 bne zero,t3,8000037c + +800000fc : +800000fc: 00400e13 li t3,4 +80000100: fff00093 li ra,-1 +80000104: fff00113 li sp,-1 +80000108: 00208663 beq ra,sp,80000114 +8000010c: 27c01863 bne zero,t3,8000037c +80000110: 01c01663 bne zero,t3,8000011c +80000114: fe208ee3 beq ra,sp,80000110 +80000118: 27c01263 bne zero,t3,8000037c + +8000011c : +8000011c: 00500e13 li t3,5 +80000120: 00000093 li ra,0 +80000124: 00100113 li sp,1 +80000128: 00208463 beq ra,sp,80000130 +8000012c: 01c01463 bne zero,t3,80000134 +80000130: 25c01663 bne zero,t3,8000037c +80000134: fe208ee3 beq ra,sp,80000130 + +80000138 : +80000138: 00600e13 li t3,6 +8000013c: 00100093 li ra,1 +80000140: 00000113 li sp,0 +80000144: 00208463 beq ra,sp,8000014c +80000148: 01c01463 bne zero,t3,80000150 +8000014c: 23c01863 bne zero,t3,8000037c +80000150: fe208ee3 beq ra,sp,8000014c + +80000154 : +80000154: 00700e13 li t3,7 +80000158: fff00093 li ra,-1 +8000015c: 00100113 li sp,1 +80000160: 00208463 beq ra,sp,80000168 +80000164: 01c01463 bne zero,t3,8000016c +80000168: 21c01a63 bne zero,t3,8000037c +8000016c: fe208ee3 beq ra,sp,80000168 + +80000170 : +80000170: 00800e13 li t3,8 +80000174: 00100093 li ra,1 +80000178: fff00113 li sp,-1 +8000017c: 00208463 beq ra,sp,80000184 +80000180: 01c01463 bne zero,t3,80000188 +80000184: 1fc01c63 bne zero,t3,8000037c +80000188: fe208ee3 beq ra,sp,80000184 + +8000018c : +8000018c: 00900e13 li t3,9 +80000190: 00000213 li tp,0 +80000194: 00000093 li ra,0 +80000198: fff00113 li sp,-1 +8000019c: 1e208063 beq ra,sp,8000037c +800001a0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800001a4: 00200293 li t0,2 +800001a8: fe5216e3 bne tp,t0,80000194 + +800001ac : +800001ac: 00a00e13 li t3,10 +800001b0: 00000213 li tp,0 +800001b4: 00000093 li ra,0 +800001b8: fff00113 li sp,-1 +800001bc: 00000013 nop +800001c0: 1a208e63 beq ra,sp,8000037c +800001c4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800001c8: 00200293 li t0,2 +800001cc: fe5214e3 bne tp,t0,800001b4 + +800001d0 : +800001d0: 00b00e13 li t3,11 +800001d4: 00000213 li tp,0 +800001d8: 00000093 li ra,0 +800001dc: fff00113 li sp,-1 +800001e0: 00000013 nop +800001e4: 00000013 nop +800001e8: 18208a63 beq ra,sp,8000037c +800001ec: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800001f0: 00200293 li t0,2 +800001f4: fe5212e3 bne tp,t0,800001d8 + +800001f8 : +800001f8: 00c00e13 li t3,12 +800001fc: 00000213 li tp,0 +80000200: 00000093 li ra,0 +80000204: 00000013 nop +80000208: fff00113 li sp,-1 +8000020c: 16208863 beq ra,sp,8000037c +80000210: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000214: 00200293 li t0,2 +80000218: fe5214e3 bne tp,t0,80000200 + +8000021c : +8000021c: 00d00e13 li t3,13 +80000220: 00000213 li tp,0 +80000224: 00000093 li ra,0 +80000228: 00000013 nop +8000022c: fff00113 li sp,-1 +80000230: 00000013 nop +80000234: 14208463 beq ra,sp,8000037c +80000238: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000023c: 00200293 li t0,2 +80000240: fe5212e3 bne tp,t0,80000224 + +80000244 : +80000244: 00e00e13 li t3,14 +80000248: 00000213 li tp,0 +8000024c: 00000093 li ra,0 +80000250: 00000013 nop +80000254: 00000013 nop +80000258: fff00113 li sp,-1 +8000025c: 12208063 beq ra,sp,8000037c +80000260: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000264: 00200293 li t0,2 +80000268: fe5212e3 bne tp,t0,8000024c + +8000026c : +8000026c: 00f00e13 li t3,15 +80000270: 00000213 li tp,0 +80000274: 00000093 li ra,0 +80000278: fff00113 li sp,-1 +8000027c: 10208063 beq ra,sp,8000037c +80000280: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000284: 00200293 li t0,2 +80000288: fe5216e3 bne tp,t0,80000274 + +8000028c : +8000028c: 01000e13 li t3,16 +80000290: 00000213 li tp,0 +80000294: 00000093 li ra,0 +80000298: fff00113 li sp,-1 +8000029c: 00000013 nop +800002a0: 0c208e63 beq ra,sp,8000037c +800002a4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002a8: 00200293 li t0,2 +800002ac: fe5214e3 bne tp,t0,80000294 + +800002b0 : +800002b0: 01100e13 li t3,17 +800002b4: 00000213 li tp,0 +800002b8: 00000093 li ra,0 +800002bc: fff00113 li sp,-1 +800002c0: 00000013 nop +800002c4: 00000013 nop +800002c8: 0a208a63 beq ra,sp,8000037c +800002cc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002d0: 00200293 li t0,2 +800002d4: fe5212e3 bne tp,t0,800002b8 + +800002d8 : +800002d8: 01200e13 li t3,18 +800002dc: 00000213 li tp,0 +800002e0: 00000093 li ra,0 +800002e4: 00000013 nop +800002e8: fff00113 li sp,-1 +800002ec: 08208863 beq ra,sp,8000037c +800002f0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002f4: 00200293 li t0,2 +800002f8: fe5214e3 bne tp,t0,800002e0 + +800002fc : +800002fc: 01300e13 li t3,19 +80000300: 00000213 li tp,0 +80000304: 00000093 li ra,0 +80000308: 00000013 nop +8000030c: fff00113 li sp,-1 +80000310: 00000013 nop +80000314: 06208463 beq ra,sp,8000037c +80000318: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000031c: 00200293 li t0,2 +80000320: fe5212e3 bne tp,t0,80000304 + +80000324 : +80000324: 01400e13 li t3,20 +80000328: 00000213 li tp,0 +8000032c: 00000093 li ra,0 +80000330: 00000013 nop +80000334: 00000013 nop +80000338: fff00113 li sp,-1 +8000033c: 04208063 beq ra,sp,8000037c +80000340: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000344: 00200293 li t0,2 +80000348: fe5212e3 bne tp,t0,8000032c + +8000034c : +8000034c: 00100093 li ra,1 +80000350: 00000a63 beqz zero,80000364 +80000354: 00108093 addi ra,ra,1 +80000358: 00108093 addi ra,ra,1 +8000035c: 00108093 addi ra,ra,1 +80000360: 00108093 addi ra,ra,1 +80000364: 00108093 addi ra,ra,1 +80000368: 00108093 addi ra,ra,1 +8000036c: 00300e93 li t4,3 +80000370: 01500e13 li t3,21 +80000374: 01d09463 bne ra,t4,8000037c +80000378: 01c01c63 bne zero,t3,80000390 + +8000037c : +8000037c: 0ff0000f fence +80000380: 000e0063 beqz t3,80000380 +80000384: 001e1e13 slli t3,t3,0x1 +80000388: 001e6e13 ori t3,t3,1 +8000038c: 00000073 ecall + +80000390 : +80000390: 0ff0000f fence +80000394: 00100e13 li t3,1 +80000398: 00000073 ecall +8000039c: c0001073 unimp +800003a0: 0000 unimp +800003a2: 0000 unimp +800003a4: 0000 unimp +800003a6: 0000 unimp +800003a8: 0000 unimp +800003aa: 0000 unimp +800003ac: 0000 unimp +800003ae: 0000 unimp +800003b0: 0000 unimp +800003b2: 0000 unimp +800003b4: 0000 unimp +800003b6: 0000 unimp +800003b8: 0000 unimp +800003ba: 0000 unimp +800003bc: 0000 unimp +800003be: 0000 unimp +800003c0: 0000 unimp +800003c2: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-bge.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-bge.dump new file mode 100644 index 0000000..307f836 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-bge.dump @@ -0,0 +1,323 @@ + +rv32ui-p-bge: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00200e13 li t3,2 +800000c0: 00000093 li ra,0 +800000c4: 00000113 li sp,0 +800000c8: 0020d663 ble sp,ra,800000d4 +800000cc: 31c01863 bne zero,t3,800003dc +800000d0: 01c01663 bne zero,t3,800000dc +800000d4: fe20dee3 ble sp,ra,800000d0 +800000d8: 31c01263 bne zero,t3,800003dc + +800000dc : +800000dc: 00300e13 li t3,3 +800000e0: 00100093 li ra,1 +800000e4: 00100113 li sp,1 +800000e8: 0020d663 ble sp,ra,800000f4 +800000ec: 2fc01863 bne zero,t3,800003dc +800000f0: 01c01663 bne zero,t3,800000fc +800000f4: fe20dee3 ble sp,ra,800000f0 +800000f8: 2fc01263 bne zero,t3,800003dc + +800000fc : +800000fc: 00400e13 li t3,4 +80000100: fff00093 li ra,-1 +80000104: fff00113 li sp,-1 +80000108: 0020d663 ble sp,ra,80000114 +8000010c: 2dc01863 bne zero,t3,800003dc +80000110: 01c01663 bne zero,t3,8000011c +80000114: fe20dee3 ble sp,ra,80000110 +80000118: 2dc01263 bne zero,t3,800003dc + +8000011c : +8000011c: 00500e13 li t3,5 +80000120: 00100093 li ra,1 +80000124: 00000113 li sp,0 +80000128: 0020d663 ble sp,ra,80000134 +8000012c: 2bc01863 bne zero,t3,800003dc +80000130: 01c01663 bne zero,t3,8000013c +80000134: fe20dee3 ble sp,ra,80000130 +80000138: 2bc01263 bne zero,t3,800003dc + +8000013c : +8000013c: 00600e13 li t3,6 +80000140: 00100093 li ra,1 +80000144: fff00113 li sp,-1 +80000148: 0020d663 ble sp,ra,80000154 +8000014c: 29c01863 bne zero,t3,800003dc +80000150: 01c01663 bne zero,t3,8000015c +80000154: fe20dee3 ble sp,ra,80000150 +80000158: 29c01263 bne zero,t3,800003dc + +8000015c : +8000015c: 00700e13 li t3,7 +80000160: fff00093 li ra,-1 +80000164: ffe00113 li sp,-2 +80000168: 0020d663 ble sp,ra,80000174 +8000016c: 27c01863 bne zero,t3,800003dc +80000170: 01c01663 bne zero,t3,8000017c +80000174: fe20dee3 ble sp,ra,80000170 +80000178: 27c01263 bne zero,t3,800003dc + +8000017c : +8000017c: 00800e13 li t3,8 +80000180: 00000093 li ra,0 +80000184: 00100113 li sp,1 +80000188: 0020d463 ble sp,ra,80000190 +8000018c: 01c01463 bne zero,t3,80000194 +80000190: 25c01663 bne zero,t3,800003dc +80000194: fe20dee3 ble sp,ra,80000190 + +80000198 : +80000198: 00900e13 li t3,9 +8000019c: fff00093 li ra,-1 +800001a0: 00100113 li sp,1 +800001a4: 0020d463 ble sp,ra,800001ac +800001a8: 01c01463 bne zero,t3,800001b0 +800001ac: 23c01863 bne zero,t3,800003dc +800001b0: fe20dee3 ble sp,ra,800001ac + +800001b4 : +800001b4: 00a00e13 li t3,10 +800001b8: ffe00093 li ra,-2 +800001bc: fff00113 li sp,-1 +800001c0: 0020d463 ble sp,ra,800001c8 +800001c4: 01c01463 bne zero,t3,800001cc +800001c8: 21c01a63 bne zero,t3,800003dc +800001cc: fe20dee3 ble sp,ra,800001c8 + +800001d0 : +800001d0: 00b00e13 li t3,11 +800001d4: ffe00093 li ra,-2 +800001d8: 00100113 li sp,1 +800001dc: 0020d463 ble sp,ra,800001e4 +800001e0: 01c01463 bne zero,t3,800001e8 +800001e4: 1fc01c63 bne zero,t3,800003dc +800001e8: fe20dee3 ble sp,ra,800001e4 + +800001ec : +800001ec: 00c00e13 li t3,12 +800001f0: 00000213 li tp,0 +800001f4: fff00093 li ra,-1 +800001f8: 00000113 li sp,0 +800001fc: 1e20d063 ble sp,ra,800003dc +80000200: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000204: 00200293 li t0,2 +80000208: fe5216e3 bne tp,t0,800001f4 + +8000020c : +8000020c: 00d00e13 li t3,13 +80000210: 00000213 li tp,0 +80000214: fff00093 li ra,-1 +80000218: 00000113 li sp,0 +8000021c: 00000013 nop +80000220: 1a20de63 ble sp,ra,800003dc +80000224: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000228: 00200293 li t0,2 +8000022c: fe5214e3 bne tp,t0,80000214 + +80000230 : +80000230: 00e00e13 li t3,14 +80000234: 00000213 li tp,0 +80000238: fff00093 li ra,-1 +8000023c: 00000113 li sp,0 +80000240: 00000013 nop +80000244: 00000013 nop +80000248: 1820da63 ble sp,ra,800003dc +8000024c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000250: 00200293 li t0,2 +80000254: fe5212e3 bne tp,t0,80000238 + +80000258 : +80000258: 00f00e13 li t3,15 +8000025c: 00000213 li tp,0 +80000260: fff00093 li ra,-1 +80000264: 00000013 nop +80000268: 00000113 li sp,0 +8000026c: 1620d863 ble sp,ra,800003dc +80000270: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000274: 00200293 li t0,2 +80000278: fe5214e3 bne tp,t0,80000260 + +8000027c : +8000027c: 01000e13 li t3,16 +80000280: 00000213 li tp,0 +80000284: fff00093 li ra,-1 +80000288: 00000013 nop +8000028c: 00000113 li sp,0 +80000290: 00000013 nop +80000294: 1420d463 ble sp,ra,800003dc +80000298: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000029c: 00200293 li t0,2 +800002a0: fe5212e3 bne tp,t0,80000284 + +800002a4 : +800002a4: 01100e13 li t3,17 +800002a8: 00000213 li tp,0 +800002ac: fff00093 li ra,-1 +800002b0: 00000013 nop +800002b4: 00000013 nop +800002b8: 00000113 li sp,0 +800002bc: 1220d063 ble sp,ra,800003dc +800002c0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002c4: 00200293 li t0,2 +800002c8: fe5212e3 bne tp,t0,800002ac + +800002cc : +800002cc: 01200e13 li t3,18 +800002d0: 00000213 li tp,0 +800002d4: fff00093 li ra,-1 +800002d8: 00000113 li sp,0 +800002dc: 1020d063 ble sp,ra,800003dc +800002e0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002e4: 00200293 li t0,2 +800002e8: fe5216e3 bne tp,t0,800002d4 + +800002ec : +800002ec: 01300e13 li t3,19 +800002f0: 00000213 li tp,0 +800002f4: fff00093 li ra,-1 +800002f8: 00000113 li sp,0 +800002fc: 00000013 nop +80000300: 0c20de63 ble sp,ra,800003dc +80000304: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000308: 00200293 li t0,2 +8000030c: fe5214e3 bne tp,t0,800002f4 + +80000310 : +80000310: 01400e13 li t3,20 +80000314: 00000213 li tp,0 +80000318: fff00093 li ra,-1 +8000031c: 00000113 li sp,0 +80000320: 00000013 nop +80000324: 00000013 nop +80000328: 0a20da63 ble sp,ra,800003dc +8000032c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000330: 00200293 li t0,2 +80000334: fe5212e3 bne tp,t0,80000318 + +80000338 : +80000338: 01500e13 li t3,21 +8000033c: 00000213 li tp,0 +80000340: fff00093 li ra,-1 +80000344: 00000013 nop +80000348: 00000113 li sp,0 +8000034c: 0820d863 ble sp,ra,800003dc +80000350: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000354: 00200293 li t0,2 +80000358: fe5214e3 bne tp,t0,80000340 + +8000035c : +8000035c: 01600e13 li t3,22 +80000360: 00000213 li tp,0 +80000364: fff00093 li ra,-1 +80000368: 00000013 nop +8000036c: 00000113 li sp,0 +80000370: 00000013 nop +80000374: 0620d463 ble sp,ra,800003dc +80000378: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000037c: 00200293 li t0,2 +80000380: fe5212e3 bne tp,t0,80000364 + +80000384 : +80000384: 01700e13 li t3,23 +80000388: 00000213 li tp,0 +8000038c: fff00093 li ra,-1 +80000390: 00000013 nop +80000394: 00000013 nop +80000398: 00000113 li sp,0 +8000039c: 0420d063 ble sp,ra,800003dc +800003a0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003a4: 00200293 li t0,2 +800003a8: fe5212e3 bne tp,t0,8000038c + +800003ac : +800003ac: 00100093 li ra,1 +800003b0: 0000da63 bgez ra,800003c4 +800003b4: 00108093 addi ra,ra,1 +800003b8: 00108093 addi ra,ra,1 +800003bc: 00108093 addi ra,ra,1 +800003c0: 00108093 addi ra,ra,1 +800003c4: 00108093 addi ra,ra,1 +800003c8: 00108093 addi ra,ra,1 +800003cc: 00300e93 li t4,3 +800003d0: 01800e13 li t3,24 +800003d4: 01d09463 bne ra,t4,800003dc +800003d8: 01c01c63 bne zero,t3,800003f0 + +800003dc : +800003dc: 0ff0000f fence +800003e0: 000e0063 beqz t3,800003e0 +800003e4: 001e1e13 slli t3,t3,0x1 +800003e8: 001e6e13 ori t3,t3,1 +800003ec: 00000073 ecall + +800003f0 : +800003f0: 0ff0000f fence +800003f4: 00100e13 li t3,1 +800003f8: 00000073 ecall +800003fc: c0001073 unimp +80000400: 0000 unimp +80000402: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-bgeu.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-bgeu.dump new file mode 100644 index 0000000..b3b0ee2 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-bgeu.dump @@ -0,0 +1,342 @@ + +rv32ui-p-bgeu: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00200e13 li t3,2 +800000c0: 00000093 li ra,0 +800000c4: 00000113 li sp,0 +800000c8: 0020f663 bleu sp,ra,800000d4 +800000cc: 35c01263 bne zero,t3,80000410 +800000d0: 01c01663 bne zero,t3,800000dc +800000d4: fe20fee3 bleu sp,ra,800000d0 +800000d8: 33c01c63 bne zero,t3,80000410 + +800000dc : +800000dc: 00300e13 li t3,3 +800000e0: 00100093 li ra,1 +800000e4: 00100113 li sp,1 +800000e8: 0020f663 bleu sp,ra,800000f4 +800000ec: 33c01263 bne zero,t3,80000410 +800000f0: 01c01663 bne zero,t3,800000fc +800000f4: fe20fee3 bleu sp,ra,800000f0 +800000f8: 31c01c63 bne zero,t3,80000410 + +800000fc : +800000fc: 00400e13 li t3,4 +80000100: fff00093 li ra,-1 +80000104: fff00113 li sp,-1 +80000108: 0020f663 bleu sp,ra,80000114 +8000010c: 31c01263 bne zero,t3,80000410 +80000110: 01c01663 bne zero,t3,8000011c +80000114: fe20fee3 bleu sp,ra,80000110 +80000118: 2fc01c63 bne zero,t3,80000410 + +8000011c : +8000011c: 00500e13 li t3,5 +80000120: 00100093 li ra,1 +80000124: 00000113 li sp,0 +80000128: 0020f663 bleu sp,ra,80000134 +8000012c: 2fc01263 bne zero,t3,80000410 +80000130: 01c01663 bne zero,t3,8000013c +80000134: fe20fee3 bleu sp,ra,80000130 +80000138: 2dc01c63 bne zero,t3,80000410 + +8000013c : +8000013c: 00600e13 li t3,6 +80000140: fff00093 li ra,-1 +80000144: ffe00113 li sp,-2 +80000148: 0020f663 bleu sp,ra,80000154 +8000014c: 2dc01263 bne zero,t3,80000410 +80000150: 01c01663 bne zero,t3,8000015c +80000154: fe20fee3 bleu sp,ra,80000150 +80000158: 2bc01c63 bne zero,t3,80000410 + +8000015c : +8000015c: 00700e13 li t3,7 +80000160: fff00093 li ra,-1 +80000164: 00000113 li sp,0 +80000168: 0020f663 bleu sp,ra,80000174 +8000016c: 2bc01263 bne zero,t3,80000410 +80000170: 01c01663 bne zero,t3,8000017c +80000174: fe20fee3 bleu sp,ra,80000170 +80000178: 29c01c63 bne zero,t3,80000410 + +8000017c : +8000017c: 00800e13 li t3,8 +80000180: 00000093 li ra,0 +80000184: 00100113 li sp,1 +80000188: 0020f463 bleu sp,ra,80000190 +8000018c: 01c01463 bne zero,t3,80000194 +80000190: 29c01063 bne zero,t3,80000410 +80000194: fe20fee3 bleu sp,ra,80000190 + +80000198 : +80000198: 00900e13 li t3,9 +8000019c: ffe00093 li ra,-2 +800001a0: fff00113 li sp,-1 +800001a4: 0020f463 bleu sp,ra,800001ac +800001a8: 01c01463 bne zero,t3,800001b0 +800001ac: 27c01263 bne zero,t3,80000410 +800001b0: fe20fee3 bleu sp,ra,800001ac + +800001b4 : +800001b4: 00a00e13 li t3,10 +800001b8: 00000093 li ra,0 +800001bc: fff00113 li sp,-1 +800001c0: 0020f463 bleu sp,ra,800001c8 +800001c4: 01c01463 bne zero,t3,800001cc +800001c8: 25c01463 bne zero,t3,80000410 +800001cc: fe20fee3 bleu sp,ra,800001c8 + +800001d0 : +800001d0: 00b00e13 li t3,11 +800001d4: 800000b7 lui ra,0x80000 +800001d8: fff08093 addi ra,ra,-1 # 7fffffff +800001dc: 80000137 lui sp,0x80000 +800001e0: 0020f463 bleu sp,ra,800001e8 +800001e4: 01c01463 bne zero,t3,800001ec +800001e8: 23c01463 bne zero,t3,80000410 +800001ec: fe20fee3 bleu sp,ra,800001e8 + +800001f0 : +800001f0: 00c00e13 li t3,12 +800001f4: 00000213 li tp,0 +800001f8: f00000b7 lui ra,0xf0000 +800001fc: fff08093 addi ra,ra,-1 # efffffff +80000200: f0000137 lui sp,0xf0000 +80000204: 2020f663 bleu sp,ra,80000410 +80000208: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000020c: 00200293 li t0,2 +80000210: fe5214e3 bne tp,t0,800001f8 + +80000214 : +80000214: 00d00e13 li t3,13 +80000218: 00000213 li tp,0 +8000021c: f00000b7 lui ra,0xf0000 +80000220: fff08093 addi ra,ra,-1 # efffffff +80000224: f0000137 lui sp,0xf0000 +80000228: 00000013 nop +8000022c: 1e20f263 bleu sp,ra,80000410 +80000230: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000234: 00200293 li t0,2 +80000238: fe5212e3 bne tp,t0,8000021c + +8000023c : +8000023c: 00e00e13 li t3,14 +80000240: 00000213 li tp,0 +80000244: f00000b7 lui ra,0xf0000 +80000248: fff08093 addi ra,ra,-1 # efffffff +8000024c: f0000137 lui sp,0xf0000 +80000250: 00000013 nop +80000254: 00000013 nop +80000258: 1a20fc63 bleu sp,ra,80000410 +8000025c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000260: 00200293 li t0,2 +80000264: fe5210e3 bne tp,t0,80000244 + +80000268 : +80000268: 00f00e13 li t3,15 +8000026c: 00000213 li tp,0 +80000270: f00000b7 lui ra,0xf0000 +80000274: fff08093 addi ra,ra,-1 # efffffff +80000278: 00000013 nop +8000027c: f0000137 lui sp,0xf0000 +80000280: 1820f863 bleu sp,ra,80000410 +80000284: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000288: 00200293 li t0,2 +8000028c: fe5212e3 bne tp,t0,80000270 + +80000290 : +80000290: 01000e13 li t3,16 +80000294: 00000213 li tp,0 +80000298: f00000b7 lui ra,0xf0000 +8000029c: fff08093 addi ra,ra,-1 # efffffff +800002a0: 00000013 nop +800002a4: f0000137 lui sp,0xf0000 +800002a8: 00000013 nop +800002ac: 1620f263 bleu sp,ra,80000410 +800002b0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002b4: 00200293 li t0,2 +800002b8: fe5210e3 bne tp,t0,80000298 + +800002bc : +800002bc: 01100e13 li t3,17 +800002c0: 00000213 li tp,0 +800002c4: f00000b7 lui ra,0xf0000 +800002c8: fff08093 addi ra,ra,-1 # efffffff +800002cc: 00000013 nop +800002d0: 00000013 nop +800002d4: f0000137 lui sp,0xf0000 +800002d8: 1220fc63 bleu sp,ra,80000410 +800002dc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002e0: 00200293 li t0,2 +800002e4: fe5210e3 bne tp,t0,800002c4 + +800002e8 : +800002e8: 01200e13 li t3,18 +800002ec: 00000213 li tp,0 +800002f0: f00000b7 lui ra,0xf0000 +800002f4: fff08093 addi ra,ra,-1 # efffffff +800002f8: f0000137 lui sp,0xf0000 +800002fc: 1020fa63 bleu sp,ra,80000410 +80000300: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000304: 00200293 li t0,2 +80000308: fe5214e3 bne tp,t0,800002f0 + +8000030c : +8000030c: 01300e13 li t3,19 +80000310: 00000213 li tp,0 +80000314: f00000b7 lui ra,0xf0000 +80000318: fff08093 addi ra,ra,-1 # efffffff +8000031c: f0000137 lui sp,0xf0000 +80000320: 00000013 nop +80000324: 0e20f663 bleu sp,ra,80000410 +80000328: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000032c: 00200293 li t0,2 +80000330: fe5212e3 bne tp,t0,80000314 + +80000334 : +80000334: 01400e13 li t3,20 +80000338: 00000213 li tp,0 +8000033c: f00000b7 lui ra,0xf0000 +80000340: fff08093 addi ra,ra,-1 # efffffff +80000344: f0000137 lui sp,0xf0000 +80000348: 00000013 nop +8000034c: 00000013 nop +80000350: 0c20f063 bleu sp,ra,80000410 +80000354: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000358: 00200293 li t0,2 +8000035c: fe5210e3 bne tp,t0,8000033c + +80000360 : +80000360: 01500e13 li t3,21 +80000364: 00000213 li tp,0 +80000368: f00000b7 lui ra,0xf0000 +8000036c: fff08093 addi ra,ra,-1 # efffffff +80000370: 00000013 nop +80000374: f0000137 lui sp,0xf0000 +80000378: 0820fc63 bleu sp,ra,80000410 +8000037c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000380: 00200293 li t0,2 +80000384: fe5212e3 bne tp,t0,80000368 + +80000388 : +80000388: 01600e13 li t3,22 +8000038c: 00000213 li tp,0 +80000390: f00000b7 lui ra,0xf0000 +80000394: fff08093 addi ra,ra,-1 # efffffff +80000398: 00000013 nop +8000039c: f0000137 lui sp,0xf0000 +800003a0: 00000013 nop +800003a4: 0620f663 bleu sp,ra,80000410 +800003a8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003ac: 00200293 li t0,2 +800003b0: fe5210e3 bne tp,t0,80000390 + +800003b4 : +800003b4: 01700e13 li t3,23 +800003b8: 00000213 li tp,0 +800003bc: f00000b7 lui ra,0xf0000 +800003c0: fff08093 addi ra,ra,-1 # efffffff +800003c4: 00000013 nop +800003c8: 00000013 nop +800003cc: f0000137 lui sp,0xf0000 +800003d0: 0420f063 bleu sp,ra,80000410 +800003d4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003d8: 00200293 li t0,2 +800003dc: fe5210e3 bne tp,t0,800003bc + +800003e0 : +800003e0: 00100093 li ra,1 +800003e4: 0000fa63 bleu zero,ra,800003f8 +800003e8: 00108093 addi ra,ra,1 +800003ec: 00108093 addi ra,ra,1 +800003f0: 00108093 addi ra,ra,1 +800003f4: 00108093 addi ra,ra,1 +800003f8: 00108093 addi ra,ra,1 +800003fc: 00108093 addi ra,ra,1 +80000400: 00300e93 li t4,3 +80000404: 01800e13 li t3,24 +80000408: 01d09463 bne ra,t4,80000410 +8000040c: 01c01c63 bne zero,t3,80000424 + +80000410 : +80000410: 0ff0000f fence +80000414: 000e0063 beqz t3,80000414 +80000418: 001e1e13 slli t3,t3,0x1 +8000041c: 001e6e13 ori t3,t3,1 +80000420: 00000073 ecall + +80000424 : +80000424: 0ff0000f fence +80000428: 00100e13 li t3,1 +8000042c: 00000073 ecall +80000430: c0001073 unimp +80000434: 0000 unimp +80000436: 0000 unimp +80000438: 0000 unimp +8000043a: 0000 unimp +8000043c: 0000 unimp +8000043e: 0000 unimp +80000440: 0000 unimp +80000442: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-blt.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-blt.dump new file mode 100644 index 0000000..6651241 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-blt.dump @@ -0,0 +1,309 @@ + +rv32ui-p-blt: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00200e13 li t3,2 +800000c0: 00000093 li ra,0 +800000c4: 00100113 li sp,1 +800000c8: 0020c663 blt ra,sp,800000d4 +800000cc: 2bc01863 bne zero,t3,8000037c +800000d0: 01c01663 bne zero,t3,800000dc +800000d4: fe20cee3 blt ra,sp,800000d0 +800000d8: 2bc01263 bne zero,t3,8000037c + +800000dc : +800000dc: 00300e13 li t3,3 +800000e0: fff00093 li ra,-1 +800000e4: 00100113 li sp,1 +800000e8: 0020c663 blt ra,sp,800000f4 +800000ec: 29c01863 bne zero,t3,8000037c +800000f0: 01c01663 bne zero,t3,800000fc +800000f4: fe20cee3 blt ra,sp,800000f0 +800000f8: 29c01263 bne zero,t3,8000037c + +800000fc : +800000fc: 00400e13 li t3,4 +80000100: ffe00093 li ra,-2 +80000104: fff00113 li sp,-1 +80000108: 0020c663 blt ra,sp,80000114 +8000010c: 27c01863 bne zero,t3,8000037c +80000110: 01c01663 bne zero,t3,8000011c +80000114: fe20cee3 blt ra,sp,80000110 +80000118: 27c01263 bne zero,t3,8000037c + +8000011c : +8000011c: 00500e13 li t3,5 +80000120: 00100093 li ra,1 +80000124: 00000113 li sp,0 +80000128: 0020c463 blt ra,sp,80000130 +8000012c: 01c01463 bne zero,t3,80000134 +80000130: 25c01663 bne zero,t3,8000037c +80000134: fe20cee3 blt ra,sp,80000130 + +80000138 : +80000138: 00600e13 li t3,6 +8000013c: 00100093 li ra,1 +80000140: fff00113 li sp,-1 +80000144: 0020c463 blt ra,sp,8000014c +80000148: 01c01463 bne zero,t3,80000150 +8000014c: 23c01863 bne zero,t3,8000037c +80000150: fe20cee3 blt ra,sp,8000014c + +80000154 : +80000154: 00700e13 li t3,7 +80000158: fff00093 li ra,-1 +8000015c: ffe00113 li sp,-2 +80000160: 0020c463 blt ra,sp,80000168 +80000164: 01c01463 bne zero,t3,8000016c +80000168: 21c01a63 bne zero,t3,8000037c +8000016c: fe20cee3 blt ra,sp,80000168 + +80000170 : +80000170: 00800e13 li t3,8 +80000174: 00100093 li ra,1 +80000178: ffe00113 li sp,-2 +8000017c: 0020c463 blt ra,sp,80000184 +80000180: 01c01463 bne zero,t3,80000188 +80000184: 1fc01c63 bne zero,t3,8000037c +80000188: fe20cee3 blt ra,sp,80000184 + +8000018c : +8000018c: 00900e13 li t3,9 +80000190: 00000213 li tp,0 +80000194: 00000093 li ra,0 +80000198: fff00113 li sp,-1 +8000019c: 1e20c063 blt ra,sp,8000037c +800001a0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800001a4: 00200293 li t0,2 +800001a8: fe5216e3 bne tp,t0,80000194 + +800001ac : +800001ac: 00a00e13 li t3,10 +800001b0: 00000213 li tp,0 +800001b4: 00000093 li ra,0 +800001b8: fff00113 li sp,-1 +800001bc: 00000013 nop +800001c0: 1a20ce63 blt ra,sp,8000037c +800001c4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800001c8: 00200293 li t0,2 +800001cc: fe5214e3 bne tp,t0,800001b4 + +800001d0 : +800001d0: 00b00e13 li t3,11 +800001d4: 00000213 li tp,0 +800001d8: 00000093 li ra,0 +800001dc: fff00113 li sp,-1 +800001e0: 00000013 nop +800001e4: 00000013 nop +800001e8: 1820ca63 blt ra,sp,8000037c +800001ec: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800001f0: 00200293 li t0,2 +800001f4: fe5212e3 bne tp,t0,800001d8 + +800001f8 : +800001f8: 00c00e13 li t3,12 +800001fc: 00000213 li tp,0 +80000200: 00000093 li ra,0 +80000204: 00000013 nop +80000208: fff00113 li sp,-1 +8000020c: 1620c863 blt ra,sp,8000037c +80000210: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000214: 00200293 li t0,2 +80000218: fe5214e3 bne tp,t0,80000200 + +8000021c : +8000021c: 00d00e13 li t3,13 +80000220: 00000213 li tp,0 +80000224: 00000093 li ra,0 +80000228: 00000013 nop +8000022c: fff00113 li sp,-1 +80000230: 00000013 nop +80000234: 1420c463 blt ra,sp,8000037c +80000238: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000023c: 00200293 li t0,2 +80000240: fe5212e3 bne tp,t0,80000224 + +80000244 : +80000244: 00e00e13 li t3,14 +80000248: 00000213 li tp,0 +8000024c: 00000093 li ra,0 +80000250: 00000013 nop +80000254: 00000013 nop +80000258: fff00113 li sp,-1 +8000025c: 1220c063 blt ra,sp,8000037c +80000260: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000264: 00200293 li t0,2 +80000268: fe5212e3 bne tp,t0,8000024c + +8000026c : +8000026c: 00f00e13 li t3,15 +80000270: 00000213 li tp,0 +80000274: 00000093 li ra,0 +80000278: fff00113 li sp,-1 +8000027c: 1020c063 blt ra,sp,8000037c +80000280: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000284: 00200293 li t0,2 +80000288: fe5216e3 bne tp,t0,80000274 + +8000028c : +8000028c: 01000e13 li t3,16 +80000290: 00000213 li tp,0 +80000294: 00000093 li ra,0 +80000298: fff00113 li sp,-1 +8000029c: 00000013 nop +800002a0: 0c20ce63 blt ra,sp,8000037c +800002a4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002a8: 00200293 li t0,2 +800002ac: fe5214e3 bne tp,t0,80000294 + +800002b0 : +800002b0: 01100e13 li t3,17 +800002b4: 00000213 li tp,0 +800002b8: 00000093 li ra,0 +800002bc: fff00113 li sp,-1 +800002c0: 00000013 nop +800002c4: 00000013 nop +800002c8: 0a20ca63 blt ra,sp,8000037c +800002cc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002d0: 00200293 li t0,2 +800002d4: fe5212e3 bne tp,t0,800002b8 + +800002d8 : +800002d8: 01200e13 li t3,18 +800002dc: 00000213 li tp,0 +800002e0: 00000093 li ra,0 +800002e4: 00000013 nop +800002e8: fff00113 li sp,-1 +800002ec: 0820c863 blt ra,sp,8000037c +800002f0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002f4: 00200293 li t0,2 +800002f8: fe5214e3 bne tp,t0,800002e0 + +800002fc : +800002fc: 01300e13 li t3,19 +80000300: 00000213 li tp,0 +80000304: 00000093 li ra,0 +80000308: 00000013 nop +8000030c: fff00113 li sp,-1 +80000310: 00000013 nop +80000314: 0620c463 blt ra,sp,8000037c +80000318: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000031c: 00200293 li t0,2 +80000320: fe5212e3 bne tp,t0,80000304 + +80000324 : +80000324: 01400e13 li t3,20 +80000328: 00000213 li tp,0 +8000032c: 00000093 li ra,0 +80000330: 00000013 nop +80000334: 00000013 nop +80000338: fff00113 li sp,-1 +8000033c: 0420c063 blt ra,sp,8000037c +80000340: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000344: 00200293 li t0,2 +80000348: fe5212e3 bne tp,t0,8000032c + +8000034c : +8000034c: 00100093 li ra,1 +80000350: 00104a63 bgtz ra,80000364 +80000354: 00108093 addi ra,ra,1 +80000358: 00108093 addi ra,ra,1 +8000035c: 00108093 addi ra,ra,1 +80000360: 00108093 addi ra,ra,1 +80000364: 00108093 addi ra,ra,1 +80000368: 00108093 addi ra,ra,1 +8000036c: 00300e93 li t4,3 +80000370: 01500e13 li t3,21 +80000374: 01d09463 bne ra,t4,8000037c +80000378: 01c01c63 bne zero,t3,80000390 + +8000037c : +8000037c: 0ff0000f fence +80000380: 000e0063 beqz t3,80000380 +80000384: 001e1e13 slli t3,t3,0x1 +80000388: 001e6e13 ori t3,t3,1 +8000038c: 00000073 ecall + +80000390 : +80000390: 0ff0000f fence +80000394: 00100e13 li t3,1 +80000398: 00000073 ecall +8000039c: c0001073 unimp +800003a0: 0000 unimp +800003a2: 0000 unimp +800003a4: 0000 unimp +800003a6: 0000 unimp +800003a8: 0000 unimp +800003aa: 0000 unimp +800003ac: 0000 unimp +800003ae: 0000 unimp +800003b0: 0000 unimp +800003b2: 0000 unimp +800003b4: 0000 unimp +800003b6: 0000 unimp +800003b8: 0000 unimp +800003ba: 0000 unimp +800003bc: 0000 unimp +800003be: 0000 unimp +800003c0: 0000 unimp +800003c2: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-bltu.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-bltu.dump new file mode 100644 index 0000000..e5b3a75 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-bltu.dump @@ -0,0 +1,328 @@ + +rv32ui-p-bltu: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00200e13 li t3,2 +800000c0: 00000093 li ra,0 +800000c4: 00100113 li sp,1 +800000c8: 0020e663 bltu ra,sp,800000d4 +800000cc: 2fc01263 bne zero,t3,800003b0 +800000d0: 01c01663 bne zero,t3,800000dc +800000d4: fe20eee3 bltu ra,sp,800000d0 +800000d8: 2dc01c63 bne zero,t3,800003b0 + +800000dc : +800000dc: 00300e13 li t3,3 +800000e0: ffe00093 li ra,-2 +800000e4: fff00113 li sp,-1 +800000e8: 0020e663 bltu ra,sp,800000f4 +800000ec: 2dc01263 bne zero,t3,800003b0 +800000f0: 01c01663 bne zero,t3,800000fc +800000f4: fe20eee3 bltu ra,sp,800000f0 +800000f8: 2bc01c63 bne zero,t3,800003b0 + +800000fc : +800000fc: 00400e13 li t3,4 +80000100: 00000093 li ra,0 +80000104: fff00113 li sp,-1 +80000108: 0020e663 bltu ra,sp,80000114 +8000010c: 2bc01263 bne zero,t3,800003b0 +80000110: 01c01663 bne zero,t3,8000011c +80000114: fe20eee3 bltu ra,sp,80000110 +80000118: 29c01c63 bne zero,t3,800003b0 + +8000011c : +8000011c: 00500e13 li t3,5 +80000120: 00100093 li ra,1 +80000124: 00000113 li sp,0 +80000128: 0020e463 bltu ra,sp,80000130 +8000012c: 01c01463 bne zero,t3,80000134 +80000130: 29c01063 bne zero,t3,800003b0 +80000134: fe20eee3 bltu ra,sp,80000130 + +80000138 : +80000138: 00600e13 li t3,6 +8000013c: fff00093 li ra,-1 +80000140: ffe00113 li sp,-2 +80000144: 0020e463 bltu ra,sp,8000014c +80000148: 01c01463 bne zero,t3,80000150 +8000014c: 27c01263 bne zero,t3,800003b0 +80000150: fe20eee3 bltu ra,sp,8000014c + +80000154 : +80000154: 00700e13 li t3,7 +80000158: fff00093 li ra,-1 +8000015c: 00000113 li sp,0 +80000160: 0020e463 bltu ra,sp,80000168 +80000164: 01c01463 bne zero,t3,8000016c +80000168: 25c01463 bne zero,t3,800003b0 +8000016c: fe20eee3 bltu ra,sp,80000168 + +80000170 : +80000170: 00800e13 li t3,8 +80000174: 800000b7 lui ra,0x80000 +80000178: 80000137 lui sp,0x80000 +8000017c: fff10113 addi sp,sp,-1 # 7fffffff +80000180: 0020e463 bltu ra,sp,80000188 +80000184: 01c01463 bne zero,t3,8000018c +80000188: 23c01463 bne zero,t3,800003b0 +8000018c: fe20eee3 bltu ra,sp,80000188 + +80000190 : +80000190: 00900e13 li t3,9 +80000194: 00000213 li tp,0 +80000198: f00000b7 lui ra,0xf0000 +8000019c: f0000137 lui sp,0xf0000 +800001a0: fff10113 addi sp,sp,-1 # efffffff +800001a4: 2020e663 bltu ra,sp,800003b0 +800001a8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800001ac: 00200293 li t0,2 +800001b0: fe5214e3 bne tp,t0,80000198 + +800001b4 : +800001b4: 00a00e13 li t3,10 +800001b8: 00000213 li tp,0 +800001bc: f00000b7 lui ra,0xf0000 +800001c0: f0000137 lui sp,0xf0000 +800001c4: fff10113 addi sp,sp,-1 # efffffff +800001c8: 00000013 nop +800001cc: 1e20e263 bltu ra,sp,800003b0 +800001d0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800001d4: 00200293 li t0,2 +800001d8: fe5212e3 bne tp,t0,800001bc + +800001dc : +800001dc: 00b00e13 li t3,11 +800001e0: 00000213 li tp,0 +800001e4: f00000b7 lui ra,0xf0000 +800001e8: f0000137 lui sp,0xf0000 +800001ec: fff10113 addi sp,sp,-1 # efffffff +800001f0: 00000013 nop +800001f4: 00000013 nop +800001f8: 1a20ec63 bltu ra,sp,800003b0 +800001fc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000200: 00200293 li t0,2 +80000204: fe5210e3 bne tp,t0,800001e4 + +80000208 : +80000208: 00c00e13 li t3,12 +8000020c: 00000213 li tp,0 +80000210: f00000b7 lui ra,0xf0000 +80000214: 00000013 nop +80000218: f0000137 lui sp,0xf0000 +8000021c: fff10113 addi sp,sp,-1 # efffffff +80000220: 1820e863 bltu ra,sp,800003b0 +80000224: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000228: 00200293 li t0,2 +8000022c: fe5212e3 bne tp,t0,80000210 + +80000230 : +80000230: 00d00e13 li t3,13 +80000234: 00000213 li tp,0 +80000238: f00000b7 lui ra,0xf0000 +8000023c: 00000013 nop +80000240: f0000137 lui sp,0xf0000 +80000244: fff10113 addi sp,sp,-1 # efffffff +80000248: 00000013 nop +8000024c: 1620e263 bltu ra,sp,800003b0 +80000250: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000254: 00200293 li t0,2 +80000258: fe5210e3 bne tp,t0,80000238 + +8000025c : +8000025c: 00e00e13 li t3,14 +80000260: 00000213 li tp,0 +80000264: f00000b7 lui ra,0xf0000 +80000268: 00000013 nop +8000026c: 00000013 nop +80000270: f0000137 lui sp,0xf0000 +80000274: fff10113 addi sp,sp,-1 # efffffff +80000278: 1220ec63 bltu ra,sp,800003b0 +8000027c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000280: 00200293 li t0,2 +80000284: fe5210e3 bne tp,t0,80000264 + +80000288 : +80000288: 00f00e13 li t3,15 +8000028c: 00000213 li tp,0 +80000290: f00000b7 lui ra,0xf0000 +80000294: f0000137 lui sp,0xf0000 +80000298: fff10113 addi sp,sp,-1 # efffffff +8000029c: 1020ea63 bltu ra,sp,800003b0 +800002a0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002a4: 00200293 li t0,2 +800002a8: fe5214e3 bne tp,t0,80000290 + +800002ac : +800002ac: 01000e13 li t3,16 +800002b0: 00000213 li tp,0 +800002b4: f00000b7 lui ra,0xf0000 +800002b8: f0000137 lui sp,0xf0000 +800002bc: fff10113 addi sp,sp,-1 # efffffff +800002c0: 00000013 nop +800002c4: 0e20e663 bltu ra,sp,800003b0 +800002c8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002cc: 00200293 li t0,2 +800002d0: fe5212e3 bne tp,t0,800002b4 + +800002d4 : +800002d4: 01100e13 li t3,17 +800002d8: 00000213 li tp,0 +800002dc: f00000b7 lui ra,0xf0000 +800002e0: f0000137 lui sp,0xf0000 +800002e4: fff10113 addi sp,sp,-1 # efffffff +800002e8: 00000013 nop +800002ec: 00000013 nop +800002f0: 0c20e063 bltu ra,sp,800003b0 +800002f4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002f8: 00200293 li t0,2 +800002fc: fe5210e3 bne tp,t0,800002dc + +80000300 : +80000300: 01200e13 li t3,18 +80000304: 00000213 li tp,0 +80000308: f00000b7 lui ra,0xf0000 +8000030c: 00000013 nop +80000310: f0000137 lui sp,0xf0000 +80000314: fff10113 addi sp,sp,-1 # efffffff +80000318: 0820ec63 bltu ra,sp,800003b0 +8000031c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000320: 00200293 li t0,2 +80000324: fe5212e3 bne tp,t0,80000308 + +80000328 : +80000328: 01300e13 li t3,19 +8000032c: 00000213 li tp,0 +80000330: f00000b7 lui ra,0xf0000 +80000334: 00000013 nop +80000338: f0000137 lui sp,0xf0000 +8000033c: fff10113 addi sp,sp,-1 # efffffff +80000340: 00000013 nop +80000344: 0620e663 bltu ra,sp,800003b0 +80000348: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000034c: 00200293 li t0,2 +80000350: fe5210e3 bne tp,t0,80000330 + +80000354 : +80000354: 01400e13 li t3,20 +80000358: 00000213 li tp,0 +8000035c: f00000b7 lui ra,0xf0000 +80000360: 00000013 nop +80000364: 00000013 nop +80000368: f0000137 lui sp,0xf0000 +8000036c: fff10113 addi sp,sp,-1 # efffffff +80000370: 0420e063 bltu ra,sp,800003b0 +80000374: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000378: 00200293 li t0,2 +8000037c: fe5210e3 bne tp,t0,8000035c + +80000380 : +80000380: 00100093 li ra,1 +80000384: 00106a63 bltu zero,ra,80000398 +80000388: 00108093 addi ra,ra,1 # f0000001 +8000038c: 00108093 addi ra,ra,1 +80000390: 00108093 addi ra,ra,1 +80000394: 00108093 addi ra,ra,1 +80000398: 00108093 addi ra,ra,1 +8000039c: 00108093 addi ra,ra,1 +800003a0: 00300e93 li t4,3 +800003a4: 01500e13 li t3,21 +800003a8: 01d09463 bne ra,t4,800003b0 +800003ac: 01c01c63 bne zero,t3,800003c4 + +800003b0 : +800003b0: 0ff0000f fence +800003b4: 000e0063 beqz t3,800003b4 +800003b8: 001e1e13 slli t3,t3,0x1 +800003bc: 001e6e13 ori t3,t3,1 +800003c0: 00000073 ecall + +800003c4 : +800003c4: 0ff0000f fence +800003c8: 00100e13 li t3,1 +800003cc: 00000073 ecall +800003d0: c0001073 unimp +800003d4: 0000 unimp +800003d6: 0000 unimp +800003d8: 0000 unimp +800003da: 0000 unimp +800003dc: 0000 unimp +800003de: 0000 unimp +800003e0: 0000 unimp +800003e2: 0000 unimp +800003e4: 0000 unimp +800003e6: 0000 unimp +800003e8: 0000 unimp +800003ea: 0000 unimp +800003ec: 0000 unimp +800003ee: 0000 unimp +800003f0: 0000 unimp +800003f2: 0000 unimp +800003f4: 0000 unimp +800003f6: 0000 unimp +800003f8: 0000 unimp +800003fa: 0000 unimp +800003fc: 0000 unimp +800003fe: 0000 unimp +80000400: 0000 unimp +80000402: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-bne.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-bne.dump new file mode 100644 index 0000000..a099ae8 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-bne.dump @@ -0,0 +1,308 @@ + +rv32ui-p-bne: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00200e13 li t3,2 +800000c0: 00000093 li ra,0 +800000c4: 00100113 li sp,1 +800000c8: 00209663 bne ra,sp,800000d4 +800000cc: 2bc01a63 bne zero,t3,80000380 +800000d0: 01c01663 bne zero,t3,800000dc +800000d4: fe209ee3 bne ra,sp,800000d0 +800000d8: 2bc01463 bne zero,t3,80000380 + +800000dc : +800000dc: 00300e13 li t3,3 +800000e0: 00100093 li ra,1 +800000e4: 00000113 li sp,0 +800000e8: 00209663 bne ra,sp,800000f4 +800000ec: 29c01a63 bne zero,t3,80000380 +800000f0: 01c01663 bne zero,t3,800000fc +800000f4: fe209ee3 bne ra,sp,800000f0 +800000f8: 29c01463 bne zero,t3,80000380 + +800000fc : +800000fc: 00400e13 li t3,4 +80000100: fff00093 li ra,-1 +80000104: 00100113 li sp,1 +80000108: 00209663 bne ra,sp,80000114 +8000010c: 27c01a63 bne zero,t3,80000380 +80000110: 01c01663 bne zero,t3,8000011c +80000114: fe209ee3 bne ra,sp,80000110 +80000118: 27c01463 bne zero,t3,80000380 + +8000011c : +8000011c: 00500e13 li t3,5 +80000120: 00100093 li ra,1 +80000124: fff00113 li sp,-1 +80000128: 00209663 bne ra,sp,80000134 +8000012c: 25c01a63 bne zero,t3,80000380 +80000130: 01c01663 bne zero,t3,8000013c +80000134: fe209ee3 bne ra,sp,80000130 +80000138: 25c01463 bne zero,t3,80000380 + +8000013c : +8000013c: 00600e13 li t3,6 +80000140: 00000093 li ra,0 +80000144: 00000113 li sp,0 +80000148: 00209463 bne ra,sp,80000150 +8000014c: 01c01463 bne zero,t3,80000154 +80000150: 23c01863 bne zero,t3,80000380 +80000154: fe209ee3 bne ra,sp,80000150 + +80000158 : +80000158: 00700e13 li t3,7 +8000015c: 00100093 li ra,1 +80000160: 00100113 li sp,1 +80000164: 00209463 bne ra,sp,8000016c +80000168: 01c01463 bne zero,t3,80000170 +8000016c: 21c01a63 bne zero,t3,80000380 +80000170: fe209ee3 bne ra,sp,8000016c + +80000174 : +80000174: 00800e13 li t3,8 +80000178: fff00093 li ra,-1 +8000017c: fff00113 li sp,-1 +80000180: 00209463 bne ra,sp,80000188 +80000184: 01c01463 bne zero,t3,8000018c +80000188: 1fc01c63 bne zero,t3,80000380 +8000018c: fe209ee3 bne ra,sp,80000188 + +80000190 : +80000190: 00900e13 li t3,9 +80000194: 00000213 li tp,0 +80000198: 00000093 li ra,0 +8000019c: 00000113 li sp,0 +800001a0: 1e209063 bne ra,sp,80000380 +800001a4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800001a8: 00200293 li t0,2 +800001ac: fe5216e3 bne tp,t0,80000198 + +800001b0 : +800001b0: 00a00e13 li t3,10 +800001b4: 00000213 li tp,0 +800001b8: 00000093 li ra,0 +800001bc: 00000113 li sp,0 +800001c0: 00000013 nop +800001c4: 1a209e63 bne ra,sp,80000380 +800001c8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800001cc: 00200293 li t0,2 +800001d0: fe5214e3 bne tp,t0,800001b8 + +800001d4 : +800001d4: 00b00e13 li t3,11 +800001d8: 00000213 li tp,0 +800001dc: 00000093 li ra,0 +800001e0: 00000113 li sp,0 +800001e4: 00000013 nop +800001e8: 00000013 nop +800001ec: 18209a63 bne ra,sp,80000380 +800001f0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800001f4: 00200293 li t0,2 +800001f8: fe5212e3 bne tp,t0,800001dc + +800001fc : +800001fc: 00c00e13 li t3,12 +80000200: 00000213 li tp,0 +80000204: 00000093 li ra,0 +80000208: 00000013 nop +8000020c: 00000113 li sp,0 +80000210: 16209863 bne ra,sp,80000380 +80000214: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000218: 00200293 li t0,2 +8000021c: fe5214e3 bne tp,t0,80000204 + +80000220 : +80000220: 00d00e13 li t3,13 +80000224: 00000213 li tp,0 +80000228: 00000093 li ra,0 +8000022c: 00000013 nop +80000230: 00000113 li sp,0 +80000234: 00000013 nop +80000238: 14209463 bne ra,sp,80000380 +8000023c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000240: 00200293 li t0,2 +80000244: fe5212e3 bne tp,t0,80000228 + +80000248 : +80000248: 00e00e13 li t3,14 +8000024c: 00000213 li tp,0 +80000250: 00000093 li ra,0 +80000254: 00000013 nop +80000258: 00000013 nop +8000025c: 00000113 li sp,0 +80000260: 12209063 bne ra,sp,80000380 +80000264: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000268: 00200293 li t0,2 +8000026c: fe5212e3 bne tp,t0,80000250 + +80000270 : +80000270: 00f00e13 li t3,15 +80000274: 00000213 li tp,0 +80000278: 00000093 li ra,0 +8000027c: 00000113 li sp,0 +80000280: 10209063 bne ra,sp,80000380 +80000284: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000288: 00200293 li t0,2 +8000028c: fe5216e3 bne tp,t0,80000278 + +80000290 : +80000290: 01000e13 li t3,16 +80000294: 00000213 li tp,0 +80000298: 00000093 li ra,0 +8000029c: 00000113 li sp,0 +800002a0: 00000013 nop +800002a4: 0c209e63 bne ra,sp,80000380 +800002a8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002ac: 00200293 li t0,2 +800002b0: fe5214e3 bne tp,t0,80000298 + +800002b4 : +800002b4: 01100e13 li t3,17 +800002b8: 00000213 li tp,0 +800002bc: 00000093 li ra,0 +800002c0: 00000113 li sp,0 +800002c4: 00000013 nop +800002c8: 00000013 nop +800002cc: 0a209a63 bne ra,sp,80000380 +800002d0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002d4: 00200293 li t0,2 +800002d8: fe5212e3 bne tp,t0,800002bc + +800002dc : +800002dc: 01200e13 li t3,18 +800002e0: 00000213 li tp,0 +800002e4: 00000093 li ra,0 +800002e8: 00000013 nop +800002ec: 00000113 li sp,0 +800002f0: 08209863 bne ra,sp,80000380 +800002f4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002f8: 00200293 li t0,2 +800002fc: fe5214e3 bne tp,t0,800002e4 + +80000300 : +80000300: 01300e13 li t3,19 +80000304: 00000213 li tp,0 +80000308: 00000093 li ra,0 +8000030c: 00000013 nop +80000310: 00000113 li sp,0 +80000314: 00000013 nop +80000318: 06209463 bne ra,sp,80000380 +8000031c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000320: 00200293 li t0,2 +80000324: fe5212e3 bne tp,t0,80000308 + +80000328 : +80000328: 01400e13 li t3,20 +8000032c: 00000213 li tp,0 +80000330: 00000093 li ra,0 +80000334: 00000013 nop +80000338: 00000013 nop +8000033c: 00000113 li sp,0 +80000340: 04209063 bne ra,sp,80000380 +80000344: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000348: 00200293 li t0,2 +8000034c: fe5212e3 bne tp,t0,80000330 + +80000350 : +80000350: 00100093 li ra,1 +80000354: 00009a63 bnez ra,80000368 +80000358: 00108093 addi ra,ra,1 +8000035c: 00108093 addi ra,ra,1 +80000360: 00108093 addi ra,ra,1 +80000364: 00108093 addi ra,ra,1 +80000368: 00108093 addi ra,ra,1 +8000036c: 00108093 addi ra,ra,1 +80000370: 00300e93 li t4,3 +80000374: 01500e13 li t3,21 +80000378: 01d09463 bne ra,t4,80000380 +8000037c: 01c01c63 bne zero,t3,80000394 + +80000380 : +80000380: 0ff0000f fence +80000384: 000e0063 beqz t3,80000384 +80000388: 001e1e13 slli t3,t3,0x1 +8000038c: 001e6e13 ori t3,t3,1 +80000390: 00000073 ecall + +80000394 : +80000394: 0ff0000f fence +80000398: 00100e13 li t3,1 +8000039c: 00000073 ecall +800003a0: c0001073 unimp +800003a4: 0000 unimp +800003a6: 0000 unimp +800003a8: 0000 unimp +800003aa: 0000 unimp +800003ac: 0000 unimp +800003ae: 0000 unimp +800003b0: 0000 unimp +800003b2: 0000 unimp +800003b4: 0000 unimp +800003b6: 0000 unimp +800003b8: 0000 unimp +800003ba: 0000 unimp +800003bc: 0000 unimp +800003be: 0000 unimp +800003c0: 0000 unimp +800003c2: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-fence_i.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-fence_i.dump new file mode 100644 index 0000000..2d0eb8d --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-fence_i.dump @@ -0,0 +1,173 @@ + +rv32ui-p-fence_i: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret +800000bc: 06f00693 li a3,111 +800000c0: 00002517 auipc a0,0x2 +800000c4: f4051503 lh a0,-192(a0) # 80002000 +800000c8: 00002597 auipc a1,0x2 +800000cc: f3a59583 lh a1,-198(a1) # 80002002 +800000d0: 00000013 nop +800000d4: 00000013 nop +800000d8: 00000013 nop +800000dc: 00000013 nop +800000e0: 00000013 nop +800000e4: 00000013 nop +800000e8: 00000013 nop +800000ec: 00000013 nop +800000f0: 00000013 nop +800000f4: 00000013 nop +800000f8: 00000013 nop +800000fc: 00000013 nop +80000100: 00000297 auipc t0,0x0 +80000104: 00a29a23 sh a0,20(t0) # 80000114 +80000108: 00000297 auipc t0,0x0 +8000010c: 00b29723 sh a1,14(t0) # 80000116 +80000110: 0000100f fence.i +80000114: 0de68693 addi a3,a3,222 + +80000118 : +80000118: 00000013 nop +8000011c: 1bc00e93 li t4,444 +80000120: 00200e13 li t3,2 +80000124: 07d69a63 bne a3,t4,80000198 +80000128: 06400713 li a4,100 +8000012c: fff70713 addi a4,a4,-1 +80000130: fe071ee3 bnez a4,8000012c +80000134: 00000297 auipc t0,0x0 +80000138: 04a29623 sh a0,76(t0) # 80000180 +8000013c: 00000297 auipc t0,0x0 +80000140: 04b29323 sh a1,70(t0) # 80000182 +80000144: 0000100f fence.i +80000148: 00000013 nop +8000014c: 00000013 nop +80000150: 00000013 nop +80000154: 00000013 nop +80000158: 00000013 nop +8000015c: 00000013 nop +80000160: 00000013 nop +80000164: 00000013 nop +80000168: 00000013 nop +8000016c: 00000013 nop +80000170: 00000013 nop +80000174: 00000013 nop +80000178: 00000013 nop +8000017c: 00000013 nop +80000180: 22b68693 addi a3,a3,555 + +80000184 : +80000184: 00000013 nop +80000188: 30900e93 li t4,777 +8000018c: 00300e13 li t3,3 +80000190: 01d69463 bne a3,t4,80000198 +80000194: 01c01c63 bne zero,t3,800001ac + +80000198 : +80000198: 0ff0000f fence +8000019c: 000e0063 beqz t3,8000019c +800001a0: 001e1e13 slli t3,t3,0x1 +800001a4: 001e6e13 ori t3,t3,1 +800001a8: 00000073 ecall + +800001ac : +800001ac: 0ff0000f fence +800001b0: 00100e13 li t3,1 +800001b4: 00000073 ecall +800001b8: c0001073 unimp +800001bc: 0000 unimp +800001be: 0000 unimp +800001c0: 0000 unimp +800001c2: 0000 unimp +800001c4: 0000 unimp +800001c6: 0000 unimp +800001c8: 0000 unimp +800001ca: 0000 unimp +800001cc: 0000 unimp +800001ce: 0000 unimp +800001d0: 0000 unimp +800001d2: 0000 unimp +800001d4: 0000 unimp +800001d6: 0000 unimp +800001d8: 0000 unimp +800001da: 0000 unimp +800001dc: 0000 unimp +800001de: 0000 unimp +800001e0: 0000 unimp +800001e2: 0000 unimp +800001e4: 0000 unimp +800001e6: 0000 unimp +800001e8: 0000 unimp +800001ea: 0000 unimp +800001ec: 0000 unimp +800001ee: 0000 unimp +800001f0: 0000 unimp +800001f2: 0000 unimp + +Disassembly of section .data: + +80002000 : +80002000: 14d68693 addi a3,a3,333 +80002004: 0000 unimp +80002006: 0000 unimp +80002008: 0000 unimp +8000200a: 0000 unimp +8000200c: 0000 unimp +8000200e: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-jal.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-jal.dump new file mode 100644 index 0000000..500b4c1 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-jal.dump @@ -0,0 +1,112 @@ + +rv32ui-p-jal: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00200e13 li t3,2 +800000c0: 00000093 li ra,0 +800000c4: 010001ef jal gp,800000d4 + +800000c8 : +800000c8: 00000013 nop +800000cc: 00000013 nop +800000d0: 0400006f j 80000110 + +800000d4 : +800000d4: 00000117 auipc sp,0x0 +800000d8: ff410113 addi sp,sp,-12 # 800000c8 +800000dc: 02311a63 bne sp,gp,80000110 + +800000e0 : +800000e0: 00100093 li ra,1 +800000e4: 0140006f j 800000f8 +800000e8: 00108093 addi ra,ra,1 +800000ec: 00108093 addi ra,ra,1 +800000f0: 00108093 addi ra,ra,1 +800000f4: 00108093 addi ra,ra,1 +800000f8: 00108093 addi ra,ra,1 +800000fc: 00108093 addi ra,ra,1 +80000100: 00300e93 li t4,3 +80000104: 00300e13 li t3,3 +80000108: 01d09463 bne ra,t4,80000110 +8000010c: 01c01c63 bne zero,t3,80000124 + +80000110 : +80000110: 0ff0000f fence +80000114: 000e0063 beqz t3,80000114 +80000118: 001e1e13 slli t3,t3,0x1 +8000011c: 001e6e13 ori t3,t3,1 +80000120: 00000073 ecall + +80000124 : +80000124: 0ff0000f fence +80000128: 00100e13 li t3,1 +8000012c: 00000073 ecall +80000130: c0001073 unimp +80000134: 0000 unimp +80000136: 0000 unimp +80000138: 0000 unimp +8000013a: 0000 unimp +8000013c: 0000 unimp +8000013e: 0000 unimp +80000140: 0000 unimp +80000142: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-jalr.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-jalr.dump new file mode 100644 index 0000000..dc64624 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-jalr.dump @@ -0,0 +1,150 @@ + +rv32ui-p-jalr: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00200e13 li t3,2 +800000c0: 00000293 li t0,0 +800000c4: 00000317 auipc t1,0x0 +800000c8: 01030313 addi t1,t1,16 # 800000d4 +800000cc: 000302e7 jalr t0,t1 + +800000d0 : +800000d0: 0c00006f j 80000190 + +800000d4 : +800000d4: 00000317 auipc t1,0x0 +800000d8: ffc30313 addi t1,t1,-4 # 800000d0 +800000dc: 0a629a63 bne t0,t1,80000190 + +800000e0 : +800000e0: 00400e13 li t3,4 +800000e4: 00000213 li tp,0 +800000e8: 00000317 auipc t1,0x0 +800000ec: 01030313 addi t1,t1,16 # 800000f8 +800000f0: 000309e7 jalr s3,t1 +800000f4: 09c01e63 bne zero,t3,80000190 +800000f8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800000fc: 00200293 li t0,2 +80000100: fe5214e3 bne tp,t0,800000e8 + +80000104 : +80000104: 00500e13 li t3,5 +80000108: 00000213 li tp,0 +8000010c: 00000317 auipc t1,0x0 +80000110: 01430313 addi t1,t1,20 # 80000120 +80000114: 00000013 nop +80000118: 000309e7 jalr s3,t1 +8000011c: 07c01a63 bne zero,t3,80000190 +80000120: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000124: 00200293 li t0,2 +80000128: fe5212e3 bne tp,t0,8000010c + +8000012c : +8000012c: 00600e13 li t3,6 +80000130: 00000213 li tp,0 +80000134: 00000317 auipc t1,0x0 +80000138: 01830313 addi t1,t1,24 # 8000014c +8000013c: 00000013 nop +80000140: 00000013 nop +80000144: 000309e7 jalr s3,t1 +80000148: 05c01463 bne zero,t3,80000190 +8000014c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000150: 00200293 li t0,2 +80000154: fe5210e3 bne tp,t0,80000134 + +80000158 : +80000158: 00100293 li t0,1 +8000015c: 00000317 auipc t1,0x0 +80000160: 01c30313 addi t1,t1,28 # 80000178 +80000164: ffc30067 jr -4(t1) +80000168: 00128293 addi t0,t0,1 +8000016c: 00128293 addi t0,t0,1 +80000170: 00128293 addi t0,t0,1 +80000174: 00128293 addi t0,t0,1 +80000178: 00128293 addi t0,t0,1 +8000017c: 00128293 addi t0,t0,1 +80000180: 00400e93 li t4,4 +80000184: 00700e13 li t3,7 +80000188: 01d29463 bne t0,t4,80000190 +8000018c: 01c01c63 bne zero,t3,800001a4 + +80000190 : +80000190: 0ff0000f fence +80000194: 000e0063 beqz t3,80000194 +80000198: 001e1e13 slli t3,t3,0x1 +8000019c: 001e6e13 ori t3,t3,1 +800001a0: 00000073 ecall + +800001a4 : +800001a4: 0ff0000f fence +800001a8: 00100e13 li t3,1 +800001ac: 00000073 ecall +800001b0: c0001073 unimp +800001b4: 0000 unimp +800001b6: 0000 unimp +800001b8: 0000 unimp +800001ba: 0000 unimp +800001bc: 0000 unimp +800001be: 0000 unimp +800001c0: 0000 unimp +800001c2: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-lb.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-lb.dump new file mode 100644 index 0000000..d1f3caa --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-lb.dump @@ -0,0 +1,290 @@ + +rv32ui-p-lb: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00002097 auipc ra,0x2 +800000c0: f4408093 addi ra,ra,-188 # 80002000 +800000c4: 00008183 lb gp,0(ra) +800000c8: fff00e93 li t4,-1 +800000cc: 00200e13 li t3,2 +800000d0: 23d19c63 bne gp,t4,80000308 + +800000d4 : +800000d4: 00002097 auipc ra,0x2 +800000d8: f2c08093 addi ra,ra,-212 # 80002000 +800000dc: 00108183 lb gp,1(ra) +800000e0: 00000e93 li t4,0 +800000e4: 00300e13 li t3,3 +800000e8: 23d19063 bne gp,t4,80000308 + +800000ec : +800000ec: 00002097 auipc ra,0x2 +800000f0: f1408093 addi ra,ra,-236 # 80002000 +800000f4: 00208183 lb gp,2(ra) +800000f8: ff000e93 li t4,-16 +800000fc: 00400e13 li t3,4 +80000100: 21d19463 bne gp,t4,80000308 + +80000104 : +80000104: 00002097 auipc ra,0x2 +80000108: efc08093 addi ra,ra,-260 # 80002000 +8000010c: 00308183 lb gp,3(ra) +80000110: 00f00e93 li t4,15 +80000114: 00500e13 li t3,5 +80000118: 1fd19863 bne gp,t4,80000308 + +8000011c : +8000011c: 00002097 auipc ra,0x2 +80000120: ee708093 addi ra,ra,-281 # 80002003 +80000124: ffd08183 lb gp,-3(ra) +80000128: fff00e93 li t4,-1 +8000012c: 00600e13 li t3,6 +80000130: 1dd19c63 bne gp,t4,80000308 + +80000134 : +80000134: 00002097 auipc ra,0x2 +80000138: ecf08093 addi ra,ra,-305 # 80002003 +8000013c: ffe08183 lb gp,-2(ra) +80000140: 00000e93 li t4,0 +80000144: 00700e13 li t3,7 +80000148: 1dd19063 bne gp,t4,80000308 + +8000014c : +8000014c: 00002097 auipc ra,0x2 +80000150: eb708093 addi ra,ra,-329 # 80002003 +80000154: fff08183 lb gp,-1(ra) +80000158: ff000e93 li t4,-16 +8000015c: 00800e13 li t3,8 +80000160: 1bd19463 bne gp,t4,80000308 + +80000164 : +80000164: 00002097 auipc ra,0x2 +80000168: e9f08093 addi ra,ra,-353 # 80002003 +8000016c: 00008183 lb gp,0(ra) +80000170: 00f00e93 li t4,15 +80000174: 00900e13 li t3,9 +80000178: 19d19863 bne gp,t4,80000308 + +8000017c : +8000017c: 00002097 auipc ra,0x2 +80000180: e8408093 addi ra,ra,-380 # 80002000 +80000184: fe008093 addi ra,ra,-32 +80000188: 02008183 lb gp,32(ra) +8000018c: fff00e93 li t4,-1 +80000190: 00a00e13 li t3,10 +80000194: 17d19a63 bne gp,t4,80000308 + +80000198 : +80000198: 00002097 auipc ra,0x2 +8000019c: e6808093 addi ra,ra,-408 # 80002000 +800001a0: ffa08093 addi ra,ra,-6 +800001a4: 00708183 lb gp,7(ra) +800001a8: 00000e93 li t4,0 +800001ac: 00b00e13 li t3,11 +800001b0: 15d19c63 bne gp,t4,80000308 + +800001b4 : +800001b4: 00c00e13 li t3,12 +800001b8: 00000213 li tp,0 +800001bc: 00002097 auipc ra,0x2 +800001c0: e4508093 addi ra,ra,-443 # 80002001 +800001c4: 00108183 lb gp,1(ra) +800001c8: 00018313 mv t1,gp +800001cc: ff000e93 li t4,-16 +800001d0: 13d31c63 bne t1,t4,80000308 +800001d4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800001d8: 00200293 li t0,2 +800001dc: fe5210e3 bne tp,t0,800001bc + +800001e0 : +800001e0: 00d00e13 li t3,13 +800001e4: 00000213 li tp,0 +800001e8: 00002097 auipc ra,0x2 +800001ec: e1a08093 addi ra,ra,-486 # 80002002 +800001f0: 00108183 lb gp,1(ra) +800001f4: 00000013 nop +800001f8: 00018313 mv t1,gp +800001fc: 00f00e93 li t4,15 +80000200: 11d31463 bne t1,t4,80000308 +80000204: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000208: 00200293 li t0,2 +8000020c: fc521ee3 bne tp,t0,800001e8 + +80000210 : +80000210: 00e00e13 li t3,14 +80000214: 00000213 li tp,0 +80000218: 00002097 auipc ra,0x2 +8000021c: de808093 addi ra,ra,-536 # 80002000 +80000220: 00108183 lb gp,1(ra) +80000224: 00000013 nop +80000228: 00000013 nop +8000022c: 00018313 mv t1,gp +80000230: 00000e93 li t4,0 +80000234: 0dd31a63 bne t1,t4,80000308 +80000238: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000023c: 00200293 li t0,2 +80000240: fc521ce3 bne tp,t0,80000218 + +80000244 : +80000244: 00f00e13 li t3,15 +80000248: 00000213 li tp,0 +8000024c: 00002097 auipc ra,0x2 +80000250: db508093 addi ra,ra,-587 # 80002001 +80000254: 00108183 lb gp,1(ra) +80000258: ff000e93 li t4,-16 +8000025c: 0bd19663 bne gp,t4,80000308 +80000260: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000264: 00200293 li t0,2 +80000268: fe5212e3 bne tp,t0,8000024c + +8000026c : +8000026c: 01000e13 li t3,16 +80000270: 00000213 li tp,0 +80000274: 00002097 auipc ra,0x2 +80000278: d8e08093 addi ra,ra,-626 # 80002002 +8000027c: 00000013 nop +80000280: 00108183 lb gp,1(ra) +80000284: 00f00e93 li t4,15 +80000288: 09d19063 bne gp,t4,80000308 +8000028c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000290: 00200293 li t0,2 +80000294: fe5210e3 bne tp,t0,80000274 + +80000298 : +80000298: 01100e13 li t3,17 +8000029c: 00000213 li tp,0 +800002a0: 00002097 auipc ra,0x2 +800002a4: d6008093 addi ra,ra,-672 # 80002000 +800002a8: 00000013 nop +800002ac: 00000013 nop +800002b0: 00108183 lb gp,1(ra) +800002b4: 00000e93 li t4,0 +800002b8: 05d19863 bne gp,t4,80000308 +800002bc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002c0: 00200293 li t0,2 +800002c4: fc521ee3 bne tp,t0,800002a0 + +800002c8 : +800002c8: 00002197 auipc gp,0x2 +800002cc: d3818193 addi gp,gp,-712 # 80002000 +800002d0: 00018103 lb sp,0(gp) +800002d4: 00200113 li sp,2 +800002d8: 00200e93 li t4,2 +800002dc: 01200e13 li t3,18 +800002e0: 03d11463 bne sp,t4,80000308 + +800002e4 : +800002e4: 00002197 auipc gp,0x2 +800002e8: d1c18193 addi gp,gp,-740 # 80002000 +800002ec: 00018103 lb sp,0(gp) +800002f0: 00000013 nop +800002f4: 00200113 li sp,2 +800002f8: 00200e93 li t4,2 +800002fc: 01300e13 li t3,19 +80000300: 01d11463 bne sp,t4,80000308 +80000304: 01c01c63 bne zero,t3,8000031c + +80000308 : +80000308: 0ff0000f fence +8000030c: 000e0063 beqz t3,8000030c +80000310: 001e1e13 slli t3,t3,0x1 +80000314: 001e6e13 ori t3,t3,1 +80000318: 00000073 ecall + +8000031c : +8000031c: 0ff0000f fence +80000320: 00100e13 li t3,1 +80000324: 00000073 ecall +80000328: c0001073 unimp +8000032c: 0000 unimp +8000032e: 0000 unimp +80000330: 0000 unimp +80000332: 0000 unimp +80000334: 0000 unimp +80000336: 0000 unimp +80000338: 0000 unimp +8000033a: 0000 unimp +8000033c: 0000 unimp +8000033e: 0000 unimp +80000340: 0000 unimp +80000342: 0000 unimp + +Disassembly of section .data: + +80002000 : +80002000: 00ff 0xff + +80002001 : +80002001: f000 fsw fs0,32(s0) + +80002002 : +80002002: 0ff0 addi a2,sp,988 + +80002003 : +80002003: 0000000f fence unknown,unknown +80002007: 0000 unimp +80002009: 0000 unimp +8000200b: 0000 unimp +8000200d: 0000 unimp +8000200f: 00 00 00 00 00 Address 0x000000008000200f is out of bounds. + diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-lbu.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-lbu.dump new file mode 100644 index 0000000..3642c86 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-lbu.dump @@ -0,0 +1,290 @@ + +rv32ui-p-lbu: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00002097 auipc ra,0x2 +800000c0: f4408093 addi ra,ra,-188 # 80002000 +800000c4: 0000c183 lbu gp,0(ra) +800000c8: 0ff00e93 li t4,255 +800000cc: 00200e13 li t3,2 +800000d0: 23d19c63 bne gp,t4,80000308 + +800000d4 : +800000d4: 00002097 auipc ra,0x2 +800000d8: f2c08093 addi ra,ra,-212 # 80002000 +800000dc: 0010c183 lbu gp,1(ra) +800000e0: 00000e93 li t4,0 +800000e4: 00300e13 li t3,3 +800000e8: 23d19063 bne gp,t4,80000308 + +800000ec : +800000ec: 00002097 auipc ra,0x2 +800000f0: f1408093 addi ra,ra,-236 # 80002000 +800000f4: 0020c183 lbu gp,2(ra) +800000f8: 0f000e93 li t4,240 +800000fc: 00400e13 li t3,4 +80000100: 21d19463 bne gp,t4,80000308 + +80000104 : +80000104: 00002097 auipc ra,0x2 +80000108: efc08093 addi ra,ra,-260 # 80002000 +8000010c: 0030c183 lbu gp,3(ra) +80000110: 00f00e93 li t4,15 +80000114: 00500e13 li t3,5 +80000118: 1fd19863 bne gp,t4,80000308 + +8000011c : +8000011c: 00002097 auipc ra,0x2 +80000120: ee708093 addi ra,ra,-281 # 80002003 +80000124: ffd0c183 lbu gp,-3(ra) +80000128: 0ff00e93 li t4,255 +8000012c: 00600e13 li t3,6 +80000130: 1dd19c63 bne gp,t4,80000308 + +80000134 : +80000134: 00002097 auipc ra,0x2 +80000138: ecf08093 addi ra,ra,-305 # 80002003 +8000013c: ffe0c183 lbu gp,-2(ra) +80000140: 00000e93 li t4,0 +80000144: 00700e13 li t3,7 +80000148: 1dd19063 bne gp,t4,80000308 + +8000014c : +8000014c: 00002097 auipc ra,0x2 +80000150: eb708093 addi ra,ra,-329 # 80002003 +80000154: fff0c183 lbu gp,-1(ra) +80000158: 0f000e93 li t4,240 +8000015c: 00800e13 li t3,8 +80000160: 1bd19463 bne gp,t4,80000308 + +80000164 : +80000164: 00002097 auipc ra,0x2 +80000168: e9f08093 addi ra,ra,-353 # 80002003 +8000016c: 0000c183 lbu gp,0(ra) +80000170: 00f00e93 li t4,15 +80000174: 00900e13 li t3,9 +80000178: 19d19863 bne gp,t4,80000308 + +8000017c : +8000017c: 00002097 auipc ra,0x2 +80000180: e8408093 addi ra,ra,-380 # 80002000 +80000184: fe008093 addi ra,ra,-32 +80000188: 0200c183 lbu gp,32(ra) +8000018c: 0ff00e93 li t4,255 +80000190: 00a00e13 li t3,10 +80000194: 17d19a63 bne gp,t4,80000308 + +80000198 : +80000198: 00002097 auipc ra,0x2 +8000019c: e6808093 addi ra,ra,-408 # 80002000 +800001a0: ffa08093 addi ra,ra,-6 +800001a4: 0070c183 lbu gp,7(ra) +800001a8: 00000e93 li t4,0 +800001ac: 00b00e13 li t3,11 +800001b0: 15d19c63 bne gp,t4,80000308 + +800001b4 : +800001b4: 00c00e13 li t3,12 +800001b8: 00000213 li tp,0 +800001bc: 00002097 auipc ra,0x2 +800001c0: e4508093 addi ra,ra,-443 # 80002001 +800001c4: 0010c183 lbu gp,1(ra) +800001c8: 00018313 mv t1,gp +800001cc: 0f000e93 li t4,240 +800001d0: 13d31c63 bne t1,t4,80000308 +800001d4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800001d8: 00200293 li t0,2 +800001dc: fe5210e3 bne tp,t0,800001bc + +800001e0 : +800001e0: 00d00e13 li t3,13 +800001e4: 00000213 li tp,0 +800001e8: 00002097 auipc ra,0x2 +800001ec: e1a08093 addi ra,ra,-486 # 80002002 +800001f0: 0010c183 lbu gp,1(ra) +800001f4: 00000013 nop +800001f8: 00018313 mv t1,gp +800001fc: 00f00e93 li t4,15 +80000200: 11d31463 bne t1,t4,80000308 +80000204: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000208: 00200293 li t0,2 +8000020c: fc521ee3 bne tp,t0,800001e8 + +80000210 : +80000210: 00e00e13 li t3,14 +80000214: 00000213 li tp,0 +80000218: 00002097 auipc ra,0x2 +8000021c: de808093 addi ra,ra,-536 # 80002000 +80000220: 0010c183 lbu gp,1(ra) +80000224: 00000013 nop +80000228: 00000013 nop +8000022c: 00018313 mv t1,gp +80000230: 00000e93 li t4,0 +80000234: 0dd31a63 bne t1,t4,80000308 +80000238: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000023c: 00200293 li t0,2 +80000240: fc521ce3 bne tp,t0,80000218 + +80000244 : +80000244: 00f00e13 li t3,15 +80000248: 00000213 li tp,0 +8000024c: 00002097 auipc ra,0x2 +80000250: db508093 addi ra,ra,-587 # 80002001 +80000254: 0010c183 lbu gp,1(ra) +80000258: 0f000e93 li t4,240 +8000025c: 0bd19663 bne gp,t4,80000308 +80000260: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000264: 00200293 li t0,2 +80000268: fe5212e3 bne tp,t0,8000024c + +8000026c : +8000026c: 01000e13 li t3,16 +80000270: 00000213 li tp,0 +80000274: 00002097 auipc ra,0x2 +80000278: d8e08093 addi ra,ra,-626 # 80002002 +8000027c: 00000013 nop +80000280: 0010c183 lbu gp,1(ra) +80000284: 00f00e93 li t4,15 +80000288: 09d19063 bne gp,t4,80000308 +8000028c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000290: 00200293 li t0,2 +80000294: fe5210e3 bne tp,t0,80000274 + +80000298 : +80000298: 01100e13 li t3,17 +8000029c: 00000213 li tp,0 +800002a0: 00002097 auipc ra,0x2 +800002a4: d6008093 addi ra,ra,-672 # 80002000 +800002a8: 00000013 nop +800002ac: 00000013 nop +800002b0: 0010c183 lbu gp,1(ra) +800002b4: 00000e93 li t4,0 +800002b8: 05d19863 bne gp,t4,80000308 +800002bc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002c0: 00200293 li t0,2 +800002c4: fc521ee3 bne tp,t0,800002a0 + +800002c8 : +800002c8: 00002197 auipc gp,0x2 +800002cc: d3818193 addi gp,gp,-712 # 80002000 +800002d0: 0001c103 lbu sp,0(gp) +800002d4: 00200113 li sp,2 +800002d8: 00200e93 li t4,2 +800002dc: 01200e13 li t3,18 +800002e0: 03d11463 bne sp,t4,80000308 + +800002e4 : +800002e4: 00002197 auipc gp,0x2 +800002e8: d1c18193 addi gp,gp,-740 # 80002000 +800002ec: 0001c103 lbu sp,0(gp) +800002f0: 00000013 nop +800002f4: 00200113 li sp,2 +800002f8: 00200e93 li t4,2 +800002fc: 01300e13 li t3,19 +80000300: 01d11463 bne sp,t4,80000308 +80000304: 01c01c63 bne zero,t3,8000031c + +80000308 : +80000308: 0ff0000f fence +8000030c: 000e0063 beqz t3,8000030c +80000310: 001e1e13 slli t3,t3,0x1 +80000314: 001e6e13 ori t3,t3,1 +80000318: 00000073 ecall + +8000031c : +8000031c: 0ff0000f fence +80000320: 00100e13 li t3,1 +80000324: 00000073 ecall +80000328: c0001073 unimp +8000032c: 0000 unimp +8000032e: 0000 unimp +80000330: 0000 unimp +80000332: 0000 unimp +80000334: 0000 unimp +80000336: 0000 unimp +80000338: 0000 unimp +8000033a: 0000 unimp +8000033c: 0000 unimp +8000033e: 0000 unimp +80000340: 0000 unimp +80000342: 0000 unimp + +Disassembly of section .data: + +80002000 : +80002000: 00ff 0xff + +80002001 : +80002001: f000 fsw fs0,32(s0) + +80002002 : +80002002: 0ff0 addi a2,sp,988 + +80002003 : +80002003: 0000000f fence unknown,unknown +80002007: 0000 unimp +80002009: 0000 unimp +8000200b: 0000 unimp +8000200d: 0000 unimp +8000200f: 00 00 00 00 00 Address 0x000000008000200f is out of bounds. + diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-lh.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-lh.dump new file mode 100644 index 0000000..9ac1176 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-lh.dump @@ -0,0 +1,311 @@ + +rv32ui-p-lh: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00002097 auipc ra,0x2 +800000c0: f4408093 addi ra,ra,-188 # 80002000 +800000c4: 00009183 lh gp,0(ra) +800000c8: 0ff00e93 li t4,255 +800000cc: 00200e13 li t3,2 +800000d0: 25d19c63 bne gp,t4,80000328 + +800000d4 : +800000d4: 00002097 auipc ra,0x2 +800000d8: f2c08093 addi ra,ra,-212 # 80002000 +800000dc: 00209183 lh gp,2(ra) +800000e0: f0000e93 li t4,-256 +800000e4: 00300e13 li t3,3 +800000e8: 25d19063 bne gp,t4,80000328 + +800000ec : +800000ec: 00002097 auipc ra,0x2 +800000f0: f1408093 addi ra,ra,-236 # 80002000 +800000f4: 00409183 lh gp,4(ra) +800000f8: 00001eb7 lui t4,0x1 +800000fc: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0x7ffff010> +80000100: 00400e13 li t3,4 +80000104: 23d19263 bne gp,t4,80000328 + +80000108 : +80000108: 00002097 auipc ra,0x2 +8000010c: ef808093 addi ra,ra,-264 # 80002000 +80000110: 00609183 lh gp,6(ra) +80000114: fffffeb7 lui t4,0xfffff +80000118: 00fe8e93 addi t4,t4,15 # fffff00f <_end+0x7fffcfff> +8000011c: 00500e13 li t3,5 +80000120: 21d19463 bne gp,t4,80000328 + +80000124 : +80000124: 00002097 auipc ra,0x2 +80000128: ee208093 addi ra,ra,-286 # 80002006 +8000012c: ffa09183 lh gp,-6(ra) +80000130: 0ff00e93 li t4,255 +80000134: 00600e13 li t3,6 +80000138: 1fd19863 bne gp,t4,80000328 + +8000013c : +8000013c: 00002097 auipc ra,0x2 +80000140: eca08093 addi ra,ra,-310 # 80002006 +80000144: ffc09183 lh gp,-4(ra) +80000148: f0000e93 li t4,-256 +8000014c: 00700e13 li t3,7 +80000150: 1dd19c63 bne gp,t4,80000328 + +80000154 : +80000154: 00002097 auipc ra,0x2 +80000158: eb208093 addi ra,ra,-334 # 80002006 +8000015c: ffe09183 lh gp,-2(ra) +80000160: 00001eb7 lui t4,0x1 +80000164: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0x7ffff010> +80000168: 00800e13 li t3,8 +8000016c: 1bd19e63 bne gp,t4,80000328 + +80000170 : +80000170: 00002097 auipc ra,0x2 +80000174: e9608093 addi ra,ra,-362 # 80002006 +80000178: 00009183 lh gp,0(ra) +8000017c: fffffeb7 lui t4,0xfffff +80000180: 00fe8e93 addi t4,t4,15 # fffff00f <_end+0x7fffcfff> +80000184: 00900e13 li t3,9 +80000188: 1bd19063 bne gp,t4,80000328 + +8000018c : +8000018c: 00002097 auipc ra,0x2 +80000190: e7408093 addi ra,ra,-396 # 80002000 +80000194: fe008093 addi ra,ra,-32 +80000198: 02009183 lh gp,32(ra) +8000019c: 0ff00e93 li t4,255 +800001a0: 00a00e13 li t3,10 +800001a4: 19d19263 bne gp,t4,80000328 + +800001a8 : +800001a8: 00002097 auipc ra,0x2 +800001ac: e5808093 addi ra,ra,-424 # 80002000 +800001b0: ffb08093 addi ra,ra,-5 +800001b4: 00709183 lh gp,7(ra) +800001b8: f0000e93 li t4,-256 +800001bc: 00b00e13 li t3,11 +800001c0: 17d19463 bne gp,t4,80000328 + +800001c4 : +800001c4: 00c00e13 li t3,12 +800001c8: 00000213 li tp,0 +800001cc: 00002097 auipc ra,0x2 +800001d0: e3608093 addi ra,ra,-458 # 80002002 +800001d4: 00209183 lh gp,2(ra) +800001d8: 00018313 mv t1,gp +800001dc: 00001eb7 lui t4,0x1 +800001e0: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0x7ffff010> +800001e4: 15d31263 bne t1,t4,80000328 +800001e8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800001ec: 00200293 li t0,2 +800001f0: fc521ee3 bne tp,t0,800001cc + +800001f4 : +800001f4: 00d00e13 li t3,13 +800001f8: 00000213 li tp,0 +800001fc: 00002097 auipc ra,0x2 +80000200: e0808093 addi ra,ra,-504 # 80002004 +80000204: 00209183 lh gp,2(ra) +80000208: 00000013 nop +8000020c: 00018313 mv t1,gp +80000210: fffffeb7 lui t4,0xfffff +80000214: 00fe8e93 addi t4,t4,15 # fffff00f <_end+0x7fffcfff> +80000218: 11d31863 bne t1,t4,80000328 +8000021c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000220: 00200293 li t0,2 +80000224: fc521ce3 bne tp,t0,800001fc + +80000228 : +80000228: 00e00e13 li t3,14 +8000022c: 00000213 li tp,0 +80000230: 00002097 auipc ra,0x2 +80000234: dd008093 addi ra,ra,-560 # 80002000 +80000238: 00209183 lh gp,2(ra) +8000023c: 00000013 nop +80000240: 00000013 nop +80000244: 00018313 mv t1,gp +80000248: f0000e93 li t4,-256 +8000024c: 0dd31e63 bne t1,t4,80000328 +80000250: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000254: 00200293 li t0,2 +80000258: fc521ce3 bne tp,t0,80000230 + +8000025c : +8000025c: 00f00e13 li t3,15 +80000260: 00000213 li tp,0 +80000264: 00002097 auipc ra,0x2 +80000268: d9e08093 addi ra,ra,-610 # 80002002 +8000026c: 00209183 lh gp,2(ra) +80000270: 00001eb7 lui t4,0x1 +80000274: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0x7ffff010> +80000278: 0bd19863 bne gp,t4,80000328 +8000027c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000280: 00200293 li t0,2 +80000284: fe5210e3 bne tp,t0,80000264 + +80000288 : +80000288: 01000e13 li t3,16 +8000028c: 00000213 li tp,0 +80000290: 00002097 auipc ra,0x2 +80000294: d7408093 addi ra,ra,-652 # 80002004 +80000298: 00000013 nop +8000029c: 00209183 lh gp,2(ra) +800002a0: fffffeb7 lui t4,0xfffff +800002a4: 00fe8e93 addi t4,t4,15 # fffff00f <_end+0x7fffcfff> +800002a8: 09d19063 bne gp,t4,80000328 +800002ac: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002b0: 00200293 li t0,2 +800002b4: fc521ee3 bne tp,t0,80000290 + +800002b8 : +800002b8: 01100e13 li t3,17 +800002bc: 00000213 li tp,0 +800002c0: 00002097 auipc ra,0x2 +800002c4: d4008093 addi ra,ra,-704 # 80002000 +800002c8: 00000013 nop +800002cc: 00000013 nop +800002d0: 00209183 lh gp,2(ra) +800002d4: f0000e93 li t4,-256 +800002d8: 05d19863 bne gp,t4,80000328 +800002dc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002e0: 00200293 li t0,2 +800002e4: fc521ee3 bne tp,t0,800002c0 + +800002e8 : +800002e8: 00002197 auipc gp,0x2 +800002ec: d1818193 addi gp,gp,-744 # 80002000 +800002f0: 00019103 lh sp,0(gp) +800002f4: 00200113 li sp,2 +800002f8: 00200e93 li t4,2 +800002fc: 01200e13 li t3,18 +80000300: 03d11463 bne sp,t4,80000328 + +80000304 : +80000304: 00002197 auipc gp,0x2 +80000308: cfc18193 addi gp,gp,-772 # 80002000 +8000030c: 00019103 lh sp,0(gp) +80000310: 00000013 nop +80000314: 00200113 li sp,2 +80000318: 00200e93 li t4,2 +8000031c: 01300e13 li t3,19 +80000320: 01d11463 bne sp,t4,80000328 +80000324: 01c01c63 bne zero,t3,8000033c + +80000328 : +80000328: 0ff0000f fence +8000032c: 000e0063 beqz t3,8000032c +80000330: 001e1e13 slli t3,t3,0x1 +80000334: 001e6e13 ori t3,t3,1 +80000338: 00000073 ecall + +8000033c : +8000033c: 0ff0000f fence +80000340: 00100e13 li t3,1 +80000344: 00000073 ecall +80000348: c0001073 unimp +8000034c: 0000 unimp +8000034e: 0000 unimp +80000350: 0000 unimp +80000352: 0000 unimp +80000354: 0000 unimp +80000356: 0000 unimp +80000358: 0000 unimp +8000035a: 0000 unimp +8000035c: 0000 unimp +8000035e: 0000 unimp +80000360: 0000 unimp +80000362: 0000 unimp +80000364: 0000 unimp +80000366: 0000 unimp +80000368: 0000 unimp +8000036a: 0000 unimp +8000036c: 0000 unimp +8000036e: 0000 unimp +80000370: 0000 unimp +80000372: 0000 unimp +80000374: 0000 unimp +80000376: 0000 unimp +80000378: 0000 unimp +8000037a: 0000 unimp +8000037c: 0000 unimp +8000037e: 0000 unimp +80000380: 0000 unimp +80000382: 0000 unimp + +Disassembly of section .data: + +80002000 : +80002000: 00ff 0xff + +80002002 : +80002002: ff00 fsw fs0,56(a4) + +80002004 : +80002004: 0ff0 addi a2,sp,988 + +80002006 : +80002006: 0000f00f 0xf00f +8000200a: 0000 unimp +8000200c: 0000 unimp +8000200e: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-lhu.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-lhu.dump new file mode 100644 index 0000000..ab37b90 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-lhu.dump @@ -0,0 +1,306 @@ + +rv32ui-p-lhu: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00002097 auipc ra,0x2 +800000c0: f4408093 addi ra,ra,-188 # 80002000 +800000c4: 0000d183 lhu gp,0(ra) +800000c8: 0ff00e93 li t4,255 +800000cc: 00200e13 li t3,2 +800000d0: 27d19663 bne gp,t4,8000033c + +800000d4 : +800000d4: 00002097 auipc ra,0x2 +800000d8: f2c08093 addi ra,ra,-212 # 80002000 +800000dc: 0020d183 lhu gp,2(ra) +800000e0: 00010eb7 lui t4,0x10 +800000e4: f00e8e93 addi t4,t4,-256 # ff00 <_start-0x7fff0100> +800000e8: 00300e13 li t3,3 +800000ec: 25d19863 bne gp,t4,8000033c + +800000f0 : +800000f0: 00002097 auipc ra,0x2 +800000f4: f1008093 addi ra,ra,-240 # 80002000 +800000f8: 0040d183 lhu gp,4(ra) +800000fc: 00001eb7 lui t4,0x1 +80000100: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0x7ffff010> +80000104: 00400e13 li t3,4 +80000108: 23d19a63 bne gp,t4,8000033c + +8000010c : +8000010c: 00002097 auipc ra,0x2 +80000110: ef408093 addi ra,ra,-268 # 80002000 +80000114: 0060d183 lhu gp,6(ra) +80000118: 0000feb7 lui t4,0xf +8000011c: 00fe8e93 addi t4,t4,15 # f00f <_start-0x7fff0ff1> +80000120: 00500e13 li t3,5 +80000124: 21d19c63 bne gp,t4,8000033c + +80000128 : +80000128: 00002097 auipc ra,0x2 +8000012c: ede08093 addi ra,ra,-290 # 80002006 +80000130: ffa0d183 lhu gp,-6(ra) +80000134: 0ff00e93 li t4,255 +80000138: 00600e13 li t3,6 +8000013c: 21d19063 bne gp,t4,8000033c + +80000140 : +80000140: 00002097 auipc ra,0x2 +80000144: ec608093 addi ra,ra,-314 # 80002006 +80000148: ffc0d183 lhu gp,-4(ra) +8000014c: 00010eb7 lui t4,0x10 +80000150: f00e8e93 addi t4,t4,-256 # ff00 <_start-0x7fff0100> +80000154: 00700e13 li t3,7 +80000158: 1fd19263 bne gp,t4,8000033c + +8000015c : +8000015c: 00002097 auipc ra,0x2 +80000160: eaa08093 addi ra,ra,-342 # 80002006 +80000164: ffe0d183 lhu gp,-2(ra) +80000168: 00001eb7 lui t4,0x1 +8000016c: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0x7ffff010> +80000170: 00800e13 li t3,8 +80000174: 1dd19463 bne gp,t4,8000033c + +80000178 : +80000178: 00002097 auipc ra,0x2 +8000017c: e8e08093 addi ra,ra,-370 # 80002006 +80000180: 0000d183 lhu gp,0(ra) +80000184: 0000feb7 lui t4,0xf +80000188: 00fe8e93 addi t4,t4,15 # f00f <_start-0x7fff0ff1> +8000018c: 00900e13 li t3,9 +80000190: 1bd19663 bne gp,t4,8000033c + +80000194 : +80000194: 00002097 auipc ra,0x2 +80000198: e6c08093 addi ra,ra,-404 # 80002000 +8000019c: fe008093 addi ra,ra,-32 +800001a0: 0200d183 lhu gp,32(ra) +800001a4: 0ff00e93 li t4,255 +800001a8: 00a00e13 li t3,10 +800001ac: 19d19863 bne gp,t4,8000033c + +800001b0 : +800001b0: 00002097 auipc ra,0x2 +800001b4: e5008093 addi ra,ra,-432 # 80002000 +800001b8: ffb08093 addi ra,ra,-5 +800001bc: 0070d183 lhu gp,7(ra) +800001c0: 00010eb7 lui t4,0x10 +800001c4: f00e8e93 addi t4,t4,-256 # ff00 <_start-0x7fff0100> +800001c8: 00b00e13 li t3,11 +800001cc: 17d19863 bne gp,t4,8000033c + +800001d0 : +800001d0: 00c00e13 li t3,12 +800001d4: 00000213 li tp,0 +800001d8: 00002097 auipc ra,0x2 +800001dc: e2a08093 addi ra,ra,-470 # 80002002 +800001e0: 0020d183 lhu gp,2(ra) +800001e4: 00018313 mv t1,gp +800001e8: 00001eb7 lui t4,0x1 +800001ec: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0x7ffff010> +800001f0: 15d31663 bne t1,t4,8000033c +800001f4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800001f8: 00200293 li t0,2 +800001fc: fc521ee3 bne tp,t0,800001d8 + +80000200 : +80000200: 00d00e13 li t3,13 +80000204: 00000213 li tp,0 +80000208: 00002097 auipc ra,0x2 +8000020c: dfc08093 addi ra,ra,-516 # 80002004 +80000210: 0020d183 lhu gp,2(ra) +80000214: 00000013 nop +80000218: 00018313 mv t1,gp +8000021c: 0000feb7 lui t4,0xf +80000220: 00fe8e93 addi t4,t4,15 # f00f <_start-0x7fff0ff1> +80000224: 11d31c63 bne t1,t4,8000033c +80000228: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000022c: 00200293 li t0,2 +80000230: fc521ce3 bne tp,t0,80000208 + +80000234 : +80000234: 00e00e13 li t3,14 +80000238: 00000213 li tp,0 +8000023c: 00002097 auipc ra,0x2 +80000240: dc408093 addi ra,ra,-572 # 80002000 +80000244: 0020d183 lhu gp,2(ra) +80000248: 00000013 nop +8000024c: 00000013 nop +80000250: 00018313 mv t1,gp +80000254: 00010eb7 lui t4,0x10 +80000258: f00e8e93 addi t4,t4,-256 # ff00 <_start-0x7fff0100> +8000025c: 0fd31063 bne t1,t4,8000033c +80000260: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000264: 00200293 li t0,2 +80000268: fc521ae3 bne tp,t0,8000023c + +8000026c : +8000026c: 00f00e13 li t3,15 +80000270: 00000213 li tp,0 +80000274: 00002097 auipc ra,0x2 +80000278: d8e08093 addi ra,ra,-626 # 80002002 +8000027c: 0020d183 lhu gp,2(ra) +80000280: 00001eb7 lui t4,0x1 +80000284: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0x7ffff010> +80000288: 0bd19a63 bne gp,t4,8000033c +8000028c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000290: 00200293 li t0,2 +80000294: fe5210e3 bne tp,t0,80000274 + +80000298 : +80000298: 01000e13 li t3,16 +8000029c: 00000213 li tp,0 +800002a0: 00002097 auipc ra,0x2 +800002a4: d6408093 addi ra,ra,-668 # 80002004 +800002a8: 00000013 nop +800002ac: 0020d183 lhu gp,2(ra) +800002b0: 0000feb7 lui t4,0xf +800002b4: 00fe8e93 addi t4,t4,15 # f00f <_start-0x7fff0ff1> +800002b8: 09d19263 bne gp,t4,8000033c +800002bc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002c0: 00200293 li t0,2 +800002c4: fc521ee3 bne tp,t0,800002a0 + +800002c8 : +800002c8: 01100e13 li t3,17 +800002cc: 00000213 li tp,0 +800002d0: 00002097 auipc ra,0x2 +800002d4: d3008093 addi ra,ra,-720 # 80002000 +800002d8: 00000013 nop +800002dc: 00000013 nop +800002e0: 0020d183 lhu gp,2(ra) +800002e4: 00010eb7 lui t4,0x10 +800002e8: f00e8e93 addi t4,t4,-256 # ff00 <_start-0x7fff0100> +800002ec: 05d19863 bne gp,t4,8000033c +800002f0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002f4: 00200293 li t0,2 +800002f8: fc521ce3 bne tp,t0,800002d0 + +800002fc : +800002fc: 00002197 auipc gp,0x2 +80000300: d0418193 addi gp,gp,-764 # 80002000 +80000304: 0001d103 lhu sp,0(gp) +80000308: 00200113 li sp,2 +8000030c: 00200e93 li t4,2 +80000310: 01200e13 li t3,18 +80000314: 03d11463 bne sp,t4,8000033c + +80000318 : +80000318: 00002197 auipc gp,0x2 +8000031c: ce818193 addi gp,gp,-792 # 80002000 +80000320: 0001d103 lhu sp,0(gp) +80000324: 00000013 nop +80000328: 00200113 li sp,2 +8000032c: 00200e93 li t4,2 +80000330: 01300e13 li t3,19 +80000334: 01d11463 bne sp,t4,8000033c +80000338: 01c01c63 bne zero,t3,80000350 + +8000033c : +8000033c: 0ff0000f fence +80000340: 000e0063 beqz t3,80000340 +80000344: 001e1e13 slli t3,t3,0x1 +80000348: 001e6e13 ori t3,t3,1 +8000034c: 00000073 ecall + +80000350 : +80000350: 0ff0000f fence +80000354: 00100e13 li t3,1 +80000358: 00000073 ecall +8000035c: c0001073 unimp +80000360: 0000 unimp +80000362: 0000 unimp +80000364: 0000 unimp +80000366: 0000 unimp +80000368: 0000 unimp +8000036a: 0000 unimp +8000036c: 0000 unimp +8000036e: 0000 unimp +80000370: 0000 unimp +80000372: 0000 unimp +80000374: 0000 unimp +80000376: 0000 unimp +80000378: 0000 unimp +8000037a: 0000 unimp +8000037c: 0000 unimp +8000037e: 0000 unimp +80000380: 0000 unimp +80000382: 0000 unimp + +Disassembly of section .data: + +80002000 : +80002000: 00ff 0xff + +80002002 : +80002002: ff00 fsw fs0,56(a4) + +80002004 : +80002004: 0ff0 addi a2,sp,988 + +80002006 : +80002006: 0000f00f 0xf00f +8000200a: 0000 unimp +8000200c: 0000 unimp +8000200e: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-lui.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-lui.dump new file mode 100644 index 0000000..b3cc71c --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-lui.dump @@ -0,0 +1,111 @@ + +rv32ui-p-lui: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 000000b7 lui ra,0x0 +800000c0: 00000e93 li t4,0 +800000c4: 00200e13 li t3,2 +800000c8: 05d09a63 bne ra,t4,8000011c + +800000cc : +800000cc: fffff0b7 lui ra,0xfffff +800000d0: 4010d093 srai ra,ra,0x1 +800000d4: 80000e93 li t4,-2048 +800000d8: 00300e13 li t3,3 +800000dc: 05d09063 bne ra,t4,8000011c + +800000e0 : +800000e0: 7ffff0b7 lui ra,0x7ffff +800000e4: 4140d093 srai ra,ra,0x14 +800000e8: 7ff00e93 li t4,2047 +800000ec: 00400e13 li t3,4 +800000f0: 03d09663 bne ra,t4,8000011c + +800000f4 : +800000f4: 800000b7 lui ra,0x80000 +800000f8: 4140d093 srai ra,ra,0x14 +800000fc: 80000e93 li t4,-2048 +80000100: 00500e13 li t3,5 +80000104: 01d09c63 bne ra,t4,8000011c + +80000108 : +80000108: 80000037 lui zero,0x80000 +8000010c: 00000e93 li t4,0 +80000110: 00600e13 li t3,6 +80000114: 01d01463 bne zero,t4,8000011c +80000118: 01c01c63 bne zero,t3,80000130 + +8000011c : +8000011c: 0ff0000f fence +80000120: 000e0063 beqz t3,80000120 +80000124: 001e1e13 slli t3,t3,0x1 +80000128: 001e6e13 ori t3,t3,1 +8000012c: 00000073 ecall + +80000130 : +80000130: 0ff0000f fence +80000134: 00100e13 li t3,1 +80000138: 00000073 ecall +8000013c: c0001073 unimp +80000140: 0000 unimp +80000142: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-lw.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-lw.dump new file mode 100644 index 0000000..cfe6022 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-lw.dump @@ -0,0 +1,303 @@ + +rv32ui-p-lw: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00002097 auipc ra,0x2 +800000c0: f4408093 addi ra,ra,-188 # 80002000 +800000c4: 0000a183 lw gp,0(ra) +800000c8: 00ff0eb7 lui t4,0xff0 +800000cc: 0ffe8e93 addi t4,t4,255 # ff00ff <_start-0x7f00ff01> +800000d0: 00200e13 li t3,2 +800000d4: 27d19a63 bne gp,t4,80000348 + +800000d8 : +800000d8: 00002097 auipc ra,0x2 +800000dc: f2808093 addi ra,ra,-216 # 80002000 +800000e0: 0040a183 lw gp,4(ra) +800000e4: ff010eb7 lui t4,0xff010 +800000e8: f00e8e93 addi t4,t4,-256 # ff00ff00 <_end+0x7f00def0> +800000ec: 00300e13 li t3,3 +800000f0: 25d19c63 bne gp,t4,80000348 + +800000f4 : +800000f4: 00002097 auipc ra,0x2 +800000f8: f0c08093 addi ra,ra,-244 # 80002000 +800000fc: 0080a183 lw gp,8(ra) +80000100: 0ff01eb7 lui t4,0xff01 +80000104: ff0e8e93 addi t4,t4,-16 # ff00ff0 <_start-0x700ff010> +80000108: 00400e13 li t3,4 +8000010c: 23d19e63 bne gp,t4,80000348 + +80000110 : +80000110: 00002097 auipc ra,0x2 +80000114: ef008093 addi ra,ra,-272 # 80002000 +80000118: 00c0a183 lw gp,12(ra) +8000011c: f00ffeb7 lui t4,0xf00ff +80000120: 00fe8e93 addi t4,t4,15 # f00ff00f <_end+0x700fcfff> +80000124: 00500e13 li t3,5 +80000128: 23d19063 bne gp,t4,80000348 + +8000012c : +8000012c: 00002097 auipc ra,0x2 +80000130: ee008093 addi ra,ra,-288 # 8000200c +80000134: ff40a183 lw gp,-12(ra) +80000138: 00ff0eb7 lui t4,0xff0 +8000013c: 0ffe8e93 addi t4,t4,255 # ff00ff <_start-0x7f00ff01> +80000140: 00600e13 li t3,6 +80000144: 21d19263 bne gp,t4,80000348 + +80000148 : +80000148: 00002097 auipc ra,0x2 +8000014c: ec408093 addi ra,ra,-316 # 8000200c +80000150: ff80a183 lw gp,-8(ra) +80000154: ff010eb7 lui t4,0xff010 +80000158: f00e8e93 addi t4,t4,-256 # ff00ff00 <_end+0x7f00def0> +8000015c: 00700e13 li t3,7 +80000160: 1fd19463 bne gp,t4,80000348 + +80000164 : +80000164: 00002097 auipc ra,0x2 +80000168: ea808093 addi ra,ra,-344 # 8000200c +8000016c: ffc0a183 lw gp,-4(ra) +80000170: 0ff01eb7 lui t4,0xff01 +80000174: ff0e8e93 addi t4,t4,-16 # ff00ff0 <_start-0x700ff010> +80000178: 00800e13 li t3,8 +8000017c: 1dd19663 bne gp,t4,80000348 + +80000180 : +80000180: 00002097 auipc ra,0x2 +80000184: e8c08093 addi ra,ra,-372 # 8000200c +80000188: 0000a183 lw gp,0(ra) +8000018c: f00ffeb7 lui t4,0xf00ff +80000190: 00fe8e93 addi t4,t4,15 # f00ff00f <_end+0x700fcfff> +80000194: 00900e13 li t3,9 +80000198: 1bd19863 bne gp,t4,80000348 + +8000019c : +8000019c: 00002097 auipc ra,0x2 +800001a0: e6408093 addi ra,ra,-412 # 80002000 +800001a4: fe008093 addi ra,ra,-32 +800001a8: 0200a183 lw gp,32(ra) +800001ac: 00ff0eb7 lui t4,0xff0 +800001b0: 0ffe8e93 addi t4,t4,255 # ff00ff <_start-0x7f00ff01> +800001b4: 00a00e13 li t3,10 +800001b8: 19d19863 bne gp,t4,80000348 + +800001bc : +800001bc: 00002097 auipc ra,0x2 +800001c0: e4408093 addi ra,ra,-444 # 80002000 +800001c4: ffd08093 addi ra,ra,-3 +800001c8: 0070a183 lw gp,7(ra) +800001cc: ff010eb7 lui t4,0xff010 +800001d0: f00e8e93 addi t4,t4,-256 # ff00ff00 <_end+0x7f00def0> +800001d4: 00b00e13 li t3,11 +800001d8: 17d19863 bne gp,t4,80000348 + +800001dc : +800001dc: 00c00e13 li t3,12 +800001e0: 00000213 li tp,0 +800001e4: 00002097 auipc ra,0x2 +800001e8: e2008093 addi ra,ra,-480 # 80002004 +800001ec: 0040a183 lw gp,4(ra) +800001f0: 00018313 mv t1,gp +800001f4: 0ff01eb7 lui t4,0xff01 +800001f8: ff0e8e93 addi t4,t4,-16 # ff00ff0 <_start-0x700ff010> +800001fc: 15d31663 bne t1,t4,80000348 +80000200: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000204: 00200293 li t0,2 +80000208: fc521ee3 bne tp,t0,800001e4 + +8000020c : +8000020c: 00d00e13 li t3,13 +80000210: 00000213 li tp,0 +80000214: 00002097 auipc ra,0x2 +80000218: df408093 addi ra,ra,-524 # 80002008 +8000021c: 0040a183 lw gp,4(ra) +80000220: 00000013 nop +80000224: 00018313 mv t1,gp +80000228: f00ffeb7 lui t4,0xf00ff +8000022c: 00fe8e93 addi t4,t4,15 # f00ff00f <_end+0x700fcfff> +80000230: 11d31c63 bne t1,t4,80000348 +80000234: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000238: 00200293 li t0,2 +8000023c: fc521ce3 bne tp,t0,80000214 + +80000240 : +80000240: 00e00e13 li t3,14 +80000244: 00000213 li tp,0 +80000248: 00002097 auipc ra,0x2 +8000024c: db808093 addi ra,ra,-584 # 80002000 +80000250: 0040a183 lw gp,4(ra) +80000254: 00000013 nop +80000258: 00000013 nop +8000025c: 00018313 mv t1,gp +80000260: ff010eb7 lui t4,0xff010 +80000264: f00e8e93 addi t4,t4,-256 # ff00ff00 <_end+0x7f00def0> +80000268: 0fd31063 bne t1,t4,80000348 +8000026c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000270: 00200293 li t0,2 +80000274: fc521ae3 bne tp,t0,80000248 + +80000278 : +80000278: 00f00e13 li t3,15 +8000027c: 00000213 li tp,0 +80000280: 00002097 auipc ra,0x2 +80000284: d8408093 addi ra,ra,-636 # 80002004 +80000288: 0040a183 lw gp,4(ra) +8000028c: 0ff01eb7 lui t4,0xff01 +80000290: ff0e8e93 addi t4,t4,-16 # ff00ff0 <_start-0x700ff010> +80000294: 0bd19a63 bne gp,t4,80000348 +80000298: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000029c: 00200293 li t0,2 +800002a0: fe5210e3 bne tp,t0,80000280 + +800002a4 : +800002a4: 01000e13 li t3,16 +800002a8: 00000213 li tp,0 +800002ac: 00002097 auipc ra,0x2 +800002b0: d5c08093 addi ra,ra,-676 # 80002008 +800002b4: 00000013 nop +800002b8: 0040a183 lw gp,4(ra) +800002bc: f00ffeb7 lui t4,0xf00ff +800002c0: 00fe8e93 addi t4,t4,15 # f00ff00f <_end+0x700fcfff> +800002c4: 09d19263 bne gp,t4,80000348 +800002c8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002cc: 00200293 li t0,2 +800002d0: fc521ee3 bne tp,t0,800002ac + +800002d4 : +800002d4: 01100e13 li t3,17 +800002d8: 00000213 li tp,0 +800002dc: 00002097 auipc ra,0x2 +800002e0: d2408093 addi ra,ra,-732 # 80002000 +800002e4: 00000013 nop +800002e8: 00000013 nop +800002ec: 0040a183 lw gp,4(ra) +800002f0: ff010eb7 lui t4,0xff010 +800002f4: f00e8e93 addi t4,t4,-256 # ff00ff00 <_end+0x7f00def0> +800002f8: 05d19863 bne gp,t4,80000348 +800002fc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000300: 00200293 li t0,2 +80000304: fc521ce3 bne tp,t0,800002dc + +80000308 : +80000308: 00002197 auipc gp,0x2 +8000030c: cf818193 addi gp,gp,-776 # 80002000 +80000310: 0001a103 lw sp,0(gp) +80000314: 00200113 li sp,2 +80000318: 00200e93 li t4,2 +8000031c: 01200e13 li t3,18 +80000320: 03d11463 bne sp,t4,80000348 + +80000324 : +80000324: 00002197 auipc gp,0x2 +80000328: cdc18193 addi gp,gp,-804 # 80002000 +8000032c: 0001a103 lw sp,0(gp) +80000330: 00000013 nop +80000334: 00200113 li sp,2 +80000338: 00200e93 li t4,2 +8000033c: 01300e13 li t3,19 +80000340: 01d11463 bne sp,t4,80000348 +80000344: 01c01c63 bne zero,t3,8000035c + +80000348 : +80000348: 0ff0000f fence +8000034c: 000e0063 beqz t3,8000034c +80000350: 001e1e13 slli t3,t3,0x1 +80000354: 001e6e13 ori t3,t3,1 +80000358: 00000073 ecall + +8000035c : +8000035c: 0ff0000f fence +80000360: 00100e13 li t3,1 +80000364: 00000073 ecall +80000368: c0001073 unimp +8000036c: 0000 unimp +8000036e: 0000 unimp +80000370: 0000 unimp +80000372: 0000 unimp +80000374: 0000 unimp +80000376: 0000 unimp +80000378: 0000 unimp +8000037a: 0000 unimp +8000037c: 0000 unimp +8000037e: 0000 unimp +80000380: 0000 unimp +80000382: 0000 unimp + +Disassembly of section .data: + +80002000 : +80002000: 00ff 0xff +80002002: 00ff 0xff + +80002004 : +80002004: ff00 fsw fs0,56(a4) +80002006: ff00 fsw fs0,56(a4) + +80002008 : +80002008: 0ff0 addi a2,sp,988 +8000200a: 0ff0 addi a2,sp,988 + +8000200c : +8000200c: f00ff00f 0xf00ff00f diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-or.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-or.dump new file mode 100644 index 0000000..39065c7 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-or.dump @@ -0,0 +1,448 @@ + +rv32ui-p-or: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: ff0100b7 lui ra,0xff010 +800000c0: f0008093 addi ra,ra,-256 # ff00ff00 +800000c4: 0f0f1137 lui sp,0xf0f1 +800000c8: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +800000cc: 0020e1b3 or gp,ra,sp +800000d0: ff100eb7 lui t4,0xff100 +800000d4: f0fe8e93 addi t4,t4,-241 # ff0fff0f +800000d8: 00200e13 li t3,2 +800000dc: 4bd19263 bne gp,t4,80000580 + +800000e0 : +800000e0: 0ff010b7 lui ra,0xff01 +800000e4: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +800000e8: f0f0f137 lui sp,0xf0f0f +800000ec: 0f010113 addi sp,sp,240 # f0f0f0f0 +800000f0: 0020e1b3 or gp,ra,sp +800000f4: fff10eb7 lui t4,0xfff10 +800000f8: ff0e8e93 addi t4,t4,-16 # fff0fff0 +800000fc: 00300e13 li t3,3 +80000100: 49d19063 bne gp,t4,80000580 + +80000104 : +80000104: 00ff00b7 lui ra,0xff0 +80000108: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +8000010c: 0f0f1137 lui sp,0xf0f1 +80000110: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +80000114: 0020e1b3 or gp,ra,sp +80000118: 0fff1eb7 lui t4,0xfff1 +8000011c: fffe8e93 addi t4,t4,-1 # fff0fff <_start-0x7000f001> +80000120: 00400e13 li t3,4 +80000124: 45d19e63 bne gp,t4,80000580 + +80000128 : +80000128: f00ff0b7 lui ra,0xf00ff +8000012c: 00f08093 addi ra,ra,15 # f00ff00f +80000130: f0f0f137 lui sp,0xf0f0f +80000134: 0f010113 addi sp,sp,240 # f0f0f0f0 +80000138: 0020e1b3 or gp,ra,sp +8000013c: f0fffeb7 lui t4,0xf0fff +80000140: 0ffe8e93 addi t4,t4,255 # f0fff0ff +80000144: 00500e13 li t3,5 +80000148: 43d19c63 bne gp,t4,80000580 + +8000014c : +8000014c: ff0100b7 lui ra,0xff010 +80000150: f0008093 addi ra,ra,-256 # ff00ff00 +80000154: 0f0f1137 lui sp,0xf0f1 +80000158: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +8000015c: 0020e0b3 or ra,ra,sp +80000160: ff100eb7 lui t4,0xff100 +80000164: f0fe8e93 addi t4,t4,-241 # ff0fff0f +80000168: 00600e13 li t3,6 +8000016c: 41d09a63 bne ra,t4,80000580 + +80000170 : +80000170: ff0100b7 lui ra,0xff010 +80000174: f0008093 addi ra,ra,-256 # ff00ff00 +80000178: 0f0f1137 lui sp,0xf0f1 +8000017c: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +80000180: 0020e133 or sp,ra,sp +80000184: ff100eb7 lui t4,0xff100 +80000188: f0fe8e93 addi t4,t4,-241 # ff0fff0f +8000018c: 00700e13 li t3,7 +80000190: 3fd11863 bne sp,t4,80000580 + +80000194 : +80000194: ff0100b7 lui ra,0xff010 +80000198: f0008093 addi ra,ra,-256 # ff00ff00 +8000019c: 0010e0b3 or ra,ra,ra +800001a0: ff010eb7 lui t4,0xff010 +800001a4: f00e8e93 addi t4,t4,-256 # ff00ff00 +800001a8: 00800e13 li t3,8 +800001ac: 3dd09a63 bne ra,t4,80000580 + +800001b0 : +800001b0: 00000213 li tp,0 +800001b4: ff0100b7 lui ra,0xff010 +800001b8: f0008093 addi ra,ra,-256 # ff00ff00 +800001bc: 0f0f1137 lui sp,0xf0f1 +800001c0: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +800001c4: 0020e1b3 or gp,ra,sp +800001c8: 00018313 mv t1,gp +800001cc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800001d0: 00200293 li t0,2 +800001d4: fe5210e3 bne tp,t0,800001b4 +800001d8: ff100eb7 lui t4,0xff100 +800001dc: f0fe8e93 addi t4,t4,-241 # ff0fff0f +800001e0: 00900e13 li t3,9 +800001e4: 39d31e63 bne t1,t4,80000580 + +800001e8 : +800001e8: 00000213 li tp,0 +800001ec: 0ff010b7 lui ra,0xff01 +800001f0: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +800001f4: f0f0f137 lui sp,0xf0f0f +800001f8: 0f010113 addi sp,sp,240 # f0f0f0f0 +800001fc: 0020e1b3 or gp,ra,sp +80000200: 00000013 nop +80000204: 00018313 mv t1,gp +80000208: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000020c: 00200293 li t0,2 +80000210: fc521ee3 bne tp,t0,800001ec +80000214: fff10eb7 lui t4,0xfff10 +80000218: ff0e8e93 addi t4,t4,-16 # fff0fff0 +8000021c: 00a00e13 li t3,10 +80000220: 37d31063 bne t1,t4,80000580 + +80000224 : +80000224: 00000213 li tp,0 +80000228: 00ff00b7 lui ra,0xff0 +8000022c: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +80000230: 0f0f1137 lui sp,0xf0f1 +80000234: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +80000238: 0020e1b3 or gp,ra,sp +8000023c: 00000013 nop +80000240: 00000013 nop +80000244: 00018313 mv t1,gp +80000248: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000024c: 00200293 li t0,2 +80000250: fc521ce3 bne tp,t0,80000228 +80000254: 0fff1eb7 lui t4,0xfff1 +80000258: fffe8e93 addi t4,t4,-1 # fff0fff <_start-0x7000f001> +8000025c: 00b00e13 li t3,11 +80000260: 33d31063 bne t1,t4,80000580 + +80000264 : +80000264: 00000213 li tp,0 +80000268: ff0100b7 lui ra,0xff010 +8000026c: f0008093 addi ra,ra,-256 # ff00ff00 +80000270: 0f0f1137 lui sp,0xf0f1 +80000274: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +80000278: 0020e1b3 or gp,ra,sp +8000027c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000280: 00200293 li t0,2 +80000284: fe5212e3 bne tp,t0,80000268 +80000288: ff100eb7 lui t4,0xff100 +8000028c: f0fe8e93 addi t4,t4,-241 # ff0fff0f +80000290: 00c00e13 li t3,12 +80000294: 2fd19663 bne gp,t4,80000580 + +80000298 : +80000298: 00000213 li tp,0 +8000029c: 0ff010b7 lui ra,0xff01 +800002a0: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +800002a4: f0f0f137 lui sp,0xf0f0f +800002a8: 0f010113 addi sp,sp,240 # f0f0f0f0 +800002ac: 00000013 nop +800002b0: 0020e1b3 or gp,ra,sp +800002b4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002b8: 00200293 li t0,2 +800002bc: fe5210e3 bne tp,t0,8000029c +800002c0: fff10eb7 lui t4,0xfff10 +800002c4: ff0e8e93 addi t4,t4,-16 # fff0fff0 +800002c8: 00d00e13 li t3,13 +800002cc: 2bd19a63 bne gp,t4,80000580 + +800002d0 : +800002d0: 00000213 li tp,0 +800002d4: 00ff00b7 lui ra,0xff0 +800002d8: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +800002dc: 0f0f1137 lui sp,0xf0f1 +800002e0: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +800002e4: 00000013 nop +800002e8: 00000013 nop +800002ec: 0020e1b3 or gp,ra,sp +800002f0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002f4: 00200293 li t0,2 +800002f8: fc521ee3 bne tp,t0,800002d4 +800002fc: 0fff1eb7 lui t4,0xfff1 +80000300: fffe8e93 addi t4,t4,-1 # fff0fff <_start-0x7000f001> +80000304: 00e00e13 li t3,14 +80000308: 27d19c63 bne gp,t4,80000580 + +8000030c : +8000030c: 00000213 li tp,0 +80000310: ff0100b7 lui ra,0xff010 +80000314: f0008093 addi ra,ra,-256 # ff00ff00 +80000318: 00000013 nop +8000031c: 0f0f1137 lui sp,0xf0f1 +80000320: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +80000324: 0020e1b3 or gp,ra,sp +80000328: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000032c: 00200293 li t0,2 +80000330: fe5210e3 bne tp,t0,80000310 +80000334: ff100eb7 lui t4,0xff100 +80000338: f0fe8e93 addi t4,t4,-241 # ff0fff0f +8000033c: 00f00e13 li t3,15 +80000340: 25d19063 bne gp,t4,80000580 + +80000344 : +80000344: 00000213 li tp,0 +80000348: 0ff010b7 lui ra,0xff01 +8000034c: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +80000350: 00000013 nop +80000354: f0f0f137 lui sp,0xf0f0f +80000358: 0f010113 addi sp,sp,240 # f0f0f0f0 +8000035c: 00000013 nop +80000360: 0020e1b3 or gp,ra,sp +80000364: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000368: 00200293 li t0,2 +8000036c: fc521ee3 bne tp,t0,80000348 +80000370: fff10eb7 lui t4,0xfff10 +80000374: ff0e8e93 addi t4,t4,-16 # fff0fff0 +80000378: 01000e13 li t3,16 +8000037c: 21d19263 bne gp,t4,80000580 + +80000380 : +80000380: 00000213 li tp,0 +80000384: 00ff00b7 lui ra,0xff0 +80000388: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +8000038c: 00000013 nop +80000390: 00000013 nop +80000394: 0f0f1137 lui sp,0xf0f1 +80000398: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +8000039c: 0020e1b3 or gp,ra,sp +800003a0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003a4: 00200293 li t0,2 +800003a8: fc521ee3 bne tp,t0,80000384 +800003ac: 0fff1eb7 lui t4,0xfff1 +800003b0: fffe8e93 addi t4,t4,-1 # fff0fff <_start-0x7000f001> +800003b4: 01100e13 li t3,17 +800003b8: 1dd19463 bne gp,t4,80000580 + +800003bc : +800003bc: 00000213 li tp,0 +800003c0: 0f0f1137 lui sp,0xf0f1 +800003c4: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +800003c8: ff0100b7 lui ra,0xff010 +800003cc: f0008093 addi ra,ra,-256 # ff00ff00 +800003d0: 0020e1b3 or gp,ra,sp +800003d4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003d8: 00200293 li t0,2 +800003dc: fe5212e3 bne tp,t0,800003c0 +800003e0: ff100eb7 lui t4,0xff100 +800003e4: f0fe8e93 addi t4,t4,-241 # ff0fff0f +800003e8: 01200e13 li t3,18 +800003ec: 19d19a63 bne gp,t4,80000580 + +800003f0 : +800003f0: 00000213 li tp,0 +800003f4: f0f0f137 lui sp,0xf0f0f +800003f8: 0f010113 addi sp,sp,240 # f0f0f0f0 +800003fc: 0ff010b7 lui ra,0xff01 +80000400: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +80000404: 00000013 nop +80000408: 0020e1b3 or gp,ra,sp +8000040c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000410: 00200293 li t0,2 +80000414: fe5210e3 bne tp,t0,800003f4 +80000418: fff10eb7 lui t4,0xfff10 +8000041c: ff0e8e93 addi t4,t4,-16 # fff0fff0 +80000420: 01300e13 li t3,19 +80000424: 15d19e63 bne gp,t4,80000580 + +80000428 : +80000428: 00000213 li tp,0 +8000042c: 0f0f1137 lui sp,0xf0f1 +80000430: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +80000434: 00ff00b7 lui ra,0xff0 +80000438: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +8000043c: 00000013 nop +80000440: 00000013 nop +80000444: 0020e1b3 or gp,ra,sp +80000448: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000044c: 00200293 li t0,2 +80000450: fc521ee3 bne tp,t0,8000042c +80000454: 0fff1eb7 lui t4,0xfff1 +80000458: fffe8e93 addi t4,t4,-1 # fff0fff <_start-0x7000f001> +8000045c: 01400e13 li t3,20 +80000460: 13d19063 bne gp,t4,80000580 + +80000464 : +80000464: 00000213 li tp,0 +80000468: 0f0f1137 lui sp,0xf0f1 +8000046c: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +80000470: 00000013 nop +80000474: ff0100b7 lui ra,0xff010 +80000478: f0008093 addi ra,ra,-256 # ff00ff00 +8000047c: 0020e1b3 or gp,ra,sp +80000480: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000484: 00200293 li t0,2 +80000488: fe5210e3 bne tp,t0,80000468 +8000048c: ff100eb7 lui t4,0xff100 +80000490: f0fe8e93 addi t4,t4,-241 # ff0fff0f +80000494: 01500e13 li t3,21 +80000498: 0fd19463 bne gp,t4,80000580 + +8000049c : +8000049c: 00000213 li tp,0 +800004a0: f0f0f137 lui sp,0xf0f0f +800004a4: 0f010113 addi sp,sp,240 # f0f0f0f0 +800004a8: 00000013 nop +800004ac: 0ff010b7 lui ra,0xff01 +800004b0: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +800004b4: 00000013 nop +800004b8: 0020e1b3 or gp,ra,sp +800004bc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004c0: 00200293 li t0,2 +800004c4: fc521ee3 bne tp,t0,800004a0 +800004c8: fff10eb7 lui t4,0xfff10 +800004cc: ff0e8e93 addi t4,t4,-16 # fff0fff0 +800004d0: 01600e13 li t3,22 +800004d4: 0bd19663 bne gp,t4,80000580 + +800004d8 : +800004d8: 00000213 li tp,0 +800004dc: 0f0f1137 lui sp,0xf0f1 +800004e0: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +800004e4: 00000013 nop +800004e8: 00000013 nop +800004ec: 00ff00b7 lui ra,0xff0 +800004f0: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +800004f4: 0020e1b3 or gp,ra,sp +800004f8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004fc: 00200293 li t0,2 +80000500: fc521ee3 bne tp,t0,800004dc +80000504: 0fff1eb7 lui t4,0xfff1 +80000508: fffe8e93 addi t4,t4,-1 # fff0fff <_start-0x7000f001> +8000050c: 01700e13 li t3,23 +80000510: 07d19863 bne gp,t4,80000580 + +80000514 : +80000514: ff0100b7 lui ra,0xff010 +80000518: f0008093 addi ra,ra,-256 # ff00ff00 +8000051c: 00106133 or sp,zero,ra +80000520: ff010eb7 lui t4,0xff010 +80000524: f00e8e93 addi t4,t4,-256 # ff00ff00 +80000528: 01800e13 li t3,24 +8000052c: 05d11a63 bne sp,t4,80000580 + +80000530 : +80000530: 00ff00b7 lui ra,0xff0 +80000534: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +80000538: 0000e133 or sp,ra,zero +8000053c: 00ff0eb7 lui t4,0xff0 +80000540: 0ffe8e93 addi t4,t4,255 # ff00ff <_start-0x7f00ff01> +80000544: 01900e13 li t3,25 +80000548: 03d11c63 bne sp,t4,80000580 + +8000054c : +8000054c: 000060b3 or ra,zero,zero +80000550: 00000e93 li t4,0 +80000554: 01a00e13 li t3,26 +80000558: 03d09463 bne ra,t4,80000580 + +8000055c : +8000055c: 111110b7 lui ra,0x11111 +80000560: 11108093 addi ra,ra,273 # 11111111 <_start-0x6eeeeeef> +80000564: 22222137 lui sp,0x22222 +80000568: 22210113 addi sp,sp,546 # 22222222 <_start-0x5dddddde> +8000056c: 0020e033 or zero,ra,sp +80000570: 00000e93 li t4,0 +80000574: 01b00e13 li t3,27 +80000578: 01d01463 bne zero,t4,80000580 +8000057c: 01c01c63 bne zero,t3,80000594 + +80000580 : +80000580: 0ff0000f fence +80000584: 000e0063 beqz t3,80000584 +80000588: 001e1e13 slli t3,t3,0x1 +8000058c: 001e6e13 ori t3,t3,1 +80000590: 00000073 ecall + +80000594 : +80000594: 0ff0000f fence +80000598: 00100e13 li t3,1 +8000059c: 00000073 ecall +800005a0: c0001073 unimp +800005a4: 0000 unimp +800005a6: 0000 unimp +800005a8: 0000 unimp +800005aa: 0000 unimp +800005ac: 0000 unimp +800005ae: 0000 unimp +800005b0: 0000 unimp +800005b2: 0000 unimp +800005b4: 0000 unimp +800005b6: 0000 unimp +800005b8: 0000 unimp +800005ba: 0000 unimp +800005bc: 0000 unimp +800005be: 0000 unimp +800005c0: 0000 unimp +800005c2: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-ori.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-ori.dump new file mode 100644 index 0000000..72b375d --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-ori.dump @@ -0,0 +1,224 @@ + +rv32ui-p-ori: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: ff0100b7 lui ra,0xff010 +800000c0: f0008093 addi ra,ra,-256 # ff00ff00 +800000c4: f0f0e193 ori gp,ra,-241 +800000c8: f0f00e93 li t4,-241 +800000cc: 00200e13 li t3,2 +800000d0: 1dd19463 bne gp,t4,80000298 + +800000d4 : +800000d4: 0ff010b7 lui ra,0xff01 +800000d8: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +800000dc: 0f00e193 ori gp,ra,240 +800000e0: 0ff01eb7 lui t4,0xff01 +800000e4: ff0e8e93 addi t4,t4,-16 # ff00ff0 <_start-0x700ff010> +800000e8: 00300e13 li t3,3 +800000ec: 1bd19663 bne gp,t4,80000298 + +800000f0 : +800000f0: 00ff00b7 lui ra,0xff0 +800000f4: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +800000f8: 70f0e193 ori gp,ra,1807 +800000fc: 00ff0eb7 lui t4,0xff0 +80000100: 7ffe8e93 addi t4,t4,2047 # ff07ff <_start-0x7f00f801> +80000104: 00400e13 li t3,4 +80000108: 19d19863 bne gp,t4,80000298 + +8000010c : +8000010c: f00ff0b7 lui ra,0xf00ff +80000110: 00f08093 addi ra,ra,15 # f00ff00f +80000114: 0f00e193 ori gp,ra,240 +80000118: f00ffeb7 lui t4,0xf00ff +8000011c: 0ffe8e93 addi t4,t4,255 # f00ff0ff +80000120: 00500e13 li t3,5 +80000124: 17d19a63 bne gp,t4,80000298 + +80000128 : +80000128: ff0100b7 lui ra,0xff010 +8000012c: f0008093 addi ra,ra,-256 # ff00ff00 +80000130: 0f00e093 ori ra,ra,240 +80000134: ff010eb7 lui t4,0xff010 +80000138: ff0e8e93 addi t4,t4,-16 # ff00fff0 +8000013c: 00600e13 li t3,6 +80000140: 15d09c63 bne ra,t4,80000298 + +80000144 : +80000144: 00000213 li tp,0 +80000148: 0ff010b7 lui ra,0xff01 +8000014c: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +80000150: 0f00e193 ori gp,ra,240 +80000154: 00018313 mv t1,gp +80000158: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000015c: 00200293 li t0,2 +80000160: fe5214e3 bne tp,t0,80000148 +80000164: 0ff01eb7 lui t4,0xff01 +80000168: ff0e8e93 addi t4,t4,-16 # ff00ff0 <_start-0x700ff010> +8000016c: 00700e13 li t3,7 +80000170: 13d31463 bne t1,t4,80000298 + +80000174 : +80000174: 00000213 li tp,0 +80000178: 00ff00b7 lui ra,0xff0 +8000017c: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +80000180: 70f0e193 ori gp,ra,1807 +80000184: 00000013 nop +80000188: 00018313 mv t1,gp +8000018c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000190: 00200293 li t0,2 +80000194: fe5212e3 bne tp,t0,80000178 +80000198: 00ff0eb7 lui t4,0xff0 +8000019c: 7ffe8e93 addi t4,t4,2047 # ff07ff <_start-0x7f00f801> +800001a0: 00800e13 li t3,8 +800001a4: 0fd31a63 bne t1,t4,80000298 + +800001a8 : +800001a8: 00000213 li tp,0 +800001ac: f00ff0b7 lui ra,0xf00ff +800001b0: 00f08093 addi ra,ra,15 # f00ff00f +800001b4: 0f00e193 ori gp,ra,240 +800001b8: 00000013 nop +800001bc: 00000013 nop +800001c0: 00018313 mv t1,gp +800001c4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800001c8: 00200293 li t0,2 +800001cc: fe5210e3 bne tp,t0,800001ac +800001d0: f00ffeb7 lui t4,0xf00ff +800001d4: 0ffe8e93 addi t4,t4,255 # f00ff0ff +800001d8: 00900e13 li t3,9 +800001dc: 0bd31e63 bne t1,t4,80000298 + +800001e0 : +800001e0: 00000213 li tp,0 +800001e4: 0ff010b7 lui ra,0xff01 +800001e8: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +800001ec: 0f00e193 ori gp,ra,240 +800001f0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800001f4: 00200293 li t0,2 +800001f8: fe5216e3 bne tp,t0,800001e4 +800001fc: 0ff01eb7 lui t4,0xff01 +80000200: ff0e8e93 addi t4,t4,-16 # ff00ff0 <_start-0x700ff010> +80000204: 00a00e13 li t3,10 +80000208: 09d19863 bne gp,t4,80000298 + +8000020c : +8000020c: 00000213 li tp,0 +80000210: 00ff00b7 lui ra,0xff0 +80000214: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +80000218: 00000013 nop +8000021c: f0f0e193 ori gp,ra,-241 +80000220: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000224: 00200293 li t0,2 +80000228: fe5214e3 bne tp,t0,80000210 +8000022c: fff00e93 li t4,-1 +80000230: 00b00e13 li t3,11 +80000234: 07d19263 bne gp,t4,80000298 + +80000238 : +80000238: 00000213 li tp,0 +8000023c: f00ff0b7 lui ra,0xf00ff +80000240: 00f08093 addi ra,ra,15 # f00ff00f +80000244: 00000013 nop +80000248: 00000013 nop +8000024c: 0f00e193 ori gp,ra,240 +80000250: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000254: 00200293 li t0,2 +80000258: fe5212e3 bne tp,t0,8000023c +8000025c: f00ffeb7 lui t4,0xf00ff +80000260: 0ffe8e93 addi t4,t4,255 # f00ff0ff +80000264: 00c00e13 li t3,12 +80000268: 03d19863 bne gp,t4,80000298 + +8000026c : +8000026c: 0f006093 ori ra,zero,240 +80000270: 0f000e93 li t4,240 +80000274: 00d00e13 li t3,13 +80000278: 03d09063 bne ra,t4,80000298 + +8000027c : +8000027c: 00ff00b7 lui ra,0xff0 +80000280: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +80000284: 70f0e013 ori zero,ra,1807 +80000288: 00000e93 li t4,0 +8000028c: 00e00e13 li t3,14 +80000290: 01d01463 bne zero,t4,80000298 +80000294: 01c01c63 bne zero,t3,800002ac + +80000298 : +80000298: 0ff0000f fence +8000029c: 000e0063 beqz t3,8000029c +800002a0: 001e1e13 slli t3,t3,0x1 +800002a4: 001e6e13 ori t3,t3,1 +800002a8: 00000073 ecall + +800002ac : +800002ac: 0ff0000f fence +800002b0: 00100e13 li t3,1 +800002b4: 00000073 ecall +800002b8: c0001073 unimp +800002bc: 0000 unimp +800002be: 0000 unimp +800002c0: 0000 unimp +800002c2: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-sb.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-sb.dump new file mode 100644 index 0000000..4497f32 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-sb.dump @@ -0,0 +1,431 @@ + +rv32ui-p-sb: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00002097 auipc ra,0x2 +800000c0: f4408093 addi ra,ra,-188 # 80002000 +800000c4: faa00113 li sp,-86 +800000c8: 00208023 sb sp,0(ra) +800000cc: 00008183 lb gp,0(ra) +800000d0: faa00e93 li t4,-86 +800000d4: 00200e13 li t3,2 +800000d8: 3dd19c63 bne gp,t4,800004b0 + +800000dc : +800000dc: 00002097 auipc ra,0x2 +800000e0: f2408093 addi ra,ra,-220 # 80002000 +800000e4: 00000113 li sp,0 +800000e8: 002080a3 sb sp,1(ra) +800000ec: 00108183 lb gp,1(ra) +800000f0: 00000e93 li t4,0 +800000f4: 00300e13 li t3,3 +800000f8: 3bd19c63 bne gp,t4,800004b0 + +800000fc : +800000fc: 00002097 auipc ra,0x2 +80000100: f0408093 addi ra,ra,-252 # 80002000 +80000104: fffff137 lui sp,0xfffff +80000108: fa010113 addi sp,sp,-96 # ffffefa0 <_end+0x7fffcf90> +8000010c: 00208123 sb sp,2(ra) +80000110: 00209183 lh gp,2(ra) +80000114: fffffeb7 lui t4,0xfffff +80000118: fa0e8e93 addi t4,t4,-96 # ffffefa0 <_end+0x7fffcf90> +8000011c: 00400e13 li t3,4 +80000120: 39d19863 bne gp,t4,800004b0 + +80000124 : +80000124: 00002097 auipc ra,0x2 +80000128: edc08093 addi ra,ra,-292 # 80002000 +8000012c: 00a00113 li sp,10 +80000130: 002081a3 sb sp,3(ra) +80000134: 00308183 lb gp,3(ra) +80000138: 00a00e93 li t4,10 +8000013c: 00500e13 li t3,5 +80000140: 37d19863 bne gp,t4,800004b0 + +80000144 : +80000144: 00002097 auipc ra,0x2 +80000148: ec308093 addi ra,ra,-317 # 80002007 +8000014c: faa00113 li sp,-86 +80000150: fe208ea3 sb sp,-3(ra) +80000154: ffd08183 lb gp,-3(ra) +80000158: faa00e93 li t4,-86 +8000015c: 00600e13 li t3,6 +80000160: 35d19863 bne gp,t4,800004b0 + +80000164 : +80000164: 00002097 auipc ra,0x2 +80000168: ea308093 addi ra,ra,-349 # 80002007 +8000016c: 00000113 li sp,0 +80000170: fe208f23 sb sp,-2(ra) +80000174: ffe08183 lb gp,-2(ra) +80000178: 00000e93 li t4,0 +8000017c: 00700e13 li t3,7 +80000180: 33d19863 bne gp,t4,800004b0 + +80000184 : +80000184: 00002097 auipc ra,0x2 +80000188: e8308093 addi ra,ra,-381 # 80002007 +8000018c: fa000113 li sp,-96 +80000190: fe208fa3 sb sp,-1(ra) +80000194: fff08183 lb gp,-1(ra) +80000198: fa000e93 li t4,-96 +8000019c: 00800e13 li t3,8 +800001a0: 31d19863 bne gp,t4,800004b0 + +800001a4 : +800001a4: 00002097 auipc ra,0x2 +800001a8: e6308093 addi ra,ra,-413 # 80002007 +800001ac: 00a00113 li sp,10 +800001b0: 00208023 sb sp,0(ra) +800001b4: 00008183 lb gp,0(ra) +800001b8: 00a00e93 li t4,10 +800001bc: 00900e13 li t3,9 +800001c0: 2fd19863 bne gp,t4,800004b0 + +800001c4 : +800001c4: 00002097 auipc ra,0x2 +800001c8: e4408093 addi ra,ra,-444 # 80002008 +800001cc: 12345137 lui sp,0x12345 +800001d0: 67810113 addi sp,sp,1656 # 12345678 <_start-0x6dcba988> +800001d4: fe008213 addi tp,ra,-32 +800001d8: 02220023 sb sp,32(tp) # 20 <_start-0x7fffffe0> +800001dc: 00008183 lb gp,0(ra) +800001e0: 07800e93 li t4,120 +800001e4: 00a00e13 li t3,10 +800001e8: 2dd19463 bne gp,t4,800004b0 + +800001ec : +800001ec: 00002097 auipc ra,0x2 +800001f0: e1c08093 addi ra,ra,-484 # 80002008 +800001f4: 00003137 lui sp,0x3 +800001f8: 09810113 addi sp,sp,152 # 3098 <_start-0x7fffcf68> +800001fc: ffa08093 addi ra,ra,-6 +80000200: 002083a3 sb sp,7(ra) +80000204: 00002217 auipc tp,0x2 +80000208: e0520213 addi tp,tp,-507 # 80002009 +8000020c: 00020183 lb gp,0(tp) # 0 <_start-0x80000000> +80000210: f9800e93 li t4,-104 +80000214: 00b00e13 li t3,11 +80000218: 29d19c63 bne gp,t4,800004b0 + +8000021c : +8000021c: 00c00e13 li t3,12 +80000220: 00000213 li tp,0 +80000224: fdd00093 li ra,-35 +80000228: 00002117 auipc sp,0x2 +8000022c: dd810113 addi sp,sp,-552 # 80002000 +80000230: 00110023 sb ra,0(sp) +80000234: 00010183 lb gp,0(sp) +80000238: fdd00e93 li t4,-35 +8000023c: 27d19a63 bne gp,t4,800004b0 +80000240: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000244: 00200293 li t0,2 +80000248: fc521ee3 bne tp,t0,80000224 + +8000024c : +8000024c: 00d00e13 li t3,13 +80000250: 00000213 li tp,0 +80000254: fcd00093 li ra,-51 +80000258: 00002117 auipc sp,0x2 +8000025c: da810113 addi sp,sp,-600 # 80002000 +80000260: 00000013 nop +80000264: 001100a3 sb ra,1(sp) +80000268: 00110183 lb gp,1(sp) +8000026c: fcd00e93 li t4,-51 +80000270: 25d19063 bne gp,t4,800004b0 +80000274: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000278: 00200293 li t0,2 +8000027c: fc521ce3 bne tp,t0,80000254 + +80000280 : +80000280: 00e00e13 li t3,14 +80000284: 00000213 li tp,0 +80000288: fcc00093 li ra,-52 +8000028c: 00002117 auipc sp,0x2 +80000290: d7410113 addi sp,sp,-652 # 80002000 +80000294: 00000013 nop +80000298: 00000013 nop +8000029c: 00110123 sb ra,2(sp) +800002a0: 00210183 lb gp,2(sp) +800002a4: fcc00e93 li t4,-52 +800002a8: 21d19463 bne gp,t4,800004b0 +800002ac: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002b0: 00200293 li t0,2 +800002b4: fc521ae3 bne tp,t0,80000288 + +800002b8 : +800002b8: 00f00e13 li t3,15 +800002bc: 00000213 li tp,0 +800002c0: fbc00093 li ra,-68 +800002c4: 00000013 nop +800002c8: 00002117 auipc sp,0x2 +800002cc: d3810113 addi sp,sp,-712 # 80002000 +800002d0: 001101a3 sb ra,3(sp) +800002d4: 00310183 lb gp,3(sp) +800002d8: fbc00e93 li t4,-68 +800002dc: 1dd19a63 bne gp,t4,800004b0 +800002e0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002e4: 00200293 li t0,2 +800002e8: fc521ce3 bne tp,t0,800002c0 + +800002ec : +800002ec: 01000e13 li t3,16 +800002f0: 00000213 li tp,0 +800002f4: fbb00093 li ra,-69 +800002f8: 00000013 nop +800002fc: 00002117 auipc sp,0x2 +80000300: d0410113 addi sp,sp,-764 # 80002000 +80000304: 00000013 nop +80000308: 00110223 sb ra,4(sp) +8000030c: 00410183 lb gp,4(sp) +80000310: fbb00e93 li t4,-69 +80000314: 19d19e63 bne gp,t4,800004b0 +80000318: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000031c: 00200293 li t0,2 +80000320: fc521ae3 bne tp,t0,800002f4 + +80000324 : +80000324: 01100e13 li t3,17 +80000328: 00000213 li tp,0 +8000032c: fab00093 li ra,-85 +80000330: 00000013 nop +80000334: 00000013 nop +80000338: 00002117 auipc sp,0x2 +8000033c: cc810113 addi sp,sp,-824 # 80002000 +80000340: 001102a3 sb ra,5(sp) +80000344: 00510183 lb gp,5(sp) +80000348: fab00e93 li t4,-85 +8000034c: 17d19263 bne gp,t4,800004b0 +80000350: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000354: 00200293 li t0,2 +80000358: fc521ae3 bne tp,t0,8000032c + +8000035c : +8000035c: 01200e13 li t3,18 +80000360: 00000213 li tp,0 +80000364: 00002117 auipc sp,0x2 +80000368: c9c10113 addi sp,sp,-868 # 80002000 +8000036c: 03300093 li ra,51 +80000370: 00110023 sb ra,0(sp) +80000374: 00010183 lb gp,0(sp) +80000378: 03300e93 li t4,51 +8000037c: 13d19a63 bne gp,t4,800004b0 +80000380: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000384: 00200293 li t0,2 +80000388: fc521ee3 bne tp,t0,80000364 + +8000038c : +8000038c: 01300e13 li t3,19 +80000390: 00000213 li tp,0 +80000394: 00002117 auipc sp,0x2 +80000398: c6c10113 addi sp,sp,-916 # 80002000 +8000039c: 02300093 li ra,35 +800003a0: 00000013 nop +800003a4: 001100a3 sb ra,1(sp) +800003a8: 00110183 lb gp,1(sp) +800003ac: 02300e93 li t4,35 +800003b0: 11d19063 bne gp,t4,800004b0 +800003b4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003b8: 00200293 li t0,2 +800003bc: fc521ce3 bne tp,t0,80000394 + +800003c0 : +800003c0: 01400e13 li t3,20 +800003c4: 00000213 li tp,0 +800003c8: 00002117 auipc sp,0x2 +800003cc: c3810113 addi sp,sp,-968 # 80002000 +800003d0: 02200093 li ra,34 +800003d4: 00000013 nop +800003d8: 00000013 nop +800003dc: 00110123 sb ra,2(sp) +800003e0: 00210183 lb gp,2(sp) +800003e4: 02200e93 li t4,34 +800003e8: 0dd19463 bne gp,t4,800004b0 +800003ec: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003f0: 00200293 li t0,2 +800003f4: fc521ae3 bne tp,t0,800003c8 + +800003f8 : +800003f8: 01500e13 li t3,21 +800003fc: 00000213 li tp,0 +80000400: 00002117 auipc sp,0x2 +80000404: c0010113 addi sp,sp,-1024 # 80002000 +80000408: 00000013 nop +8000040c: 01200093 li ra,18 +80000410: 001101a3 sb ra,3(sp) +80000414: 00310183 lb gp,3(sp) +80000418: 01200e93 li t4,18 +8000041c: 09d19a63 bne gp,t4,800004b0 +80000420: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000424: 00200293 li t0,2 +80000428: fc521ce3 bne tp,t0,80000400 + +8000042c : +8000042c: 01600e13 li t3,22 +80000430: 00000213 li tp,0 +80000434: 00002117 auipc sp,0x2 +80000438: bcc10113 addi sp,sp,-1076 # 80002000 +8000043c: 00000013 nop +80000440: 01100093 li ra,17 +80000444: 00000013 nop +80000448: 00110223 sb ra,4(sp) +8000044c: 00410183 lb gp,4(sp) +80000450: 01100e93 li t4,17 +80000454: 05d19e63 bne gp,t4,800004b0 +80000458: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000045c: 00200293 li t0,2 +80000460: fc521ae3 bne tp,t0,80000434 + +80000464 : +80000464: 01700e13 li t3,23 +80000468: 00000213 li tp,0 +8000046c: 00002117 auipc sp,0x2 +80000470: b9410113 addi sp,sp,-1132 # 80002000 +80000474: 00000013 nop +80000478: 00000013 nop +8000047c: 00100093 li ra,1 +80000480: 001102a3 sb ra,5(sp) +80000484: 00510183 lb gp,5(sp) +80000488: 00100e93 li t4,1 +8000048c: 03d19263 bne gp,t4,800004b0 +80000490: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000494: 00200293 li t0,2 +80000498: fc521ae3 bne tp,t0,8000046c +8000049c: 0ef00513 li a0,239 +800004a0: 00002597 auipc a1,0x2 +800004a4: b6058593 addi a1,a1,-1184 # 80002000 +800004a8: 00a581a3 sb a0,3(a1) +800004ac: 01c01c63 bne zero,t3,800004c4 + +800004b0 : +800004b0: 0ff0000f fence +800004b4: 000e0063 beqz t3,800004b4 +800004b8: 001e1e13 slli t3,t3,0x1 +800004bc: 001e6e13 ori t3,t3,1 +800004c0: 00000073 ecall + +800004c4 : +800004c4: 0ff0000f fence +800004c8: 00100e13 li t3,1 +800004cc: 00000073 ecall +800004d0: c0001073 unimp +800004d4: 0000 unimp +800004d6: 0000 unimp +800004d8: 0000 unimp +800004da: 0000 unimp +800004dc: 0000 unimp +800004de: 0000 unimp +800004e0: 0000 unimp +800004e2: 0000 unimp +800004e4: 0000 unimp +800004e6: 0000 unimp +800004e8: 0000 unimp +800004ea: 0000 unimp +800004ec: 0000 unimp +800004ee: 0000 unimp +800004f0: 0000 unimp +800004f2: 0000 unimp +800004f4: 0000 unimp +800004f6: 0000 unimp +800004f8: 0000 unimp +800004fa: 0000 unimp +800004fc: 0000 unimp +800004fe: 0000 unimp +80000500: 0000 unimp +80000502: 0000 unimp + +Disassembly of section .data: + +80002000 : +80002000: efefefef jal t6,800006fe + +80002001 : +80002001: efefefef jal t6,800006ff + +80002002 : +80002002: efefefef jal t6,80000700 + +80002003 : +80002003: efefefef jal t6,80000701 + +80002004 : +80002004: efefefef jal t6,80000702 + +80002005 : +80002005: efefefef jal t6,80000703 + +80002006 : +80002006: efefefef jal t6,80000704 + +80002007 : +80002007: 00efefef jal t6,80100015 <_end+0xfe005> + +80002008 : +80002008: 0000efef jal t6,80010008 <_end+0xdff8> + +80002009 : +80002009: 000000ef jal ra,80002009 +8000200d: 0000 unimp +8000200f: 00 00 00 00 00 Address 0x000000008000200f is out of bounds. + diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-sh.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-sh.dump new file mode 100644 index 0000000..7b55037 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-sh.dump @@ -0,0 +1,464 @@ + +rv32ui-p-sh: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00002097 auipc ra,0x2 +800000c0: f4408093 addi ra,ra,-188 # 80002000 +800000c4: 0aa00113 li sp,170 +800000c8: 00209023 sh sp,0(ra) +800000cc: 00009183 lh gp,0(ra) +800000d0: 0aa00e93 li t4,170 +800000d4: 00200e13 li t3,2 +800000d8: 45d19e63 bne gp,t4,80000534 + +800000dc : +800000dc: 00002097 auipc ra,0x2 +800000e0: f2408093 addi ra,ra,-220 # 80002000 +800000e4: ffffb137 lui sp,0xffffb +800000e8: a0010113 addi sp,sp,-1536 # ffffaa00 <_end+0x7fff89e0> +800000ec: 00209123 sh sp,2(ra) +800000f0: 00209183 lh gp,2(ra) +800000f4: ffffbeb7 lui t4,0xffffb +800000f8: a00e8e93 addi t4,t4,-1536 # ffffaa00 <_end+0x7fff89e0> +800000fc: 00300e13 li t3,3 +80000100: 43d19a63 bne gp,t4,80000534 + +80000104 : +80000104: 00002097 auipc ra,0x2 +80000108: efc08093 addi ra,ra,-260 # 80002000 +8000010c: beef1137 lui sp,0xbeef1 +80000110: aa010113 addi sp,sp,-1376 # beef0aa0 <_end+0x3eeeea80> +80000114: 00209223 sh sp,4(ra) +80000118: 0040a183 lw gp,4(ra) +8000011c: beef1eb7 lui t4,0xbeef1 +80000120: aa0e8e93 addi t4,t4,-1376 # beef0aa0 <_end+0x3eeeea80> +80000124: 00400e13 li t3,4 +80000128: 41d19663 bne gp,t4,80000534 + +8000012c : +8000012c: 00002097 auipc ra,0x2 +80000130: ed408093 addi ra,ra,-300 # 80002000 +80000134: ffffa137 lui sp,0xffffa +80000138: 00a10113 addi sp,sp,10 # ffffa00a <_end+0x7fff7fea> +8000013c: 00209323 sh sp,6(ra) +80000140: 00609183 lh gp,6(ra) +80000144: ffffaeb7 lui t4,0xffffa +80000148: 00ae8e93 addi t4,t4,10 # ffffa00a <_end+0x7fff7fea> +8000014c: 00500e13 li t3,5 +80000150: 3fd19263 bne gp,t4,80000534 + +80000154 : +80000154: 00002097 auipc ra,0x2 +80000158: eba08093 addi ra,ra,-326 # 8000200e +8000015c: 0aa00113 li sp,170 +80000160: fe209d23 sh sp,-6(ra) +80000164: ffa09183 lh gp,-6(ra) +80000168: 0aa00e93 li t4,170 +8000016c: 00600e13 li t3,6 +80000170: 3dd19263 bne gp,t4,80000534 + +80000174 : +80000174: 00002097 auipc ra,0x2 +80000178: e9a08093 addi ra,ra,-358 # 8000200e +8000017c: ffffb137 lui sp,0xffffb +80000180: a0010113 addi sp,sp,-1536 # ffffaa00 <_end+0x7fff89e0> +80000184: fe209e23 sh sp,-4(ra) +80000188: ffc09183 lh gp,-4(ra) +8000018c: ffffbeb7 lui t4,0xffffb +80000190: a00e8e93 addi t4,t4,-1536 # ffffaa00 <_end+0x7fff89e0> +80000194: 00700e13 li t3,7 +80000198: 39d19e63 bne gp,t4,80000534 + +8000019c : +8000019c: 00002097 auipc ra,0x2 +800001a0: e7208093 addi ra,ra,-398 # 8000200e +800001a4: 00001137 lui sp,0x1 +800001a8: aa010113 addi sp,sp,-1376 # aa0 <_start-0x7ffff560> +800001ac: fe209f23 sh sp,-2(ra) +800001b0: ffe09183 lh gp,-2(ra) +800001b4: 00001eb7 lui t4,0x1 +800001b8: aa0e8e93 addi t4,t4,-1376 # aa0 <_start-0x7ffff560> +800001bc: 00800e13 li t3,8 +800001c0: 37d19a63 bne gp,t4,80000534 + +800001c4 : +800001c4: 00002097 auipc ra,0x2 +800001c8: e4a08093 addi ra,ra,-438 # 8000200e +800001cc: ffffa137 lui sp,0xffffa +800001d0: 00a10113 addi sp,sp,10 # ffffa00a <_end+0x7fff7fea> +800001d4: 00209023 sh sp,0(ra) +800001d8: 00009183 lh gp,0(ra) +800001dc: ffffaeb7 lui t4,0xffffa +800001e0: 00ae8e93 addi t4,t4,10 # ffffa00a <_end+0x7fff7fea> +800001e4: 00900e13 li t3,9 +800001e8: 35d19663 bne gp,t4,80000534 + +800001ec : +800001ec: 00002097 auipc ra,0x2 +800001f0: e2408093 addi ra,ra,-476 # 80002010 +800001f4: 12345137 lui sp,0x12345 +800001f8: 67810113 addi sp,sp,1656 # 12345678 <_start-0x6dcba988> +800001fc: fe008213 addi tp,ra,-32 +80000200: 02221023 sh sp,32(tp) # 20 <_start-0x7fffffe0> +80000204: 00009183 lh gp,0(ra) +80000208: 00005eb7 lui t4,0x5 +8000020c: 678e8e93 addi t4,t4,1656 # 5678 <_start-0x7fffa988> +80000210: 00a00e13 li t3,10 +80000214: 33d19063 bne gp,t4,80000534 + +80000218 : +80000218: 00002097 auipc ra,0x2 +8000021c: df808093 addi ra,ra,-520 # 80002010 +80000220: 00003137 lui sp,0x3 +80000224: 09810113 addi sp,sp,152 # 3098 <_start-0x7fffcf68> +80000228: ffb08093 addi ra,ra,-5 +8000022c: 002093a3 sh sp,7(ra) +80000230: 00002217 auipc tp,0x2 +80000234: de220213 addi tp,tp,-542 # 80002012 +80000238: 00021183 lh gp,0(tp) # 0 <_start-0x80000000> +8000023c: 00003eb7 lui t4,0x3 +80000240: 098e8e93 addi t4,t4,152 # 3098 <_start-0x7fffcf68> +80000244: 00b00e13 li t3,11 +80000248: 2fd19663 bne gp,t4,80000534 + +8000024c : +8000024c: 00c00e13 li t3,12 +80000250: 00000213 li tp,0 +80000254: ffffd0b7 lui ra,0xffffd +80000258: cdd08093 addi ra,ra,-803 # ffffccdd <_end+0x7fffacbd> +8000025c: 00002117 auipc sp,0x2 +80000260: da410113 addi sp,sp,-604 # 80002000 +80000264: 00111023 sh ra,0(sp) +80000268: 00011183 lh gp,0(sp) +8000026c: ffffdeb7 lui t4,0xffffd +80000270: cdde8e93 addi t4,t4,-803 # ffffccdd <_end+0x7fffacbd> +80000274: 2dd19063 bne gp,t4,80000534 +80000278: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000027c: 00200293 li t0,2 +80000280: fc521ae3 bne tp,t0,80000254 + +80000284 : +80000284: 00d00e13 li t3,13 +80000288: 00000213 li tp,0 +8000028c: ffffc0b7 lui ra,0xffffc +80000290: ccd08093 addi ra,ra,-819 # ffffbccd <_end+0x7fff9cad> +80000294: 00002117 auipc sp,0x2 +80000298: d6c10113 addi sp,sp,-660 # 80002000 +8000029c: 00000013 nop +800002a0: 00111123 sh ra,2(sp) +800002a4: 00211183 lh gp,2(sp) +800002a8: ffffceb7 lui t4,0xffffc +800002ac: ccde8e93 addi t4,t4,-819 # ffffbccd <_end+0x7fff9cad> +800002b0: 29d19263 bne gp,t4,80000534 +800002b4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002b8: 00200293 li t0,2 +800002bc: fc5218e3 bne tp,t0,8000028c + +800002c0 : +800002c0: 00e00e13 li t3,14 +800002c4: 00000213 li tp,0 +800002c8: ffffc0b7 lui ra,0xffffc +800002cc: bcc08093 addi ra,ra,-1076 # ffffbbcc <_end+0x7fff9bac> +800002d0: 00002117 auipc sp,0x2 +800002d4: d3010113 addi sp,sp,-720 # 80002000 +800002d8: 00000013 nop +800002dc: 00000013 nop +800002e0: 00111223 sh ra,4(sp) +800002e4: 00411183 lh gp,4(sp) +800002e8: ffffceb7 lui t4,0xffffc +800002ec: bcce8e93 addi t4,t4,-1076 # ffffbbcc <_end+0x7fff9bac> +800002f0: 25d19263 bne gp,t4,80000534 +800002f4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002f8: 00200293 li t0,2 +800002fc: fc5216e3 bne tp,t0,800002c8 + +80000300 : +80000300: 00f00e13 li t3,15 +80000304: 00000213 li tp,0 +80000308: ffffb0b7 lui ra,0xffffb +8000030c: bbc08093 addi ra,ra,-1092 # ffffabbc <_end+0x7fff8b9c> +80000310: 00000013 nop +80000314: 00002117 auipc sp,0x2 +80000318: cec10113 addi sp,sp,-788 # 80002000 +8000031c: 00111323 sh ra,6(sp) +80000320: 00611183 lh gp,6(sp) +80000324: ffffbeb7 lui t4,0xffffb +80000328: bbce8e93 addi t4,t4,-1092 # ffffabbc <_end+0x7fff8b9c> +8000032c: 21d19463 bne gp,t4,80000534 +80000330: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000334: 00200293 li t0,2 +80000338: fc5218e3 bne tp,t0,80000308 + +8000033c : +8000033c: 01000e13 li t3,16 +80000340: 00000213 li tp,0 +80000344: ffffb0b7 lui ra,0xffffb +80000348: abb08093 addi ra,ra,-1349 # ffffaabb <_end+0x7fff8a9b> +8000034c: 00000013 nop +80000350: 00002117 auipc sp,0x2 +80000354: cb010113 addi sp,sp,-848 # 80002000 +80000358: 00000013 nop +8000035c: 00111423 sh ra,8(sp) +80000360: 00811183 lh gp,8(sp) +80000364: ffffbeb7 lui t4,0xffffb +80000368: abbe8e93 addi t4,t4,-1349 # ffffaabb <_end+0x7fff8a9b> +8000036c: 1dd19463 bne gp,t4,80000534 +80000370: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000374: 00200293 li t0,2 +80000378: fc5216e3 bne tp,t0,80000344 + +8000037c : +8000037c: 01100e13 li t3,17 +80000380: 00000213 li tp,0 +80000384: ffffe0b7 lui ra,0xffffe +80000388: aab08093 addi ra,ra,-1365 # ffffdaab <_end+0x7fffba8b> +8000038c: 00000013 nop +80000390: 00000013 nop +80000394: 00002117 auipc sp,0x2 +80000398: c6c10113 addi sp,sp,-916 # 80002000 +8000039c: 00111523 sh ra,10(sp) +800003a0: 00a11183 lh gp,10(sp) +800003a4: ffffeeb7 lui t4,0xffffe +800003a8: aabe8e93 addi t4,t4,-1365 # ffffdaab <_end+0x7fffba8b> +800003ac: 19d19463 bne gp,t4,80000534 +800003b0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003b4: 00200293 li t0,2 +800003b8: fc5216e3 bne tp,t0,80000384 + +800003bc : +800003bc: 01200e13 li t3,18 +800003c0: 00000213 li tp,0 +800003c4: 00002117 auipc sp,0x2 +800003c8: c3c10113 addi sp,sp,-964 # 80002000 +800003cc: 000020b7 lui ra,0x2 +800003d0: 23308093 addi ra,ra,563 # 2233 <_start-0x7fffddcd> +800003d4: 00111023 sh ra,0(sp) +800003d8: 00011183 lh gp,0(sp) +800003dc: 00002eb7 lui t4,0x2 +800003e0: 233e8e93 addi t4,t4,563 # 2233 <_start-0x7fffddcd> +800003e4: 15d19863 bne gp,t4,80000534 +800003e8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003ec: 00200293 li t0,2 +800003f0: fc521ae3 bne tp,t0,800003c4 + +800003f4 : +800003f4: 01300e13 li t3,19 +800003f8: 00000213 li tp,0 +800003fc: 00002117 auipc sp,0x2 +80000400: c0410113 addi sp,sp,-1020 # 80002000 +80000404: 000010b7 lui ra,0x1 +80000408: 22308093 addi ra,ra,547 # 1223 <_start-0x7fffeddd> +8000040c: 00000013 nop +80000410: 00111123 sh ra,2(sp) +80000414: 00211183 lh gp,2(sp) +80000418: 00001eb7 lui t4,0x1 +8000041c: 223e8e93 addi t4,t4,547 # 1223 <_start-0x7fffeddd> +80000420: 11d19a63 bne gp,t4,80000534 +80000424: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000428: 00200293 li t0,2 +8000042c: fc5218e3 bne tp,t0,800003fc + +80000430 : +80000430: 01400e13 li t3,20 +80000434: 00000213 li tp,0 +80000438: 00002117 auipc sp,0x2 +8000043c: bc810113 addi sp,sp,-1080 # 80002000 +80000440: 000010b7 lui ra,0x1 +80000444: 12208093 addi ra,ra,290 # 1122 <_start-0x7fffeede> +80000448: 00000013 nop +8000044c: 00000013 nop +80000450: 00111223 sh ra,4(sp) +80000454: 00411183 lh gp,4(sp) +80000458: 00001eb7 lui t4,0x1 +8000045c: 122e8e93 addi t4,t4,290 # 1122 <_start-0x7fffeede> +80000460: 0dd19a63 bne gp,t4,80000534 +80000464: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000468: 00200293 li t0,2 +8000046c: fc5216e3 bne tp,t0,80000438 + +80000470 : +80000470: 01500e13 li t3,21 +80000474: 00000213 li tp,0 +80000478: 00002117 auipc sp,0x2 +8000047c: b8810113 addi sp,sp,-1144 # 80002000 +80000480: 00000013 nop +80000484: 11200093 li ra,274 +80000488: 00111323 sh ra,6(sp) +8000048c: 00611183 lh gp,6(sp) +80000490: 11200e93 li t4,274 +80000494: 0bd19063 bne gp,t4,80000534 +80000498: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000049c: 00200293 li t0,2 +800004a0: fc521ce3 bne tp,t0,80000478 + +800004a4 : +800004a4: 01600e13 li t3,22 +800004a8: 00000213 li tp,0 +800004ac: 00002117 auipc sp,0x2 +800004b0: b5410113 addi sp,sp,-1196 # 80002000 +800004b4: 00000013 nop +800004b8: 01100093 li ra,17 +800004bc: 00000013 nop +800004c0: 00111423 sh ra,8(sp) +800004c4: 00811183 lh gp,8(sp) +800004c8: 01100e93 li t4,17 +800004cc: 07d19463 bne gp,t4,80000534 +800004d0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004d4: 00200293 li t0,2 +800004d8: fc521ae3 bne tp,t0,800004ac + +800004dc : +800004dc: 01700e13 li t3,23 +800004e0: 00000213 li tp,0 +800004e4: 00002117 auipc sp,0x2 +800004e8: b1c10113 addi sp,sp,-1252 # 80002000 +800004ec: 00000013 nop +800004f0: 00000013 nop +800004f4: 000030b7 lui ra,0x3 +800004f8: 00108093 addi ra,ra,1 # 3001 <_start-0x7fffcfff> +800004fc: 00111523 sh ra,10(sp) +80000500: 00a11183 lh gp,10(sp) +80000504: 00003eb7 lui t4,0x3 +80000508: 001e8e93 addi t4,t4,1 # 3001 <_start-0x7fffcfff> +8000050c: 03d19463 bne gp,t4,80000534 +80000510: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000514: 00200293 li t0,2 +80000518: fc5216e3 bne tp,t0,800004e4 +8000051c: 0000c537 lui a0,0xc +80000520: eef50513 addi a0,a0,-273 # beef <_start-0x7fff4111> +80000524: 00002597 auipc a1,0x2 +80000528: adc58593 addi a1,a1,-1316 # 80002000 +8000052c: 00a59323 sh a0,6(a1) +80000530: 01c01c63 bne zero,t3,80000548 + +80000534 : +80000534: 0ff0000f fence +80000538: 000e0063 beqz t3,80000538 +8000053c: 001e1e13 slli t3,t3,0x1 +80000540: 001e6e13 ori t3,t3,1 +80000544: 00000073 ecall + +80000548 : +80000548: 0ff0000f fence +8000054c: 00100e13 li t3,1 +80000550: 00000073 ecall +80000554: c0001073 unimp +80000558: 0000 unimp +8000055a: 0000 unimp +8000055c: 0000 unimp +8000055e: 0000 unimp +80000560: 0000 unimp +80000562: 0000 unimp +80000564: 0000 unimp +80000566: 0000 unimp +80000568: 0000 unimp +8000056a: 0000 unimp +8000056c: 0000 unimp +8000056e: 0000 unimp +80000570: 0000 unimp +80000572: 0000 unimp +80000574: 0000 unimp +80000576: 0000 unimp +80000578: 0000 unimp +8000057a: 0000 unimp +8000057c: 0000 unimp +8000057e: 0000 unimp +80000580: 0000 unimp +80000582: 0000 unimp + +Disassembly of section .data: + +80002000 : +80002000: beefbeef jal t4,7fffd3ee <_start-0x2c12> + +80002002 : +80002002: beefbeef jal t4,7fffd3f0 <_start-0x2c10> + +80002004 : +80002004: beefbeef jal t4,7fffd3f2 <_start-0x2c0e> + +80002006 : +80002006: beefbeef jal t4,7fffd3f4 <_start-0x2c0c> + +80002008 : +80002008: beefbeef jal t4,7fffd3f6 <_start-0x2c0a> + +8000200a : +8000200a: beefbeef jal t4,7fffd3f8 <_start-0x2c08> + +8000200c : +8000200c: beefbeef jal t4,7fffd3fa <_start-0x2c06> + +8000200e : +8000200e: beefbeef jal t4,7fffd3fc <_start-0x2c04> + +80002010 : +80002010: beefbeef jal t4,7fffd3fe <_start-0x2c02> + +80002012 : +80002012: 0000beef jal t4,8000d012 <_end+0xaff2> +80002016: 0000 unimp +80002018: 0000 unimp +8000201a: 0000 unimp +8000201c: 0000 unimp +8000201e: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-simple.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-simple.dump new file mode 100644 index 0000000..9554dcb --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-simple.dump @@ -0,0 +1,94 @@ + +rv32ui-p-simple: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret +800000bc: 0ff0000f fence +800000c0: 00100e13 li t3,1 +800000c4: 00000073 ecall +800000c8: c0001073 unimp +800000cc: 0000 unimp +800000ce: 0000 unimp +800000d0: 0000 unimp +800000d2: 0000 unimp +800000d4: 0000 unimp +800000d6: 0000 unimp +800000d8: 0000 unimp +800000da: 0000 unimp +800000dc: 0000 unimp +800000de: 0000 unimp +800000e0: 0000 unimp +800000e2: 0000 unimp +800000e4: 0000 unimp +800000e6: 0000 unimp +800000e8: 0000 unimp +800000ea: 0000 unimp +800000ec: 0000 unimp +800000ee: 0000 unimp +800000f0: 0000 unimp +800000f2: 0000 unimp +800000f4: 0000 unimp +800000f6: 0000 unimp +800000f8: 0000 unimp +800000fa: 0000 unimp +800000fc: 0000 unimp +800000fe: 0000 unimp +80000100: 0000 unimp +80000102: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-sll.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-sll.dump new file mode 100644 index 0000000..19377f5 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-sll.dump @@ -0,0 +1,507 @@ + +rv32ui-p-sll: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00100093 li ra,1 +800000c0: 00000113 li sp,0 +800000c4: 002091b3 sll gp,ra,sp +800000c8: 00100e93 li t4,1 +800000cc: 00200e13 li t3,2 +800000d0: 53d19e63 bne gp,t4,8000060c + +800000d4 : +800000d4: 00100093 li ra,1 +800000d8: 00100113 li sp,1 +800000dc: 002091b3 sll gp,ra,sp +800000e0: 00200e93 li t4,2 +800000e4: 00300e13 li t3,3 +800000e8: 53d19263 bne gp,t4,8000060c + +800000ec : +800000ec: 00100093 li ra,1 +800000f0: 00700113 li sp,7 +800000f4: 002091b3 sll gp,ra,sp +800000f8: 08000e93 li t4,128 +800000fc: 00400e13 li t3,4 +80000100: 51d19663 bne gp,t4,8000060c + +80000104 : +80000104: 00100093 li ra,1 +80000108: 00e00113 li sp,14 +8000010c: 002091b3 sll gp,ra,sp +80000110: 00004eb7 lui t4,0x4 +80000114: 00500e13 li t3,5 +80000118: 4fd19a63 bne gp,t4,8000060c + +8000011c : +8000011c: 00100093 li ra,1 +80000120: 01f00113 li sp,31 +80000124: 002091b3 sll gp,ra,sp +80000128: 80000eb7 lui t4,0x80000 +8000012c: 00600e13 li t3,6 +80000130: 4dd19e63 bne gp,t4,8000060c + +80000134 : +80000134: fff00093 li ra,-1 +80000138: 00000113 li sp,0 +8000013c: 002091b3 sll gp,ra,sp +80000140: fff00e93 li t4,-1 +80000144: 00700e13 li t3,7 +80000148: 4dd19263 bne gp,t4,8000060c + +8000014c : +8000014c: fff00093 li ra,-1 +80000150: 00100113 li sp,1 +80000154: 002091b3 sll gp,ra,sp +80000158: ffe00e93 li t4,-2 +8000015c: 00800e13 li t3,8 +80000160: 4bd19663 bne gp,t4,8000060c + +80000164 : +80000164: fff00093 li ra,-1 +80000168: 00700113 li sp,7 +8000016c: 002091b3 sll gp,ra,sp +80000170: f8000e93 li t4,-128 +80000174: 00900e13 li t3,9 +80000178: 49d19a63 bne gp,t4,8000060c + +8000017c : +8000017c: fff00093 li ra,-1 +80000180: 00e00113 li sp,14 +80000184: 002091b3 sll gp,ra,sp +80000188: ffffceb7 lui t4,0xffffc +8000018c: 00a00e13 li t3,10 +80000190: 47d19e63 bne gp,t4,8000060c + +80000194 : +80000194: fff00093 li ra,-1 +80000198: 01f00113 li sp,31 +8000019c: 002091b3 sll gp,ra,sp +800001a0: 80000eb7 lui t4,0x80000 +800001a4: 00b00e13 li t3,11 +800001a8: 47d19263 bne gp,t4,8000060c + +800001ac : +800001ac: 212120b7 lui ra,0x21212 +800001b0: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +800001b4: 00000113 li sp,0 +800001b8: 002091b3 sll gp,ra,sp +800001bc: 21212eb7 lui t4,0x21212 +800001c0: 121e8e93 addi t4,t4,289 # 21212121 <_start-0x5edededf> +800001c4: 00c00e13 li t3,12 +800001c8: 45d19263 bne gp,t4,8000060c + +800001cc : +800001cc: 212120b7 lui ra,0x21212 +800001d0: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +800001d4: 00100113 li sp,1 +800001d8: 002091b3 sll gp,ra,sp +800001dc: 42424eb7 lui t4,0x42424 +800001e0: 242e8e93 addi t4,t4,578 # 42424242 <_start-0x3dbdbdbe> +800001e4: 00d00e13 li t3,13 +800001e8: 43d19263 bne gp,t4,8000060c + +800001ec : +800001ec: 212120b7 lui ra,0x21212 +800001f0: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +800001f4: 00700113 li sp,7 +800001f8: 002091b3 sll gp,ra,sp +800001fc: 90909eb7 lui t4,0x90909 +80000200: 080e8e93 addi t4,t4,128 # 90909080 +80000204: 00e00e13 li t3,14 +80000208: 41d19263 bne gp,t4,8000060c + +8000020c : +8000020c: 212120b7 lui ra,0x21212 +80000210: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +80000214: 00e00113 li sp,14 +80000218: 002091b3 sll gp,ra,sp +8000021c: 48484eb7 lui t4,0x48484 +80000220: 00f00e13 li t3,15 +80000224: 3fd19463 bne gp,t4,8000060c + +80000228 : +80000228: 212120b7 lui ra,0x21212 +8000022c: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +80000230: 01f00113 li sp,31 +80000234: 002091b3 sll gp,ra,sp +80000238: 80000eb7 lui t4,0x80000 +8000023c: 01000e13 li t3,16 +80000240: 3dd19663 bne gp,t4,8000060c + +80000244 : +80000244: 212120b7 lui ra,0x21212 +80000248: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +8000024c: fc000113 li sp,-64 +80000250: 002091b3 sll gp,ra,sp +80000254: 21212eb7 lui t4,0x21212 +80000258: 121e8e93 addi t4,t4,289 # 21212121 <_start-0x5edededf> +8000025c: 01100e13 li t3,17 +80000260: 3bd19663 bne gp,t4,8000060c + +80000264 : +80000264: 212120b7 lui ra,0x21212 +80000268: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +8000026c: fc100113 li sp,-63 +80000270: 002091b3 sll gp,ra,sp +80000274: 42424eb7 lui t4,0x42424 +80000278: 242e8e93 addi t4,t4,578 # 42424242 <_start-0x3dbdbdbe> +8000027c: 01200e13 li t3,18 +80000280: 39d19663 bne gp,t4,8000060c + +80000284 : +80000284: 212120b7 lui ra,0x21212 +80000288: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +8000028c: fc700113 li sp,-57 +80000290: 002091b3 sll gp,ra,sp +80000294: 90909eb7 lui t4,0x90909 +80000298: 080e8e93 addi t4,t4,128 # 90909080 +8000029c: 01300e13 li t3,19 +800002a0: 37d19663 bne gp,t4,8000060c + +800002a4 : +800002a4: 212120b7 lui ra,0x21212 +800002a8: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +800002ac: fce00113 li sp,-50 +800002b0: 002091b3 sll gp,ra,sp +800002b4: 48484eb7 lui t4,0x48484 +800002b8: 01400e13 li t3,20 +800002bc: 35d19863 bne gp,t4,8000060c + +800002c0 : +800002c0: 00100093 li ra,1 +800002c4: 00700113 li sp,7 +800002c8: 002090b3 sll ra,ra,sp +800002cc: 08000e93 li t4,128 +800002d0: 01600e13 li t3,22 +800002d4: 33d09c63 bne ra,t4,8000060c + +800002d8 : +800002d8: 00100093 li ra,1 +800002dc: 00e00113 li sp,14 +800002e0: 00209133 sll sp,ra,sp +800002e4: 00004eb7 lui t4,0x4 +800002e8: 01700e13 li t3,23 +800002ec: 33d11063 bne sp,t4,8000060c + +800002f0 : +800002f0: 00300093 li ra,3 +800002f4: 001090b3 sll ra,ra,ra +800002f8: 01800e93 li t4,24 +800002fc: 01800e13 li t3,24 +80000300: 31d09663 bne ra,t4,8000060c + +80000304 : +80000304: 00000213 li tp,0 +80000308: 00100093 li ra,1 +8000030c: 00700113 li sp,7 +80000310: 002091b3 sll gp,ra,sp +80000314: 00018313 mv t1,gp +80000318: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000031c: 00200293 li t0,2 +80000320: fe5214e3 bne tp,t0,80000308 +80000324: 08000e93 li t4,128 +80000328: 01900e13 li t3,25 +8000032c: 2fd31063 bne t1,t4,8000060c + +80000330 : +80000330: 00000213 li tp,0 +80000334: 00100093 li ra,1 +80000338: 00e00113 li sp,14 +8000033c: 002091b3 sll gp,ra,sp +80000340: 00000013 nop +80000344: 00018313 mv t1,gp +80000348: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000034c: 00200293 li t0,2 +80000350: fe5212e3 bne tp,t0,80000334 +80000354: 00004eb7 lui t4,0x4 +80000358: 01a00e13 li t3,26 +8000035c: 2bd31863 bne t1,t4,8000060c + +80000360 : +80000360: 00000213 li tp,0 +80000364: 00100093 li ra,1 +80000368: 01f00113 li sp,31 +8000036c: 002091b3 sll gp,ra,sp +80000370: 00000013 nop +80000374: 00000013 nop +80000378: 00018313 mv t1,gp +8000037c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000380: 00200293 li t0,2 +80000384: fe5210e3 bne tp,t0,80000364 +80000388: 80000eb7 lui t4,0x80000 +8000038c: 01b00e13 li t3,27 +80000390: 27d31e63 bne t1,t4,8000060c + +80000394 : +80000394: 00000213 li tp,0 +80000398: 00100093 li ra,1 +8000039c: 00700113 li sp,7 +800003a0: 002091b3 sll gp,ra,sp +800003a4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003a8: 00200293 li t0,2 +800003ac: fe5216e3 bne tp,t0,80000398 +800003b0: 08000e93 li t4,128 +800003b4: 01c00e13 li t3,28 +800003b8: 25d19a63 bne gp,t4,8000060c + +800003bc : +800003bc: 00000213 li tp,0 +800003c0: 00100093 li ra,1 +800003c4: 00e00113 li sp,14 +800003c8: 00000013 nop +800003cc: 002091b3 sll gp,ra,sp +800003d0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003d4: 00200293 li t0,2 +800003d8: fe5214e3 bne tp,t0,800003c0 +800003dc: 00004eb7 lui t4,0x4 +800003e0: 01d00e13 li t3,29 +800003e4: 23d19463 bne gp,t4,8000060c + +800003e8 : +800003e8: 00000213 li tp,0 +800003ec: 00100093 li ra,1 +800003f0: 01f00113 li sp,31 +800003f4: 00000013 nop +800003f8: 00000013 nop +800003fc: 002091b3 sll gp,ra,sp +80000400: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000404: 00200293 li t0,2 +80000408: fe5212e3 bne tp,t0,800003ec +8000040c: 80000eb7 lui t4,0x80000 +80000410: 01e00e13 li t3,30 +80000414: 1fd19c63 bne gp,t4,8000060c + +80000418 : +80000418: 00000213 li tp,0 +8000041c: 00100093 li ra,1 +80000420: 00000013 nop +80000424: 00700113 li sp,7 +80000428: 002091b3 sll gp,ra,sp +8000042c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000430: 00200293 li t0,2 +80000434: fe5214e3 bne tp,t0,8000041c +80000438: 08000e93 li t4,128 +8000043c: 01f00e13 li t3,31 +80000440: 1dd19663 bne gp,t4,8000060c + +80000444 : +80000444: 00000213 li tp,0 +80000448: 00100093 li ra,1 +8000044c: 00000013 nop +80000450: 00e00113 li sp,14 +80000454: 00000013 nop +80000458: 002091b3 sll gp,ra,sp +8000045c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000460: 00200293 li t0,2 +80000464: fe5212e3 bne tp,t0,80000448 +80000468: 00004eb7 lui t4,0x4 +8000046c: 02000e13 li t3,32 +80000470: 19d19e63 bne gp,t4,8000060c + +80000474 : +80000474: 00000213 li tp,0 +80000478: 00100093 li ra,1 +8000047c: 00000013 nop +80000480: 00000013 nop +80000484: 01f00113 li sp,31 +80000488: 002091b3 sll gp,ra,sp +8000048c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000490: 00200293 li t0,2 +80000494: fe5212e3 bne tp,t0,80000478 +80000498: 80000eb7 lui t4,0x80000 +8000049c: 02100e13 li t3,33 +800004a0: 17d19663 bne gp,t4,8000060c + +800004a4 : +800004a4: 00000213 li tp,0 +800004a8: 00700113 li sp,7 +800004ac: 00100093 li ra,1 +800004b0: 002091b3 sll gp,ra,sp +800004b4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004b8: 00200293 li t0,2 +800004bc: fe5216e3 bne tp,t0,800004a8 +800004c0: 08000e93 li t4,128 +800004c4: 02200e13 li t3,34 +800004c8: 15d19263 bne gp,t4,8000060c + +800004cc : +800004cc: 00000213 li tp,0 +800004d0: 00e00113 li sp,14 +800004d4: 00100093 li ra,1 +800004d8: 00000013 nop +800004dc: 002091b3 sll gp,ra,sp +800004e0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004e4: 00200293 li t0,2 +800004e8: fe5214e3 bne tp,t0,800004d0 +800004ec: 00004eb7 lui t4,0x4 +800004f0: 02300e13 li t3,35 +800004f4: 11d19c63 bne gp,t4,8000060c + +800004f8 : +800004f8: 00000213 li tp,0 +800004fc: 01f00113 li sp,31 +80000500: 00100093 li ra,1 +80000504: 00000013 nop +80000508: 00000013 nop +8000050c: 002091b3 sll gp,ra,sp +80000510: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000514: 00200293 li t0,2 +80000518: fe5212e3 bne tp,t0,800004fc +8000051c: 80000eb7 lui t4,0x80000 +80000520: 02400e13 li t3,36 +80000524: 0fd19463 bne gp,t4,8000060c + +80000528 : +80000528: 00000213 li tp,0 +8000052c: 00700113 li sp,7 +80000530: 00000013 nop +80000534: 00100093 li ra,1 +80000538: 002091b3 sll gp,ra,sp +8000053c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000540: 00200293 li t0,2 +80000544: fe5214e3 bne tp,t0,8000052c +80000548: 08000e93 li t4,128 +8000054c: 02500e13 li t3,37 +80000550: 0bd19e63 bne gp,t4,8000060c + +80000554 : +80000554: 00000213 li tp,0 +80000558: 00e00113 li sp,14 +8000055c: 00000013 nop +80000560: 00100093 li ra,1 +80000564: 00000013 nop +80000568: 002091b3 sll gp,ra,sp +8000056c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000570: 00200293 li t0,2 +80000574: fe5212e3 bne tp,t0,80000558 +80000578: 00004eb7 lui t4,0x4 +8000057c: 02600e13 li t3,38 +80000580: 09d19663 bne gp,t4,8000060c + +80000584 : +80000584: 00000213 li tp,0 +80000588: 01f00113 li sp,31 +8000058c: 00000013 nop +80000590: 00000013 nop +80000594: 00100093 li ra,1 +80000598: 002091b3 sll gp,ra,sp +8000059c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800005a0: 00200293 li t0,2 +800005a4: fe5212e3 bne tp,t0,80000588 +800005a8: 80000eb7 lui t4,0x80000 +800005ac: 02700e13 li t3,39 +800005b0: 05d19e63 bne gp,t4,8000060c + +800005b4 : +800005b4: 00f00093 li ra,15 +800005b8: 00101133 sll sp,zero,ra +800005bc: 00000e93 li t4,0 +800005c0: 02800e13 li t3,40 +800005c4: 05d11463 bne sp,t4,8000060c + +800005c8 : +800005c8: 02000093 li ra,32 +800005cc: 00009133 sll sp,ra,zero +800005d0: 02000e93 li t4,32 +800005d4: 02900e13 li t3,41 +800005d8: 03d11a63 bne sp,t4,8000060c + +800005dc : +800005dc: 000010b3 sll ra,zero,zero +800005e0: 00000e93 li t4,0 +800005e4: 02a00e13 li t3,42 +800005e8: 03d09263 bne ra,t4,8000060c + +800005ec : +800005ec: 40000093 li ra,1024 +800005f0: 00001137 lui sp,0x1 +800005f4: 80010113 addi sp,sp,-2048 # 800 <_start-0x7ffff800> +800005f8: 00209033 sll zero,ra,sp +800005fc: 00000e93 li t4,0 +80000600: 02b00e13 li t3,43 +80000604: 01d01463 bne zero,t4,8000060c +80000608: 01c01c63 bne zero,t3,80000620 + +8000060c : +8000060c: 0ff0000f fence +80000610: 000e0063 beqz t3,80000610 +80000614: 001e1e13 slli t3,t3,0x1 +80000618: 001e6e13 ori t3,t3,1 +8000061c: 00000073 ecall + +80000620 : +80000620: 0ff0000f fence +80000624: 00100e13 li t3,1 +80000628: 00000073 ecall +8000062c: c0001073 unimp +80000630: 0000 unimp +80000632: 0000 unimp +80000634: 0000 unimp +80000636: 0000 unimp +80000638: 0000 unimp +8000063a: 0000 unimp +8000063c: 0000 unimp +8000063e: 0000 unimp +80000640: 0000 unimp +80000642: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-slli.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-slli.dump new file mode 100644 index 0000000..ede0d17 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-slli.dump @@ -0,0 +1,300 @@ + +rv32ui-p-slli: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00100093 li ra,1 +800000c0: 00009193 slli gp,ra,0x0 +800000c4: 00100e93 li t4,1 +800000c8: 00200e13 li t3,2 +800000cc: 27d19a63 bne gp,t4,80000340 + +800000d0 : +800000d0: 00100093 li ra,1 +800000d4: 00109193 slli gp,ra,0x1 +800000d8: 00200e93 li t4,2 +800000dc: 00300e13 li t3,3 +800000e0: 27d19063 bne gp,t4,80000340 + +800000e4 : +800000e4: 00100093 li ra,1 +800000e8: 00709193 slli gp,ra,0x7 +800000ec: 08000e93 li t4,128 +800000f0: 00400e13 li t3,4 +800000f4: 25d19663 bne gp,t4,80000340 + +800000f8 : +800000f8: 00100093 li ra,1 +800000fc: 00e09193 slli gp,ra,0xe +80000100: 00004eb7 lui t4,0x4 +80000104: 00500e13 li t3,5 +80000108: 23d19c63 bne gp,t4,80000340 + +8000010c : +8000010c: 00100093 li ra,1 +80000110: 01f09193 slli gp,ra,0x1f +80000114: 80000eb7 lui t4,0x80000 +80000118: 00600e13 li t3,6 +8000011c: 23d19263 bne gp,t4,80000340 + +80000120 : +80000120: fff00093 li ra,-1 +80000124: 00009193 slli gp,ra,0x0 +80000128: fff00e93 li t4,-1 +8000012c: 00700e13 li t3,7 +80000130: 21d19863 bne gp,t4,80000340 + +80000134 : +80000134: fff00093 li ra,-1 +80000138: 00109193 slli gp,ra,0x1 +8000013c: ffe00e93 li t4,-2 +80000140: 00800e13 li t3,8 +80000144: 1fd19e63 bne gp,t4,80000340 + +80000148 : +80000148: fff00093 li ra,-1 +8000014c: 00709193 slli gp,ra,0x7 +80000150: f8000e93 li t4,-128 +80000154: 00900e13 li t3,9 +80000158: 1fd19463 bne gp,t4,80000340 + +8000015c : +8000015c: fff00093 li ra,-1 +80000160: 00e09193 slli gp,ra,0xe +80000164: ffffceb7 lui t4,0xffffc +80000168: 00a00e13 li t3,10 +8000016c: 1dd19a63 bne gp,t4,80000340 + +80000170 : +80000170: fff00093 li ra,-1 +80000174: 01f09193 slli gp,ra,0x1f +80000178: 80000eb7 lui t4,0x80000 +8000017c: 00b00e13 li t3,11 +80000180: 1dd19063 bne gp,t4,80000340 + +80000184 : +80000184: 212120b7 lui ra,0x21212 +80000188: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +8000018c: 00009193 slli gp,ra,0x0 +80000190: 21212eb7 lui t4,0x21212 +80000194: 121e8e93 addi t4,t4,289 # 21212121 <_start-0x5edededf> +80000198: 00c00e13 li t3,12 +8000019c: 1bd19263 bne gp,t4,80000340 + +800001a0 : +800001a0: 212120b7 lui ra,0x21212 +800001a4: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +800001a8: 00109193 slli gp,ra,0x1 +800001ac: 42424eb7 lui t4,0x42424 +800001b0: 242e8e93 addi t4,t4,578 # 42424242 <_start-0x3dbdbdbe> +800001b4: 00d00e13 li t3,13 +800001b8: 19d19463 bne gp,t4,80000340 + +800001bc : +800001bc: 212120b7 lui ra,0x21212 +800001c0: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +800001c4: 00709193 slli gp,ra,0x7 +800001c8: 90909eb7 lui t4,0x90909 +800001cc: 080e8e93 addi t4,t4,128 # 90909080 +800001d0: 00e00e13 li t3,14 +800001d4: 17d19663 bne gp,t4,80000340 + +800001d8 : +800001d8: 212120b7 lui ra,0x21212 +800001dc: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +800001e0: 00e09193 slli gp,ra,0xe +800001e4: 48484eb7 lui t4,0x48484 +800001e8: 00f00e13 li t3,15 +800001ec: 15d19a63 bne gp,t4,80000340 + +800001f0 : +800001f0: 212120b7 lui ra,0x21212 +800001f4: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +800001f8: 01f09193 slli gp,ra,0x1f +800001fc: 80000eb7 lui t4,0x80000 +80000200: 01000e13 li t3,16 +80000204: 13d19e63 bne gp,t4,80000340 + +80000208 : +80000208: 00100093 li ra,1 +8000020c: 00709093 slli ra,ra,0x7 +80000210: 08000e93 li t4,128 +80000214: 01100e13 li t3,17 +80000218: 13d09463 bne ra,t4,80000340 + +8000021c : +8000021c: 00000213 li tp,0 +80000220: 00100093 li ra,1 +80000224: 00709193 slli gp,ra,0x7 +80000228: 00018313 mv t1,gp +8000022c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000230: 00200293 li t0,2 +80000234: fe5216e3 bne tp,t0,80000220 +80000238: 08000e93 li t4,128 +8000023c: 01200e13 li t3,18 +80000240: 11d31063 bne t1,t4,80000340 + +80000244 : +80000244: 00000213 li tp,0 +80000248: 00100093 li ra,1 +8000024c: 00e09193 slli gp,ra,0xe +80000250: 00000013 nop +80000254: 00018313 mv t1,gp +80000258: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000025c: 00200293 li t0,2 +80000260: fe5214e3 bne tp,t0,80000248 +80000264: 00004eb7 lui t4,0x4 +80000268: 01300e13 li t3,19 +8000026c: 0dd31a63 bne t1,t4,80000340 + +80000270 : +80000270: 00000213 li tp,0 +80000274: 00100093 li ra,1 +80000278: 01f09193 slli gp,ra,0x1f +8000027c: 00000013 nop +80000280: 00000013 nop +80000284: 00018313 mv t1,gp +80000288: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000028c: 00200293 li t0,2 +80000290: fe5212e3 bne tp,t0,80000274 +80000294: 80000eb7 lui t4,0x80000 +80000298: 01400e13 li t3,20 +8000029c: 0bd31263 bne t1,t4,80000340 + +800002a0 : +800002a0: 00000213 li tp,0 +800002a4: 00100093 li ra,1 +800002a8: 00709193 slli gp,ra,0x7 +800002ac: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002b0: 00200293 li t0,2 +800002b4: fe5218e3 bne tp,t0,800002a4 +800002b8: 08000e93 li t4,128 +800002bc: 01500e13 li t3,21 +800002c0: 09d19063 bne gp,t4,80000340 + +800002c4 : +800002c4: 00000213 li tp,0 +800002c8: 00100093 li ra,1 +800002cc: 00000013 nop +800002d0: 00e09193 slli gp,ra,0xe +800002d4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002d8: 00200293 li t0,2 +800002dc: fe5216e3 bne tp,t0,800002c8 +800002e0: 00004eb7 lui t4,0x4 +800002e4: 01600e13 li t3,22 +800002e8: 05d19c63 bne gp,t4,80000340 + +800002ec : +800002ec: 00000213 li tp,0 +800002f0: 00100093 li ra,1 +800002f4: 00000013 nop +800002f8: 00000013 nop +800002fc: 01f09193 slli gp,ra,0x1f +80000300: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000304: 00200293 li t0,2 +80000308: fe5214e3 bne tp,t0,800002f0 +8000030c: 80000eb7 lui t4,0x80000 +80000310: 01700e13 li t3,23 +80000314: 03d19663 bne gp,t4,80000340 + +80000318 : +80000318: 01f01093 slli ra,zero,0x1f +8000031c: 00000e93 li t4,0 +80000320: 01800e13 li t3,24 +80000324: 01d09e63 bne ra,t4,80000340 + +80000328 : +80000328: 02100093 li ra,33 +8000032c: 01409013 slli zero,ra,0x14 +80000330: 00000e93 li t4,0 +80000334: 01900e13 li t3,25 +80000338: 01d01463 bne zero,t4,80000340 +8000033c: 01c01c63 bne zero,t3,80000354 + +80000340 : +80000340: 0ff0000f fence +80000344: 000e0063 beqz t3,80000344 +80000348: 001e1e13 slli t3,t3,0x1 +8000034c: 001e6e13 ori t3,t3,1 +80000350: 00000073 ecall + +80000354 : +80000354: 0ff0000f fence +80000358: 00100e13 li t3,1 +8000035c: 00000073 ecall +80000360: c0001073 unimp +80000364: 0000 unimp +80000366: 0000 unimp +80000368: 0000 unimp +8000036a: 0000 unimp +8000036c: 0000 unimp +8000036e: 0000 unimp +80000370: 0000 unimp +80000372: 0000 unimp +80000374: 0000 unimp +80000376: 0000 unimp +80000378: 0000 unimp +8000037a: 0000 unimp +8000037c: 0000 unimp +8000037e: 0000 unimp +80000380: 0000 unimp +80000382: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-slt.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-slt.dump new file mode 100644 index 0000000..8268a79 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-slt.dump @@ -0,0 +1,469 @@ + +rv32ui-p-slt: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00000093 li ra,0 +800000c0: 00000113 li sp,0 +800000c4: 0020a1b3 slt gp,ra,sp +800000c8: 00000e93 li t4,0 +800000cc: 00200e13 li t3,2 +800000d0: 4bd19a63 bne gp,t4,80000584 + +800000d4 : +800000d4: 00100093 li ra,1 +800000d8: 00100113 li sp,1 +800000dc: 0020a1b3 slt gp,ra,sp +800000e0: 00000e93 li t4,0 +800000e4: 00300e13 li t3,3 +800000e8: 49d19e63 bne gp,t4,80000584 + +800000ec : +800000ec: 00300093 li ra,3 +800000f0: 00700113 li sp,7 +800000f4: 0020a1b3 slt gp,ra,sp +800000f8: 00100e93 li t4,1 +800000fc: 00400e13 li t3,4 +80000100: 49d19263 bne gp,t4,80000584 + +80000104 : +80000104: 00700093 li ra,7 +80000108: 00300113 li sp,3 +8000010c: 0020a1b3 slt gp,ra,sp +80000110: 00000e93 li t4,0 +80000114: 00500e13 li t3,5 +80000118: 47d19663 bne gp,t4,80000584 + +8000011c : +8000011c: 00000093 li ra,0 +80000120: ffff8137 lui sp,0xffff8 +80000124: 0020a1b3 slt gp,ra,sp +80000128: 00000e93 li t4,0 +8000012c: 00600e13 li t3,6 +80000130: 45d19a63 bne gp,t4,80000584 + +80000134 : +80000134: 800000b7 lui ra,0x80000 +80000138: 00000113 li sp,0 +8000013c: 0020a1b3 slt gp,ra,sp +80000140: 00100e93 li t4,1 +80000144: 00700e13 li t3,7 +80000148: 43d19e63 bne gp,t4,80000584 + +8000014c : +8000014c: 800000b7 lui ra,0x80000 +80000150: ffff8137 lui sp,0xffff8 +80000154: 0020a1b3 slt gp,ra,sp +80000158: 00100e93 li t4,1 +8000015c: 00800e13 li t3,8 +80000160: 43d19263 bne gp,t4,80000584 + +80000164 : +80000164: 00000093 li ra,0 +80000168: 00008137 lui sp,0x8 +8000016c: fff10113 addi sp,sp,-1 # 7fff <_start-0x7fff8001> +80000170: 0020a1b3 slt gp,ra,sp +80000174: 00100e93 li t4,1 +80000178: 00900e13 li t3,9 +8000017c: 41d19463 bne gp,t4,80000584 + +80000180 : +80000180: 800000b7 lui ra,0x80000 +80000184: fff08093 addi ra,ra,-1 # 7fffffff +80000188: 00000113 li sp,0 +8000018c: 0020a1b3 slt gp,ra,sp +80000190: 00000e93 li t4,0 +80000194: 00a00e13 li t3,10 +80000198: 3fd19663 bne gp,t4,80000584 + +8000019c : +8000019c: 800000b7 lui ra,0x80000 +800001a0: fff08093 addi ra,ra,-1 # 7fffffff +800001a4: 00008137 lui sp,0x8 +800001a8: fff10113 addi sp,sp,-1 # 7fff <_start-0x7fff8001> +800001ac: 0020a1b3 slt gp,ra,sp +800001b0: 00000e93 li t4,0 +800001b4: 00b00e13 li t3,11 +800001b8: 3dd19663 bne gp,t4,80000584 + +800001bc : +800001bc: 800000b7 lui ra,0x80000 +800001c0: 00008137 lui sp,0x8 +800001c4: fff10113 addi sp,sp,-1 # 7fff <_start-0x7fff8001> +800001c8: 0020a1b3 slt gp,ra,sp +800001cc: 00100e93 li t4,1 +800001d0: 00c00e13 li t3,12 +800001d4: 3bd19863 bne gp,t4,80000584 + +800001d8 : +800001d8: 800000b7 lui ra,0x80000 +800001dc: fff08093 addi ra,ra,-1 # 7fffffff +800001e0: ffff8137 lui sp,0xffff8 +800001e4: 0020a1b3 slt gp,ra,sp +800001e8: 00000e93 li t4,0 +800001ec: 00d00e13 li t3,13 +800001f0: 39d19a63 bne gp,t4,80000584 + +800001f4 : +800001f4: 00000093 li ra,0 +800001f8: fff00113 li sp,-1 +800001fc: 0020a1b3 slt gp,ra,sp +80000200: 00000e93 li t4,0 +80000204: 00e00e13 li t3,14 +80000208: 37d19e63 bne gp,t4,80000584 + +8000020c : +8000020c: fff00093 li ra,-1 +80000210: 00100113 li sp,1 +80000214: 0020a1b3 slt gp,ra,sp +80000218: 00100e93 li t4,1 +8000021c: 00f00e13 li t3,15 +80000220: 37d19263 bne gp,t4,80000584 + +80000224 : +80000224: fff00093 li ra,-1 +80000228: fff00113 li sp,-1 +8000022c: 0020a1b3 slt gp,ra,sp +80000230: 00000e93 li t4,0 +80000234: 01000e13 li t3,16 +80000238: 35d19663 bne gp,t4,80000584 + +8000023c : +8000023c: 00e00093 li ra,14 +80000240: 00d00113 li sp,13 +80000244: 0020a0b3 slt ra,ra,sp +80000248: 00000e93 li t4,0 +8000024c: 01100e13 li t3,17 +80000250: 33d09a63 bne ra,t4,80000584 + +80000254 : +80000254: 00b00093 li ra,11 +80000258: 00d00113 li sp,13 +8000025c: 0020a133 slt sp,ra,sp +80000260: 00100e93 li t4,1 +80000264: 01200e13 li t3,18 +80000268: 31d11e63 bne sp,t4,80000584 + +8000026c : +8000026c: 00d00093 li ra,13 +80000270: 0010a0b3 slt ra,ra,ra +80000274: 00000e93 li t4,0 +80000278: 01300e13 li t3,19 +8000027c: 31d09463 bne ra,t4,80000584 + +80000280 : +80000280: 00000213 li tp,0 +80000284: 00b00093 li ra,11 +80000288: 00d00113 li sp,13 +8000028c: 0020a1b3 slt gp,ra,sp +80000290: 00018313 mv t1,gp +80000294: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000298: 00200293 li t0,2 +8000029c: fe5214e3 bne tp,t0,80000284 +800002a0: 00100e93 li t4,1 +800002a4: 01400e13 li t3,20 +800002a8: 2dd31e63 bne t1,t4,80000584 + +800002ac : +800002ac: 00000213 li tp,0 +800002b0: 00e00093 li ra,14 +800002b4: 00d00113 li sp,13 +800002b8: 0020a1b3 slt gp,ra,sp +800002bc: 00000013 nop +800002c0: 00018313 mv t1,gp +800002c4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002c8: 00200293 li t0,2 +800002cc: fe5212e3 bne tp,t0,800002b0 +800002d0: 00000e93 li t4,0 +800002d4: 01500e13 li t3,21 +800002d8: 2bd31663 bne t1,t4,80000584 + +800002dc : +800002dc: 00000213 li tp,0 +800002e0: 00c00093 li ra,12 +800002e4: 00d00113 li sp,13 +800002e8: 0020a1b3 slt gp,ra,sp +800002ec: 00000013 nop +800002f0: 00000013 nop +800002f4: 00018313 mv t1,gp +800002f8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002fc: 00200293 li t0,2 +80000300: fe5210e3 bne tp,t0,800002e0 +80000304: 00100e93 li t4,1 +80000308: 01600e13 li t3,22 +8000030c: 27d31c63 bne t1,t4,80000584 + +80000310 : +80000310: 00000213 li tp,0 +80000314: 00e00093 li ra,14 +80000318: 00d00113 li sp,13 +8000031c: 0020a1b3 slt gp,ra,sp +80000320: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000324: 00200293 li t0,2 +80000328: fe5216e3 bne tp,t0,80000314 +8000032c: 00000e93 li t4,0 +80000330: 01700e13 li t3,23 +80000334: 25d19863 bne gp,t4,80000584 + +80000338 : +80000338: 00000213 li tp,0 +8000033c: 00b00093 li ra,11 +80000340: 00d00113 li sp,13 +80000344: 00000013 nop +80000348: 0020a1b3 slt gp,ra,sp +8000034c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000350: 00200293 li t0,2 +80000354: fe5214e3 bne tp,t0,8000033c +80000358: 00100e93 li t4,1 +8000035c: 01800e13 li t3,24 +80000360: 23d19263 bne gp,t4,80000584 + +80000364 : +80000364: 00000213 li tp,0 +80000368: 00f00093 li ra,15 +8000036c: 00d00113 li sp,13 +80000370: 00000013 nop +80000374: 00000013 nop +80000378: 0020a1b3 slt gp,ra,sp +8000037c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000380: 00200293 li t0,2 +80000384: fe5212e3 bne tp,t0,80000368 +80000388: 00000e93 li t4,0 +8000038c: 01900e13 li t3,25 +80000390: 1fd19a63 bne gp,t4,80000584 + +80000394 : +80000394: 00000213 li tp,0 +80000398: 00a00093 li ra,10 +8000039c: 00000013 nop +800003a0: 00d00113 li sp,13 +800003a4: 0020a1b3 slt gp,ra,sp +800003a8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003ac: 00200293 li t0,2 +800003b0: fe5214e3 bne tp,t0,80000398 +800003b4: 00100e93 li t4,1 +800003b8: 01a00e13 li t3,26 +800003bc: 1dd19463 bne gp,t4,80000584 + +800003c0 : +800003c0: 00000213 li tp,0 +800003c4: 01000093 li ra,16 +800003c8: 00000013 nop +800003cc: 00d00113 li sp,13 +800003d0: 00000013 nop +800003d4: 0020a1b3 slt gp,ra,sp +800003d8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003dc: 00200293 li t0,2 +800003e0: fe5212e3 bne tp,t0,800003c4 +800003e4: 00000e93 li t4,0 +800003e8: 01b00e13 li t3,27 +800003ec: 19d19c63 bne gp,t4,80000584 + +800003f0 : +800003f0: 00000213 li tp,0 +800003f4: 00900093 li ra,9 +800003f8: 00000013 nop +800003fc: 00000013 nop +80000400: 00d00113 li sp,13 +80000404: 0020a1b3 slt gp,ra,sp +80000408: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000040c: 00200293 li t0,2 +80000410: fe5212e3 bne tp,t0,800003f4 +80000414: 00100e93 li t4,1 +80000418: 01c00e13 li t3,28 +8000041c: 17d19463 bne gp,t4,80000584 + +80000420 : +80000420: 00000213 li tp,0 +80000424: 00d00113 li sp,13 +80000428: 01100093 li ra,17 +8000042c: 0020a1b3 slt gp,ra,sp +80000430: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000434: 00200293 li t0,2 +80000438: fe5216e3 bne tp,t0,80000424 +8000043c: 00000e93 li t4,0 +80000440: 01d00e13 li t3,29 +80000444: 15d19063 bne gp,t4,80000584 + +80000448 : +80000448: 00000213 li tp,0 +8000044c: 00d00113 li sp,13 +80000450: 00800093 li ra,8 +80000454: 00000013 nop +80000458: 0020a1b3 slt gp,ra,sp +8000045c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000460: 00200293 li t0,2 +80000464: fe5214e3 bne tp,t0,8000044c +80000468: 00100e93 li t4,1 +8000046c: 01e00e13 li t3,30 +80000470: 11d19a63 bne gp,t4,80000584 + +80000474 : +80000474: 00000213 li tp,0 +80000478: 00d00113 li sp,13 +8000047c: 01200093 li ra,18 +80000480: 00000013 nop +80000484: 00000013 nop +80000488: 0020a1b3 slt gp,ra,sp +8000048c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000490: 00200293 li t0,2 +80000494: fe5212e3 bne tp,t0,80000478 +80000498: 00000e93 li t4,0 +8000049c: 01f00e13 li t3,31 +800004a0: 0fd19263 bne gp,t4,80000584 + +800004a4 : +800004a4: 00000213 li tp,0 +800004a8: 00d00113 li sp,13 +800004ac: 00000013 nop +800004b0: 00700093 li ra,7 +800004b4: 0020a1b3 slt gp,ra,sp +800004b8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004bc: 00200293 li t0,2 +800004c0: fe5214e3 bne tp,t0,800004a8 +800004c4: 00100e93 li t4,1 +800004c8: 02000e13 li t3,32 +800004cc: 0bd19c63 bne gp,t4,80000584 + +800004d0 : +800004d0: 00000213 li tp,0 +800004d4: 00d00113 li sp,13 +800004d8: 00000013 nop +800004dc: 01300093 li ra,19 +800004e0: 00000013 nop +800004e4: 0020a1b3 slt gp,ra,sp +800004e8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004ec: 00200293 li t0,2 +800004f0: fe5212e3 bne tp,t0,800004d4 +800004f4: 00000e93 li t4,0 +800004f8: 02100e13 li t3,33 +800004fc: 09d19463 bne gp,t4,80000584 + +80000500 : +80000500: 00000213 li tp,0 +80000504: 00d00113 li sp,13 +80000508: 00000013 nop +8000050c: 00000013 nop +80000510: 00600093 li ra,6 +80000514: 0020a1b3 slt gp,ra,sp +80000518: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000051c: 00200293 li t0,2 +80000520: fe5212e3 bne tp,t0,80000504 +80000524: 00100e93 li t4,1 +80000528: 02200e13 li t3,34 +8000052c: 05d19c63 bne gp,t4,80000584 + +80000530 : +80000530: fff00093 li ra,-1 +80000534: 00102133 sgtz sp,ra +80000538: 00000e93 li t4,0 +8000053c: 02300e13 li t3,35 +80000540: 05d11263 bne sp,t4,80000584 + +80000544 : +80000544: fff00093 li ra,-1 +80000548: 0000a133 sltz sp,ra +8000054c: 00100e93 li t4,1 +80000550: 02400e13 li t3,36 +80000554: 03d11863 bne sp,t4,80000584 + +80000558 : +80000558: 000020b3 sltz ra,zero +8000055c: 00000e93 li t4,0 +80000560: 02500e13 li t3,37 +80000564: 03d09063 bne ra,t4,80000584 + +80000568 : +80000568: 01000093 li ra,16 +8000056c: 01e00113 li sp,30 +80000570: 0020a033 slt zero,ra,sp +80000574: 00000e93 li t4,0 +80000578: 02600e13 li t3,38 +8000057c: 01d01463 bne zero,t4,80000584 +80000580: 01c01c63 bne zero,t3,80000598 + +80000584 : +80000584: 0ff0000f fence +80000588: 000e0063 beqz t3,80000588 +8000058c: 001e1e13 slli t3,t3,0x1 +80000590: 001e6e13 ori t3,t3,1 +80000594: 00000073 ecall + +80000598 : +80000598: 0ff0000f fence +8000059c: 00100e13 li t3,1 +800005a0: 00000073 ecall +800005a4: c0001073 unimp +800005a8: 0000 unimp +800005aa: 0000 unimp +800005ac: 0000 unimp +800005ae: 0000 unimp +800005b0: 0000 unimp +800005b2: 0000 unimp +800005b4: 0000 unimp +800005b6: 0000 unimp +800005b8: 0000 unimp +800005ba: 0000 unimp +800005bc: 0000 unimp +800005be: 0000 unimp +800005c0: 0000 unimp +800005c2: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-slti.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-slti.dump new file mode 100644 index 0000000..056985f --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-slti.dump @@ -0,0 +1,304 @@ + +rv32ui-p-slti: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00000093 li ra,0 +800000c0: 0000a193 slti gp,ra,0 +800000c4: 00000e93 li t4,0 +800000c8: 00200e13 li t3,2 +800000cc: 27d19263 bne gp,t4,80000330 + +800000d0 : +800000d0: 00100093 li ra,1 +800000d4: 0010a193 slti gp,ra,1 +800000d8: 00000e93 li t4,0 +800000dc: 00300e13 li t3,3 +800000e0: 25d19863 bne gp,t4,80000330 + +800000e4 : +800000e4: 00300093 li ra,3 +800000e8: 0070a193 slti gp,ra,7 +800000ec: 00100e93 li t4,1 +800000f0: 00400e13 li t3,4 +800000f4: 23d19e63 bne gp,t4,80000330 + +800000f8 : +800000f8: 00700093 li ra,7 +800000fc: 0030a193 slti gp,ra,3 +80000100: 00000e93 li t4,0 +80000104: 00500e13 li t3,5 +80000108: 23d19463 bne gp,t4,80000330 + +8000010c : +8000010c: 00000093 li ra,0 +80000110: 8000a193 slti gp,ra,-2048 +80000114: 00000e93 li t4,0 +80000118: 00600e13 li t3,6 +8000011c: 21d19a63 bne gp,t4,80000330 + +80000120 : +80000120: 800000b7 lui ra,0x80000 +80000124: 0000a193 slti gp,ra,0 +80000128: 00100e93 li t4,1 +8000012c: 00700e13 li t3,7 +80000130: 21d19063 bne gp,t4,80000330 + +80000134 : +80000134: 800000b7 lui ra,0x80000 +80000138: 8000a193 slti gp,ra,-2048 +8000013c: 00100e93 li t4,1 +80000140: 00800e13 li t3,8 +80000144: 1fd19663 bne gp,t4,80000330 + +80000148 : +80000148: 00000093 li ra,0 +8000014c: 7ff0a193 slti gp,ra,2047 +80000150: 00100e93 li t4,1 +80000154: 00900e13 li t3,9 +80000158: 1dd19c63 bne gp,t4,80000330 + +8000015c : +8000015c: 800000b7 lui ra,0x80000 +80000160: fff08093 addi ra,ra,-1 # 7fffffff +80000164: 0000a193 slti gp,ra,0 +80000168: 00000e93 li t4,0 +8000016c: 00a00e13 li t3,10 +80000170: 1dd19063 bne gp,t4,80000330 + +80000174 : +80000174: 800000b7 lui ra,0x80000 +80000178: fff08093 addi ra,ra,-1 # 7fffffff +8000017c: 7ff0a193 slti gp,ra,2047 +80000180: 00000e93 li t4,0 +80000184: 00b00e13 li t3,11 +80000188: 1bd19463 bne gp,t4,80000330 + +8000018c : +8000018c: 800000b7 lui ra,0x80000 +80000190: 7ff0a193 slti gp,ra,2047 +80000194: 00100e93 li t4,1 +80000198: 00c00e13 li t3,12 +8000019c: 19d19a63 bne gp,t4,80000330 + +800001a0 : +800001a0: 800000b7 lui ra,0x80000 +800001a4: fff08093 addi ra,ra,-1 # 7fffffff +800001a8: 8000a193 slti gp,ra,-2048 +800001ac: 00000e93 li t4,0 +800001b0: 00d00e13 li t3,13 +800001b4: 17d19e63 bne gp,t4,80000330 + +800001b8 : +800001b8: 00000093 li ra,0 +800001bc: fff0a193 slti gp,ra,-1 +800001c0: 00000e93 li t4,0 +800001c4: 00e00e13 li t3,14 +800001c8: 17d19463 bne gp,t4,80000330 + +800001cc : +800001cc: fff00093 li ra,-1 +800001d0: 0010a193 slti gp,ra,1 +800001d4: 00100e93 li t4,1 +800001d8: 00f00e13 li t3,15 +800001dc: 15d19a63 bne gp,t4,80000330 + +800001e0 : +800001e0: fff00093 li ra,-1 +800001e4: fff0a193 slti gp,ra,-1 +800001e8: 00000e93 li t4,0 +800001ec: 01000e13 li t3,16 +800001f0: 15d19063 bne gp,t4,80000330 + +800001f4 : +800001f4: 00b00093 li ra,11 +800001f8: 00d0a093 slti ra,ra,13 +800001fc: 00100e93 li t4,1 +80000200: 01100e13 li t3,17 +80000204: 13d09663 bne ra,t4,80000330 + +80000208 : +80000208: 00000213 li tp,0 +8000020c: 00f00093 li ra,15 +80000210: 00a0a193 slti gp,ra,10 +80000214: 00018313 mv t1,gp +80000218: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000021c: 00200293 li t0,2 +80000220: fe5216e3 bne tp,t0,8000020c +80000224: 00000e93 li t4,0 +80000228: 01200e13 li t3,18 +8000022c: 11d31263 bne t1,t4,80000330 + +80000230 : +80000230: 00000213 li tp,0 +80000234: 00a00093 li ra,10 +80000238: 0100a193 slti gp,ra,16 +8000023c: 00000013 nop +80000240: 00018313 mv t1,gp +80000244: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000248: 00200293 li t0,2 +8000024c: fe5214e3 bne tp,t0,80000234 +80000250: 00100e93 li t4,1 +80000254: 01300e13 li t3,19 +80000258: 0dd31c63 bne t1,t4,80000330 + +8000025c : +8000025c: 00000213 li tp,0 +80000260: 01000093 li ra,16 +80000264: 0090a193 slti gp,ra,9 +80000268: 00000013 nop +8000026c: 00000013 nop +80000270: 00018313 mv t1,gp +80000274: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000278: 00200293 li t0,2 +8000027c: fe5212e3 bne tp,t0,80000260 +80000280: 00000e93 li t4,0 +80000284: 01400e13 li t3,20 +80000288: 0bd31463 bne t1,t4,80000330 + +8000028c : +8000028c: 00000213 li tp,0 +80000290: 00b00093 li ra,11 +80000294: 00f0a193 slti gp,ra,15 +80000298: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000029c: 00200293 li t0,2 +800002a0: fe5218e3 bne tp,t0,80000290 +800002a4: 00100e93 li t4,1 +800002a8: 01500e13 li t3,21 +800002ac: 09d19263 bne gp,t4,80000330 + +800002b0 : +800002b0: 00000213 li tp,0 +800002b4: 01100093 li ra,17 +800002b8: 00000013 nop +800002bc: 0080a193 slti gp,ra,8 +800002c0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002c4: 00200293 li t0,2 +800002c8: fe5216e3 bne tp,t0,800002b4 +800002cc: 00000e93 li t4,0 +800002d0: 01600e13 li t3,22 +800002d4: 05d19e63 bne gp,t4,80000330 + +800002d8 : +800002d8: 00000213 li tp,0 +800002dc: 00c00093 li ra,12 +800002e0: 00000013 nop +800002e4: 00000013 nop +800002e8: 00e0a193 slti gp,ra,14 +800002ec: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002f0: 00200293 li t0,2 +800002f4: fe5214e3 bne tp,t0,800002dc +800002f8: 00100e93 li t4,1 +800002fc: 01700e13 li t3,23 +80000300: 03d19863 bne gp,t4,80000330 + +80000304 : +80000304: fff02093 slti ra,zero,-1 +80000308: 00000e93 li t4,0 +8000030c: 01800e13 li t3,24 +80000310: 03d09063 bne ra,t4,80000330 + +80000314 : +80000314: 00ff00b7 lui ra,0xff0 +80000318: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +8000031c: fff0a013 slti zero,ra,-1 +80000320: 00000e93 li t4,0 +80000324: 01900e13 li t3,25 +80000328: 01d01463 bne zero,t4,80000330 +8000032c: 01c01c63 bne zero,t3,80000344 + +80000330 : +80000330: 0ff0000f fence +80000334: 000e0063 beqz t3,80000334 +80000338: 001e1e13 slli t3,t3,0x1 +8000033c: 001e6e13 ori t3,t3,1 +80000340: 00000073 ecall + +80000344 : +80000344: 0ff0000f fence +80000348: 00100e13 li t3,1 +8000034c: 00000073 ecall +80000350: c0001073 unimp +80000354: 0000 unimp +80000356: 0000 unimp +80000358: 0000 unimp +8000035a: 0000 unimp +8000035c: 0000 unimp +8000035e: 0000 unimp +80000360: 0000 unimp +80000362: 0000 unimp +80000364: 0000 unimp +80000366: 0000 unimp +80000368: 0000 unimp +8000036a: 0000 unimp +8000036c: 0000 unimp +8000036e: 0000 unimp +80000370: 0000 unimp +80000372: 0000 unimp +80000374: 0000 unimp +80000376: 0000 unimp +80000378: 0000 unimp +8000037a: 0000 unimp +8000037c: 0000 unimp +8000037e: 0000 unimp +80000380: 0000 unimp +80000382: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-sltiu.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-sltiu.dump new file mode 100644 index 0000000..3b31ce7 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-sltiu.dump @@ -0,0 +1,304 @@ + +rv32ui-p-sltiu: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00000093 li ra,0 +800000c0: 0000b193 sltiu gp,ra,0 +800000c4: 00000e93 li t4,0 +800000c8: 00200e13 li t3,2 +800000cc: 27d19263 bne gp,t4,80000330 + +800000d0 : +800000d0: 00100093 li ra,1 +800000d4: 0010b193 seqz gp,ra +800000d8: 00000e93 li t4,0 +800000dc: 00300e13 li t3,3 +800000e0: 25d19863 bne gp,t4,80000330 + +800000e4 : +800000e4: 00300093 li ra,3 +800000e8: 0070b193 sltiu gp,ra,7 +800000ec: 00100e93 li t4,1 +800000f0: 00400e13 li t3,4 +800000f4: 23d19e63 bne gp,t4,80000330 + +800000f8 : +800000f8: 00700093 li ra,7 +800000fc: 0030b193 sltiu gp,ra,3 +80000100: 00000e93 li t4,0 +80000104: 00500e13 li t3,5 +80000108: 23d19463 bne gp,t4,80000330 + +8000010c : +8000010c: 00000093 li ra,0 +80000110: 8000b193 sltiu gp,ra,-2048 +80000114: 00100e93 li t4,1 +80000118: 00600e13 li t3,6 +8000011c: 21d19a63 bne gp,t4,80000330 + +80000120 : +80000120: 800000b7 lui ra,0x80000 +80000124: 0000b193 sltiu gp,ra,0 +80000128: 00000e93 li t4,0 +8000012c: 00700e13 li t3,7 +80000130: 21d19063 bne gp,t4,80000330 + +80000134 : +80000134: 800000b7 lui ra,0x80000 +80000138: 8000b193 sltiu gp,ra,-2048 +8000013c: 00100e93 li t4,1 +80000140: 00800e13 li t3,8 +80000144: 1fd19663 bne gp,t4,80000330 + +80000148 : +80000148: 00000093 li ra,0 +8000014c: 7ff0b193 sltiu gp,ra,2047 +80000150: 00100e93 li t4,1 +80000154: 00900e13 li t3,9 +80000158: 1dd19c63 bne gp,t4,80000330 + +8000015c : +8000015c: 800000b7 lui ra,0x80000 +80000160: fff08093 addi ra,ra,-1 # 7fffffff +80000164: 0000b193 sltiu gp,ra,0 +80000168: 00000e93 li t4,0 +8000016c: 00a00e13 li t3,10 +80000170: 1dd19063 bne gp,t4,80000330 + +80000174 : +80000174: 800000b7 lui ra,0x80000 +80000178: fff08093 addi ra,ra,-1 # 7fffffff +8000017c: 7ff0b193 sltiu gp,ra,2047 +80000180: 00000e93 li t4,0 +80000184: 00b00e13 li t3,11 +80000188: 1bd19463 bne gp,t4,80000330 + +8000018c : +8000018c: 800000b7 lui ra,0x80000 +80000190: 7ff0b193 sltiu gp,ra,2047 +80000194: 00000e93 li t4,0 +80000198: 00c00e13 li t3,12 +8000019c: 19d19a63 bne gp,t4,80000330 + +800001a0 : +800001a0: 800000b7 lui ra,0x80000 +800001a4: fff08093 addi ra,ra,-1 # 7fffffff +800001a8: 8000b193 sltiu gp,ra,-2048 +800001ac: 00100e93 li t4,1 +800001b0: 00d00e13 li t3,13 +800001b4: 17d19e63 bne gp,t4,80000330 + +800001b8 : +800001b8: 00000093 li ra,0 +800001bc: fff0b193 sltiu gp,ra,-1 +800001c0: 00100e93 li t4,1 +800001c4: 00e00e13 li t3,14 +800001c8: 17d19463 bne gp,t4,80000330 + +800001cc : +800001cc: fff00093 li ra,-1 +800001d0: 0010b193 seqz gp,ra +800001d4: 00000e93 li t4,0 +800001d8: 00f00e13 li t3,15 +800001dc: 15d19a63 bne gp,t4,80000330 + +800001e0 : +800001e0: fff00093 li ra,-1 +800001e4: fff0b193 sltiu gp,ra,-1 +800001e8: 00000e93 li t4,0 +800001ec: 01000e13 li t3,16 +800001f0: 15d19063 bne gp,t4,80000330 + +800001f4 : +800001f4: 00b00093 li ra,11 +800001f8: 00d0b093 sltiu ra,ra,13 +800001fc: 00100e93 li t4,1 +80000200: 01100e13 li t3,17 +80000204: 13d09663 bne ra,t4,80000330 + +80000208 : +80000208: 00000213 li tp,0 +8000020c: 00f00093 li ra,15 +80000210: 00a0b193 sltiu gp,ra,10 +80000214: 00018313 mv t1,gp +80000218: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000021c: 00200293 li t0,2 +80000220: fe5216e3 bne tp,t0,8000020c +80000224: 00000e93 li t4,0 +80000228: 01200e13 li t3,18 +8000022c: 11d31263 bne t1,t4,80000330 + +80000230 : +80000230: 00000213 li tp,0 +80000234: 00a00093 li ra,10 +80000238: 0100b193 sltiu gp,ra,16 +8000023c: 00000013 nop +80000240: 00018313 mv t1,gp +80000244: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000248: 00200293 li t0,2 +8000024c: fe5214e3 bne tp,t0,80000234 +80000250: 00100e93 li t4,1 +80000254: 01300e13 li t3,19 +80000258: 0dd31c63 bne t1,t4,80000330 + +8000025c : +8000025c: 00000213 li tp,0 +80000260: 01000093 li ra,16 +80000264: 0090b193 sltiu gp,ra,9 +80000268: 00000013 nop +8000026c: 00000013 nop +80000270: 00018313 mv t1,gp +80000274: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000278: 00200293 li t0,2 +8000027c: fe5212e3 bne tp,t0,80000260 +80000280: 00000e93 li t4,0 +80000284: 01400e13 li t3,20 +80000288: 0bd31463 bne t1,t4,80000330 + +8000028c : +8000028c: 00000213 li tp,0 +80000290: 00b00093 li ra,11 +80000294: 00f0b193 sltiu gp,ra,15 +80000298: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000029c: 00200293 li t0,2 +800002a0: fe5218e3 bne tp,t0,80000290 +800002a4: 00100e93 li t4,1 +800002a8: 01500e13 li t3,21 +800002ac: 09d19263 bne gp,t4,80000330 + +800002b0 : +800002b0: 00000213 li tp,0 +800002b4: 01100093 li ra,17 +800002b8: 00000013 nop +800002bc: 0080b193 sltiu gp,ra,8 +800002c0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002c4: 00200293 li t0,2 +800002c8: fe5216e3 bne tp,t0,800002b4 +800002cc: 00000e93 li t4,0 +800002d0: 01600e13 li t3,22 +800002d4: 05d19e63 bne gp,t4,80000330 + +800002d8 : +800002d8: 00000213 li tp,0 +800002dc: 00c00093 li ra,12 +800002e0: 00000013 nop +800002e4: 00000013 nop +800002e8: 00e0b193 sltiu gp,ra,14 +800002ec: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002f0: 00200293 li t0,2 +800002f4: fe5214e3 bne tp,t0,800002dc +800002f8: 00100e93 li t4,1 +800002fc: 01700e13 li t3,23 +80000300: 03d19863 bne gp,t4,80000330 + +80000304 : +80000304: fff03093 sltiu ra,zero,-1 +80000308: 00100e93 li t4,1 +8000030c: 01800e13 li t3,24 +80000310: 03d09063 bne ra,t4,80000330 + +80000314 : +80000314: 00ff00b7 lui ra,0xff0 +80000318: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +8000031c: fff0b013 sltiu zero,ra,-1 +80000320: 00000e93 li t4,0 +80000324: 01900e13 li t3,25 +80000328: 01d01463 bne zero,t4,80000330 +8000032c: 01c01c63 bne zero,t3,80000344 + +80000330 : +80000330: 0ff0000f fence +80000334: 000e0063 beqz t3,80000334 +80000338: 001e1e13 slli t3,t3,0x1 +8000033c: 001e6e13 ori t3,t3,1 +80000340: 00000073 ecall + +80000344 : +80000344: 0ff0000f fence +80000348: 00100e13 li t3,1 +8000034c: 00000073 ecall +80000350: c0001073 unimp +80000354: 0000 unimp +80000356: 0000 unimp +80000358: 0000 unimp +8000035a: 0000 unimp +8000035c: 0000 unimp +8000035e: 0000 unimp +80000360: 0000 unimp +80000362: 0000 unimp +80000364: 0000 unimp +80000366: 0000 unimp +80000368: 0000 unimp +8000036a: 0000 unimp +8000036c: 0000 unimp +8000036e: 0000 unimp +80000370: 0000 unimp +80000372: 0000 unimp +80000374: 0000 unimp +80000376: 0000 unimp +80000378: 0000 unimp +8000037a: 0000 unimp +8000037c: 0000 unimp +8000037e: 0000 unimp +80000380: 0000 unimp +80000382: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-sltu.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-sltu.dump new file mode 100644 index 0000000..4472964 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-sltu.dump @@ -0,0 +1,469 @@ + +rv32ui-p-sltu: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00000093 li ra,0 +800000c0: 00000113 li sp,0 +800000c4: 0020b1b3 sltu gp,ra,sp +800000c8: 00000e93 li t4,0 +800000cc: 00200e13 li t3,2 +800000d0: 4bd19a63 bne gp,t4,80000584 + +800000d4 : +800000d4: 00100093 li ra,1 +800000d8: 00100113 li sp,1 +800000dc: 0020b1b3 sltu gp,ra,sp +800000e0: 00000e93 li t4,0 +800000e4: 00300e13 li t3,3 +800000e8: 49d19e63 bne gp,t4,80000584 + +800000ec : +800000ec: 00300093 li ra,3 +800000f0: 00700113 li sp,7 +800000f4: 0020b1b3 sltu gp,ra,sp +800000f8: 00100e93 li t4,1 +800000fc: 00400e13 li t3,4 +80000100: 49d19263 bne gp,t4,80000584 + +80000104 : +80000104: 00700093 li ra,7 +80000108: 00300113 li sp,3 +8000010c: 0020b1b3 sltu gp,ra,sp +80000110: 00000e93 li t4,0 +80000114: 00500e13 li t3,5 +80000118: 47d19663 bne gp,t4,80000584 + +8000011c : +8000011c: 00000093 li ra,0 +80000120: ffff8137 lui sp,0xffff8 +80000124: 0020b1b3 sltu gp,ra,sp +80000128: 00100e93 li t4,1 +8000012c: 00600e13 li t3,6 +80000130: 45d19a63 bne gp,t4,80000584 + +80000134 : +80000134: 800000b7 lui ra,0x80000 +80000138: 00000113 li sp,0 +8000013c: 0020b1b3 sltu gp,ra,sp +80000140: 00000e93 li t4,0 +80000144: 00700e13 li t3,7 +80000148: 43d19e63 bne gp,t4,80000584 + +8000014c : +8000014c: 800000b7 lui ra,0x80000 +80000150: ffff8137 lui sp,0xffff8 +80000154: 0020b1b3 sltu gp,ra,sp +80000158: 00100e93 li t4,1 +8000015c: 00800e13 li t3,8 +80000160: 43d19263 bne gp,t4,80000584 + +80000164 : +80000164: 00000093 li ra,0 +80000168: 00008137 lui sp,0x8 +8000016c: fff10113 addi sp,sp,-1 # 7fff <_start-0x7fff8001> +80000170: 0020b1b3 sltu gp,ra,sp +80000174: 00100e93 li t4,1 +80000178: 00900e13 li t3,9 +8000017c: 41d19463 bne gp,t4,80000584 + +80000180 : +80000180: 800000b7 lui ra,0x80000 +80000184: fff08093 addi ra,ra,-1 # 7fffffff +80000188: 00000113 li sp,0 +8000018c: 0020b1b3 sltu gp,ra,sp +80000190: 00000e93 li t4,0 +80000194: 00a00e13 li t3,10 +80000198: 3fd19663 bne gp,t4,80000584 + +8000019c : +8000019c: 800000b7 lui ra,0x80000 +800001a0: fff08093 addi ra,ra,-1 # 7fffffff +800001a4: 00008137 lui sp,0x8 +800001a8: fff10113 addi sp,sp,-1 # 7fff <_start-0x7fff8001> +800001ac: 0020b1b3 sltu gp,ra,sp +800001b0: 00000e93 li t4,0 +800001b4: 00b00e13 li t3,11 +800001b8: 3dd19663 bne gp,t4,80000584 + +800001bc : +800001bc: 800000b7 lui ra,0x80000 +800001c0: 00008137 lui sp,0x8 +800001c4: fff10113 addi sp,sp,-1 # 7fff <_start-0x7fff8001> +800001c8: 0020b1b3 sltu gp,ra,sp +800001cc: 00000e93 li t4,0 +800001d0: 00c00e13 li t3,12 +800001d4: 3bd19863 bne gp,t4,80000584 + +800001d8 : +800001d8: 800000b7 lui ra,0x80000 +800001dc: fff08093 addi ra,ra,-1 # 7fffffff +800001e0: ffff8137 lui sp,0xffff8 +800001e4: 0020b1b3 sltu gp,ra,sp +800001e8: 00100e93 li t4,1 +800001ec: 00d00e13 li t3,13 +800001f0: 39d19a63 bne gp,t4,80000584 + +800001f4 : +800001f4: 00000093 li ra,0 +800001f8: fff00113 li sp,-1 +800001fc: 0020b1b3 sltu gp,ra,sp +80000200: 00100e93 li t4,1 +80000204: 00e00e13 li t3,14 +80000208: 37d19e63 bne gp,t4,80000584 + +8000020c : +8000020c: fff00093 li ra,-1 +80000210: 00100113 li sp,1 +80000214: 0020b1b3 sltu gp,ra,sp +80000218: 00000e93 li t4,0 +8000021c: 00f00e13 li t3,15 +80000220: 37d19263 bne gp,t4,80000584 + +80000224 : +80000224: fff00093 li ra,-1 +80000228: fff00113 li sp,-1 +8000022c: 0020b1b3 sltu gp,ra,sp +80000230: 00000e93 li t4,0 +80000234: 01000e13 li t3,16 +80000238: 35d19663 bne gp,t4,80000584 + +8000023c : +8000023c: 00e00093 li ra,14 +80000240: 00d00113 li sp,13 +80000244: 0020b0b3 sltu ra,ra,sp +80000248: 00000e93 li t4,0 +8000024c: 01100e13 li t3,17 +80000250: 33d09a63 bne ra,t4,80000584 + +80000254 : +80000254: 00b00093 li ra,11 +80000258: 00d00113 li sp,13 +8000025c: 0020b133 sltu sp,ra,sp +80000260: 00100e93 li t4,1 +80000264: 01200e13 li t3,18 +80000268: 31d11e63 bne sp,t4,80000584 + +8000026c : +8000026c: 00d00093 li ra,13 +80000270: 0010b0b3 sltu ra,ra,ra +80000274: 00000e93 li t4,0 +80000278: 01300e13 li t3,19 +8000027c: 31d09463 bne ra,t4,80000584 + +80000280 : +80000280: 00000213 li tp,0 +80000284: 00b00093 li ra,11 +80000288: 00d00113 li sp,13 +8000028c: 0020b1b3 sltu gp,ra,sp +80000290: 00018313 mv t1,gp +80000294: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000298: 00200293 li t0,2 +8000029c: fe5214e3 bne tp,t0,80000284 +800002a0: 00100e93 li t4,1 +800002a4: 01400e13 li t3,20 +800002a8: 2dd31e63 bne t1,t4,80000584 + +800002ac : +800002ac: 00000213 li tp,0 +800002b0: 00e00093 li ra,14 +800002b4: 00d00113 li sp,13 +800002b8: 0020b1b3 sltu gp,ra,sp +800002bc: 00000013 nop +800002c0: 00018313 mv t1,gp +800002c4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002c8: 00200293 li t0,2 +800002cc: fe5212e3 bne tp,t0,800002b0 +800002d0: 00000e93 li t4,0 +800002d4: 01500e13 li t3,21 +800002d8: 2bd31663 bne t1,t4,80000584 + +800002dc : +800002dc: 00000213 li tp,0 +800002e0: 00c00093 li ra,12 +800002e4: 00d00113 li sp,13 +800002e8: 0020b1b3 sltu gp,ra,sp +800002ec: 00000013 nop +800002f0: 00000013 nop +800002f4: 00018313 mv t1,gp +800002f8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002fc: 00200293 li t0,2 +80000300: fe5210e3 bne tp,t0,800002e0 +80000304: 00100e93 li t4,1 +80000308: 01600e13 li t3,22 +8000030c: 27d31c63 bne t1,t4,80000584 + +80000310 : +80000310: 00000213 li tp,0 +80000314: 00e00093 li ra,14 +80000318: 00d00113 li sp,13 +8000031c: 0020b1b3 sltu gp,ra,sp +80000320: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000324: 00200293 li t0,2 +80000328: fe5216e3 bne tp,t0,80000314 +8000032c: 00000e93 li t4,0 +80000330: 01700e13 li t3,23 +80000334: 25d19863 bne gp,t4,80000584 + +80000338 : +80000338: 00000213 li tp,0 +8000033c: 00b00093 li ra,11 +80000340: 00d00113 li sp,13 +80000344: 00000013 nop +80000348: 0020b1b3 sltu gp,ra,sp +8000034c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000350: 00200293 li t0,2 +80000354: fe5214e3 bne tp,t0,8000033c +80000358: 00100e93 li t4,1 +8000035c: 01800e13 li t3,24 +80000360: 23d19263 bne gp,t4,80000584 + +80000364 : +80000364: 00000213 li tp,0 +80000368: 00f00093 li ra,15 +8000036c: 00d00113 li sp,13 +80000370: 00000013 nop +80000374: 00000013 nop +80000378: 0020b1b3 sltu gp,ra,sp +8000037c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000380: 00200293 li t0,2 +80000384: fe5212e3 bne tp,t0,80000368 +80000388: 00000e93 li t4,0 +8000038c: 01900e13 li t3,25 +80000390: 1fd19a63 bne gp,t4,80000584 + +80000394 : +80000394: 00000213 li tp,0 +80000398: 00a00093 li ra,10 +8000039c: 00000013 nop +800003a0: 00d00113 li sp,13 +800003a4: 0020b1b3 sltu gp,ra,sp +800003a8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003ac: 00200293 li t0,2 +800003b0: fe5214e3 bne tp,t0,80000398 +800003b4: 00100e93 li t4,1 +800003b8: 01a00e13 li t3,26 +800003bc: 1dd19463 bne gp,t4,80000584 + +800003c0 : +800003c0: 00000213 li tp,0 +800003c4: 01000093 li ra,16 +800003c8: 00000013 nop +800003cc: 00d00113 li sp,13 +800003d0: 00000013 nop +800003d4: 0020b1b3 sltu gp,ra,sp +800003d8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003dc: 00200293 li t0,2 +800003e0: fe5212e3 bne tp,t0,800003c4 +800003e4: 00000e93 li t4,0 +800003e8: 01b00e13 li t3,27 +800003ec: 19d19c63 bne gp,t4,80000584 + +800003f0 : +800003f0: 00000213 li tp,0 +800003f4: 00900093 li ra,9 +800003f8: 00000013 nop +800003fc: 00000013 nop +80000400: 00d00113 li sp,13 +80000404: 0020b1b3 sltu gp,ra,sp +80000408: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000040c: 00200293 li t0,2 +80000410: fe5212e3 bne tp,t0,800003f4 +80000414: 00100e93 li t4,1 +80000418: 01c00e13 li t3,28 +8000041c: 17d19463 bne gp,t4,80000584 + +80000420 : +80000420: 00000213 li tp,0 +80000424: 00d00113 li sp,13 +80000428: 01100093 li ra,17 +8000042c: 0020b1b3 sltu gp,ra,sp +80000430: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000434: 00200293 li t0,2 +80000438: fe5216e3 bne tp,t0,80000424 +8000043c: 00000e93 li t4,0 +80000440: 01d00e13 li t3,29 +80000444: 15d19063 bne gp,t4,80000584 + +80000448 : +80000448: 00000213 li tp,0 +8000044c: 00d00113 li sp,13 +80000450: 00800093 li ra,8 +80000454: 00000013 nop +80000458: 0020b1b3 sltu gp,ra,sp +8000045c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000460: 00200293 li t0,2 +80000464: fe5214e3 bne tp,t0,8000044c +80000468: 00100e93 li t4,1 +8000046c: 01e00e13 li t3,30 +80000470: 11d19a63 bne gp,t4,80000584 + +80000474 : +80000474: 00000213 li tp,0 +80000478: 00d00113 li sp,13 +8000047c: 01200093 li ra,18 +80000480: 00000013 nop +80000484: 00000013 nop +80000488: 0020b1b3 sltu gp,ra,sp +8000048c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000490: 00200293 li t0,2 +80000494: fe5212e3 bne tp,t0,80000478 +80000498: 00000e93 li t4,0 +8000049c: 01f00e13 li t3,31 +800004a0: 0fd19263 bne gp,t4,80000584 + +800004a4 : +800004a4: 00000213 li tp,0 +800004a8: 00d00113 li sp,13 +800004ac: 00000013 nop +800004b0: 00700093 li ra,7 +800004b4: 0020b1b3 sltu gp,ra,sp +800004b8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004bc: 00200293 li t0,2 +800004c0: fe5214e3 bne tp,t0,800004a8 +800004c4: 00100e93 li t4,1 +800004c8: 02000e13 li t3,32 +800004cc: 0bd19c63 bne gp,t4,80000584 + +800004d0 : +800004d0: 00000213 li tp,0 +800004d4: 00d00113 li sp,13 +800004d8: 00000013 nop +800004dc: 01300093 li ra,19 +800004e0: 00000013 nop +800004e4: 0020b1b3 sltu gp,ra,sp +800004e8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004ec: 00200293 li t0,2 +800004f0: fe5212e3 bne tp,t0,800004d4 +800004f4: 00000e93 li t4,0 +800004f8: 02100e13 li t3,33 +800004fc: 09d19463 bne gp,t4,80000584 + +80000500 : +80000500: 00000213 li tp,0 +80000504: 00d00113 li sp,13 +80000508: 00000013 nop +8000050c: 00000013 nop +80000510: 00600093 li ra,6 +80000514: 0020b1b3 sltu gp,ra,sp +80000518: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000051c: 00200293 li t0,2 +80000520: fe5212e3 bne tp,t0,80000504 +80000524: 00100e93 li t4,1 +80000528: 02200e13 li t3,34 +8000052c: 05d19c63 bne gp,t4,80000584 + +80000530 : +80000530: fff00093 li ra,-1 +80000534: 00103133 snez sp,ra +80000538: 00100e93 li t4,1 +8000053c: 02300e13 li t3,35 +80000540: 05d11263 bne sp,t4,80000584 + +80000544 : +80000544: fff00093 li ra,-1 +80000548: 0000b133 sltu sp,ra,zero +8000054c: 00000e93 li t4,0 +80000550: 02400e13 li t3,36 +80000554: 03d11863 bne sp,t4,80000584 + +80000558 : +80000558: 000030b3 snez ra,zero +8000055c: 00000e93 li t4,0 +80000560: 02500e13 li t3,37 +80000564: 03d09063 bne ra,t4,80000584 + +80000568 : +80000568: 01000093 li ra,16 +8000056c: 01e00113 li sp,30 +80000570: 0020b033 sltu zero,ra,sp +80000574: 00000e93 li t4,0 +80000578: 02600e13 li t3,38 +8000057c: 01d01463 bne zero,t4,80000584 +80000580: 01c01c63 bne zero,t3,80000598 + +80000584 : +80000584: 0ff0000f fence +80000588: 000e0063 beqz t3,80000588 +8000058c: 001e1e13 slli t3,t3,0x1 +80000590: 001e6e13 ori t3,t3,1 +80000594: 00000073 ecall + +80000598 : +80000598: 0ff0000f fence +8000059c: 00100e13 li t3,1 +800005a0: 00000073 ecall +800005a4: c0001073 unimp +800005a8: 0000 unimp +800005aa: 0000 unimp +800005ac: 0000 unimp +800005ae: 0000 unimp +800005b0: 0000 unimp +800005b2: 0000 unimp +800005b4: 0000 unimp +800005b6: 0000 unimp +800005b8: 0000 unimp +800005ba: 0000 unimp +800005bc: 0000 unimp +800005be: 0000 unimp +800005c0: 0000 unimp +800005c2: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-sra.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-sra.dump new file mode 100644 index 0000000..75e95e8 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-sra.dump @@ -0,0 +1,522 @@ + +rv32ui-p-sra: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 800000b7 lui ra,0x80000 +800000c0: 00000113 li sp,0 +800000c4: 4020d1b3 sra gp,ra,sp +800000c8: 80000eb7 lui t4,0x80000 +800000cc: 00200e13 li t3,2 +800000d0: 59d19463 bne gp,t4,80000658 + +800000d4 : +800000d4: 800000b7 lui ra,0x80000 +800000d8: 00100113 li sp,1 +800000dc: 4020d1b3 sra gp,ra,sp +800000e0: c0000eb7 lui t4,0xc0000 +800000e4: 00300e13 li t3,3 +800000e8: 57d19863 bne gp,t4,80000658 + +800000ec : +800000ec: 800000b7 lui ra,0x80000 +800000f0: 00700113 li sp,7 +800000f4: 4020d1b3 sra gp,ra,sp +800000f8: ff000eb7 lui t4,0xff000 +800000fc: 00400e13 li t3,4 +80000100: 55d19c63 bne gp,t4,80000658 + +80000104 : +80000104: 800000b7 lui ra,0x80000 +80000108: 00e00113 li sp,14 +8000010c: 4020d1b3 sra gp,ra,sp +80000110: fffe0eb7 lui t4,0xfffe0 +80000114: 00500e13 li t3,5 +80000118: 55d19063 bne gp,t4,80000658 + +8000011c : +8000011c: 800000b7 lui ra,0x80000 +80000120: 00108093 addi ra,ra,1 # 80000001 +80000124: 01f00113 li sp,31 +80000128: 4020d1b3 sra gp,ra,sp +8000012c: fff00e93 li t4,-1 +80000130: 00600e13 li t3,6 +80000134: 53d19263 bne gp,t4,80000658 + +80000138 : +80000138: 800000b7 lui ra,0x80000 +8000013c: fff08093 addi ra,ra,-1 # 7fffffff +80000140: 00000113 li sp,0 +80000144: 4020d1b3 sra gp,ra,sp +80000148: 80000eb7 lui t4,0x80000 +8000014c: fffe8e93 addi t4,t4,-1 # 7fffffff +80000150: 00700e13 li t3,7 +80000154: 51d19263 bne gp,t4,80000658 + +80000158 : +80000158: 800000b7 lui ra,0x80000 +8000015c: fff08093 addi ra,ra,-1 # 7fffffff +80000160: 00100113 li sp,1 +80000164: 4020d1b3 sra gp,ra,sp +80000168: 40000eb7 lui t4,0x40000 +8000016c: fffe8e93 addi t4,t4,-1 # 3fffffff <_start-0x40000001> +80000170: 00800e13 li t3,8 +80000174: 4fd19263 bne gp,t4,80000658 + +80000178 : +80000178: 800000b7 lui ra,0x80000 +8000017c: fff08093 addi ra,ra,-1 # 7fffffff +80000180: 00700113 li sp,7 +80000184: 4020d1b3 sra gp,ra,sp +80000188: 01000eb7 lui t4,0x1000 +8000018c: fffe8e93 addi t4,t4,-1 # ffffff <_start-0x7f000001> +80000190: 00900e13 li t3,9 +80000194: 4dd19263 bne gp,t4,80000658 + +80000198 : +80000198: 800000b7 lui ra,0x80000 +8000019c: fff08093 addi ra,ra,-1 # 7fffffff +800001a0: 00e00113 li sp,14 +800001a4: 4020d1b3 sra gp,ra,sp +800001a8: 00020eb7 lui t4,0x20 +800001ac: fffe8e93 addi t4,t4,-1 # 1ffff <_start-0x7ffe0001> +800001b0: 00a00e13 li t3,10 +800001b4: 4bd19263 bne gp,t4,80000658 + +800001b8 : +800001b8: 800000b7 lui ra,0x80000 +800001bc: fff08093 addi ra,ra,-1 # 7fffffff +800001c0: 01f00113 li sp,31 +800001c4: 4020d1b3 sra gp,ra,sp +800001c8: 00000e93 li t4,0 +800001cc: 00b00e13 li t3,11 +800001d0: 49d19463 bne gp,t4,80000658 + +800001d4 : +800001d4: 818180b7 lui ra,0x81818 +800001d8: 18108093 addi ra,ra,385 # 81818181 +800001dc: 00000113 li sp,0 +800001e0: 4020d1b3 sra gp,ra,sp +800001e4: 81818eb7 lui t4,0x81818 +800001e8: 181e8e93 addi t4,t4,385 # 81818181 +800001ec: 00c00e13 li t3,12 +800001f0: 47d19463 bne gp,t4,80000658 + +800001f4 : +800001f4: 818180b7 lui ra,0x81818 +800001f8: 18108093 addi ra,ra,385 # 81818181 +800001fc: 00100113 li sp,1 +80000200: 4020d1b3 sra gp,ra,sp +80000204: c0c0ceb7 lui t4,0xc0c0c +80000208: 0c0e8e93 addi t4,t4,192 # c0c0c0c0 +8000020c: 00d00e13 li t3,13 +80000210: 45d19463 bne gp,t4,80000658 + +80000214 : +80000214: 818180b7 lui ra,0x81818 +80000218: 18108093 addi ra,ra,385 # 81818181 +8000021c: 00700113 li sp,7 +80000220: 4020d1b3 sra gp,ra,sp +80000224: ff030eb7 lui t4,0xff030 +80000228: 303e8e93 addi t4,t4,771 # ff030303 +8000022c: 00e00e13 li t3,14 +80000230: 43d19463 bne gp,t4,80000658 + +80000234 : +80000234: 818180b7 lui ra,0x81818 +80000238: 18108093 addi ra,ra,385 # 81818181 +8000023c: 00e00113 li sp,14 +80000240: 4020d1b3 sra gp,ra,sp +80000244: fffe0eb7 lui t4,0xfffe0 +80000248: 606e8e93 addi t4,t4,1542 # fffe0606 +8000024c: 00f00e13 li t3,15 +80000250: 41d19463 bne gp,t4,80000658 + +80000254 : +80000254: 818180b7 lui ra,0x81818 +80000258: 18108093 addi ra,ra,385 # 81818181 +8000025c: 01f00113 li sp,31 +80000260: 4020d1b3 sra gp,ra,sp +80000264: fff00e93 li t4,-1 +80000268: 01000e13 li t3,16 +8000026c: 3fd19663 bne gp,t4,80000658 + +80000270 : +80000270: 818180b7 lui ra,0x81818 +80000274: 18108093 addi ra,ra,385 # 81818181 +80000278: fc000113 li sp,-64 +8000027c: 4020d1b3 sra gp,ra,sp +80000280: 81818eb7 lui t4,0x81818 +80000284: 181e8e93 addi t4,t4,385 # 81818181 +80000288: 01100e13 li t3,17 +8000028c: 3dd19663 bne gp,t4,80000658 + +80000290 : +80000290: 818180b7 lui ra,0x81818 +80000294: 18108093 addi ra,ra,385 # 81818181 +80000298: fc100113 li sp,-63 +8000029c: 4020d1b3 sra gp,ra,sp +800002a0: c0c0ceb7 lui t4,0xc0c0c +800002a4: 0c0e8e93 addi t4,t4,192 # c0c0c0c0 +800002a8: 01200e13 li t3,18 +800002ac: 3bd19663 bne gp,t4,80000658 + +800002b0 : +800002b0: 818180b7 lui ra,0x81818 +800002b4: 18108093 addi ra,ra,385 # 81818181 +800002b8: fc700113 li sp,-57 +800002bc: 4020d1b3 sra gp,ra,sp +800002c0: ff030eb7 lui t4,0xff030 +800002c4: 303e8e93 addi t4,t4,771 # ff030303 +800002c8: 01300e13 li t3,19 +800002cc: 39d19663 bne gp,t4,80000658 + +800002d0 : +800002d0: 818180b7 lui ra,0x81818 +800002d4: 18108093 addi ra,ra,385 # 81818181 +800002d8: fce00113 li sp,-50 +800002dc: 4020d1b3 sra gp,ra,sp +800002e0: fffe0eb7 lui t4,0xfffe0 +800002e4: 606e8e93 addi t4,t4,1542 # fffe0606 +800002e8: 01400e13 li t3,20 +800002ec: 37d19663 bne gp,t4,80000658 + +800002f0 : +800002f0: 818180b7 lui ra,0x81818 +800002f4: 18108093 addi ra,ra,385 # 81818181 +800002f8: fff00113 li sp,-1 +800002fc: 4020d1b3 sra gp,ra,sp +80000300: fff00e93 li t4,-1 +80000304: 01500e13 li t3,21 +80000308: 35d19863 bne gp,t4,80000658 + +8000030c : +8000030c: 800000b7 lui ra,0x80000 +80000310: 00700113 li sp,7 +80000314: 4020d0b3 sra ra,ra,sp +80000318: ff000eb7 lui t4,0xff000 +8000031c: 01600e13 li t3,22 +80000320: 33d09c63 bne ra,t4,80000658 + +80000324 : +80000324: 800000b7 lui ra,0x80000 +80000328: 00e00113 li sp,14 +8000032c: 4020d133 sra sp,ra,sp +80000330: fffe0eb7 lui t4,0xfffe0 +80000334: 01700e13 li t3,23 +80000338: 33d11063 bne sp,t4,80000658 + +8000033c : +8000033c: 00700093 li ra,7 +80000340: 4010d0b3 sra ra,ra,ra +80000344: 00000e93 li t4,0 +80000348: 01800e13 li t3,24 +8000034c: 31d09663 bne ra,t4,80000658 + +80000350 : +80000350: 00000213 li tp,0 +80000354: 800000b7 lui ra,0x80000 +80000358: 00700113 li sp,7 +8000035c: 4020d1b3 sra gp,ra,sp +80000360: 00018313 mv t1,gp +80000364: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000368: 00200293 li t0,2 +8000036c: fe5214e3 bne tp,t0,80000354 +80000370: ff000eb7 lui t4,0xff000 +80000374: 01900e13 li t3,25 +80000378: 2fd31063 bne t1,t4,80000658 + +8000037c : +8000037c: 00000213 li tp,0 +80000380: 800000b7 lui ra,0x80000 +80000384: 00e00113 li sp,14 +80000388: 4020d1b3 sra gp,ra,sp +8000038c: 00000013 nop +80000390: 00018313 mv t1,gp +80000394: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000398: 00200293 li t0,2 +8000039c: fe5212e3 bne tp,t0,80000380 +800003a0: fffe0eb7 lui t4,0xfffe0 +800003a4: 01a00e13 li t3,26 +800003a8: 2bd31863 bne t1,t4,80000658 + +800003ac : +800003ac: 00000213 li tp,0 +800003b0: 800000b7 lui ra,0x80000 +800003b4: 01f00113 li sp,31 +800003b8: 4020d1b3 sra gp,ra,sp +800003bc: 00000013 nop +800003c0: 00000013 nop +800003c4: 00018313 mv t1,gp +800003c8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003cc: 00200293 li t0,2 +800003d0: fe5210e3 bne tp,t0,800003b0 +800003d4: fff00e93 li t4,-1 +800003d8: 01b00e13 li t3,27 +800003dc: 27d31e63 bne t1,t4,80000658 + +800003e0 : +800003e0: 00000213 li tp,0 +800003e4: 800000b7 lui ra,0x80000 +800003e8: 00700113 li sp,7 +800003ec: 4020d1b3 sra gp,ra,sp +800003f0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003f4: 00200293 li t0,2 +800003f8: fe5216e3 bne tp,t0,800003e4 +800003fc: ff000eb7 lui t4,0xff000 +80000400: 01c00e13 li t3,28 +80000404: 25d19a63 bne gp,t4,80000658 + +80000408 : +80000408: 00000213 li tp,0 +8000040c: 800000b7 lui ra,0x80000 +80000410: 00e00113 li sp,14 +80000414: 00000013 nop +80000418: 4020d1b3 sra gp,ra,sp +8000041c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000420: 00200293 li t0,2 +80000424: fe5214e3 bne tp,t0,8000040c +80000428: fffe0eb7 lui t4,0xfffe0 +8000042c: 01d00e13 li t3,29 +80000430: 23d19463 bne gp,t4,80000658 + +80000434 : +80000434: 00000213 li tp,0 +80000438: 800000b7 lui ra,0x80000 +8000043c: 01f00113 li sp,31 +80000440: 00000013 nop +80000444: 00000013 nop +80000448: 4020d1b3 sra gp,ra,sp +8000044c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000450: 00200293 li t0,2 +80000454: fe5212e3 bne tp,t0,80000438 +80000458: fff00e93 li t4,-1 +8000045c: 01e00e13 li t3,30 +80000460: 1fd19c63 bne gp,t4,80000658 + +80000464 : +80000464: 00000213 li tp,0 +80000468: 800000b7 lui ra,0x80000 +8000046c: 00000013 nop +80000470: 00700113 li sp,7 +80000474: 4020d1b3 sra gp,ra,sp +80000478: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000047c: 00200293 li t0,2 +80000480: fe5214e3 bne tp,t0,80000468 +80000484: ff000eb7 lui t4,0xff000 +80000488: 01f00e13 li t3,31 +8000048c: 1dd19663 bne gp,t4,80000658 + +80000490 : +80000490: 00000213 li tp,0 +80000494: 800000b7 lui ra,0x80000 +80000498: 00000013 nop +8000049c: 00e00113 li sp,14 +800004a0: 00000013 nop +800004a4: 4020d1b3 sra gp,ra,sp +800004a8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004ac: 00200293 li t0,2 +800004b0: fe5212e3 bne tp,t0,80000494 +800004b4: fffe0eb7 lui t4,0xfffe0 +800004b8: 02000e13 li t3,32 +800004bc: 19d19e63 bne gp,t4,80000658 + +800004c0 : +800004c0: 00000213 li tp,0 +800004c4: 800000b7 lui ra,0x80000 +800004c8: 00000013 nop +800004cc: 00000013 nop +800004d0: 01f00113 li sp,31 +800004d4: 4020d1b3 sra gp,ra,sp +800004d8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004dc: 00200293 li t0,2 +800004e0: fe5212e3 bne tp,t0,800004c4 +800004e4: fff00e93 li t4,-1 +800004e8: 02100e13 li t3,33 +800004ec: 17d19663 bne gp,t4,80000658 + +800004f0 : +800004f0: 00000213 li tp,0 +800004f4: 00700113 li sp,7 +800004f8: 800000b7 lui ra,0x80000 +800004fc: 4020d1b3 sra gp,ra,sp +80000500: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000504: 00200293 li t0,2 +80000508: fe5216e3 bne tp,t0,800004f4 +8000050c: ff000eb7 lui t4,0xff000 +80000510: 02200e13 li t3,34 +80000514: 15d19263 bne gp,t4,80000658 + +80000518 : +80000518: 00000213 li tp,0 +8000051c: 00e00113 li sp,14 +80000520: 800000b7 lui ra,0x80000 +80000524: 00000013 nop +80000528: 4020d1b3 sra gp,ra,sp +8000052c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000530: 00200293 li t0,2 +80000534: fe5214e3 bne tp,t0,8000051c +80000538: fffe0eb7 lui t4,0xfffe0 +8000053c: 02300e13 li t3,35 +80000540: 11d19c63 bne gp,t4,80000658 + +80000544 : +80000544: 00000213 li tp,0 +80000548: 01f00113 li sp,31 +8000054c: 800000b7 lui ra,0x80000 +80000550: 00000013 nop +80000554: 00000013 nop +80000558: 4020d1b3 sra gp,ra,sp +8000055c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000560: 00200293 li t0,2 +80000564: fe5212e3 bne tp,t0,80000548 +80000568: fff00e93 li t4,-1 +8000056c: 02400e13 li t3,36 +80000570: 0fd19463 bne gp,t4,80000658 + +80000574 : +80000574: 00000213 li tp,0 +80000578: 00700113 li sp,7 +8000057c: 00000013 nop +80000580: 800000b7 lui ra,0x80000 +80000584: 4020d1b3 sra gp,ra,sp +80000588: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000058c: 00200293 li t0,2 +80000590: fe5214e3 bne tp,t0,80000578 +80000594: ff000eb7 lui t4,0xff000 +80000598: 02500e13 li t3,37 +8000059c: 0bd19e63 bne gp,t4,80000658 + +800005a0 : +800005a0: 00000213 li tp,0 +800005a4: 00e00113 li sp,14 +800005a8: 00000013 nop +800005ac: 800000b7 lui ra,0x80000 +800005b0: 00000013 nop +800005b4: 4020d1b3 sra gp,ra,sp +800005b8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800005bc: 00200293 li t0,2 +800005c0: fe5212e3 bne tp,t0,800005a4 +800005c4: fffe0eb7 lui t4,0xfffe0 +800005c8: 02600e13 li t3,38 +800005cc: 09d19663 bne gp,t4,80000658 + +800005d0 : +800005d0: 00000213 li tp,0 +800005d4: 01f00113 li sp,31 +800005d8: 00000013 nop +800005dc: 00000013 nop +800005e0: 800000b7 lui ra,0x80000 +800005e4: 4020d1b3 sra gp,ra,sp +800005e8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800005ec: 00200293 li t0,2 +800005f0: fe5212e3 bne tp,t0,800005d4 +800005f4: fff00e93 li t4,-1 +800005f8: 02700e13 li t3,39 +800005fc: 05d19e63 bne gp,t4,80000658 + +80000600 : +80000600: 00f00093 li ra,15 +80000604: 40105133 sra sp,zero,ra +80000608: 00000e93 li t4,0 +8000060c: 02800e13 li t3,40 +80000610: 05d11463 bne sp,t4,80000658 + +80000614 : +80000614: 02000093 li ra,32 +80000618: 4000d133 sra sp,ra,zero +8000061c: 02000e93 li t4,32 +80000620: 02900e13 li t3,41 +80000624: 03d11a63 bne sp,t4,80000658 + +80000628 : +80000628: 400050b3 sra ra,zero,zero +8000062c: 00000e93 li t4,0 +80000630: 02a00e13 li t3,42 +80000634: 03d09263 bne ra,t4,80000658 + +80000638 : +80000638: 40000093 li ra,1024 +8000063c: 00001137 lui sp,0x1 +80000640: 80010113 addi sp,sp,-2048 # 800 <_start-0x7ffff800> +80000644: 4020d033 sra zero,ra,sp +80000648: 00000e93 li t4,0 +8000064c: 02b00e13 li t3,43 +80000650: 01d01463 bne zero,t4,80000658 +80000654: 01c01c63 bne zero,t3,8000066c + +80000658 : +80000658: 0ff0000f fence +8000065c: 000e0063 beqz t3,8000065c +80000660: 001e1e13 slli t3,t3,0x1 +80000664: 001e6e13 ori t3,t3,1 +80000668: 00000073 ecall + +8000066c : +8000066c: 0ff0000f fence +80000670: 00100e13 li t3,1 +80000674: 00000073 ecall +80000678: c0001073 unimp +8000067c: 0000 unimp +8000067e: 0000 unimp +80000680: 0000 unimp +80000682: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-srai.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-srai.dump new file mode 100644 index 0000000..3e218d2 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-srai.dump @@ -0,0 +1,319 @@ + +rv32ui-p-srai: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00000093 li ra,0 +800000c0: 4000d193 srai gp,ra,0x0 +800000c4: 00000e93 li t4,0 +800000c8: 00200e13 li t3,2 +800000cc: 2bd19463 bne gp,t4,80000374 + +800000d0 : +800000d0: 800000b7 lui ra,0x80000 +800000d4: 4010d193 srai gp,ra,0x1 +800000d8: c0000eb7 lui t4,0xc0000 +800000dc: 00300e13 li t3,3 +800000e0: 29d19a63 bne gp,t4,80000374 + +800000e4 : +800000e4: 800000b7 lui ra,0x80000 +800000e8: 4070d193 srai gp,ra,0x7 +800000ec: ff000eb7 lui t4,0xff000 +800000f0: 00400e13 li t3,4 +800000f4: 29d19063 bne gp,t4,80000374 + +800000f8 : +800000f8: 800000b7 lui ra,0x80000 +800000fc: 40e0d193 srai gp,ra,0xe +80000100: fffe0eb7 lui t4,0xfffe0 +80000104: 00500e13 li t3,5 +80000108: 27d19663 bne gp,t4,80000374 + +8000010c : +8000010c: 800000b7 lui ra,0x80000 +80000110: 00108093 addi ra,ra,1 # 80000001 +80000114: 41f0d193 srai gp,ra,0x1f +80000118: fff00e93 li t4,-1 +8000011c: 00600e13 li t3,6 +80000120: 25d19a63 bne gp,t4,80000374 + +80000124 : +80000124: 800000b7 lui ra,0x80000 +80000128: fff08093 addi ra,ra,-1 # 7fffffff +8000012c: 4000d193 srai gp,ra,0x0 +80000130: 80000eb7 lui t4,0x80000 +80000134: fffe8e93 addi t4,t4,-1 # 7fffffff +80000138: 00700e13 li t3,7 +8000013c: 23d19c63 bne gp,t4,80000374 + +80000140 : +80000140: 800000b7 lui ra,0x80000 +80000144: fff08093 addi ra,ra,-1 # 7fffffff +80000148: 4010d193 srai gp,ra,0x1 +8000014c: 40000eb7 lui t4,0x40000 +80000150: fffe8e93 addi t4,t4,-1 # 3fffffff <_start-0x40000001> +80000154: 00800e13 li t3,8 +80000158: 21d19e63 bne gp,t4,80000374 + +8000015c : +8000015c: 800000b7 lui ra,0x80000 +80000160: fff08093 addi ra,ra,-1 # 7fffffff +80000164: 4070d193 srai gp,ra,0x7 +80000168: 01000eb7 lui t4,0x1000 +8000016c: fffe8e93 addi t4,t4,-1 # ffffff <_start-0x7f000001> +80000170: 00900e13 li t3,9 +80000174: 21d19063 bne gp,t4,80000374 + +80000178 : +80000178: 800000b7 lui ra,0x80000 +8000017c: fff08093 addi ra,ra,-1 # 7fffffff +80000180: 40e0d193 srai gp,ra,0xe +80000184: 00020eb7 lui t4,0x20 +80000188: fffe8e93 addi t4,t4,-1 # 1ffff <_start-0x7ffe0001> +8000018c: 00a00e13 li t3,10 +80000190: 1fd19263 bne gp,t4,80000374 + +80000194 : +80000194: 800000b7 lui ra,0x80000 +80000198: fff08093 addi ra,ra,-1 # 7fffffff +8000019c: 41f0d193 srai gp,ra,0x1f +800001a0: 00000e93 li t4,0 +800001a4: 00b00e13 li t3,11 +800001a8: 1dd19663 bne gp,t4,80000374 + +800001ac : +800001ac: 818180b7 lui ra,0x81818 +800001b0: 18108093 addi ra,ra,385 # 81818181 +800001b4: 4000d193 srai gp,ra,0x0 +800001b8: 81818eb7 lui t4,0x81818 +800001bc: 181e8e93 addi t4,t4,385 # 81818181 +800001c0: 00c00e13 li t3,12 +800001c4: 1bd19863 bne gp,t4,80000374 + +800001c8 : +800001c8: 818180b7 lui ra,0x81818 +800001cc: 18108093 addi ra,ra,385 # 81818181 +800001d0: 4010d193 srai gp,ra,0x1 +800001d4: c0c0ceb7 lui t4,0xc0c0c +800001d8: 0c0e8e93 addi t4,t4,192 # c0c0c0c0 +800001dc: 00d00e13 li t3,13 +800001e0: 19d19a63 bne gp,t4,80000374 + +800001e4 : +800001e4: 818180b7 lui ra,0x81818 +800001e8: 18108093 addi ra,ra,385 # 81818181 +800001ec: 4070d193 srai gp,ra,0x7 +800001f0: ff030eb7 lui t4,0xff030 +800001f4: 303e8e93 addi t4,t4,771 # ff030303 +800001f8: 00e00e13 li t3,14 +800001fc: 17d19c63 bne gp,t4,80000374 + +80000200 : +80000200: 818180b7 lui ra,0x81818 +80000204: 18108093 addi ra,ra,385 # 81818181 +80000208: 40e0d193 srai gp,ra,0xe +8000020c: fffe0eb7 lui t4,0xfffe0 +80000210: 606e8e93 addi t4,t4,1542 # fffe0606 +80000214: 00f00e13 li t3,15 +80000218: 15d19e63 bne gp,t4,80000374 + +8000021c : +8000021c: 818180b7 lui ra,0x81818 +80000220: 18108093 addi ra,ra,385 # 81818181 +80000224: 41f0d193 srai gp,ra,0x1f +80000228: fff00e93 li t4,-1 +8000022c: 01000e13 li t3,16 +80000230: 15d19263 bne gp,t4,80000374 + +80000234 : +80000234: 800000b7 lui ra,0x80000 +80000238: 4070d093 srai ra,ra,0x7 +8000023c: ff000eb7 lui t4,0xff000 +80000240: 01100e13 li t3,17 +80000244: 13d09863 bne ra,t4,80000374 + +80000248 : +80000248: 00000213 li tp,0 +8000024c: 800000b7 lui ra,0x80000 +80000250: 4070d193 srai gp,ra,0x7 +80000254: 00018313 mv t1,gp +80000258: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000025c: 00200293 li t0,2 +80000260: fe5216e3 bne tp,t0,8000024c +80000264: ff000eb7 lui t4,0xff000 +80000268: 01200e13 li t3,18 +8000026c: 11d31463 bne t1,t4,80000374 + +80000270 : +80000270: 00000213 li tp,0 +80000274: 800000b7 lui ra,0x80000 +80000278: 40e0d193 srai gp,ra,0xe +8000027c: 00000013 nop +80000280: 00018313 mv t1,gp +80000284: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000288: 00200293 li t0,2 +8000028c: fe5214e3 bne tp,t0,80000274 +80000290: fffe0eb7 lui t4,0xfffe0 +80000294: 01300e13 li t3,19 +80000298: 0dd31e63 bne t1,t4,80000374 + +8000029c : +8000029c: 00000213 li tp,0 +800002a0: 800000b7 lui ra,0x80000 +800002a4: 00108093 addi ra,ra,1 # 80000001 +800002a8: 41f0d193 srai gp,ra,0x1f +800002ac: 00000013 nop +800002b0: 00000013 nop +800002b4: 00018313 mv t1,gp +800002b8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002bc: 00200293 li t0,2 +800002c0: fe5210e3 bne tp,t0,800002a0 +800002c4: fff00e93 li t4,-1 +800002c8: 01400e13 li t3,20 +800002cc: 0bd31463 bne t1,t4,80000374 + +800002d0 : +800002d0: 00000213 li tp,0 +800002d4: 800000b7 lui ra,0x80000 +800002d8: 4070d193 srai gp,ra,0x7 +800002dc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002e0: 00200293 li t0,2 +800002e4: fe5218e3 bne tp,t0,800002d4 +800002e8: ff000eb7 lui t4,0xff000 +800002ec: 01500e13 li t3,21 +800002f0: 09d19263 bne gp,t4,80000374 + +800002f4 : +800002f4: 00000213 li tp,0 +800002f8: 800000b7 lui ra,0x80000 +800002fc: 00000013 nop +80000300: 40e0d193 srai gp,ra,0xe +80000304: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000308: 00200293 li t0,2 +8000030c: fe5216e3 bne tp,t0,800002f8 +80000310: fffe0eb7 lui t4,0xfffe0 +80000314: 01600e13 li t3,22 +80000318: 05d19e63 bne gp,t4,80000374 + +8000031c : +8000031c: 00000213 li tp,0 +80000320: 800000b7 lui ra,0x80000 +80000324: 00108093 addi ra,ra,1 # 80000001 +80000328: 00000013 nop +8000032c: 00000013 nop +80000330: 41f0d193 srai gp,ra,0x1f +80000334: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000338: 00200293 li t0,2 +8000033c: fe5212e3 bne tp,t0,80000320 +80000340: fff00e93 li t4,-1 +80000344: 01700e13 li t3,23 +80000348: 03d19663 bne gp,t4,80000374 + +8000034c : +8000034c: 40405093 srai ra,zero,0x4 +80000350: 00000e93 li t4,0 +80000354: 01800e13 li t3,24 +80000358: 01d09e63 bne ra,t4,80000374 + +8000035c : +8000035c: 02100093 li ra,33 +80000360: 40a0d013 srai zero,ra,0xa +80000364: 00000e93 li t4,0 +80000368: 01900e13 li t3,25 +8000036c: 01d01463 bne zero,t4,80000374 +80000370: 01c01c63 bne zero,t3,80000388 + +80000374 : +80000374: 0ff0000f fence +80000378: 000e0063 beqz t3,80000378 +8000037c: 001e1e13 slli t3,t3,0x1 +80000380: 001e6e13 ori t3,t3,1 +80000384: 00000073 ecall + +80000388 : +80000388: 0ff0000f fence +8000038c: 00100e13 li t3,1 +80000390: 00000073 ecall +80000394: c0001073 unimp +80000398: 0000 unimp +8000039a: 0000 unimp +8000039c: 0000 unimp +8000039e: 0000 unimp +800003a0: 0000 unimp +800003a2: 0000 unimp +800003a4: 0000 unimp +800003a6: 0000 unimp +800003a8: 0000 unimp +800003aa: 0000 unimp +800003ac: 0000 unimp +800003ae: 0000 unimp +800003b0: 0000 unimp +800003b2: 0000 unimp +800003b4: 0000 unimp +800003b6: 0000 unimp +800003b8: 0000 unimp +800003ba: 0000 unimp +800003bc: 0000 unimp +800003be: 0000 unimp +800003c0: 0000 unimp +800003c2: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-srl.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-srl.dump new file mode 100644 index 0000000..7d72fc7 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-srl.dump @@ -0,0 +1,528 @@ + +rv32ui-p-srl: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 800000b7 lui ra,0x80000 +800000c0: 00000113 li sp,0 +800000c4: 0020d1b3 srl gp,ra,sp +800000c8: 80000eb7 lui t4,0x80000 +800000cc: 00200e13 li t3,2 +800000d0: 57d19863 bne gp,t4,80000640 + +800000d4 : +800000d4: 800000b7 lui ra,0x80000 +800000d8: 00100113 li sp,1 +800000dc: 0020d1b3 srl gp,ra,sp +800000e0: 40000eb7 lui t4,0x40000 +800000e4: 00300e13 li t3,3 +800000e8: 55d19c63 bne gp,t4,80000640 + +800000ec : +800000ec: 800000b7 lui ra,0x80000 +800000f0: 00700113 li sp,7 +800000f4: 0020d1b3 srl gp,ra,sp +800000f8: 01000eb7 lui t4,0x1000 +800000fc: 00400e13 li t3,4 +80000100: 55d19063 bne gp,t4,80000640 + +80000104 : +80000104: 800000b7 lui ra,0x80000 +80000108: 00e00113 li sp,14 +8000010c: 0020d1b3 srl gp,ra,sp +80000110: 00020eb7 lui t4,0x20 +80000114: 00500e13 li t3,5 +80000118: 53d19463 bne gp,t4,80000640 + +8000011c : +8000011c: 800000b7 lui ra,0x80000 +80000120: 00108093 addi ra,ra,1 # 80000001 +80000124: 01f00113 li sp,31 +80000128: 0020d1b3 srl gp,ra,sp +8000012c: 00100e93 li t4,1 +80000130: 00600e13 li t3,6 +80000134: 51d19663 bne gp,t4,80000640 + +80000138 : +80000138: fff00093 li ra,-1 +8000013c: 00000113 li sp,0 +80000140: 0020d1b3 srl gp,ra,sp +80000144: fff00e93 li t4,-1 +80000148: 00700e13 li t3,7 +8000014c: 4fd19a63 bne gp,t4,80000640 + +80000150 : +80000150: fff00093 li ra,-1 +80000154: 00100113 li sp,1 +80000158: 0020d1b3 srl gp,ra,sp +8000015c: 80000eb7 lui t4,0x80000 +80000160: fffe8e93 addi t4,t4,-1 # 7fffffff +80000164: 00800e13 li t3,8 +80000168: 4dd19c63 bne gp,t4,80000640 + +8000016c : +8000016c: fff00093 li ra,-1 +80000170: 00700113 li sp,7 +80000174: 0020d1b3 srl gp,ra,sp +80000178: 02000eb7 lui t4,0x2000 +8000017c: fffe8e93 addi t4,t4,-1 # 1ffffff <_start-0x7e000001> +80000180: 00900e13 li t3,9 +80000184: 4bd19e63 bne gp,t4,80000640 + +80000188 : +80000188: fff00093 li ra,-1 +8000018c: 00e00113 li sp,14 +80000190: 0020d1b3 srl gp,ra,sp +80000194: 00040eb7 lui t4,0x40 +80000198: fffe8e93 addi t4,t4,-1 # 3ffff <_start-0x7ffc0001> +8000019c: 00a00e13 li t3,10 +800001a0: 4bd19063 bne gp,t4,80000640 + +800001a4 : +800001a4: fff00093 li ra,-1 +800001a8: 01f00113 li sp,31 +800001ac: 0020d1b3 srl gp,ra,sp +800001b0: 00100e93 li t4,1 +800001b4: 00b00e13 li t3,11 +800001b8: 49d19463 bne gp,t4,80000640 + +800001bc : +800001bc: 212120b7 lui ra,0x21212 +800001c0: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +800001c4: 00000113 li sp,0 +800001c8: 0020d1b3 srl gp,ra,sp +800001cc: 21212eb7 lui t4,0x21212 +800001d0: 121e8e93 addi t4,t4,289 # 21212121 <_start-0x5edededf> +800001d4: 00c00e13 li t3,12 +800001d8: 47d19463 bne gp,t4,80000640 + +800001dc : +800001dc: 212120b7 lui ra,0x21212 +800001e0: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +800001e4: 00100113 li sp,1 +800001e8: 0020d1b3 srl gp,ra,sp +800001ec: 10909eb7 lui t4,0x10909 +800001f0: 090e8e93 addi t4,t4,144 # 10909090 <_start-0x6f6f6f70> +800001f4: 00d00e13 li t3,13 +800001f8: 45d19463 bne gp,t4,80000640 + +800001fc : +800001fc: 212120b7 lui ra,0x21212 +80000200: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +80000204: 00700113 li sp,7 +80000208: 0020d1b3 srl gp,ra,sp +8000020c: 00424eb7 lui t4,0x424 +80000210: 242e8e93 addi t4,t4,578 # 424242 <_start-0x7fbdbdbe> +80000214: 00e00e13 li t3,14 +80000218: 43d19463 bne gp,t4,80000640 + +8000021c : +8000021c: 212120b7 lui ra,0x21212 +80000220: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +80000224: 00e00113 li sp,14 +80000228: 0020d1b3 srl gp,ra,sp +8000022c: 00008eb7 lui t4,0x8 +80000230: 484e8e93 addi t4,t4,1156 # 8484 <_start-0x7fff7b7c> +80000234: 00f00e13 li t3,15 +80000238: 41d19463 bne gp,t4,80000640 + +8000023c : +8000023c: 212120b7 lui ra,0x21212 +80000240: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +80000244: 01f00113 li sp,31 +80000248: 0020d1b3 srl gp,ra,sp +8000024c: 00000e93 li t4,0 +80000250: 01000e13 li t3,16 +80000254: 3fd19663 bne gp,t4,80000640 + +80000258 : +80000258: 212120b7 lui ra,0x21212 +8000025c: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +80000260: fc000113 li sp,-64 +80000264: 0020d1b3 srl gp,ra,sp +80000268: 21212eb7 lui t4,0x21212 +8000026c: 121e8e93 addi t4,t4,289 # 21212121 <_start-0x5edededf> +80000270: 01100e13 li t3,17 +80000274: 3dd19663 bne gp,t4,80000640 + +80000278 : +80000278: 212120b7 lui ra,0x21212 +8000027c: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +80000280: fc100113 li sp,-63 +80000284: 0020d1b3 srl gp,ra,sp +80000288: 10909eb7 lui t4,0x10909 +8000028c: 090e8e93 addi t4,t4,144 # 10909090 <_start-0x6f6f6f70> +80000290: 01200e13 li t3,18 +80000294: 3bd19663 bne gp,t4,80000640 + +80000298 : +80000298: 212120b7 lui ra,0x21212 +8000029c: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +800002a0: fc700113 li sp,-57 +800002a4: 0020d1b3 srl gp,ra,sp +800002a8: 00424eb7 lui t4,0x424 +800002ac: 242e8e93 addi t4,t4,578 # 424242 <_start-0x7fbdbdbe> +800002b0: 01300e13 li t3,19 +800002b4: 39d19663 bne gp,t4,80000640 + +800002b8 : +800002b8: 212120b7 lui ra,0x21212 +800002bc: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +800002c0: fce00113 li sp,-50 +800002c4: 0020d1b3 srl gp,ra,sp +800002c8: 00008eb7 lui t4,0x8 +800002cc: 484e8e93 addi t4,t4,1156 # 8484 <_start-0x7fff7b7c> +800002d0: 01400e13 li t3,20 +800002d4: 37d19663 bne gp,t4,80000640 + +800002d8 : +800002d8: 212120b7 lui ra,0x21212 +800002dc: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +800002e0: fff00113 li sp,-1 +800002e4: 0020d1b3 srl gp,ra,sp +800002e8: 00000e93 li t4,0 +800002ec: 01500e13 li t3,21 +800002f0: 35d19863 bne gp,t4,80000640 + +800002f4 : +800002f4: 800000b7 lui ra,0x80000 +800002f8: 00700113 li sp,7 +800002fc: 0020d0b3 srl ra,ra,sp +80000300: 01000eb7 lui t4,0x1000 +80000304: 01600e13 li t3,22 +80000308: 33d09c63 bne ra,t4,80000640 + +8000030c : +8000030c: 800000b7 lui ra,0x80000 +80000310: 00e00113 li sp,14 +80000314: 0020d133 srl sp,ra,sp +80000318: 00020eb7 lui t4,0x20 +8000031c: 01700e13 li t3,23 +80000320: 33d11063 bne sp,t4,80000640 + +80000324 : +80000324: 00700093 li ra,7 +80000328: 0010d0b3 srl ra,ra,ra +8000032c: 00000e93 li t4,0 +80000330: 01800e13 li t3,24 +80000334: 31d09663 bne ra,t4,80000640 + +80000338 : +80000338: 00000213 li tp,0 +8000033c: 800000b7 lui ra,0x80000 +80000340: 00700113 li sp,7 +80000344: 0020d1b3 srl gp,ra,sp +80000348: 00018313 mv t1,gp +8000034c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000350: 00200293 li t0,2 +80000354: fe5214e3 bne tp,t0,8000033c +80000358: 01000eb7 lui t4,0x1000 +8000035c: 01900e13 li t3,25 +80000360: 2fd31063 bne t1,t4,80000640 + +80000364 : +80000364: 00000213 li tp,0 +80000368: 800000b7 lui ra,0x80000 +8000036c: 00e00113 li sp,14 +80000370: 0020d1b3 srl gp,ra,sp +80000374: 00000013 nop +80000378: 00018313 mv t1,gp +8000037c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000380: 00200293 li t0,2 +80000384: fe5212e3 bne tp,t0,80000368 +80000388: 00020eb7 lui t4,0x20 +8000038c: 01a00e13 li t3,26 +80000390: 2bd31863 bne t1,t4,80000640 + +80000394 : +80000394: 00000213 li tp,0 +80000398: 800000b7 lui ra,0x80000 +8000039c: 01f00113 li sp,31 +800003a0: 0020d1b3 srl gp,ra,sp +800003a4: 00000013 nop +800003a8: 00000013 nop +800003ac: 00018313 mv t1,gp +800003b0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003b4: 00200293 li t0,2 +800003b8: fe5210e3 bne tp,t0,80000398 +800003bc: 00100e93 li t4,1 +800003c0: 01b00e13 li t3,27 +800003c4: 27d31e63 bne t1,t4,80000640 + +800003c8 : +800003c8: 00000213 li tp,0 +800003cc: 800000b7 lui ra,0x80000 +800003d0: 00700113 li sp,7 +800003d4: 0020d1b3 srl gp,ra,sp +800003d8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003dc: 00200293 li t0,2 +800003e0: fe5216e3 bne tp,t0,800003cc +800003e4: 01000eb7 lui t4,0x1000 +800003e8: 01c00e13 li t3,28 +800003ec: 25d19a63 bne gp,t4,80000640 + +800003f0 : +800003f0: 00000213 li tp,0 +800003f4: 800000b7 lui ra,0x80000 +800003f8: 00e00113 li sp,14 +800003fc: 00000013 nop +80000400: 0020d1b3 srl gp,ra,sp +80000404: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000408: 00200293 li t0,2 +8000040c: fe5214e3 bne tp,t0,800003f4 +80000410: 00020eb7 lui t4,0x20 +80000414: 01d00e13 li t3,29 +80000418: 23d19463 bne gp,t4,80000640 + +8000041c : +8000041c: 00000213 li tp,0 +80000420: 800000b7 lui ra,0x80000 +80000424: 01f00113 li sp,31 +80000428: 00000013 nop +8000042c: 00000013 nop +80000430: 0020d1b3 srl gp,ra,sp +80000434: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000438: 00200293 li t0,2 +8000043c: fe5212e3 bne tp,t0,80000420 +80000440: 00100e93 li t4,1 +80000444: 01e00e13 li t3,30 +80000448: 1fd19c63 bne gp,t4,80000640 + +8000044c : +8000044c: 00000213 li tp,0 +80000450: 800000b7 lui ra,0x80000 +80000454: 00000013 nop +80000458: 00700113 li sp,7 +8000045c: 0020d1b3 srl gp,ra,sp +80000460: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000464: 00200293 li t0,2 +80000468: fe5214e3 bne tp,t0,80000450 +8000046c: 01000eb7 lui t4,0x1000 +80000470: 01f00e13 li t3,31 +80000474: 1dd19663 bne gp,t4,80000640 + +80000478 : +80000478: 00000213 li tp,0 +8000047c: 800000b7 lui ra,0x80000 +80000480: 00000013 nop +80000484: 00e00113 li sp,14 +80000488: 00000013 nop +8000048c: 0020d1b3 srl gp,ra,sp +80000490: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000494: 00200293 li t0,2 +80000498: fe5212e3 bne tp,t0,8000047c +8000049c: 00020eb7 lui t4,0x20 +800004a0: 02000e13 li t3,32 +800004a4: 19d19e63 bne gp,t4,80000640 + +800004a8 : +800004a8: 00000213 li tp,0 +800004ac: 800000b7 lui ra,0x80000 +800004b0: 00000013 nop +800004b4: 00000013 nop +800004b8: 01f00113 li sp,31 +800004bc: 0020d1b3 srl gp,ra,sp +800004c0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004c4: 00200293 li t0,2 +800004c8: fe5212e3 bne tp,t0,800004ac +800004cc: 00100e93 li t4,1 +800004d0: 02100e13 li t3,33 +800004d4: 17d19663 bne gp,t4,80000640 + +800004d8 : +800004d8: 00000213 li tp,0 +800004dc: 00700113 li sp,7 +800004e0: 800000b7 lui ra,0x80000 +800004e4: 0020d1b3 srl gp,ra,sp +800004e8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004ec: 00200293 li t0,2 +800004f0: fe5216e3 bne tp,t0,800004dc +800004f4: 01000eb7 lui t4,0x1000 +800004f8: 02200e13 li t3,34 +800004fc: 15d19263 bne gp,t4,80000640 + +80000500 : +80000500: 00000213 li tp,0 +80000504: 00e00113 li sp,14 +80000508: 800000b7 lui ra,0x80000 +8000050c: 00000013 nop +80000510: 0020d1b3 srl gp,ra,sp +80000514: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000518: 00200293 li t0,2 +8000051c: fe5214e3 bne tp,t0,80000504 +80000520: 00020eb7 lui t4,0x20 +80000524: 02300e13 li t3,35 +80000528: 11d19c63 bne gp,t4,80000640 + +8000052c : +8000052c: 00000213 li tp,0 +80000530: 01f00113 li sp,31 +80000534: 800000b7 lui ra,0x80000 +80000538: 00000013 nop +8000053c: 00000013 nop +80000540: 0020d1b3 srl gp,ra,sp +80000544: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000548: 00200293 li t0,2 +8000054c: fe5212e3 bne tp,t0,80000530 +80000550: 00100e93 li t4,1 +80000554: 02400e13 li t3,36 +80000558: 0fd19463 bne gp,t4,80000640 + +8000055c : +8000055c: 00000213 li tp,0 +80000560: 00700113 li sp,7 +80000564: 00000013 nop +80000568: 800000b7 lui ra,0x80000 +8000056c: 0020d1b3 srl gp,ra,sp +80000570: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000574: 00200293 li t0,2 +80000578: fe5214e3 bne tp,t0,80000560 +8000057c: 01000eb7 lui t4,0x1000 +80000580: 02500e13 li t3,37 +80000584: 0bd19e63 bne gp,t4,80000640 + +80000588 : +80000588: 00000213 li tp,0 +8000058c: 00e00113 li sp,14 +80000590: 00000013 nop +80000594: 800000b7 lui ra,0x80000 +80000598: 00000013 nop +8000059c: 0020d1b3 srl gp,ra,sp +800005a0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800005a4: 00200293 li t0,2 +800005a8: fe5212e3 bne tp,t0,8000058c +800005ac: 00020eb7 lui t4,0x20 +800005b0: 02600e13 li t3,38 +800005b4: 09d19663 bne gp,t4,80000640 + +800005b8 : +800005b8: 00000213 li tp,0 +800005bc: 01f00113 li sp,31 +800005c0: 00000013 nop +800005c4: 00000013 nop +800005c8: 800000b7 lui ra,0x80000 +800005cc: 0020d1b3 srl gp,ra,sp +800005d0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800005d4: 00200293 li t0,2 +800005d8: fe5212e3 bne tp,t0,800005bc +800005dc: 00100e93 li t4,1 +800005e0: 02700e13 li t3,39 +800005e4: 05d19e63 bne gp,t4,80000640 + +800005e8 : +800005e8: 00f00093 li ra,15 +800005ec: 00105133 srl sp,zero,ra +800005f0: 00000e93 li t4,0 +800005f4: 02800e13 li t3,40 +800005f8: 05d11463 bne sp,t4,80000640 + +800005fc : +800005fc: 02000093 li ra,32 +80000600: 0000d133 srl sp,ra,zero +80000604: 02000e93 li t4,32 +80000608: 02900e13 li t3,41 +8000060c: 03d11a63 bne sp,t4,80000640 + +80000610 : +80000610: 000050b3 srl ra,zero,zero +80000614: 00000e93 li t4,0 +80000618: 02a00e13 li t3,42 +8000061c: 03d09263 bne ra,t4,80000640 + +80000620 : +80000620: 40000093 li ra,1024 +80000624: 00001137 lui sp,0x1 +80000628: 80010113 addi sp,sp,-2048 # 800 <_start-0x7ffff800> +8000062c: 0020d033 srl zero,ra,sp +80000630: 00000e93 li t4,0 +80000634: 02b00e13 li t3,43 +80000638: 01d01463 bne zero,t4,80000640 +8000063c: 01c01c63 bne zero,t3,80000654 + +80000640 : +80000640: 0ff0000f fence +80000644: 000e0063 beqz t3,80000644 +80000648: 001e1e13 slli t3,t3,0x1 +8000064c: 001e6e13 ori t3,t3,1 +80000650: 00000073 ecall + +80000654 : +80000654: 0ff0000f fence +80000658: 00100e13 li t3,1 +8000065c: 00000073 ecall +80000660: c0001073 unimp +80000664: 0000 unimp +80000666: 0000 unimp +80000668: 0000 unimp +8000066a: 0000 unimp +8000066c: 0000 unimp +8000066e: 0000 unimp +80000670: 0000 unimp +80000672: 0000 unimp +80000674: 0000 unimp +80000676: 0000 unimp +80000678: 0000 unimp +8000067a: 0000 unimp +8000067c: 0000 unimp +8000067e: 0000 unimp +80000680: 0000 unimp +80000682: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-srli.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-srli.dump new file mode 100644 index 0000000..1c1dabf --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-srli.dump @@ -0,0 +1,293 @@ + +rv32ui-p-srli: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 800000b7 lui ra,0x80000 +800000c0: 0000d193 srli gp,ra,0x0 +800000c4: 80000eb7 lui t4,0x80000 +800000c8: 00200e13 li t3,2 +800000cc: 29d19863 bne gp,t4,8000035c + +800000d0 : +800000d0: 800000b7 lui ra,0x80000 +800000d4: 0010d193 srli gp,ra,0x1 +800000d8: 40000eb7 lui t4,0x40000 +800000dc: 00300e13 li t3,3 +800000e0: 27d19e63 bne gp,t4,8000035c + +800000e4 : +800000e4: 800000b7 lui ra,0x80000 +800000e8: 0070d193 srli gp,ra,0x7 +800000ec: 01000eb7 lui t4,0x1000 +800000f0: 00400e13 li t3,4 +800000f4: 27d19463 bne gp,t4,8000035c + +800000f8 : +800000f8: 800000b7 lui ra,0x80000 +800000fc: 00e0d193 srli gp,ra,0xe +80000100: 00020eb7 lui t4,0x20 +80000104: 00500e13 li t3,5 +80000108: 25d19a63 bne gp,t4,8000035c + +8000010c : +8000010c: 800000b7 lui ra,0x80000 +80000110: 00108093 addi ra,ra,1 # 80000001 +80000114: 01f0d193 srli gp,ra,0x1f +80000118: 00100e93 li t4,1 +8000011c: 00600e13 li t3,6 +80000120: 23d19e63 bne gp,t4,8000035c + +80000124 : +80000124: fff00093 li ra,-1 +80000128: 0000d193 srli gp,ra,0x0 +8000012c: fff00e93 li t4,-1 +80000130: 00700e13 li t3,7 +80000134: 23d19463 bne gp,t4,8000035c + +80000138 : +80000138: fff00093 li ra,-1 +8000013c: 0010d193 srli gp,ra,0x1 +80000140: 80000eb7 lui t4,0x80000 +80000144: fffe8e93 addi t4,t4,-1 # 7fffffff +80000148: 00800e13 li t3,8 +8000014c: 21d19863 bne gp,t4,8000035c + +80000150 : +80000150: fff00093 li ra,-1 +80000154: 0070d193 srli gp,ra,0x7 +80000158: 02000eb7 lui t4,0x2000 +8000015c: fffe8e93 addi t4,t4,-1 # 1ffffff <_start-0x7e000001> +80000160: 00900e13 li t3,9 +80000164: 1fd19c63 bne gp,t4,8000035c + +80000168 : +80000168: fff00093 li ra,-1 +8000016c: 00e0d193 srli gp,ra,0xe +80000170: 00040eb7 lui t4,0x40 +80000174: fffe8e93 addi t4,t4,-1 # 3ffff <_start-0x7ffc0001> +80000178: 00a00e13 li t3,10 +8000017c: 1fd19063 bne gp,t4,8000035c + +80000180 : +80000180: fff00093 li ra,-1 +80000184: 01f0d193 srli gp,ra,0x1f +80000188: 00100e93 li t4,1 +8000018c: 00b00e13 li t3,11 +80000190: 1dd19663 bne gp,t4,8000035c + +80000194 : +80000194: 212120b7 lui ra,0x21212 +80000198: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +8000019c: 0000d193 srli gp,ra,0x0 +800001a0: 21212eb7 lui t4,0x21212 +800001a4: 121e8e93 addi t4,t4,289 # 21212121 <_start-0x5edededf> +800001a8: 00c00e13 li t3,12 +800001ac: 1bd19863 bne gp,t4,8000035c + +800001b0 : +800001b0: 212120b7 lui ra,0x21212 +800001b4: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +800001b8: 0010d193 srli gp,ra,0x1 +800001bc: 10909eb7 lui t4,0x10909 +800001c0: 090e8e93 addi t4,t4,144 # 10909090 <_start-0x6f6f6f70> +800001c4: 00d00e13 li t3,13 +800001c8: 19d19a63 bne gp,t4,8000035c + +800001cc : +800001cc: 212120b7 lui ra,0x21212 +800001d0: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +800001d4: 0070d193 srli gp,ra,0x7 +800001d8: 00424eb7 lui t4,0x424 +800001dc: 242e8e93 addi t4,t4,578 # 424242 <_start-0x7fbdbdbe> +800001e0: 00e00e13 li t3,14 +800001e4: 17d19c63 bne gp,t4,8000035c + +800001e8 : +800001e8: 212120b7 lui ra,0x21212 +800001ec: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +800001f0: 00e0d193 srli gp,ra,0xe +800001f4: 00008eb7 lui t4,0x8 +800001f8: 484e8e93 addi t4,t4,1156 # 8484 <_start-0x7fff7b7c> +800001fc: 00f00e13 li t3,15 +80000200: 15d19e63 bne gp,t4,8000035c + +80000204 : +80000204: 212120b7 lui ra,0x21212 +80000208: 12108093 addi ra,ra,289 # 21212121 <_start-0x5edededf> +8000020c: 01f0d193 srli gp,ra,0x1f +80000210: 00000e93 li t4,0 +80000214: 01000e13 li t3,16 +80000218: 15d19263 bne gp,t4,8000035c + +8000021c : +8000021c: 800000b7 lui ra,0x80000 +80000220: 0070d093 srli ra,ra,0x7 +80000224: 01000eb7 lui t4,0x1000 +80000228: 01100e13 li t3,17 +8000022c: 13d09863 bne ra,t4,8000035c + +80000230 : +80000230: 00000213 li tp,0 +80000234: 800000b7 lui ra,0x80000 +80000238: 0070d193 srli gp,ra,0x7 +8000023c: 00018313 mv t1,gp +80000240: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000244: 00200293 li t0,2 +80000248: fe5216e3 bne tp,t0,80000234 +8000024c: 01000eb7 lui t4,0x1000 +80000250: 01200e13 li t3,18 +80000254: 11d31463 bne t1,t4,8000035c + +80000258 : +80000258: 00000213 li tp,0 +8000025c: 800000b7 lui ra,0x80000 +80000260: 00e0d193 srli gp,ra,0xe +80000264: 00000013 nop +80000268: 00018313 mv t1,gp +8000026c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000270: 00200293 li t0,2 +80000274: fe5214e3 bne tp,t0,8000025c +80000278: 00020eb7 lui t4,0x20 +8000027c: 01300e13 li t3,19 +80000280: 0dd31e63 bne t1,t4,8000035c + +80000284 : +80000284: 00000213 li tp,0 +80000288: 800000b7 lui ra,0x80000 +8000028c: 00108093 addi ra,ra,1 # 80000001 +80000290: 01f0d193 srli gp,ra,0x1f +80000294: 00000013 nop +80000298: 00000013 nop +8000029c: 00018313 mv t1,gp +800002a0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002a4: 00200293 li t0,2 +800002a8: fe5210e3 bne tp,t0,80000288 +800002ac: 00100e93 li t4,1 +800002b0: 01400e13 li t3,20 +800002b4: 0bd31463 bne t1,t4,8000035c + +800002b8 : +800002b8: 00000213 li tp,0 +800002bc: 800000b7 lui ra,0x80000 +800002c0: 0070d193 srli gp,ra,0x7 +800002c4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002c8: 00200293 li t0,2 +800002cc: fe5218e3 bne tp,t0,800002bc +800002d0: 01000eb7 lui t4,0x1000 +800002d4: 01500e13 li t3,21 +800002d8: 09d19263 bne gp,t4,8000035c + +800002dc : +800002dc: 00000213 li tp,0 +800002e0: 800000b7 lui ra,0x80000 +800002e4: 00000013 nop +800002e8: 00e0d193 srli gp,ra,0xe +800002ec: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002f0: 00200293 li t0,2 +800002f4: fe5216e3 bne tp,t0,800002e0 +800002f8: 00020eb7 lui t4,0x20 +800002fc: 01600e13 li t3,22 +80000300: 05d19e63 bne gp,t4,8000035c + +80000304 : +80000304: 00000213 li tp,0 +80000308: 800000b7 lui ra,0x80000 +8000030c: 00108093 addi ra,ra,1 # 80000001 +80000310: 00000013 nop +80000314: 00000013 nop +80000318: 01f0d193 srli gp,ra,0x1f +8000031c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000320: 00200293 li t0,2 +80000324: fe5212e3 bne tp,t0,80000308 +80000328: 00100e93 li t4,1 +8000032c: 01700e13 li t3,23 +80000330: 03d19663 bne gp,t4,8000035c + +80000334 : +80000334: 00405093 srli ra,zero,0x4 +80000338: 00000e93 li t4,0 +8000033c: 01800e13 li t3,24 +80000340: 01d09e63 bne ra,t4,8000035c + +80000344 : +80000344: 02100093 li ra,33 +80000348: 00a0d013 srli zero,ra,0xa +8000034c: 00000e93 li t4,0 +80000350: 01900e13 li t3,25 +80000354: 01d01463 bne zero,t4,8000035c +80000358: 01c01c63 bne zero,t3,80000370 + +8000035c : +8000035c: 0ff0000f fence +80000360: 000e0063 beqz t3,80000360 +80000364: 001e1e13 slli t3,t3,0x1 +80000368: 001e6e13 ori t3,t3,1 +8000036c: 00000073 ecall + +80000370 : +80000370: 0ff0000f fence +80000374: 00100e13 li t3,1 +80000378: 00000073 ecall +8000037c: c0001073 unimp +80000380: 0000 unimp +80000382: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-sub.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-sub.dump new file mode 100644 index 0000000..8bb8ef1 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-sub.dump @@ -0,0 +1,469 @@ + +rv32ui-p-sub: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00000093 li ra,0 +800000c0: 00000113 li sp,0 +800000c4: 402081b3 sub gp,ra,sp +800000c8: 00000e93 li t4,0 +800000cc: 00200e13 li t3,2 +800000d0: 4bd19663 bne gp,t4,8000057c + +800000d4 : +800000d4: 00100093 li ra,1 +800000d8: 00100113 li sp,1 +800000dc: 402081b3 sub gp,ra,sp +800000e0: 00000e93 li t4,0 +800000e4: 00300e13 li t3,3 +800000e8: 49d19a63 bne gp,t4,8000057c + +800000ec : +800000ec: 00300093 li ra,3 +800000f0: 00700113 li sp,7 +800000f4: 402081b3 sub gp,ra,sp +800000f8: ffc00e93 li t4,-4 +800000fc: 00400e13 li t3,4 +80000100: 47d19e63 bne gp,t4,8000057c + +80000104 : +80000104: 00000093 li ra,0 +80000108: ffff8137 lui sp,0xffff8 +8000010c: 402081b3 sub gp,ra,sp +80000110: 00008eb7 lui t4,0x8 +80000114: 00500e13 li t3,5 +80000118: 47d19263 bne gp,t4,8000057c + +8000011c : +8000011c: 800000b7 lui ra,0x80000 +80000120: 00000113 li sp,0 +80000124: 402081b3 sub gp,ra,sp +80000128: 80000eb7 lui t4,0x80000 +8000012c: 00600e13 li t3,6 +80000130: 45d19663 bne gp,t4,8000057c + +80000134 : +80000134: 800000b7 lui ra,0x80000 +80000138: ffff8137 lui sp,0xffff8 +8000013c: 402081b3 sub gp,ra,sp +80000140: 80008eb7 lui t4,0x80008 +80000144: 00700e13 li t3,7 +80000148: 43d19a63 bne gp,t4,8000057c + +8000014c : +8000014c: 00000093 li ra,0 +80000150: 00008137 lui sp,0x8 +80000154: fff10113 addi sp,sp,-1 # 7fff <_start-0x7fff8001> +80000158: 402081b3 sub gp,ra,sp +8000015c: ffff8eb7 lui t4,0xffff8 +80000160: 001e8e93 addi t4,t4,1 # ffff8001 +80000164: 00800e13 li t3,8 +80000168: 41d19a63 bne gp,t4,8000057c + +8000016c : +8000016c: 800000b7 lui ra,0x80000 +80000170: fff08093 addi ra,ra,-1 # 7fffffff +80000174: 00000113 li sp,0 +80000178: 402081b3 sub gp,ra,sp +8000017c: 80000eb7 lui t4,0x80000 +80000180: fffe8e93 addi t4,t4,-1 # 7fffffff +80000184: 00900e13 li t3,9 +80000188: 3fd19a63 bne gp,t4,8000057c + +8000018c : +8000018c: 800000b7 lui ra,0x80000 +80000190: fff08093 addi ra,ra,-1 # 7fffffff +80000194: 00008137 lui sp,0x8 +80000198: fff10113 addi sp,sp,-1 # 7fff <_start-0x7fff8001> +8000019c: 402081b3 sub gp,ra,sp +800001a0: 7fff8eb7 lui t4,0x7fff8 +800001a4: 00a00e13 li t3,10 +800001a8: 3dd19a63 bne gp,t4,8000057c + +800001ac : +800001ac: 800000b7 lui ra,0x80000 +800001b0: 00008137 lui sp,0x8 +800001b4: fff10113 addi sp,sp,-1 # 7fff <_start-0x7fff8001> +800001b8: 402081b3 sub gp,ra,sp +800001bc: 7fff8eb7 lui t4,0x7fff8 +800001c0: 001e8e93 addi t4,t4,1 # 7fff8001 <_start-0x7fff> +800001c4: 00b00e13 li t3,11 +800001c8: 3bd19a63 bne gp,t4,8000057c + +800001cc : +800001cc: 800000b7 lui ra,0x80000 +800001d0: fff08093 addi ra,ra,-1 # 7fffffff +800001d4: ffff8137 lui sp,0xffff8 +800001d8: 402081b3 sub gp,ra,sp +800001dc: 80008eb7 lui t4,0x80008 +800001e0: fffe8e93 addi t4,t4,-1 # 80007fff +800001e4: 00c00e13 li t3,12 +800001e8: 39d19a63 bne gp,t4,8000057c + +800001ec : +800001ec: 00000093 li ra,0 +800001f0: fff00113 li sp,-1 +800001f4: 402081b3 sub gp,ra,sp +800001f8: 00100e93 li t4,1 +800001fc: 00d00e13 li t3,13 +80000200: 37d19e63 bne gp,t4,8000057c + +80000204 : +80000204: fff00093 li ra,-1 +80000208: 00100113 li sp,1 +8000020c: 402081b3 sub gp,ra,sp +80000210: ffe00e93 li t4,-2 +80000214: 00e00e13 li t3,14 +80000218: 37d19263 bne gp,t4,8000057c + +8000021c : +8000021c: fff00093 li ra,-1 +80000220: fff00113 li sp,-1 +80000224: 402081b3 sub gp,ra,sp +80000228: 00000e93 li t4,0 +8000022c: 00f00e13 li t3,15 +80000230: 35d19663 bne gp,t4,8000057c + +80000234 : +80000234: 00d00093 li ra,13 +80000238: 00b00113 li sp,11 +8000023c: 402080b3 sub ra,ra,sp +80000240: 00200e93 li t4,2 +80000244: 01000e13 li t3,16 +80000248: 33d09a63 bne ra,t4,8000057c + +8000024c : +8000024c: 00e00093 li ra,14 +80000250: 00b00113 li sp,11 +80000254: 40208133 sub sp,ra,sp +80000258: 00300e93 li t4,3 +8000025c: 01100e13 li t3,17 +80000260: 31d11e63 bne sp,t4,8000057c + +80000264 : +80000264: 00d00093 li ra,13 +80000268: 401080b3 sub ra,ra,ra +8000026c: 00000e93 li t4,0 +80000270: 01200e13 li t3,18 +80000274: 31d09463 bne ra,t4,8000057c + +80000278 : +80000278: 00000213 li tp,0 +8000027c: 00d00093 li ra,13 +80000280: 00b00113 li sp,11 +80000284: 402081b3 sub gp,ra,sp +80000288: 00018313 mv t1,gp +8000028c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000290: 00200293 li t0,2 +80000294: fe5214e3 bne tp,t0,8000027c +80000298: 00200e93 li t4,2 +8000029c: 01300e13 li t3,19 +800002a0: 2dd31e63 bne t1,t4,8000057c + +800002a4 : +800002a4: 00000213 li tp,0 +800002a8: 00e00093 li ra,14 +800002ac: 00b00113 li sp,11 +800002b0: 402081b3 sub gp,ra,sp +800002b4: 00000013 nop +800002b8: 00018313 mv t1,gp +800002bc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002c0: 00200293 li t0,2 +800002c4: fe5212e3 bne tp,t0,800002a8 +800002c8: 00300e93 li t4,3 +800002cc: 01400e13 li t3,20 +800002d0: 2bd31663 bne t1,t4,8000057c + +800002d4 : +800002d4: 00000213 li tp,0 +800002d8: 00f00093 li ra,15 +800002dc: 00b00113 li sp,11 +800002e0: 402081b3 sub gp,ra,sp +800002e4: 00000013 nop +800002e8: 00000013 nop +800002ec: 00018313 mv t1,gp +800002f0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002f4: 00200293 li t0,2 +800002f8: fe5210e3 bne tp,t0,800002d8 +800002fc: 00400e93 li t4,4 +80000300: 01500e13 li t3,21 +80000304: 27d31c63 bne t1,t4,8000057c + +80000308 : +80000308: 00000213 li tp,0 +8000030c: 00d00093 li ra,13 +80000310: 00b00113 li sp,11 +80000314: 402081b3 sub gp,ra,sp +80000318: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000031c: 00200293 li t0,2 +80000320: fe5216e3 bne tp,t0,8000030c +80000324: 00200e93 li t4,2 +80000328: 01600e13 li t3,22 +8000032c: 25d19863 bne gp,t4,8000057c + +80000330 : +80000330: 00000213 li tp,0 +80000334: 00e00093 li ra,14 +80000338: 00b00113 li sp,11 +8000033c: 00000013 nop +80000340: 402081b3 sub gp,ra,sp +80000344: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000348: 00200293 li t0,2 +8000034c: fe5214e3 bne tp,t0,80000334 +80000350: 00300e93 li t4,3 +80000354: 01700e13 li t3,23 +80000358: 23d19263 bne gp,t4,8000057c + +8000035c : +8000035c: 00000213 li tp,0 +80000360: 00f00093 li ra,15 +80000364: 00b00113 li sp,11 +80000368: 00000013 nop +8000036c: 00000013 nop +80000370: 402081b3 sub gp,ra,sp +80000374: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000378: 00200293 li t0,2 +8000037c: fe5212e3 bne tp,t0,80000360 +80000380: 00400e93 li t4,4 +80000384: 01800e13 li t3,24 +80000388: 1fd19a63 bne gp,t4,8000057c + +8000038c : +8000038c: 00000213 li tp,0 +80000390: 00d00093 li ra,13 +80000394: 00000013 nop +80000398: 00b00113 li sp,11 +8000039c: 402081b3 sub gp,ra,sp +800003a0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003a4: 00200293 li t0,2 +800003a8: fe5214e3 bne tp,t0,80000390 +800003ac: 00200e93 li t4,2 +800003b0: 01900e13 li t3,25 +800003b4: 1dd19463 bne gp,t4,8000057c + +800003b8 : +800003b8: 00000213 li tp,0 +800003bc: 00e00093 li ra,14 +800003c0: 00000013 nop +800003c4: 00b00113 li sp,11 +800003c8: 00000013 nop +800003cc: 402081b3 sub gp,ra,sp +800003d0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003d4: 00200293 li t0,2 +800003d8: fe5212e3 bne tp,t0,800003bc +800003dc: 00300e93 li t4,3 +800003e0: 01a00e13 li t3,26 +800003e4: 19d19c63 bne gp,t4,8000057c + +800003e8 : +800003e8: 00000213 li tp,0 +800003ec: 00f00093 li ra,15 +800003f0: 00000013 nop +800003f4: 00000013 nop +800003f8: 00b00113 li sp,11 +800003fc: 402081b3 sub gp,ra,sp +80000400: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000404: 00200293 li t0,2 +80000408: fe5212e3 bne tp,t0,800003ec +8000040c: 00400e93 li t4,4 +80000410: 01b00e13 li t3,27 +80000414: 17d19463 bne gp,t4,8000057c + +80000418 : +80000418: 00000213 li tp,0 +8000041c: 00b00113 li sp,11 +80000420: 00d00093 li ra,13 +80000424: 402081b3 sub gp,ra,sp +80000428: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000042c: 00200293 li t0,2 +80000430: fe5216e3 bne tp,t0,8000041c +80000434: 00200e93 li t4,2 +80000438: 01c00e13 li t3,28 +8000043c: 15d19063 bne gp,t4,8000057c + +80000440 : +80000440: 00000213 li tp,0 +80000444: 00b00113 li sp,11 +80000448: 00e00093 li ra,14 +8000044c: 00000013 nop +80000450: 402081b3 sub gp,ra,sp +80000454: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000458: 00200293 li t0,2 +8000045c: fe5214e3 bne tp,t0,80000444 +80000460: 00300e93 li t4,3 +80000464: 01d00e13 li t3,29 +80000468: 11d19a63 bne gp,t4,8000057c + +8000046c : +8000046c: 00000213 li tp,0 +80000470: 00b00113 li sp,11 +80000474: 00f00093 li ra,15 +80000478: 00000013 nop +8000047c: 00000013 nop +80000480: 402081b3 sub gp,ra,sp +80000484: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000488: 00200293 li t0,2 +8000048c: fe5212e3 bne tp,t0,80000470 +80000490: 00400e93 li t4,4 +80000494: 01e00e13 li t3,30 +80000498: 0fd19263 bne gp,t4,8000057c + +8000049c : +8000049c: 00000213 li tp,0 +800004a0: 00b00113 li sp,11 +800004a4: 00000013 nop +800004a8: 00d00093 li ra,13 +800004ac: 402081b3 sub gp,ra,sp +800004b0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004b4: 00200293 li t0,2 +800004b8: fe5214e3 bne tp,t0,800004a0 +800004bc: 00200e93 li t4,2 +800004c0: 01f00e13 li t3,31 +800004c4: 0bd19c63 bne gp,t4,8000057c + +800004c8 : +800004c8: 00000213 li tp,0 +800004cc: 00b00113 li sp,11 +800004d0: 00000013 nop +800004d4: 00e00093 li ra,14 +800004d8: 00000013 nop +800004dc: 402081b3 sub gp,ra,sp +800004e0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004e4: 00200293 li t0,2 +800004e8: fe5212e3 bne tp,t0,800004cc +800004ec: 00300e93 li t4,3 +800004f0: 02000e13 li t3,32 +800004f4: 09d19463 bne gp,t4,8000057c + +800004f8 : +800004f8: 00000213 li tp,0 +800004fc: 00b00113 li sp,11 +80000500: 00000013 nop +80000504: 00000013 nop +80000508: 00f00093 li ra,15 +8000050c: 402081b3 sub gp,ra,sp +80000510: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000514: 00200293 li t0,2 +80000518: fe5212e3 bne tp,t0,800004fc +8000051c: 00400e93 li t4,4 +80000520: 02100e13 li t3,33 +80000524: 05d19c63 bne gp,t4,8000057c + +80000528 : +80000528: ff100093 li ra,-15 +8000052c: 40100133 neg sp,ra +80000530: 00f00e93 li t4,15 +80000534: 02200e13 li t3,34 +80000538: 05d11263 bne sp,t4,8000057c + +8000053c : +8000053c: 02000093 li ra,32 +80000540: 40008133 sub sp,ra,zero +80000544: 02000e93 li t4,32 +80000548: 02300e13 li t3,35 +8000054c: 03d11863 bne sp,t4,8000057c + +80000550 : +80000550: 400000b3 neg ra,zero +80000554: 00000e93 li t4,0 +80000558: 02400e13 li t3,36 +8000055c: 03d09063 bne ra,t4,8000057c + +80000560 : +80000560: 01000093 li ra,16 +80000564: 01e00113 li sp,30 +80000568: 40208033 sub zero,ra,sp +8000056c: 00000e93 li t4,0 +80000570: 02500e13 li t3,37 +80000574: 01d01463 bne zero,t4,8000057c +80000578: 01c01c63 bne zero,t3,80000590 + +8000057c : +8000057c: 0ff0000f fence +80000580: 000e0063 beqz t3,80000580 +80000584: 001e1e13 slli t3,t3,0x1 +80000588: 001e6e13 ori t3,t3,1 +8000058c: 00000073 ecall + +80000590 : +80000590: 0ff0000f fence +80000594: 00100e13 li t3,1 +80000598: 00000073 ecall +8000059c: c0001073 unimp +800005a0: 0000 unimp +800005a2: 0000 unimp +800005a4: 0000 unimp +800005a6: 0000 unimp +800005a8: 0000 unimp +800005aa: 0000 unimp +800005ac: 0000 unimp +800005ae: 0000 unimp +800005b0: 0000 unimp +800005b2: 0000 unimp +800005b4: 0000 unimp +800005b6: 0000 unimp +800005b8: 0000 unimp +800005ba: 0000 unimp +800005bc: 0000 unimp +800005be: 0000 unimp +800005c0: 0000 unimp +800005c2: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-sw.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-sw.dump new file mode 100644 index 0000000..47893a5 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-sw.dump @@ -0,0 +1,460 @@ + +rv32ui-p-sw: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00002097 auipc ra,0x2 +800000c0: f4408093 addi ra,ra,-188 # 80002000 +800000c4: 00aa0137 lui sp,0xaa0 +800000c8: 0aa10113 addi sp,sp,170 # aa00aa <_start-0x7f55ff56> +800000cc: 0020a023 sw sp,0(ra) +800000d0: 0000a183 lw gp,0(ra) +800000d4: 00aa0eb7 lui t4,0xaa0 +800000d8: 0aae8e93 addi t4,t4,170 # aa00aa <_start-0x7f55ff56> +800000dc: 00200e13 li t3,2 +800000e0: 47d19063 bne gp,t4,80000540 + +800000e4 : +800000e4: 00002097 auipc ra,0x2 +800000e8: f1c08093 addi ra,ra,-228 # 80002000 +800000ec: aa00b137 lui sp,0xaa00b +800000f0: a0010113 addi sp,sp,-1536 # aa00aa00 <_end+0x2a0089d0> +800000f4: 0020a223 sw sp,4(ra) +800000f8: 0040a183 lw gp,4(ra) +800000fc: aa00beb7 lui t4,0xaa00b +80000100: a00e8e93 addi t4,t4,-1536 # aa00aa00 <_end+0x2a0089d0> +80000104: 00300e13 li t3,3 +80000108: 43d19c63 bne gp,t4,80000540 + +8000010c : +8000010c: 00002097 auipc ra,0x2 +80000110: ef408093 addi ra,ra,-268 # 80002000 +80000114: 0aa01137 lui sp,0xaa01 +80000118: aa010113 addi sp,sp,-1376 # aa00aa0 <_start-0x755ff560> +8000011c: 0020a423 sw sp,8(ra) +80000120: 0080a183 lw gp,8(ra) +80000124: 0aa01eb7 lui t4,0xaa01 +80000128: aa0e8e93 addi t4,t4,-1376 # aa00aa0 <_start-0x755ff560> +8000012c: 00400e13 li t3,4 +80000130: 41d19863 bne gp,t4,80000540 + +80000134 : +80000134: 00002097 auipc ra,0x2 +80000138: ecc08093 addi ra,ra,-308 # 80002000 +8000013c: a00aa137 lui sp,0xa00aa +80000140: 00a10113 addi sp,sp,10 # a00aa00a <_end+0x200a7fda> +80000144: 0020a623 sw sp,12(ra) +80000148: 00c0a183 lw gp,12(ra) +8000014c: a00aaeb7 lui t4,0xa00aa +80000150: 00ae8e93 addi t4,t4,10 # a00aa00a <_end+0x200a7fda> +80000154: 00500e13 li t3,5 +80000158: 3fd19463 bne gp,t4,80000540 + +8000015c : +8000015c: 00002097 auipc ra,0x2 +80000160: ec008093 addi ra,ra,-320 # 8000201c +80000164: 00aa0137 lui sp,0xaa0 +80000168: 0aa10113 addi sp,sp,170 # aa00aa <_start-0x7f55ff56> +8000016c: fe20aa23 sw sp,-12(ra) +80000170: ff40a183 lw gp,-12(ra) +80000174: 00aa0eb7 lui t4,0xaa0 +80000178: 0aae8e93 addi t4,t4,170 # aa00aa <_start-0x7f55ff56> +8000017c: 00600e13 li t3,6 +80000180: 3dd19063 bne gp,t4,80000540 + +80000184 : +80000184: 00002097 auipc ra,0x2 +80000188: e9808093 addi ra,ra,-360 # 8000201c +8000018c: aa00b137 lui sp,0xaa00b +80000190: a0010113 addi sp,sp,-1536 # aa00aa00 <_end+0x2a0089d0> +80000194: fe20ac23 sw sp,-8(ra) +80000198: ff80a183 lw gp,-8(ra) +8000019c: aa00beb7 lui t4,0xaa00b +800001a0: a00e8e93 addi t4,t4,-1536 # aa00aa00 <_end+0x2a0089d0> +800001a4: 00700e13 li t3,7 +800001a8: 39d19c63 bne gp,t4,80000540 + +800001ac : +800001ac: 00002097 auipc ra,0x2 +800001b0: e7008093 addi ra,ra,-400 # 8000201c +800001b4: 0aa01137 lui sp,0xaa01 +800001b8: aa010113 addi sp,sp,-1376 # aa00aa0 <_start-0x755ff560> +800001bc: fe20ae23 sw sp,-4(ra) +800001c0: ffc0a183 lw gp,-4(ra) +800001c4: 0aa01eb7 lui t4,0xaa01 +800001c8: aa0e8e93 addi t4,t4,-1376 # aa00aa0 <_start-0x755ff560> +800001cc: 00800e13 li t3,8 +800001d0: 37d19863 bne gp,t4,80000540 + +800001d4 : +800001d4: 00002097 auipc ra,0x2 +800001d8: e4808093 addi ra,ra,-440 # 8000201c +800001dc: a00aa137 lui sp,0xa00aa +800001e0: 00a10113 addi sp,sp,10 # a00aa00a <_end+0x200a7fda> +800001e4: 0020a023 sw sp,0(ra) +800001e8: 0000a183 lw gp,0(ra) +800001ec: a00aaeb7 lui t4,0xa00aa +800001f0: 00ae8e93 addi t4,t4,10 # a00aa00a <_end+0x200a7fda> +800001f4: 00900e13 li t3,9 +800001f8: 35d19463 bne gp,t4,80000540 + +800001fc : +800001fc: 00002097 auipc ra,0x2 +80000200: e2408093 addi ra,ra,-476 # 80002020 +80000204: 12345137 lui sp,0x12345 +80000208: 67810113 addi sp,sp,1656 # 12345678 <_start-0x6dcba988> +8000020c: fe008213 addi tp,ra,-32 +80000210: 02222023 sw sp,32(tp) # 20 <_start-0x7fffffe0> +80000214: 0000a183 lw gp,0(ra) +80000218: 12345eb7 lui t4,0x12345 +8000021c: 678e8e93 addi t4,t4,1656 # 12345678 <_start-0x6dcba988> +80000220: 00a00e13 li t3,10 +80000224: 31d19e63 bne gp,t4,80000540 + +80000228 : +80000228: 00002097 auipc ra,0x2 +8000022c: df808093 addi ra,ra,-520 # 80002020 +80000230: 58213137 lui sp,0x58213 +80000234: 09810113 addi sp,sp,152 # 58213098 <_start-0x27decf68> +80000238: ffd08093 addi ra,ra,-3 +8000023c: 0020a3a3 sw sp,7(ra) +80000240: 00002217 auipc tp,0x2 +80000244: de420213 addi tp,tp,-540 # 80002024 +80000248: 00022183 lw gp,0(tp) # 0 <_start-0x80000000> +8000024c: 58213eb7 lui t4,0x58213 +80000250: 098e8e93 addi t4,t4,152 # 58213098 <_start-0x27decf68> +80000254: 00b00e13 li t3,11 +80000258: 2fd19463 bne gp,t4,80000540 + +8000025c : +8000025c: 00c00e13 li t3,12 +80000260: 00000213 li tp,0 +80000264: aabbd0b7 lui ra,0xaabbd +80000268: cdd08093 addi ra,ra,-803 # aabbccdd <_end+0x2abbacad> +8000026c: 00002117 auipc sp,0x2 +80000270: d9410113 addi sp,sp,-620 # 80002000 +80000274: 00112023 sw ra,0(sp) +80000278: 00012183 lw gp,0(sp) +8000027c: aabbdeb7 lui t4,0xaabbd +80000280: cdde8e93 addi t4,t4,-803 # aabbccdd <_end+0x2abbacad> +80000284: 2bd19e63 bne gp,t4,80000540 +80000288: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000028c: 00200293 li t0,2 +80000290: fc521ae3 bne tp,t0,80000264 + +80000294 : +80000294: 00d00e13 li t3,13 +80000298: 00000213 li tp,0 +8000029c: daabc0b7 lui ra,0xdaabc +800002a0: ccd08093 addi ra,ra,-819 # daabbccd <_end+0x5aab9c9d> +800002a4: 00002117 auipc sp,0x2 +800002a8: d5c10113 addi sp,sp,-676 # 80002000 +800002ac: 00000013 nop +800002b0: 00112223 sw ra,4(sp) +800002b4: 00412183 lw gp,4(sp) +800002b8: daabceb7 lui t4,0xdaabc +800002bc: ccde8e93 addi t4,t4,-819 # daabbccd <_end+0x5aab9c9d> +800002c0: 29d19063 bne gp,t4,80000540 +800002c4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002c8: 00200293 li t0,2 +800002cc: fc5218e3 bne tp,t0,8000029c + +800002d0 : +800002d0: 00e00e13 li t3,14 +800002d4: 00000213 li tp,0 +800002d8: ddaac0b7 lui ra,0xddaac +800002dc: bcc08093 addi ra,ra,-1076 # ddaabbcc <_end+0x5daa9b9c> +800002e0: 00002117 auipc sp,0x2 +800002e4: d2010113 addi sp,sp,-736 # 80002000 +800002e8: 00000013 nop +800002ec: 00000013 nop +800002f0: 00112423 sw ra,8(sp) +800002f4: 00812183 lw gp,8(sp) +800002f8: ddaaceb7 lui t4,0xddaac +800002fc: bcce8e93 addi t4,t4,-1076 # ddaabbcc <_end+0x5daa9b9c> +80000300: 25d19063 bne gp,t4,80000540 +80000304: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000308: 00200293 li t0,2 +8000030c: fc5216e3 bne tp,t0,800002d8 + +80000310 : +80000310: 00f00e13 li t3,15 +80000314: 00000213 li tp,0 +80000318: cddab0b7 lui ra,0xcddab +8000031c: bbc08093 addi ra,ra,-1092 # cddaabbc <_end+0x4dda8b8c> +80000320: 00000013 nop +80000324: 00002117 auipc sp,0x2 +80000328: cdc10113 addi sp,sp,-804 # 80002000 +8000032c: 00112623 sw ra,12(sp) +80000330: 00c12183 lw gp,12(sp) +80000334: cddabeb7 lui t4,0xcddab +80000338: bbce8e93 addi t4,t4,-1092 # cddaabbc <_end+0x4dda8b8c> +8000033c: 21d19263 bne gp,t4,80000540 +80000340: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000344: 00200293 li t0,2 +80000348: fc5218e3 bne tp,t0,80000318 + +8000034c : +8000034c: 01000e13 li t3,16 +80000350: 00000213 li tp,0 +80000354: ccddb0b7 lui ra,0xccddb +80000358: abb08093 addi ra,ra,-1349 # ccddaabb <_end+0x4cdd8a8b> +8000035c: 00000013 nop +80000360: 00002117 auipc sp,0x2 +80000364: ca010113 addi sp,sp,-864 # 80002000 +80000368: 00000013 nop +8000036c: 00112823 sw ra,16(sp) +80000370: 01012183 lw gp,16(sp) +80000374: ccddbeb7 lui t4,0xccddb +80000378: abbe8e93 addi t4,t4,-1349 # ccddaabb <_end+0x4cdd8a8b> +8000037c: 1dd19263 bne gp,t4,80000540 +80000380: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000384: 00200293 li t0,2 +80000388: fc5216e3 bne tp,t0,80000354 + +8000038c : +8000038c: 01100e13 li t3,17 +80000390: 00000213 li tp,0 +80000394: bccde0b7 lui ra,0xbccde +80000398: aab08093 addi ra,ra,-1365 # bccddaab <_end+0x3ccdba7b> +8000039c: 00000013 nop +800003a0: 00000013 nop +800003a4: 00002117 auipc sp,0x2 +800003a8: c5c10113 addi sp,sp,-932 # 80002000 +800003ac: 00112a23 sw ra,20(sp) +800003b0: 01412183 lw gp,20(sp) +800003b4: bccdeeb7 lui t4,0xbccde +800003b8: aabe8e93 addi t4,t4,-1365 # bccddaab <_end+0x3ccdba7b> +800003bc: 19d19263 bne gp,t4,80000540 +800003c0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003c4: 00200293 li t0,2 +800003c8: fc5216e3 bne tp,t0,80000394 + +800003cc : +800003cc: 01200e13 li t3,18 +800003d0: 00000213 li tp,0 +800003d4: 00002117 auipc sp,0x2 +800003d8: c2c10113 addi sp,sp,-980 # 80002000 +800003dc: 001120b7 lui ra,0x112 +800003e0: 23308093 addi ra,ra,563 # 112233 <_start-0x7feeddcd> +800003e4: 00112023 sw ra,0(sp) +800003e8: 00012183 lw gp,0(sp) +800003ec: 00112eb7 lui t4,0x112 +800003f0: 233e8e93 addi t4,t4,563 # 112233 <_start-0x7feeddcd> +800003f4: 15d19663 bne gp,t4,80000540 +800003f8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003fc: 00200293 li t0,2 +80000400: fc521ae3 bne tp,t0,800003d4 + +80000404 : +80000404: 01300e13 li t3,19 +80000408: 00000213 li tp,0 +8000040c: 00002117 auipc sp,0x2 +80000410: bf410113 addi sp,sp,-1036 # 80002000 +80000414: 300110b7 lui ra,0x30011 +80000418: 22308093 addi ra,ra,547 # 30011223 <_start-0x4ffeeddd> +8000041c: 00000013 nop +80000420: 00112223 sw ra,4(sp) +80000424: 00412183 lw gp,4(sp) +80000428: 30011eb7 lui t4,0x30011 +8000042c: 223e8e93 addi t4,t4,547 # 30011223 <_start-0x4ffeeddd> +80000430: 11d19863 bne gp,t4,80000540 +80000434: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000438: 00200293 li t0,2 +8000043c: fc5218e3 bne tp,t0,8000040c + +80000440 : +80000440: 01400e13 li t3,20 +80000444: 00000213 li tp,0 +80000448: 00002117 auipc sp,0x2 +8000044c: bb810113 addi sp,sp,-1096 # 80002000 +80000450: 330010b7 lui ra,0x33001 +80000454: 12208093 addi ra,ra,290 # 33001122 <_start-0x4cffeede> +80000458: 00000013 nop +8000045c: 00000013 nop +80000460: 00112423 sw ra,8(sp) +80000464: 00812183 lw gp,8(sp) +80000468: 33001eb7 lui t4,0x33001 +8000046c: 122e8e93 addi t4,t4,290 # 33001122 <_start-0x4cffeede> +80000470: 0dd19863 bne gp,t4,80000540 +80000474: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000478: 00200293 li t0,2 +8000047c: fc5216e3 bne tp,t0,80000448 + +80000480 : +80000480: 01500e13 li t3,21 +80000484: 00000213 li tp,0 +80000488: 00002117 auipc sp,0x2 +8000048c: b7810113 addi sp,sp,-1160 # 80002000 +80000490: 00000013 nop +80000494: 233000b7 lui ra,0x23300 +80000498: 11208093 addi ra,ra,274 # 23300112 <_start-0x5ccffeee> +8000049c: 00112623 sw ra,12(sp) +800004a0: 00c12183 lw gp,12(sp) +800004a4: 23300eb7 lui t4,0x23300 +800004a8: 112e8e93 addi t4,t4,274 # 23300112 <_start-0x5ccffeee> +800004ac: 09d19a63 bne gp,t4,80000540 +800004b0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004b4: 00200293 li t0,2 +800004b8: fc5218e3 bne tp,t0,80000488 + +800004bc : +800004bc: 01600e13 li t3,22 +800004c0: 00000213 li tp,0 +800004c4: 00002117 auipc sp,0x2 +800004c8: b3c10113 addi sp,sp,-1220 # 80002000 +800004cc: 00000013 nop +800004d0: 223300b7 lui ra,0x22330 +800004d4: 01108093 addi ra,ra,17 # 22330011 <_start-0x5dccffef> +800004d8: 00000013 nop +800004dc: 00112823 sw ra,16(sp) +800004e0: 01012183 lw gp,16(sp) +800004e4: 22330eb7 lui t4,0x22330 +800004e8: 011e8e93 addi t4,t4,17 # 22330011 <_start-0x5dccffef> +800004ec: 05d19a63 bne gp,t4,80000540 +800004f0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004f4: 00200293 li t0,2 +800004f8: fc5216e3 bne tp,t0,800004c4 + +800004fc : +800004fc: 01700e13 li t3,23 +80000500: 00000213 li tp,0 +80000504: 00002117 auipc sp,0x2 +80000508: afc10113 addi sp,sp,-1284 # 80002000 +8000050c: 00000013 nop +80000510: 00000013 nop +80000514: 122330b7 lui ra,0x12233 +80000518: 00108093 addi ra,ra,1 # 12233001 <_start-0x6ddccfff> +8000051c: 00112a23 sw ra,20(sp) +80000520: 01412183 lw gp,20(sp) +80000524: 12233eb7 lui t4,0x12233 +80000528: 001e8e93 addi t4,t4,1 # 12233001 <_start-0x6ddccfff> +8000052c: 01d19a63 bne gp,t4,80000540 +80000530: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000534: 00200293 li t0,2 +80000538: fc5216e3 bne tp,t0,80000504 +8000053c: 01c01c63 bne zero,t3,80000554 + +80000540 : +80000540: 0ff0000f fence +80000544: 000e0063 beqz t3,80000544 +80000548: 001e1e13 slli t3,t3,0x1 +8000054c: 001e6e13 ori t3,t3,1 +80000550: 00000073 ecall + +80000554 : +80000554: 0ff0000f fence +80000558: 00100e13 li t3,1 +8000055c: 00000073 ecall +80000560: c0001073 unimp +80000564: 0000 unimp +80000566: 0000 unimp +80000568: 0000 unimp +8000056a: 0000 unimp +8000056c: 0000 unimp +8000056e: 0000 unimp +80000570: 0000 unimp +80000572: 0000 unimp +80000574: 0000 unimp +80000576: 0000 unimp +80000578: 0000 unimp +8000057a: 0000 unimp +8000057c: 0000 unimp +8000057e: 0000 unimp +80000580: 0000 unimp +80000582: 0000 unimp + +Disassembly of section .data: + +80002000 : +80002000: deadbeef jal t4,7ffdd5ea <_start-0x22a16> + +80002004 : +80002004: deadbeef jal t4,7ffdd5ee <_start-0x22a12> + +80002008 : +80002008: deadbeef jal t4,7ffdd5f2 <_start-0x22a0e> + +8000200c : +8000200c: deadbeef jal t4,7ffdd5f6 <_start-0x22a0a> + +80002010 : +80002010: deadbeef jal t4,7ffdd5fa <_start-0x22a06> + +80002014 : +80002014: deadbeef jal t4,7ffdd5fe <_start-0x22a02> + +80002018 : +80002018: deadbeef jal t4,7ffdd602 <_start-0x229fe> + +8000201c : +8000201c: deadbeef jal t4,7ffdd606 <_start-0x229fa> + +80002020 : +80002020: deadbeef jal t4,7ffdd60a <_start-0x229f6> + +80002024 : +80002024: deadbeef jal t4,7ffdd60e <_start-0x229f2> +80002028: 0000 unimp +8000202a: 0000 unimp +8000202c: 0000 unimp +8000202e: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-xor.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-xor.dump new file mode 100644 index 0000000..d6ba3d6 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-xor.dump @@ -0,0 +1,449 @@ + +rv32ui-p-xor: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: ff0100b7 lui ra,0xff010 +800000c0: f0008093 addi ra,ra,-256 # ff00ff00 +800000c4: 0f0f1137 lui sp,0xf0f1 +800000c8: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +800000cc: 0020c1b3 xor gp,ra,sp +800000d0: f00ffeb7 lui t4,0xf00ff +800000d4: 00fe8e93 addi t4,t4,15 # f00ff00f +800000d8: 00200e13 li t3,2 +800000dc: 4bd19063 bne gp,t4,8000057c + +800000e0 : +800000e0: 0ff010b7 lui ra,0xff01 +800000e4: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +800000e8: f0f0f137 lui sp,0xf0f0f +800000ec: 0f010113 addi sp,sp,240 # f0f0f0f0 +800000f0: 0020c1b3 xor gp,ra,sp +800000f4: ff010eb7 lui t4,0xff010 +800000f8: f00e8e93 addi t4,t4,-256 # ff00ff00 +800000fc: 00300e13 li t3,3 +80000100: 47d19e63 bne gp,t4,8000057c + +80000104 : +80000104: 00ff00b7 lui ra,0xff0 +80000108: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +8000010c: 0f0f1137 lui sp,0xf0f1 +80000110: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +80000114: 0020c1b3 xor gp,ra,sp +80000118: 0ff01eb7 lui t4,0xff01 +8000011c: ff0e8e93 addi t4,t4,-16 # ff00ff0 <_start-0x700ff010> +80000120: 00400e13 li t3,4 +80000124: 45d19c63 bne gp,t4,8000057c + +80000128 : +80000128: f00ff0b7 lui ra,0xf00ff +8000012c: 00f08093 addi ra,ra,15 # f00ff00f +80000130: f0f0f137 lui sp,0xf0f0f +80000134: 0f010113 addi sp,sp,240 # f0f0f0f0 +80000138: 0020c1b3 xor gp,ra,sp +8000013c: 00ff0eb7 lui t4,0xff0 +80000140: 0ffe8e93 addi t4,t4,255 # ff00ff <_start-0x7f00ff01> +80000144: 00500e13 li t3,5 +80000148: 43d19a63 bne gp,t4,8000057c + +8000014c : +8000014c: ff0100b7 lui ra,0xff010 +80000150: f0008093 addi ra,ra,-256 # ff00ff00 +80000154: 0f0f1137 lui sp,0xf0f1 +80000158: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +8000015c: 0020c0b3 xor ra,ra,sp +80000160: f00ffeb7 lui t4,0xf00ff +80000164: 00fe8e93 addi t4,t4,15 # f00ff00f +80000168: 00600e13 li t3,6 +8000016c: 41d09863 bne ra,t4,8000057c + +80000170 : +80000170: ff0100b7 lui ra,0xff010 +80000174: f0008093 addi ra,ra,-256 # ff00ff00 +80000178: 0f0f1137 lui sp,0xf0f1 +8000017c: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +80000180: 0020c133 xor sp,ra,sp +80000184: f00ffeb7 lui t4,0xf00ff +80000188: 00fe8e93 addi t4,t4,15 # f00ff00f +8000018c: 00700e13 li t3,7 +80000190: 3fd11663 bne sp,t4,8000057c + +80000194 : +80000194: ff0100b7 lui ra,0xff010 +80000198: f0008093 addi ra,ra,-256 # ff00ff00 +8000019c: 0010c0b3 xor ra,ra,ra +800001a0: 00000e93 li t4,0 +800001a4: 00800e13 li t3,8 +800001a8: 3dd09a63 bne ra,t4,8000057c + +800001ac : +800001ac: 00000213 li tp,0 +800001b0: ff0100b7 lui ra,0xff010 +800001b4: f0008093 addi ra,ra,-256 # ff00ff00 +800001b8: 0f0f1137 lui sp,0xf0f1 +800001bc: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +800001c0: 0020c1b3 xor gp,ra,sp +800001c4: 00018313 mv t1,gp +800001c8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800001cc: 00200293 li t0,2 +800001d0: fe5210e3 bne tp,t0,800001b0 +800001d4: f00ffeb7 lui t4,0xf00ff +800001d8: 00fe8e93 addi t4,t4,15 # f00ff00f +800001dc: 00900e13 li t3,9 +800001e0: 39d31e63 bne t1,t4,8000057c + +800001e4 : +800001e4: 00000213 li tp,0 +800001e8: 0ff010b7 lui ra,0xff01 +800001ec: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +800001f0: f0f0f137 lui sp,0xf0f0f +800001f4: 0f010113 addi sp,sp,240 # f0f0f0f0 +800001f8: 0020c1b3 xor gp,ra,sp +800001fc: 00000013 nop +80000200: 00018313 mv t1,gp +80000204: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000208: 00200293 li t0,2 +8000020c: fc521ee3 bne tp,t0,800001e8 +80000210: ff010eb7 lui t4,0xff010 +80000214: f00e8e93 addi t4,t4,-256 # ff00ff00 +80000218: 00a00e13 li t3,10 +8000021c: 37d31063 bne t1,t4,8000057c + +80000220 : +80000220: 00000213 li tp,0 +80000224: 00ff00b7 lui ra,0xff0 +80000228: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +8000022c: 0f0f1137 lui sp,0xf0f1 +80000230: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +80000234: 0020c1b3 xor gp,ra,sp +80000238: 00000013 nop +8000023c: 00000013 nop +80000240: 00018313 mv t1,gp +80000244: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000248: 00200293 li t0,2 +8000024c: fc521ce3 bne tp,t0,80000224 +80000250: 0ff01eb7 lui t4,0xff01 +80000254: ff0e8e93 addi t4,t4,-16 # ff00ff0 <_start-0x700ff010> +80000258: 00b00e13 li t3,11 +8000025c: 33d31063 bne t1,t4,8000057c + +80000260 : +80000260: 00000213 li tp,0 +80000264: ff0100b7 lui ra,0xff010 +80000268: f0008093 addi ra,ra,-256 # ff00ff00 +8000026c: 0f0f1137 lui sp,0xf0f1 +80000270: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +80000274: 0020c1b3 xor gp,ra,sp +80000278: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000027c: 00200293 li t0,2 +80000280: fe5212e3 bne tp,t0,80000264 +80000284: f00ffeb7 lui t4,0xf00ff +80000288: 00fe8e93 addi t4,t4,15 # f00ff00f +8000028c: 00c00e13 li t3,12 +80000290: 2fd19663 bne gp,t4,8000057c + +80000294 : +80000294: 00000213 li tp,0 +80000298: 0ff010b7 lui ra,0xff01 +8000029c: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +800002a0: f0f0f137 lui sp,0xf0f0f +800002a4: 0f010113 addi sp,sp,240 # f0f0f0f0 +800002a8: 00000013 nop +800002ac: 0020c1b3 xor gp,ra,sp +800002b0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002b4: 00200293 li t0,2 +800002b8: fe5210e3 bne tp,t0,80000298 +800002bc: ff010eb7 lui t4,0xff010 +800002c0: f00e8e93 addi t4,t4,-256 # ff00ff00 +800002c4: 00d00e13 li t3,13 +800002c8: 2bd19a63 bne gp,t4,8000057c + +800002cc : +800002cc: 00000213 li tp,0 +800002d0: 00ff00b7 lui ra,0xff0 +800002d4: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +800002d8: 0f0f1137 lui sp,0xf0f1 +800002dc: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +800002e0: 00000013 nop +800002e4: 00000013 nop +800002e8: 0020c1b3 xor gp,ra,sp +800002ec: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002f0: 00200293 li t0,2 +800002f4: fc521ee3 bne tp,t0,800002d0 +800002f8: 0ff01eb7 lui t4,0xff01 +800002fc: ff0e8e93 addi t4,t4,-16 # ff00ff0 <_start-0x700ff010> +80000300: 00e00e13 li t3,14 +80000304: 27d19c63 bne gp,t4,8000057c + +80000308 : +80000308: 00000213 li tp,0 +8000030c: ff0100b7 lui ra,0xff010 +80000310: f0008093 addi ra,ra,-256 # ff00ff00 +80000314: 00000013 nop +80000318: 0f0f1137 lui sp,0xf0f1 +8000031c: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +80000320: 0020c1b3 xor gp,ra,sp +80000324: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000328: 00200293 li t0,2 +8000032c: fe5210e3 bne tp,t0,8000030c +80000330: f00ffeb7 lui t4,0xf00ff +80000334: 00fe8e93 addi t4,t4,15 # f00ff00f +80000338: 00f00e13 li t3,15 +8000033c: 25d19063 bne gp,t4,8000057c + +80000340 : +80000340: 00000213 li tp,0 +80000344: 0ff010b7 lui ra,0xff01 +80000348: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +8000034c: 00000013 nop +80000350: f0f0f137 lui sp,0xf0f0f +80000354: 0f010113 addi sp,sp,240 # f0f0f0f0 +80000358: 00000013 nop +8000035c: 0020c1b3 xor gp,ra,sp +80000360: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000364: 00200293 li t0,2 +80000368: fc521ee3 bne tp,t0,80000344 +8000036c: ff010eb7 lui t4,0xff010 +80000370: f00e8e93 addi t4,t4,-256 # ff00ff00 +80000374: 01000e13 li t3,16 +80000378: 21d19263 bne gp,t4,8000057c + +8000037c : +8000037c: 00000213 li tp,0 +80000380: 00ff00b7 lui ra,0xff0 +80000384: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +80000388: 00000013 nop +8000038c: 00000013 nop +80000390: 0f0f1137 lui sp,0xf0f1 +80000394: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +80000398: 0020c1b3 xor gp,ra,sp +8000039c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003a0: 00200293 li t0,2 +800003a4: fc521ee3 bne tp,t0,80000380 +800003a8: 0ff01eb7 lui t4,0xff01 +800003ac: ff0e8e93 addi t4,t4,-16 # ff00ff0 <_start-0x700ff010> +800003b0: 01100e13 li t3,17 +800003b4: 1dd19463 bne gp,t4,8000057c + +800003b8 : +800003b8: 00000213 li tp,0 +800003bc: 0f0f1137 lui sp,0xf0f1 +800003c0: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +800003c4: ff0100b7 lui ra,0xff010 +800003c8: f0008093 addi ra,ra,-256 # ff00ff00 +800003cc: 0020c1b3 xor gp,ra,sp +800003d0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003d4: 00200293 li t0,2 +800003d8: fe5212e3 bne tp,t0,800003bc +800003dc: f00ffeb7 lui t4,0xf00ff +800003e0: 00fe8e93 addi t4,t4,15 # f00ff00f +800003e4: 01200e13 li t3,18 +800003e8: 19d19a63 bne gp,t4,8000057c + +800003ec : +800003ec: 00000213 li tp,0 +800003f0: f0f0f137 lui sp,0xf0f0f +800003f4: 0f010113 addi sp,sp,240 # f0f0f0f0 +800003f8: 0ff010b7 lui ra,0xff01 +800003fc: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +80000400: 00000013 nop +80000404: 0020c1b3 xor gp,ra,sp +80000408: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000040c: 00200293 li t0,2 +80000410: fe5210e3 bne tp,t0,800003f0 +80000414: ff010eb7 lui t4,0xff010 +80000418: f00e8e93 addi t4,t4,-256 # ff00ff00 +8000041c: 01300e13 li t3,19 +80000420: 15d19e63 bne gp,t4,8000057c + +80000424 : +80000424: 00000213 li tp,0 +80000428: 0f0f1137 lui sp,0xf0f1 +8000042c: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +80000430: 00ff00b7 lui ra,0xff0 +80000434: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +80000438: 00000013 nop +8000043c: 00000013 nop +80000440: 0020c1b3 xor gp,ra,sp +80000444: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000448: 00200293 li t0,2 +8000044c: fc521ee3 bne tp,t0,80000428 +80000450: 0ff01eb7 lui t4,0xff01 +80000454: ff0e8e93 addi t4,t4,-16 # ff00ff0 <_start-0x700ff010> +80000458: 01400e13 li t3,20 +8000045c: 13d19063 bne gp,t4,8000057c + +80000460 : +80000460: 00000213 li tp,0 +80000464: 0f0f1137 lui sp,0xf0f1 +80000468: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +8000046c: 00000013 nop +80000470: ff0100b7 lui ra,0xff010 +80000474: f0008093 addi ra,ra,-256 # ff00ff00 +80000478: 0020c1b3 xor gp,ra,sp +8000047c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000480: 00200293 li t0,2 +80000484: fe5210e3 bne tp,t0,80000464 +80000488: f00ffeb7 lui t4,0xf00ff +8000048c: 00fe8e93 addi t4,t4,15 # f00ff00f +80000490: 01500e13 li t3,21 +80000494: 0fd19463 bne gp,t4,8000057c + +80000498 : +80000498: 00000213 li tp,0 +8000049c: f0f0f137 lui sp,0xf0f0f +800004a0: 0f010113 addi sp,sp,240 # f0f0f0f0 +800004a4: 00000013 nop +800004a8: 0ff010b7 lui ra,0xff01 +800004ac: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +800004b0: 00000013 nop +800004b4: 0020c1b3 xor gp,ra,sp +800004b8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004bc: 00200293 li t0,2 +800004c0: fc521ee3 bne tp,t0,8000049c +800004c4: ff010eb7 lui t4,0xff010 +800004c8: f00e8e93 addi t4,t4,-256 # ff00ff00 +800004cc: 01600e13 li t3,22 +800004d0: 0bd19663 bne gp,t4,8000057c + +800004d4 : +800004d4: 00000213 li tp,0 +800004d8: 0f0f1137 lui sp,0xf0f1 +800004dc: f0f10113 addi sp,sp,-241 # f0f0f0f <_start-0x70f0f0f1> +800004e0: 00000013 nop +800004e4: 00000013 nop +800004e8: 00ff00b7 lui ra,0xff0 +800004ec: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +800004f0: 0020c1b3 xor gp,ra,sp +800004f4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004f8: 00200293 li t0,2 +800004fc: fc521ee3 bne tp,t0,800004d8 +80000500: 0ff01eb7 lui t4,0xff01 +80000504: ff0e8e93 addi t4,t4,-16 # ff00ff0 <_start-0x700ff010> +80000508: 01700e13 li t3,23 +8000050c: 07d19863 bne gp,t4,8000057c + +80000510 : +80000510: ff0100b7 lui ra,0xff010 +80000514: f0008093 addi ra,ra,-256 # ff00ff00 +80000518: 00104133 xor sp,zero,ra +8000051c: ff010eb7 lui t4,0xff010 +80000520: f00e8e93 addi t4,t4,-256 # ff00ff00 +80000524: 01800e13 li t3,24 +80000528: 05d11a63 bne sp,t4,8000057c + +8000052c : +8000052c: 00ff00b7 lui ra,0xff0 +80000530: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +80000534: 0000c133 xor sp,ra,zero +80000538: 00ff0eb7 lui t4,0xff0 +8000053c: 0ffe8e93 addi t4,t4,255 # ff00ff <_start-0x7f00ff01> +80000540: 01900e13 li t3,25 +80000544: 03d11c63 bne sp,t4,8000057c + +80000548 : +80000548: 000040b3 xor ra,zero,zero +8000054c: 00000e93 li t4,0 +80000550: 01a00e13 li t3,26 +80000554: 03d09463 bne ra,t4,8000057c + +80000558 : +80000558: 111110b7 lui ra,0x11111 +8000055c: 11108093 addi ra,ra,273 # 11111111 <_start-0x6eeeeeef> +80000560: 22222137 lui sp,0x22222 +80000564: 22210113 addi sp,sp,546 # 22222222 <_start-0x5dddddde> +80000568: 0020c033 xor zero,ra,sp +8000056c: 00000e93 li t4,0 +80000570: 01b00e13 li t3,27 +80000574: 01d01463 bne zero,t4,8000057c +80000578: 01c01c63 bne zero,t3,80000590 + +8000057c : +8000057c: 0ff0000f fence +80000580: 000e0063 beqz t3,80000580 +80000584: 001e1e13 slli t3,t3,0x1 +80000588: 001e6e13 ori t3,t3,1 +8000058c: 00000073 ecall + +80000590 : +80000590: 0ff0000f fence +80000594: 00100e13 li t3,1 +80000598: 00000073 ecall +8000059c: c0001073 unimp +800005a0: 0000 unimp +800005a2: 0000 unimp +800005a4: 0000 unimp +800005a6: 0000 unimp +800005a8: 0000 unimp +800005aa: 0000 unimp +800005ac: 0000 unimp +800005ae: 0000 unimp +800005b0: 0000 unimp +800005b2: 0000 unimp +800005b4: 0000 unimp +800005b6: 0000 unimp +800005b8: 0000 unimp +800005ba: 0000 unimp +800005bc: 0000 unimp +800005be: 0000 unimp +800005c0: 0000 unimp +800005c2: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32ui-p-xori.dump b/VexRiscv/src/test/resources/asm/rv32ui-p-xori.dump new file mode 100644 index 0000000..dae363b --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32ui-p-xori.dump @@ -0,0 +1,222 @@ + +rv32ui-p-xori: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00ff10b7 lui ra,0xff1 +800000c0: f0008093 addi ra,ra,-256 # ff0f00 <_start-0x7f00f100> +800000c4: f0f0c193 xori gp,ra,-241 +800000c8: ff00feb7 lui t4,0xff00f +800000cc: 00fe8e93 addi t4,t4,15 # ff00f00f +800000d0: 00200e13 li t3,2 +800000d4: 1dd19663 bne gp,t4,800002a0 + +800000d8 : +800000d8: 0ff010b7 lui ra,0xff01 +800000dc: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +800000e0: 0f00c193 xori gp,ra,240 +800000e4: 0ff01eb7 lui t4,0xff01 +800000e8: f00e8e93 addi t4,t4,-256 # ff00f00 <_start-0x700ff100> +800000ec: 00300e13 li t3,3 +800000f0: 1bd19863 bne gp,t4,800002a0 + +800000f4 : +800000f4: 00ff10b7 lui ra,0xff1 +800000f8: 8ff08093 addi ra,ra,-1793 # ff08ff <_start-0x7f00f701> +800000fc: 70f0c193 xori gp,ra,1807 +80000100: 00ff1eb7 lui t4,0xff1 +80000104: ff0e8e93 addi t4,t4,-16 # ff0ff0 <_start-0x7f00f010> +80000108: 00400e13 li t3,4 +8000010c: 19d19a63 bne gp,t4,800002a0 + +80000110 : +80000110: f00ff0b7 lui ra,0xf00ff +80000114: 00f08093 addi ra,ra,15 # f00ff00f +80000118: 0f00c193 xori gp,ra,240 +8000011c: f00ffeb7 lui t4,0xf00ff +80000120: 0ffe8e93 addi t4,t4,255 # f00ff0ff +80000124: 00500e13 li t3,5 +80000128: 17d19c63 bne gp,t4,800002a0 + +8000012c : +8000012c: ff00f0b7 lui ra,0xff00f +80000130: 70008093 addi ra,ra,1792 # ff00f700 +80000134: 70f0c093 xori ra,ra,1807 +80000138: ff00feb7 lui t4,0xff00f +8000013c: 00fe8e93 addi t4,t4,15 # ff00f00f +80000140: 00600e13 li t3,6 +80000144: 15d09e63 bne ra,t4,800002a0 + +80000148 : +80000148: 00000213 li tp,0 +8000014c: 0ff010b7 lui ra,0xff01 +80000150: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +80000154: 0f00c193 xori gp,ra,240 +80000158: 00018313 mv t1,gp +8000015c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000160: 00200293 li t0,2 +80000164: fe5214e3 bne tp,t0,8000014c +80000168: 0ff01eb7 lui t4,0xff01 +8000016c: f00e8e93 addi t4,t4,-256 # ff00f00 <_start-0x700ff100> +80000170: 00700e13 li t3,7 +80000174: 13d31663 bne t1,t4,800002a0 + +80000178 : +80000178: 00000213 li tp,0 +8000017c: 00ff10b7 lui ra,0xff1 +80000180: 8ff08093 addi ra,ra,-1793 # ff08ff <_start-0x7f00f701> +80000184: 70f0c193 xori gp,ra,1807 +80000188: 00000013 nop +8000018c: 00018313 mv t1,gp +80000190: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000194: 00200293 li t0,2 +80000198: fe5212e3 bne tp,t0,8000017c +8000019c: 00ff1eb7 lui t4,0xff1 +800001a0: ff0e8e93 addi t4,t4,-16 # ff0ff0 <_start-0x7f00f010> +800001a4: 00800e13 li t3,8 +800001a8: 0fd31c63 bne t1,t4,800002a0 + +800001ac : +800001ac: 00000213 li tp,0 +800001b0: f00ff0b7 lui ra,0xf00ff +800001b4: 00f08093 addi ra,ra,15 # f00ff00f +800001b8: 0f00c193 xori gp,ra,240 +800001bc: 00000013 nop +800001c0: 00000013 nop +800001c4: 00018313 mv t1,gp +800001c8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800001cc: 00200293 li t0,2 +800001d0: fe5210e3 bne tp,t0,800001b0 +800001d4: f00ffeb7 lui t4,0xf00ff +800001d8: 0ffe8e93 addi t4,t4,255 # f00ff0ff +800001dc: 00900e13 li t3,9 +800001e0: 0dd31063 bne t1,t4,800002a0 + +800001e4 : +800001e4: 00000213 li tp,0 +800001e8: 0ff010b7 lui ra,0xff01 +800001ec: ff008093 addi ra,ra,-16 # ff00ff0 <_start-0x700ff010> +800001f0: 0f00c193 xori gp,ra,240 +800001f4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800001f8: 00200293 li t0,2 +800001fc: fe5216e3 bne tp,t0,800001e8 +80000200: 0ff01eb7 lui t4,0xff01 +80000204: f00e8e93 addi t4,t4,-256 # ff00f00 <_start-0x700ff100> +80000208: 00a00e13 li t3,10 +8000020c: 09d19a63 bne gp,t4,800002a0 + +80000210 : +80000210: 00000213 li tp,0 +80000214: 00ff10b7 lui ra,0xff1 +80000218: fff08093 addi ra,ra,-1 # ff0fff <_start-0x7f00f001> +8000021c: 00000013 nop +80000220: 00f0c193 xori gp,ra,15 +80000224: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000228: 00200293 li t0,2 +8000022c: fe5214e3 bne tp,t0,80000214 +80000230: 00ff1eb7 lui t4,0xff1 +80000234: ff0e8e93 addi t4,t4,-16 # ff0ff0 <_start-0x7f00f010> +80000238: 00b00e13 li t3,11 +8000023c: 07d19263 bne gp,t4,800002a0 + +80000240 : +80000240: 00000213 li tp,0 +80000244: f00ff0b7 lui ra,0xf00ff +80000248: 00f08093 addi ra,ra,15 # f00ff00f +8000024c: 00000013 nop +80000250: 00000013 nop +80000254: 0f00c193 xori gp,ra,240 +80000258: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000025c: 00200293 li t0,2 +80000260: fe5212e3 bne tp,t0,80000244 +80000264: f00ffeb7 lui t4,0xf00ff +80000268: 0ffe8e93 addi t4,t4,255 # f00ff0ff +8000026c: 00c00e13 li t3,12 +80000270: 03d19863 bne gp,t4,800002a0 + +80000274 : +80000274: 0f004093 xori ra,zero,240 +80000278: 0f000e93 li t4,240 +8000027c: 00d00e13 li t3,13 +80000280: 03d09063 bne ra,t4,800002a0 + +80000284 : +80000284: 00ff00b7 lui ra,0xff0 +80000288: 0ff08093 addi ra,ra,255 # ff00ff <_start-0x7f00ff01> +8000028c: 70f0c013 xori zero,ra,1807 +80000290: 00000e93 li t4,0 +80000294: 00e00e13 li t3,14 +80000298: 01d01463 bne zero,t4,800002a0 +8000029c: 01c01c63 bne zero,t3,800002b4 + +800002a0 : +800002a0: 0ff0000f fence +800002a4: 000e0063 beqz t3,800002a4 +800002a8: 001e1e13 slli t3,t3,0x1 +800002ac: 001e6e13 ori t3,t3,1 +800002b0: 00000073 ecall + +800002b4 : +800002b4: 0ff0000f fence +800002b8: 00100e13 li t3,1 +800002bc: 00000073 ecall +800002c0: c0001073 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32um-p-div.dump b/VexRiscv/src/test/resources/asm/rv32um-p-div.dump new file mode 100644 index 0000000..1048a52 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32um-p-div.dump @@ -0,0 +1,152 @@ + +rv32um-p-div: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 01400093 li ra,20 +800000c0: 00600113 li sp,6 +800000c4: 0220c1b3 div gp,ra,sp +800000c8: 00300e93 li t4,3 +800000cc: 00200e13 li t3,2 +800000d0: 0dd19463 bne gp,t4,80000198 + +800000d4 : +800000d4: fec00093 li ra,-20 +800000d8: 00600113 li sp,6 +800000dc: 0220c1b3 div gp,ra,sp +800000e0: ffd00e93 li t4,-3 +800000e4: 00300e13 li t3,3 +800000e8: 0bd19863 bne gp,t4,80000198 + +800000ec : +800000ec: 01400093 li ra,20 +800000f0: ffa00113 li sp,-6 +800000f4: 0220c1b3 div gp,ra,sp +800000f8: ffd00e93 li t4,-3 +800000fc: 00400e13 li t3,4 +80000100: 09d19c63 bne gp,t4,80000198 + +80000104 : +80000104: fec00093 li ra,-20 +80000108: ffa00113 li sp,-6 +8000010c: 0220c1b3 div gp,ra,sp +80000110: 00300e93 li t4,3 +80000114: 00500e13 li t3,5 +80000118: 09d19063 bne gp,t4,80000198 + +8000011c : +8000011c: 00000093 li ra,0 +80000120: 00100113 li sp,1 +80000124: 0220c1b3 div gp,ra,sp +80000128: 00000e93 li t4,0 +8000012c: 00600e13 li t3,6 +80000130: 07d19463 bne gp,t4,80000198 + +80000134 : +80000134: 00000093 li ra,0 +80000138: fff00113 li sp,-1 +8000013c: 0220c1b3 div gp,ra,sp +80000140: 00000e93 li t4,0 +80000144: 00700e13 li t3,7 +80000148: 05d19863 bne gp,t4,80000198 + +8000014c : +8000014c: 00000093 li ra,0 +80000150: 00000113 li sp,0 +80000154: 0220c1b3 div gp,ra,sp +80000158: fff00e93 li t4,-1 +8000015c: 00800e13 li t3,8 +80000160: 03d19c63 bne gp,t4,80000198 + +80000164 : +80000164: 00100093 li ra,1 +80000168: 00000113 li sp,0 +8000016c: 0220c1b3 div gp,ra,sp +80000170: fff00e93 li t4,-1 +80000174: 00900e13 li t3,9 +80000178: 03d19063 bne gp,t4,80000198 + +8000017c : +8000017c: 00000093 li ra,0 +80000180: 00000113 li sp,0 +80000184: 0220c1b3 div gp,ra,sp +80000188: fff00e93 li t4,-1 +8000018c: 00a00e13 li t3,10 +80000190: 01d19463 bne gp,t4,80000198 +80000194: 01c01c63 bne zero,t3,800001ac + +80000198 : +80000198: 0ff0000f fence +8000019c: 000e0063 beqz t3,8000019c +800001a0: 001e1e13 slli t3,t3,0x1 +800001a4: 001e6e13 ori t3,t3,1 +800001a8: 00000073 ecall + +800001ac : +800001ac: 0ff0000f fence +800001b0: 00100e13 li t3,1 +800001b4: 00000073 ecall +800001b8: c0001073 unimp +800001bc: 0000 unimp +800001be: 0000 unimp +800001c0: 0000 unimp +800001c2: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32um-p-divu.dump b/VexRiscv/src/test/resources/asm/rv32um-p-divu.dump new file mode 100644 index 0000000..8345377 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32um-p-divu.dump @@ -0,0 +1,151 @@ + +rv32um-p-divu: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 01400093 li ra,20 +800000c0: 00600113 li sp,6 +800000c4: 0220d1b3 divu gp,ra,sp +800000c8: 00300e93 li t4,3 +800000cc: 00200e13 li t3,2 +800000d0: 0dd19663 bne gp,t4,8000019c + +800000d4 : +800000d4: fec00093 li ra,-20 +800000d8: 00600113 li sp,6 +800000dc: 0220d1b3 divu gp,ra,sp +800000e0: 2aaabeb7 lui t4,0x2aaab +800000e4: aa7e8e93 addi t4,t4,-1369 # 2aaaaaa7 <_start-0x55555559> +800000e8: 00300e13 li t3,3 +800000ec: 0bd19863 bne gp,t4,8000019c + +800000f0 : +800000f0: 01400093 li ra,20 +800000f4: ffa00113 li sp,-6 +800000f8: 0220d1b3 divu gp,ra,sp +800000fc: 00000e93 li t4,0 +80000100: 00400e13 li t3,4 +80000104: 09d19c63 bne gp,t4,8000019c + +80000108 : +80000108: fec00093 li ra,-20 +8000010c: ffa00113 li sp,-6 +80000110: 0220d1b3 divu gp,ra,sp +80000114: 00000e93 li t4,0 +80000118: 00500e13 li t3,5 +8000011c: 09d19063 bne gp,t4,8000019c + +80000120 : +80000120: 800000b7 lui ra,0x80000 +80000124: 00100113 li sp,1 +80000128: 0220d1b3 divu gp,ra,sp +8000012c: 80000eb7 lui t4,0x80000 +80000130: 00600e13 li t3,6 +80000134: 07d19463 bne gp,t4,8000019c + +80000138 : +80000138: 800000b7 lui ra,0x80000 +8000013c: fff00113 li sp,-1 +80000140: 0220d1b3 divu gp,ra,sp +80000144: 00000e93 li t4,0 +80000148: 00700e13 li t3,7 +8000014c: 05d19863 bne gp,t4,8000019c + +80000150 : +80000150: 800000b7 lui ra,0x80000 +80000154: 00000113 li sp,0 +80000158: 0220d1b3 divu gp,ra,sp +8000015c: fff00e93 li t4,-1 +80000160: 00800e13 li t3,8 +80000164: 03d19c63 bne gp,t4,8000019c + +80000168 : +80000168: 00100093 li ra,1 +8000016c: 00000113 li sp,0 +80000170: 0220d1b3 divu gp,ra,sp +80000174: fff00e93 li t4,-1 +80000178: 00900e13 li t3,9 +8000017c: 03d19063 bne gp,t4,8000019c + +80000180 : +80000180: 00000093 li ra,0 +80000184: 00000113 li sp,0 +80000188: 0220d1b3 divu gp,ra,sp +8000018c: fff00e93 li t4,-1 +80000190: 00a00e13 li t3,10 +80000194: 01d19463 bne gp,t4,8000019c +80000198: 01c01c63 bne zero,t3,800001b0 + +8000019c : +8000019c: 0ff0000f fence +800001a0: 000e0063 beqz t3,800001a0 +800001a4: 001e1e13 slli t3,t3,0x1 +800001a8: 001e6e13 ori t3,t3,1 +800001ac: 00000073 ecall + +800001b0 : +800001b0: 0ff0000f fence +800001b4: 00100e13 li t3,1 +800001b8: 00000073 ecall +800001bc: c0001073 unimp +800001c0: 0000 unimp +800001c2: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32um-p-mul.dump b/VexRiscv/src/test/resources/asm/rv32um-p-mul.dump new file mode 100644 index 0000000..ab9bfba --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32um-p-mul.dump @@ -0,0 +1,467 @@ + +rv32um-p-mul: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 000080b7 lui ra,0x8 +800000c0: e0008093 addi ra,ra,-512 # 7e00 <_start-0x7fff8200> +800000c4: b6db7137 lui sp,0xb6db7 +800000c8: db710113 addi sp,sp,-585 # b6db6db7 +800000cc: 022081b3 mul gp,ra,sp +800000d0: 00001eb7 lui t4,0x1 +800000d4: 200e8e93 addi t4,t4,512 # 1200 <_start-0x7fffee00> +800000d8: 02000e13 li t3,32 +800000dc: 4bd19463 bne gp,t4,80000584 + +800000e0 : +800000e0: 000080b7 lui ra,0x8 +800000e4: fc008093 addi ra,ra,-64 # 7fc0 <_start-0x7fff8040> +800000e8: b6db7137 lui sp,0xb6db7 +800000ec: db710113 addi sp,sp,-585 # b6db6db7 +800000f0: 022081b3 mul gp,ra,sp +800000f4: 00001eb7 lui t4,0x1 +800000f8: 240e8e93 addi t4,t4,576 # 1240 <_start-0x7fffedc0> +800000fc: 02100e13 li t3,33 +80000100: 49d19263 bne gp,t4,80000584 + +80000104 : +80000104: 00000093 li ra,0 +80000108: 00000113 li sp,0 +8000010c: 022081b3 mul gp,ra,sp +80000110: 00000e93 li t4,0 +80000114: 00200e13 li t3,2 +80000118: 47d19663 bne gp,t4,80000584 + +8000011c : +8000011c: 00100093 li ra,1 +80000120: 00100113 li sp,1 +80000124: 022081b3 mul gp,ra,sp +80000128: 00100e93 li t4,1 +8000012c: 00300e13 li t3,3 +80000130: 45d19a63 bne gp,t4,80000584 + +80000134 : +80000134: 00300093 li ra,3 +80000138: 00700113 li sp,7 +8000013c: 022081b3 mul gp,ra,sp +80000140: 01500e93 li t4,21 +80000144: 00400e13 li t3,4 +80000148: 43d19e63 bne gp,t4,80000584 + +8000014c : +8000014c: 00000093 li ra,0 +80000150: ffff8137 lui sp,0xffff8 +80000154: 022081b3 mul gp,ra,sp +80000158: 00000e93 li t4,0 +8000015c: 00500e13 li t3,5 +80000160: 43d19263 bne gp,t4,80000584 + +80000164 : +80000164: 800000b7 lui ra,0x80000 +80000168: 00000113 li sp,0 +8000016c: 022081b3 mul gp,ra,sp +80000170: 00000e93 li t4,0 +80000174: 00600e13 li t3,6 +80000178: 41d19663 bne gp,t4,80000584 + +8000017c : +8000017c: 800000b7 lui ra,0x80000 +80000180: ffff8137 lui sp,0xffff8 +80000184: 022081b3 mul gp,ra,sp +80000188: 00000e93 li t4,0 +8000018c: 00700e13 li t3,7 +80000190: 3fd19a63 bne gp,t4,80000584 + +80000194 : +80000194: aaaab0b7 lui ra,0xaaaab +80000198: aab08093 addi ra,ra,-1365 # aaaaaaab +8000019c: 00030137 lui sp,0x30 +800001a0: e7d10113 addi sp,sp,-387 # 2fe7d <_start-0x7ffd0183> +800001a4: 022081b3 mul gp,ra,sp +800001a8: 00010eb7 lui t4,0x10 +800001ac: f7fe8e93 addi t4,t4,-129 # ff7f <_start-0x7fff0081> +800001b0: 01e00e13 li t3,30 +800001b4: 3dd19863 bne gp,t4,80000584 + +800001b8 : +800001b8: 000300b7 lui ra,0x30 +800001bc: e7d08093 addi ra,ra,-387 # 2fe7d <_start-0x7ffd0183> +800001c0: aaaab137 lui sp,0xaaaab +800001c4: aab10113 addi sp,sp,-1365 # aaaaaaab +800001c8: 022081b3 mul gp,ra,sp +800001cc: 00010eb7 lui t4,0x10 +800001d0: f7fe8e93 addi t4,t4,-129 # ff7f <_start-0x7fff0081> +800001d4: 01f00e13 li t3,31 +800001d8: 3bd19663 bne gp,t4,80000584 + +800001dc : +800001dc: ff0000b7 lui ra,0xff000 +800001e0: ff000137 lui sp,0xff000 +800001e4: 022081b3 mul gp,ra,sp +800001e8: 00000e93 li t4,0 +800001ec: 02200e13 li t3,34 +800001f0: 39d19a63 bne gp,t4,80000584 + +800001f4 : +800001f4: fff00093 li ra,-1 +800001f8: fff00113 li sp,-1 +800001fc: 022081b3 mul gp,ra,sp +80000200: 00100e93 li t4,1 +80000204: 02300e13 li t3,35 +80000208: 37d19e63 bne gp,t4,80000584 + +8000020c : +8000020c: fff00093 li ra,-1 +80000210: 00100113 li sp,1 +80000214: 022081b3 mul gp,ra,sp +80000218: fff00e93 li t4,-1 +8000021c: 02400e13 li t3,36 +80000220: 37d19263 bne gp,t4,80000584 + +80000224 : +80000224: 00100093 li ra,1 +80000228: fff00113 li sp,-1 +8000022c: 022081b3 mul gp,ra,sp +80000230: fff00e93 li t4,-1 +80000234: 02500e13 li t3,37 +80000238: 35d19663 bne gp,t4,80000584 + +8000023c : +8000023c: 00d00093 li ra,13 +80000240: 00b00113 li sp,11 +80000244: 022080b3 mul ra,ra,sp +80000248: 08f00e93 li t4,143 +8000024c: 00800e13 li t3,8 +80000250: 33d09a63 bne ra,t4,80000584 + +80000254 : +80000254: 00e00093 li ra,14 +80000258: 00b00113 li sp,11 +8000025c: 02208133 mul sp,ra,sp +80000260: 09a00e93 li t4,154 +80000264: 00900e13 li t3,9 +80000268: 31d11e63 bne sp,t4,80000584 + +8000026c : +8000026c: 00d00093 li ra,13 +80000270: 021080b3 mul ra,ra,ra +80000274: 0a900e93 li t4,169 +80000278: 00a00e13 li t3,10 +8000027c: 31d09463 bne ra,t4,80000584 + +80000280 : +80000280: 00000213 li tp,0 +80000284: 00d00093 li ra,13 +80000288: 00b00113 li sp,11 +8000028c: 022081b3 mul gp,ra,sp +80000290: 00018313 mv t1,gp +80000294: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000298: 00200293 li t0,2 +8000029c: fe5214e3 bne tp,t0,80000284 +800002a0: 08f00e93 li t4,143 +800002a4: 00b00e13 li t3,11 +800002a8: 2dd31e63 bne t1,t4,80000584 + +800002ac : +800002ac: 00000213 li tp,0 +800002b0: 00e00093 li ra,14 +800002b4: 00b00113 li sp,11 +800002b8: 022081b3 mul gp,ra,sp +800002bc: 00000013 nop +800002c0: 00018313 mv t1,gp +800002c4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002c8: 00200293 li t0,2 +800002cc: fe5212e3 bne tp,t0,800002b0 +800002d0: 09a00e93 li t4,154 +800002d4: 00c00e13 li t3,12 +800002d8: 2bd31663 bne t1,t4,80000584 + +800002dc : +800002dc: 00000213 li tp,0 +800002e0: 00f00093 li ra,15 +800002e4: 00b00113 li sp,11 +800002e8: 022081b3 mul gp,ra,sp +800002ec: 00000013 nop +800002f0: 00000013 nop +800002f4: 00018313 mv t1,gp +800002f8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002fc: 00200293 li t0,2 +80000300: fe5210e3 bne tp,t0,800002e0 +80000304: 0a500e93 li t4,165 +80000308: 00d00e13 li t3,13 +8000030c: 27d31c63 bne t1,t4,80000584 + +80000310 : +80000310: 00000213 li tp,0 +80000314: 00d00093 li ra,13 +80000318: 00b00113 li sp,11 +8000031c: 022081b3 mul gp,ra,sp +80000320: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000324: 00200293 li t0,2 +80000328: fe5216e3 bne tp,t0,80000314 +8000032c: 08f00e93 li t4,143 +80000330: 00e00e13 li t3,14 +80000334: 25d19863 bne gp,t4,80000584 + +80000338 : +80000338: 00000213 li tp,0 +8000033c: 00e00093 li ra,14 +80000340: 00b00113 li sp,11 +80000344: 00000013 nop +80000348: 022081b3 mul gp,ra,sp +8000034c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000350: 00200293 li t0,2 +80000354: fe5214e3 bne tp,t0,8000033c +80000358: 09a00e93 li t4,154 +8000035c: 00f00e13 li t3,15 +80000360: 23d19263 bne gp,t4,80000584 + +80000364 : +80000364: 00000213 li tp,0 +80000368: 00f00093 li ra,15 +8000036c: 00b00113 li sp,11 +80000370: 00000013 nop +80000374: 00000013 nop +80000378: 022081b3 mul gp,ra,sp +8000037c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000380: 00200293 li t0,2 +80000384: fe5212e3 bne tp,t0,80000368 +80000388: 0a500e93 li t4,165 +8000038c: 01000e13 li t3,16 +80000390: 1fd19a63 bne gp,t4,80000584 + +80000394 : +80000394: 00000213 li tp,0 +80000398: 00d00093 li ra,13 +8000039c: 00000013 nop +800003a0: 00b00113 li sp,11 +800003a4: 022081b3 mul gp,ra,sp +800003a8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003ac: 00200293 li t0,2 +800003b0: fe5214e3 bne tp,t0,80000398 +800003b4: 08f00e93 li t4,143 +800003b8: 01100e13 li t3,17 +800003bc: 1dd19463 bne gp,t4,80000584 + +800003c0 : +800003c0: 00000213 li tp,0 +800003c4: 00e00093 li ra,14 +800003c8: 00000013 nop +800003cc: 00b00113 li sp,11 +800003d0: 00000013 nop +800003d4: 022081b3 mul gp,ra,sp +800003d8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003dc: 00200293 li t0,2 +800003e0: fe5212e3 bne tp,t0,800003c4 +800003e4: 09a00e93 li t4,154 +800003e8: 01200e13 li t3,18 +800003ec: 19d19c63 bne gp,t4,80000584 + +800003f0 : +800003f0: 00000213 li tp,0 +800003f4: 00f00093 li ra,15 +800003f8: 00000013 nop +800003fc: 00000013 nop +80000400: 00b00113 li sp,11 +80000404: 022081b3 mul gp,ra,sp +80000408: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000040c: 00200293 li t0,2 +80000410: fe5212e3 bne tp,t0,800003f4 +80000414: 0a500e93 li t4,165 +80000418: 01300e13 li t3,19 +8000041c: 17d19463 bne gp,t4,80000584 + +80000420 : +80000420: 00000213 li tp,0 +80000424: 00b00113 li sp,11 +80000428: 00d00093 li ra,13 +8000042c: 022081b3 mul gp,ra,sp +80000430: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000434: 00200293 li t0,2 +80000438: fe5216e3 bne tp,t0,80000424 +8000043c: 08f00e93 li t4,143 +80000440: 01400e13 li t3,20 +80000444: 15d19063 bne gp,t4,80000584 + +80000448 : +80000448: 00000213 li tp,0 +8000044c: 00b00113 li sp,11 +80000450: 00e00093 li ra,14 +80000454: 00000013 nop +80000458: 022081b3 mul gp,ra,sp +8000045c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000460: 00200293 li t0,2 +80000464: fe5214e3 bne tp,t0,8000044c +80000468: 09a00e93 li t4,154 +8000046c: 01500e13 li t3,21 +80000470: 11d19a63 bne gp,t4,80000584 + +80000474 : +80000474: 00000213 li tp,0 +80000478: 00b00113 li sp,11 +8000047c: 00f00093 li ra,15 +80000480: 00000013 nop +80000484: 00000013 nop +80000488: 022081b3 mul gp,ra,sp +8000048c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000490: 00200293 li t0,2 +80000494: fe5212e3 bne tp,t0,80000478 +80000498: 0a500e93 li t4,165 +8000049c: 01600e13 li t3,22 +800004a0: 0fd19263 bne gp,t4,80000584 + +800004a4 : +800004a4: 00000213 li tp,0 +800004a8: 00b00113 li sp,11 +800004ac: 00000013 nop +800004b0: 00d00093 li ra,13 +800004b4: 022081b3 mul gp,ra,sp +800004b8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004bc: 00200293 li t0,2 +800004c0: fe5214e3 bne tp,t0,800004a8 +800004c4: 08f00e93 li t4,143 +800004c8: 01700e13 li t3,23 +800004cc: 0bd19c63 bne gp,t4,80000584 + +800004d0 : +800004d0: 00000213 li tp,0 +800004d4: 00b00113 li sp,11 +800004d8: 00000013 nop +800004dc: 00e00093 li ra,14 +800004e0: 00000013 nop +800004e4: 022081b3 mul gp,ra,sp +800004e8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004ec: 00200293 li t0,2 +800004f0: fe5212e3 bne tp,t0,800004d4 +800004f4: 09a00e93 li t4,154 +800004f8: 01800e13 li t3,24 +800004fc: 09d19463 bne gp,t4,80000584 + +80000500 : +80000500: 00000213 li tp,0 +80000504: 00b00113 li sp,11 +80000508: 00000013 nop +8000050c: 00000013 nop +80000510: 00f00093 li ra,15 +80000514: 022081b3 mul gp,ra,sp +80000518: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000051c: 00200293 li t0,2 +80000520: fe5212e3 bne tp,t0,80000504 +80000524: 0a500e93 li t4,165 +80000528: 01900e13 li t3,25 +8000052c: 05d19c63 bne gp,t4,80000584 + +80000530 : +80000530: 01f00093 li ra,31 +80000534: 02100133 mul sp,zero,ra +80000538: 00000e93 li t4,0 +8000053c: 01a00e13 li t3,26 +80000540: 05d11263 bne sp,t4,80000584 + +80000544 : +80000544: 02000093 li ra,32 +80000548: 02008133 mul sp,ra,zero +8000054c: 00000e93 li t4,0 +80000550: 01b00e13 li t3,27 +80000554: 03d11863 bne sp,t4,80000584 + +80000558 : +80000558: 020000b3 mul ra,zero,zero +8000055c: 00000e93 li t4,0 +80000560: 01c00e13 li t3,28 +80000564: 03d09063 bne ra,t4,80000584 + +80000568 : +80000568: 02100093 li ra,33 +8000056c: 02200113 li sp,34 +80000570: 02208033 mul zero,ra,sp +80000574: 00000e93 li t4,0 +80000578: 01d00e13 li t3,29 +8000057c: 01d01463 bne zero,t4,80000584 +80000580: 01c01c63 bne zero,t3,80000598 + +80000584 : +80000584: 0ff0000f fence +80000588: 000e0063 beqz t3,80000588 +8000058c: 001e1e13 slli t3,t3,0x1 +80000590: 001e6e13 ori t3,t3,1 +80000594: 00000073 ecall + +80000598 : +80000598: 0ff0000f fence +8000059c: 00100e13 li t3,1 +800005a0: 00000073 ecall +800005a4: c0001073 unimp +800005a8: 0000 unimp +800005aa: 0000 unimp +800005ac: 0000 unimp +800005ae: 0000 unimp +800005b0: 0000 unimp +800005b2: 0000 unimp +800005b4: 0000 unimp +800005b6: 0000 unimp +800005b8: 0000 unimp +800005ba: 0000 unimp +800005bc: 0000 unimp +800005be: 0000 unimp +800005c0: 0000 unimp +800005c2: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32um-p-mulh.dump b/VexRiscv/src/test/resources/asm/rv32um-p-mulh.dump new file mode 100644 index 0000000..04f7957 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32um-p-mulh.dump @@ -0,0 +1,463 @@ + +rv32um-p-mulh: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00000093 li ra,0 +800000c0: 00000113 li sp,0 +800000c4: 022091b3 mulh gp,ra,sp +800000c8: 00000e93 li t4,0 +800000cc: 00200e13 li t3,2 +800000d0: 4bd19a63 bne gp,t4,80000584 + +800000d4 : +800000d4: 00100093 li ra,1 +800000d8: 00100113 li sp,1 +800000dc: 022091b3 mulh gp,ra,sp +800000e0: 00000e93 li t4,0 +800000e4: 00300e13 li t3,3 +800000e8: 49d19e63 bne gp,t4,80000584 + +800000ec : +800000ec: 00300093 li ra,3 +800000f0: 00700113 li sp,7 +800000f4: 022091b3 mulh gp,ra,sp +800000f8: 00000e93 li t4,0 +800000fc: 00400e13 li t3,4 +80000100: 49d19263 bne gp,t4,80000584 + +80000104 : +80000104: 00000093 li ra,0 +80000108: ffff8137 lui sp,0xffff8 +8000010c: 022091b3 mulh gp,ra,sp +80000110: 00000e93 li t4,0 +80000114: 00500e13 li t3,5 +80000118: 47d19663 bne gp,t4,80000584 + +8000011c : +8000011c: 800000b7 lui ra,0x80000 +80000120: 00000113 li sp,0 +80000124: 022091b3 mulh gp,ra,sp +80000128: 00000e93 li t4,0 +8000012c: 00600e13 li t3,6 +80000130: 45d19a63 bne gp,t4,80000584 + +80000134 : +80000134: 800000b7 lui ra,0x80000 +80000138: 00000113 li sp,0 +8000013c: 022091b3 mulh gp,ra,sp +80000140: 00000e93 li t4,0 +80000144: 00700e13 li t3,7 +80000148: 43d19e63 bne gp,t4,80000584 + +8000014c : +8000014c: aaaab0b7 lui ra,0xaaaab +80000150: aab08093 addi ra,ra,-1365 # aaaaaaab +80000154: 00030137 lui sp,0x30 +80000158: e7d10113 addi sp,sp,-387 # 2fe7d <_start-0x7ffd0183> +8000015c: 022091b3 mulh gp,ra,sp +80000160: ffff0eb7 lui t4,0xffff0 +80000164: 081e8e93 addi t4,t4,129 # ffff0081 +80000168: 01e00e13 li t3,30 +8000016c: 41d19c63 bne gp,t4,80000584 + +80000170 : +80000170: 000300b7 lui ra,0x30 +80000174: e7d08093 addi ra,ra,-387 # 2fe7d <_start-0x7ffd0183> +80000178: aaaab137 lui sp,0xaaaab +8000017c: aab10113 addi sp,sp,-1365 # aaaaaaab +80000180: 022091b3 mulh gp,ra,sp +80000184: ffff0eb7 lui t4,0xffff0 +80000188: 081e8e93 addi t4,t4,129 # ffff0081 +8000018c: 01f00e13 li t3,31 +80000190: 3fd19a63 bne gp,t4,80000584 + +80000194 : +80000194: ff0000b7 lui ra,0xff000 +80000198: ff000137 lui sp,0xff000 +8000019c: 022091b3 mulh gp,ra,sp +800001a0: 00010eb7 lui t4,0x10 +800001a4: 02000e13 li t3,32 +800001a8: 3dd19e63 bne gp,t4,80000584 + +800001ac : +800001ac: fff00093 li ra,-1 +800001b0: fff00113 li sp,-1 +800001b4: 022091b3 mulh gp,ra,sp +800001b8: 00000e93 li t4,0 +800001bc: 02100e13 li t3,33 +800001c0: 3dd19263 bne gp,t4,80000584 + +800001c4 : +800001c4: fff00093 li ra,-1 +800001c8: 00100113 li sp,1 +800001cc: 022091b3 mulh gp,ra,sp +800001d0: fff00e93 li t4,-1 +800001d4: 02200e13 li t3,34 +800001d8: 3bd19663 bne gp,t4,80000584 + +800001dc : +800001dc: 00100093 li ra,1 +800001e0: fff00113 li sp,-1 +800001e4: 022091b3 mulh gp,ra,sp +800001e8: fff00e93 li t4,-1 +800001ec: 02300e13 li t3,35 +800001f0: 39d19a63 bne gp,t4,80000584 + +800001f4 : +800001f4: 00d000b7 lui ra,0xd00 +800001f8: 00b00137 lui sp,0xb00 +800001fc: 022090b3 mulh ra,ra,sp +80000200: 00009eb7 lui t4,0x9 +80000204: f00e8e93 addi t4,t4,-256 # 8f00 <_start-0x7fff7100> +80000208: 00800e13 li t3,8 +8000020c: 37d09c63 bne ra,t4,80000584 + +80000210 : +80000210: 00e000b7 lui ra,0xe00 +80000214: 00b00137 lui sp,0xb00 +80000218: 02209133 mulh sp,ra,sp +8000021c: 0000aeb7 lui t4,0xa +80000220: a00e8e93 addi t4,t4,-1536 # 9a00 <_start-0x7fff6600> +80000224: 00900e13 li t3,9 +80000228: 35d11e63 bne sp,t4,80000584 + +8000022c : +8000022c: 00d000b7 lui ra,0xd00 +80000230: 021090b3 mulh ra,ra,ra +80000234: 0000beb7 lui t4,0xb +80000238: 900e8e93 addi t4,t4,-1792 # a900 <_start-0x7fff5700> +8000023c: 00a00e13 li t3,10 +80000240: 35d09263 bne ra,t4,80000584 + +80000244 : +80000244: 00000213 li tp,0 +80000248: 00d000b7 lui ra,0xd00 +8000024c: 00b00137 lui sp,0xb00 +80000250: 022091b3 mulh gp,ra,sp +80000254: 00018313 mv t1,gp +80000258: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000025c: 00200293 li t0,2 +80000260: fe5214e3 bne tp,t0,80000248 +80000264: 00009eb7 lui t4,0x9 +80000268: f00e8e93 addi t4,t4,-256 # 8f00 <_start-0x7fff7100> +8000026c: 00b00e13 li t3,11 +80000270: 31d31a63 bne t1,t4,80000584 + +80000274 : +80000274: 00000213 li tp,0 +80000278: 00e000b7 lui ra,0xe00 +8000027c: 00b00137 lui sp,0xb00 +80000280: 022091b3 mulh gp,ra,sp +80000284: 00000013 nop +80000288: 00018313 mv t1,gp +8000028c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000290: 00200293 li t0,2 +80000294: fe5212e3 bne tp,t0,80000278 +80000298: 0000aeb7 lui t4,0xa +8000029c: a00e8e93 addi t4,t4,-1536 # 9a00 <_start-0x7fff6600> +800002a0: 00c00e13 li t3,12 +800002a4: 2fd31063 bne t1,t4,80000584 + +800002a8 : +800002a8: 00000213 li tp,0 +800002ac: 00f000b7 lui ra,0xf00 +800002b0: 00b00137 lui sp,0xb00 +800002b4: 022091b3 mulh gp,ra,sp +800002b8: 00000013 nop +800002bc: 00000013 nop +800002c0: 00018313 mv t1,gp +800002c4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002c8: 00200293 li t0,2 +800002cc: fe5210e3 bne tp,t0,800002ac +800002d0: 0000aeb7 lui t4,0xa +800002d4: 500e8e93 addi t4,t4,1280 # a500 <_start-0x7fff5b00> +800002d8: 00d00e13 li t3,13 +800002dc: 2bd31463 bne t1,t4,80000584 + +800002e0 : +800002e0: 00000213 li tp,0 +800002e4: 00d000b7 lui ra,0xd00 +800002e8: 00b00137 lui sp,0xb00 +800002ec: 022091b3 mulh gp,ra,sp +800002f0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002f4: 00200293 li t0,2 +800002f8: fe5216e3 bne tp,t0,800002e4 +800002fc: 00009eb7 lui t4,0x9 +80000300: f00e8e93 addi t4,t4,-256 # 8f00 <_start-0x7fff7100> +80000304: 00e00e13 li t3,14 +80000308: 27d19e63 bne gp,t4,80000584 + +8000030c : +8000030c: 00000213 li tp,0 +80000310: 00e000b7 lui ra,0xe00 +80000314: 00b00137 lui sp,0xb00 +80000318: 00000013 nop +8000031c: 022091b3 mulh gp,ra,sp +80000320: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000324: 00200293 li t0,2 +80000328: fe5214e3 bne tp,t0,80000310 +8000032c: 0000aeb7 lui t4,0xa +80000330: a00e8e93 addi t4,t4,-1536 # 9a00 <_start-0x7fff6600> +80000334: 00f00e13 li t3,15 +80000338: 25d19663 bne gp,t4,80000584 + +8000033c : +8000033c: 00000213 li tp,0 +80000340: 00f000b7 lui ra,0xf00 +80000344: 00b00137 lui sp,0xb00 +80000348: 00000013 nop +8000034c: 00000013 nop +80000350: 022091b3 mulh gp,ra,sp +80000354: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000358: 00200293 li t0,2 +8000035c: fe5212e3 bne tp,t0,80000340 +80000360: 0000aeb7 lui t4,0xa +80000364: 500e8e93 addi t4,t4,1280 # a500 <_start-0x7fff5b00> +80000368: 01000e13 li t3,16 +8000036c: 21d19c63 bne gp,t4,80000584 + +80000370 : +80000370: 00000213 li tp,0 +80000374: 00d000b7 lui ra,0xd00 +80000378: 00000013 nop +8000037c: 00b00137 lui sp,0xb00 +80000380: 022091b3 mulh gp,ra,sp +80000384: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000388: 00200293 li t0,2 +8000038c: fe5214e3 bne tp,t0,80000374 +80000390: 00009eb7 lui t4,0x9 +80000394: f00e8e93 addi t4,t4,-256 # 8f00 <_start-0x7fff7100> +80000398: 01100e13 li t3,17 +8000039c: 1fd19463 bne gp,t4,80000584 + +800003a0 : +800003a0: 00000213 li tp,0 +800003a4: 00e000b7 lui ra,0xe00 +800003a8: 00000013 nop +800003ac: 00b00137 lui sp,0xb00 +800003b0: 00000013 nop +800003b4: 022091b3 mulh gp,ra,sp +800003b8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003bc: 00200293 li t0,2 +800003c0: fe5212e3 bne tp,t0,800003a4 +800003c4: 0000aeb7 lui t4,0xa +800003c8: a00e8e93 addi t4,t4,-1536 # 9a00 <_start-0x7fff6600> +800003cc: 01200e13 li t3,18 +800003d0: 1bd19a63 bne gp,t4,80000584 + +800003d4 : +800003d4: 00000213 li tp,0 +800003d8: 00f000b7 lui ra,0xf00 +800003dc: 00000013 nop +800003e0: 00000013 nop +800003e4: 00b00137 lui sp,0xb00 +800003e8: 022091b3 mulh gp,ra,sp +800003ec: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003f0: 00200293 li t0,2 +800003f4: fe5212e3 bne tp,t0,800003d8 +800003f8: 0000aeb7 lui t4,0xa +800003fc: 500e8e93 addi t4,t4,1280 # a500 <_start-0x7fff5b00> +80000400: 01300e13 li t3,19 +80000404: 19d19063 bne gp,t4,80000584 + +80000408 : +80000408: 00000213 li tp,0 +8000040c: 00b00137 lui sp,0xb00 +80000410: 00d000b7 lui ra,0xd00 +80000414: 022091b3 mulh gp,ra,sp +80000418: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000041c: 00200293 li t0,2 +80000420: fe5216e3 bne tp,t0,8000040c +80000424: 00009eb7 lui t4,0x9 +80000428: f00e8e93 addi t4,t4,-256 # 8f00 <_start-0x7fff7100> +8000042c: 01400e13 li t3,20 +80000430: 15d19a63 bne gp,t4,80000584 + +80000434 : +80000434: 00000213 li tp,0 +80000438: 00b00137 lui sp,0xb00 +8000043c: 00e000b7 lui ra,0xe00 +80000440: 00000013 nop +80000444: 022091b3 mulh gp,ra,sp +80000448: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000044c: 00200293 li t0,2 +80000450: fe5214e3 bne tp,t0,80000438 +80000454: 0000aeb7 lui t4,0xa +80000458: a00e8e93 addi t4,t4,-1536 # 9a00 <_start-0x7fff6600> +8000045c: 01500e13 li t3,21 +80000460: 13d19263 bne gp,t4,80000584 + +80000464 : +80000464: 00000213 li tp,0 +80000468: 00b00137 lui sp,0xb00 +8000046c: 00f000b7 lui ra,0xf00 +80000470: 00000013 nop +80000474: 00000013 nop +80000478: 022091b3 mulh gp,ra,sp +8000047c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000480: 00200293 li t0,2 +80000484: fe5212e3 bne tp,t0,80000468 +80000488: 0000aeb7 lui t4,0xa +8000048c: 500e8e93 addi t4,t4,1280 # a500 <_start-0x7fff5b00> +80000490: 01600e13 li t3,22 +80000494: 0fd19863 bne gp,t4,80000584 + +80000498 : +80000498: 00000213 li tp,0 +8000049c: 00b00137 lui sp,0xb00 +800004a0: 00000013 nop +800004a4: 00d000b7 lui ra,0xd00 +800004a8: 022091b3 mulh gp,ra,sp +800004ac: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004b0: 00200293 li t0,2 +800004b4: fe5214e3 bne tp,t0,8000049c +800004b8: 00009eb7 lui t4,0x9 +800004bc: f00e8e93 addi t4,t4,-256 # 8f00 <_start-0x7fff7100> +800004c0: 01700e13 li t3,23 +800004c4: 0dd19063 bne gp,t4,80000584 + +800004c8 : +800004c8: 00000213 li tp,0 +800004cc: 00b00137 lui sp,0xb00 +800004d0: 00000013 nop +800004d4: 00e000b7 lui ra,0xe00 +800004d8: 00000013 nop +800004dc: 022091b3 mulh gp,ra,sp +800004e0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004e4: 00200293 li t0,2 +800004e8: fe5212e3 bne tp,t0,800004cc +800004ec: 0000aeb7 lui t4,0xa +800004f0: a00e8e93 addi t4,t4,-1536 # 9a00 <_start-0x7fff6600> +800004f4: 01800e13 li t3,24 +800004f8: 09d19663 bne gp,t4,80000584 + +800004fc : +800004fc: 00000213 li tp,0 +80000500: 00b00137 lui sp,0xb00 +80000504: 00000013 nop +80000508: 00000013 nop +8000050c: 00f000b7 lui ra,0xf00 +80000510: 022091b3 mulh gp,ra,sp +80000514: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000518: 00200293 li t0,2 +8000051c: fe5212e3 bne tp,t0,80000500 +80000520: 0000aeb7 lui t4,0xa +80000524: 500e8e93 addi t4,t4,1280 # a500 <_start-0x7fff5b00> +80000528: 01900e13 li t3,25 +8000052c: 05d19c63 bne gp,t4,80000584 + +80000530 : +80000530: 7c0000b7 lui ra,0x7c000 +80000534: 02101133 mulh sp,zero,ra +80000538: 00000e93 li t4,0 +8000053c: 01a00e13 li t3,26 +80000540: 05d11263 bne sp,t4,80000584 + +80000544 : +80000544: 800000b7 lui ra,0x80000 +80000548: 02009133 mulh sp,ra,zero +8000054c: 00000e93 li t4,0 +80000550: 01b00e13 li t3,27 +80000554: 03d11863 bne sp,t4,80000584 + +80000558 : +80000558: 020010b3 mulh ra,zero,zero +8000055c: 00000e93 li t4,0 +80000560: 01c00e13 li t3,28 +80000564: 03d09063 bne ra,t4,80000584 + +80000568 : +80000568: 021000b7 lui ra,0x2100 +8000056c: 02200137 lui sp,0x2200 +80000570: 02209033 mulh zero,ra,sp +80000574: 00000e93 li t4,0 +80000578: 01d00e13 li t3,29 +8000057c: 01d01463 bne zero,t4,80000584 +80000580: 01c01c63 bne zero,t3,80000598 + +80000584 : +80000584: 0ff0000f fence +80000588: 000e0063 beqz t3,80000588 +8000058c: 001e1e13 slli t3,t3,0x1 +80000590: 001e6e13 ori t3,t3,1 +80000594: 00000073 ecall + +80000598 : +80000598: 0ff0000f fence +8000059c: 00100e13 li t3,1 +800005a0: 00000073 ecall +800005a4: c0001073 unimp +800005a8: 0000 unimp +800005aa: 0000 unimp +800005ac: 0000 unimp +800005ae: 0000 unimp +800005b0: 0000 unimp +800005b2: 0000 unimp +800005b4: 0000 unimp +800005b6: 0000 unimp +800005b8: 0000 unimp +800005ba: 0000 unimp +800005bc: 0000 unimp +800005be: 0000 unimp +800005c0: 0000 unimp +800005c2: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32um-p-mulhsu.dump b/VexRiscv/src/test/resources/asm/rv32um-p-mulhsu.dump new file mode 100644 index 0000000..328ed7f --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32um-p-mulhsu.dump @@ -0,0 +1,463 @@ + +rv32um-p-mulhsu: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00000093 li ra,0 +800000c0: 00000113 li sp,0 +800000c4: 0220a1b3 mulhsu gp,ra,sp +800000c8: 00000e93 li t4,0 +800000cc: 00200e13 li t3,2 +800000d0: 4bd19a63 bne gp,t4,80000584 + +800000d4 : +800000d4: 00100093 li ra,1 +800000d8: 00100113 li sp,1 +800000dc: 0220a1b3 mulhsu gp,ra,sp +800000e0: 00000e93 li t4,0 +800000e4: 00300e13 li t3,3 +800000e8: 49d19e63 bne gp,t4,80000584 + +800000ec : +800000ec: 00300093 li ra,3 +800000f0: 00700113 li sp,7 +800000f4: 0220a1b3 mulhsu gp,ra,sp +800000f8: 00000e93 li t4,0 +800000fc: 00400e13 li t3,4 +80000100: 49d19263 bne gp,t4,80000584 + +80000104 : +80000104: 00000093 li ra,0 +80000108: ffff8137 lui sp,0xffff8 +8000010c: 0220a1b3 mulhsu gp,ra,sp +80000110: 00000e93 li t4,0 +80000114: 00500e13 li t3,5 +80000118: 47d19663 bne gp,t4,80000584 + +8000011c : +8000011c: 800000b7 lui ra,0x80000 +80000120: 00000113 li sp,0 +80000124: 0220a1b3 mulhsu gp,ra,sp +80000128: 00000e93 li t4,0 +8000012c: 00600e13 li t3,6 +80000130: 45d19a63 bne gp,t4,80000584 + +80000134 : +80000134: 800000b7 lui ra,0x80000 +80000138: ffff8137 lui sp,0xffff8 +8000013c: 0220a1b3 mulhsu gp,ra,sp +80000140: 80004eb7 lui t4,0x80004 +80000144: 00700e13 li t3,7 +80000148: 43d19e63 bne gp,t4,80000584 + +8000014c : +8000014c: aaaab0b7 lui ra,0xaaaab +80000150: aab08093 addi ra,ra,-1365 # aaaaaaab +80000154: 00030137 lui sp,0x30 +80000158: e7d10113 addi sp,sp,-387 # 2fe7d <_start-0x7ffd0183> +8000015c: 0220a1b3 mulhsu gp,ra,sp +80000160: ffff0eb7 lui t4,0xffff0 +80000164: 081e8e93 addi t4,t4,129 # ffff0081 +80000168: 01e00e13 li t3,30 +8000016c: 41d19c63 bne gp,t4,80000584 + +80000170 : +80000170: 000300b7 lui ra,0x30 +80000174: e7d08093 addi ra,ra,-387 # 2fe7d <_start-0x7ffd0183> +80000178: aaaab137 lui sp,0xaaaab +8000017c: aab10113 addi sp,sp,-1365 # aaaaaaab +80000180: 0220a1b3 mulhsu gp,ra,sp +80000184: 00020eb7 lui t4,0x20 +80000188: efee8e93 addi t4,t4,-258 # 1fefe <_start-0x7ffe0102> +8000018c: 01f00e13 li t3,31 +80000190: 3fd19a63 bne gp,t4,80000584 + +80000194 : +80000194: ff0000b7 lui ra,0xff000 +80000198: ff000137 lui sp,0xff000 +8000019c: 0220a1b3 mulhsu gp,ra,sp +800001a0: ff010eb7 lui t4,0xff010 +800001a4: 02000e13 li t3,32 +800001a8: 3dd19e63 bne gp,t4,80000584 + +800001ac : +800001ac: fff00093 li ra,-1 +800001b0: fff00113 li sp,-1 +800001b4: 0220a1b3 mulhsu gp,ra,sp +800001b8: fff00e93 li t4,-1 +800001bc: 02100e13 li t3,33 +800001c0: 3dd19263 bne gp,t4,80000584 + +800001c4 : +800001c4: fff00093 li ra,-1 +800001c8: 00100113 li sp,1 +800001cc: 0220a1b3 mulhsu gp,ra,sp +800001d0: fff00e93 li t4,-1 +800001d4: 02200e13 li t3,34 +800001d8: 3bd19663 bne gp,t4,80000584 + +800001dc : +800001dc: 00100093 li ra,1 +800001e0: fff00113 li sp,-1 +800001e4: 0220a1b3 mulhsu gp,ra,sp +800001e8: 00000e93 li t4,0 +800001ec: 02300e13 li t3,35 +800001f0: 39d19a63 bne gp,t4,80000584 + +800001f4 : +800001f4: 00d000b7 lui ra,0xd00 +800001f8: 00b00137 lui sp,0xb00 +800001fc: 0220a0b3 mulhsu ra,ra,sp +80000200: 00009eb7 lui t4,0x9 +80000204: f00e8e93 addi t4,t4,-256 # 8f00 <_start-0x7fff7100> +80000208: 00800e13 li t3,8 +8000020c: 37d09c63 bne ra,t4,80000584 + +80000210 : +80000210: 00e000b7 lui ra,0xe00 +80000214: 00b00137 lui sp,0xb00 +80000218: 0220a133 mulhsu sp,ra,sp +8000021c: 0000aeb7 lui t4,0xa +80000220: a00e8e93 addi t4,t4,-1536 # 9a00 <_start-0x7fff6600> +80000224: 00900e13 li t3,9 +80000228: 35d11e63 bne sp,t4,80000584 + +8000022c : +8000022c: 00d000b7 lui ra,0xd00 +80000230: 0210a0b3 mulhsu ra,ra,ra +80000234: 0000beb7 lui t4,0xb +80000238: 900e8e93 addi t4,t4,-1792 # a900 <_start-0x7fff5700> +8000023c: 00a00e13 li t3,10 +80000240: 35d09263 bne ra,t4,80000584 + +80000244 : +80000244: 00000213 li tp,0 +80000248: 00d000b7 lui ra,0xd00 +8000024c: 00b00137 lui sp,0xb00 +80000250: 0220a1b3 mulhsu gp,ra,sp +80000254: 00018313 mv t1,gp +80000258: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000025c: 00200293 li t0,2 +80000260: fe5214e3 bne tp,t0,80000248 +80000264: 00009eb7 lui t4,0x9 +80000268: f00e8e93 addi t4,t4,-256 # 8f00 <_start-0x7fff7100> +8000026c: 00b00e13 li t3,11 +80000270: 31d31a63 bne t1,t4,80000584 + +80000274 : +80000274: 00000213 li tp,0 +80000278: 00e000b7 lui ra,0xe00 +8000027c: 00b00137 lui sp,0xb00 +80000280: 0220a1b3 mulhsu gp,ra,sp +80000284: 00000013 nop +80000288: 00018313 mv t1,gp +8000028c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000290: 00200293 li t0,2 +80000294: fe5212e3 bne tp,t0,80000278 +80000298: 0000aeb7 lui t4,0xa +8000029c: a00e8e93 addi t4,t4,-1536 # 9a00 <_start-0x7fff6600> +800002a0: 00c00e13 li t3,12 +800002a4: 2fd31063 bne t1,t4,80000584 + +800002a8 : +800002a8: 00000213 li tp,0 +800002ac: 00f000b7 lui ra,0xf00 +800002b0: 00b00137 lui sp,0xb00 +800002b4: 0220a1b3 mulhsu gp,ra,sp +800002b8: 00000013 nop +800002bc: 00000013 nop +800002c0: 00018313 mv t1,gp +800002c4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002c8: 00200293 li t0,2 +800002cc: fe5210e3 bne tp,t0,800002ac +800002d0: 0000aeb7 lui t4,0xa +800002d4: 500e8e93 addi t4,t4,1280 # a500 <_start-0x7fff5b00> +800002d8: 00d00e13 li t3,13 +800002dc: 2bd31463 bne t1,t4,80000584 + +800002e0 : +800002e0: 00000213 li tp,0 +800002e4: 00d000b7 lui ra,0xd00 +800002e8: 00b00137 lui sp,0xb00 +800002ec: 0220a1b3 mulhsu gp,ra,sp +800002f0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002f4: 00200293 li t0,2 +800002f8: fe5216e3 bne tp,t0,800002e4 +800002fc: 00009eb7 lui t4,0x9 +80000300: f00e8e93 addi t4,t4,-256 # 8f00 <_start-0x7fff7100> +80000304: 00e00e13 li t3,14 +80000308: 27d19e63 bne gp,t4,80000584 + +8000030c : +8000030c: 00000213 li tp,0 +80000310: 00e000b7 lui ra,0xe00 +80000314: 00b00137 lui sp,0xb00 +80000318: 00000013 nop +8000031c: 0220a1b3 mulhsu gp,ra,sp +80000320: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000324: 00200293 li t0,2 +80000328: fe5214e3 bne tp,t0,80000310 +8000032c: 0000aeb7 lui t4,0xa +80000330: a00e8e93 addi t4,t4,-1536 # 9a00 <_start-0x7fff6600> +80000334: 00f00e13 li t3,15 +80000338: 25d19663 bne gp,t4,80000584 + +8000033c : +8000033c: 00000213 li tp,0 +80000340: 00f000b7 lui ra,0xf00 +80000344: 00b00137 lui sp,0xb00 +80000348: 00000013 nop +8000034c: 00000013 nop +80000350: 0220a1b3 mulhsu gp,ra,sp +80000354: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000358: 00200293 li t0,2 +8000035c: fe5212e3 bne tp,t0,80000340 +80000360: 0000aeb7 lui t4,0xa +80000364: 500e8e93 addi t4,t4,1280 # a500 <_start-0x7fff5b00> +80000368: 01000e13 li t3,16 +8000036c: 21d19c63 bne gp,t4,80000584 + +80000370 : +80000370: 00000213 li tp,0 +80000374: 00d000b7 lui ra,0xd00 +80000378: 00000013 nop +8000037c: 00b00137 lui sp,0xb00 +80000380: 0220a1b3 mulhsu gp,ra,sp +80000384: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000388: 00200293 li t0,2 +8000038c: fe5214e3 bne tp,t0,80000374 +80000390: 00009eb7 lui t4,0x9 +80000394: f00e8e93 addi t4,t4,-256 # 8f00 <_start-0x7fff7100> +80000398: 01100e13 li t3,17 +8000039c: 1fd19463 bne gp,t4,80000584 + +800003a0 : +800003a0: 00000213 li tp,0 +800003a4: 00e000b7 lui ra,0xe00 +800003a8: 00000013 nop +800003ac: 00b00137 lui sp,0xb00 +800003b0: 00000013 nop +800003b4: 0220a1b3 mulhsu gp,ra,sp +800003b8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003bc: 00200293 li t0,2 +800003c0: fe5212e3 bne tp,t0,800003a4 +800003c4: 0000aeb7 lui t4,0xa +800003c8: a00e8e93 addi t4,t4,-1536 # 9a00 <_start-0x7fff6600> +800003cc: 01200e13 li t3,18 +800003d0: 1bd19a63 bne gp,t4,80000584 + +800003d4 : +800003d4: 00000213 li tp,0 +800003d8: 00f000b7 lui ra,0xf00 +800003dc: 00000013 nop +800003e0: 00000013 nop +800003e4: 00b00137 lui sp,0xb00 +800003e8: 0220a1b3 mulhsu gp,ra,sp +800003ec: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003f0: 00200293 li t0,2 +800003f4: fe5212e3 bne tp,t0,800003d8 +800003f8: 0000aeb7 lui t4,0xa +800003fc: 500e8e93 addi t4,t4,1280 # a500 <_start-0x7fff5b00> +80000400: 01300e13 li t3,19 +80000404: 19d19063 bne gp,t4,80000584 + +80000408 : +80000408: 00000213 li tp,0 +8000040c: 00b00137 lui sp,0xb00 +80000410: 00d000b7 lui ra,0xd00 +80000414: 0220a1b3 mulhsu gp,ra,sp +80000418: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000041c: 00200293 li t0,2 +80000420: fe5216e3 bne tp,t0,8000040c +80000424: 00009eb7 lui t4,0x9 +80000428: f00e8e93 addi t4,t4,-256 # 8f00 <_start-0x7fff7100> +8000042c: 01400e13 li t3,20 +80000430: 15d19a63 bne gp,t4,80000584 + +80000434 : +80000434: 00000213 li tp,0 +80000438: 00b00137 lui sp,0xb00 +8000043c: 00e000b7 lui ra,0xe00 +80000440: 00000013 nop +80000444: 0220a1b3 mulhsu gp,ra,sp +80000448: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000044c: 00200293 li t0,2 +80000450: fe5214e3 bne tp,t0,80000438 +80000454: 0000aeb7 lui t4,0xa +80000458: a00e8e93 addi t4,t4,-1536 # 9a00 <_start-0x7fff6600> +8000045c: 01500e13 li t3,21 +80000460: 13d19263 bne gp,t4,80000584 + +80000464 : +80000464: 00000213 li tp,0 +80000468: 00b00137 lui sp,0xb00 +8000046c: 00f000b7 lui ra,0xf00 +80000470: 00000013 nop +80000474: 00000013 nop +80000478: 0220a1b3 mulhsu gp,ra,sp +8000047c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000480: 00200293 li t0,2 +80000484: fe5212e3 bne tp,t0,80000468 +80000488: 0000aeb7 lui t4,0xa +8000048c: 500e8e93 addi t4,t4,1280 # a500 <_start-0x7fff5b00> +80000490: 01600e13 li t3,22 +80000494: 0fd19863 bne gp,t4,80000584 + +80000498 : +80000498: 00000213 li tp,0 +8000049c: 00b00137 lui sp,0xb00 +800004a0: 00000013 nop +800004a4: 00d000b7 lui ra,0xd00 +800004a8: 0220a1b3 mulhsu gp,ra,sp +800004ac: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004b0: 00200293 li t0,2 +800004b4: fe5214e3 bne tp,t0,8000049c +800004b8: 00009eb7 lui t4,0x9 +800004bc: f00e8e93 addi t4,t4,-256 # 8f00 <_start-0x7fff7100> +800004c0: 01700e13 li t3,23 +800004c4: 0dd19063 bne gp,t4,80000584 + +800004c8 : +800004c8: 00000213 li tp,0 +800004cc: 00b00137 lui sp,0xb00 +800004d0: 00000013 nop +800004d4: 00e000b7 lui ra,0xe00 +800004d8: 00000013 nop +800004dc: 0220a1b3 mulhsu gp,ra,sp +800004e0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004e4: 00200293 li t0,2 +800004e8: fe5212e3 bne tp,t0,800004cc +800004ec: 0000aeb7 lui t4,0xa +800004f0: a00e8e93 addi t4,t4,-1536 # 9a00 <_start-0x7fff6600> +800004f4: 01800e13 li t3,24 +800004f8: 09d19663 bne gp,t4,80000584 + +800004fc : +800004fc: 00000213 li tp,0 +80000500: 00b00137 lui sp,0xb00 +80000504: 00000013 nop +80000508: 00000013 nop +8000050c: 00f000b7 lui ra,0xf00 +80000510: 0220a1b3 mulhsu gp,ra,sp +80000514: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000518: 00200293 li t0,2 +8000051c: fe5212e3 bne tp,t0,80000500 +80000520: 0000aeb7 lui t4,0xa +80000524: 500e8e93 addi t4,t4,1280 # a500 <_start-0x7fff5b00> +80000528: 01900e13 li t3,25 +8000052c: 05d19c63 bne gp,t4,80000584 + +80000530 : +80000530: 7c0000b7 lui ra,0x7c000 +80000534: 02102133 mulhsu sp,zero,ra +80000538: 00000e93 li t4,0 +8000053c: 01a00e13 li t3,26 +80000540: 05d11263 bne sp,t4,80000584 + +80000544 : +80000544: 800000b7 lui ra,0x80000 +80000548: 0200a133 mulhsu sp,ra,zero +8000054c: 00000e93 li t4,0 +80000550: 01b00e13 li t3,27 +80000554: 03d11863 bne sp,t4,80000584 + +80000558 : +80000558: 020020b3 mulhsu ra,zero,zero +8000055c: 00000e93 li t4,0 +80000560: 01c00e13 li t3,28 +80000564: 03d09063 bne ra,t4,80000584 + +80000568 : +80000568: 021000b7 lui ra,0x2100 +8000056c: 02200137 lui sp,0x2200 +80000570: 0220a033 mulhsu zero,ra,sp +80000574: 00000e93 li t4,0 +80000578: 01d00e13 li t3,29 +8000057c: 01d01463 bne zero,t4,80000584 +80000580: 01c01c63 bne zero,t3,80000598 + +80000584 : +80000584: 0ff0000f fence +80000588: 000e0063 beqz t3,80000588 +8000058c: 001e1e13 slli t3,t3,0x1 +80000590: 001e6e13 ori t3,t3,1 +80000594: 00000073 ecall + +80000598 : +80000598: 0ff0000f fence +8000059c: 00100e13 li t3,1 +800005a0: 00000073 ecall +800005a4: c0001073 unimp +800005a8: 0000 unimp +800005aa: 0000 unimp +800005ac: 0000 unimp +800005ae: 0000 unimp +800005b0: 0000 unimp +800005b2: 0000 unimp +800005b4: 0000 unimp +800005b6: 0000 unimp +800005b8: 0000 unimp +800005ba: 0000 unimp +800005bc: 0000 unimp +800005be: 0000 unimp +800005c0: 0000 unimp +800005c2: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32um-p-mulhu.dump b/VexRiscv/src/test/resources/asm/rv32um-p-mulhu.dump new file mode 100644 index 0000000..8748cce --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32um-p-mulhu.dump @@ -0,0 +1,463 @@ + +rv32um-p-mulhu: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 00000093 li ra,0 +800000c0: 00000113 li sp,0 +800000c4: 0220b1b3 mulhu gp,ra,sp +800000c8: 00000e93 li t4,0 +800000cc: 00200e13 li t3,2 +800000d0: 4bd19a63 bne gp,t4,80000584 + +800000d4 : +800000d4: 00100093 li ra,1 +800000d8: 00100113 li sp,1 +800000dc: 0220b1b3 mulhu gp,ra,sp +800000e0: 00000e93 li t4,0 +800000e4: 00300e13 li t3,3 +800000e8: 49d19e63 bne gp,t4,80000584 + +800000ec : +800000ec: 00300093 li ra,3 +800000f0: 00700113 li sp,7 +800000f4: 0220b1b3 mulhu gp,ra,sp +800000f8: 00000e93 li t4,0 +800000fc: 00400e13 li t3,4 +80000100: 49d19263 bne gp,t4,80000584 + +80000104 : +80000104: 00000093 li ra,0 +80000108: ffff8137 lui sp,0xffff8 +8000010c: 0220b1b3 mulhu gp,ra,sp +80000110: 00000e93 li t4,0 +80000114: 00500e13 li t3,5 +80000118: 47d19663 bne gp,t4,80000584 + +8000011c : +8000011c: 800000b7 lui ra,0x80000 +80000120: 00000113 li sp,0 +80000124: 0220b1b3 mulhu gp,ra,sp +80000128: 00000e93 li t4,0 +8000012c: 00600e13 li t3,6 +80000130: 45d19a63 bne gp,t4,80000584 + +80000134 : +80000134: 800000b7 lui ra,0x80000 +80000138: ffff8137 lui sp,0xffff8 +8000013c: 0220b1b3 mulhu gp,ra,sp +80000140: 7fffceb7 lui t4,0x7fffc +80000144: 00700e13 li t3,7 +80000148: 43d19e63 bne gp,t4,80000584 + +8000014c : +8000014c: aaaab0b7 lui ra,0xaaaab +80000150: aab08093 addi ra,ra,-1365 # aaaaaaab +80000154: 00030137 lui sp,0x30 +80000158: e7d10113 addi sp,sp,-387 # 2fe7d <_start-0x7ffd0183> +8000015c: 0220b1b3 mulhu gp,ra,sp +80000160: 00020eb7 lui t4,0x20 +80000164: efee8e93 addi t4,t4,-258 # 1fefe <_start-0x7ffe0102> +80000168: 01e00e13 li t3,30 +8000016c: 41d19c63 bne gp,t4,80000584 + +80000170 : +80000170: 000300b7 lui ra,0x30 +80000174: e7d08093 addi ra,ra,-387 # 2fe7d <_start-0x7ffd0183> +80000178: aaaab137 lui sp,0xaaaab +8000017c: aab10113 addi sp,sp,-1365 # aaaaaaab +80000180: 0220b1b3 mulhu gp,ra,sp +80000184: 00020eb7 lui t4,0x20 +80000188: efee8e93 addi t4,t4,-258 # 1fefe <_start-0x7ffe0102> +8000018c: 01f00e13 li t3,31 +80000190: 3fd19a63 bne gp,t4,80000584 + +80000194 : +80000194: ff0000b7 lui ra,0xff000 +80000198: ff000137 lui sp,0xff000 +8000019c: 0220b1b3 mulhu gp,ra,sp +800001a0: fe010eb7 lui t4,0xfe010 +800001a4: 02000e13 li t3,32 +800001a8: 3dd19e63 bne gp,t4,80000584 + +800001ac : +800001ac: fff00093 li ra,-1 +800001b0: fff00113 li sp,-1 +800001b4: 0220b1b3 mulhu gp,ra,sp +800001b8: ffe00e93 li t4,-2 +800001bc: 02100e13 li t3,33 +800001c0: 3dd19263 bne gp,t4,80000584 + +800001c4 : +800001c4: fff00093 li ra,-1 +800001c8: 00100113 li sp,1 +800001cc: 0220b1b3 mulhu gp,ra,sp +800001d0: 00000e93 li t4,0 +800001d4: 02200e13 li t3,34 +800001d8: 3bd19663 bne gp,t4,80000584 + +800001dc : +800001dc: 00100093 li ra,1 +800001e0: fff00113 li sp,-1 +800001e4: 0220b1b3 mulhu gp,ra,sp +800001e8: 00000e93 li t4,0 +800001ec: 02300e13 li t3,35 +800001f0: 39d19a63 bne gp,t4,80000584 + +800001f4 : +800001f4: 00d000b7 lui ra,0xd00 +800001f8: 00b00137 lui sp,0xb00 +800001fc: 0220b0b3 mulhu ra,ra,sp +80000200: 00009eb7 lui t4,0x9 +80000204: f00e8e93 addi t4,t4,-256 # 8f00 <_start-0x7fff7100> +80000208: 00800e13 li t3,8 +8000020c: 37d09c63 bne ra,t4,80000584 + +80000210 : +80000210: 00e000b7 lui ra,0xe00 +80000214: 00b00137 lui sp,0xb00 +80000218: 0220b133 mulhu sp,ra,sp +8000021c: 0000aeb7 lui t4,0xa +80000220: a00e8e93 addi t4,t4,-1536 # 9a00 <_start-0x7fff6600> +80000224: 00900e13 li t3,9 +80000228: 35d11e63 bne sp,t4,80000584 + +8000022c : +8000022c: 00d000b7 lui ra,0xd00 +80000230: 0210b0b3 mulhu ra,ra,ra +80000234: 0000beb7 lui t4,0xb +80000238: 900e8e93 addi t4,t4,-1792 # a900 <_start-0x7fff5700> +8000023c: 00a00e13 li t3,10 +80000240: 35d09263 bne ra,t4,80000584 + +80000244 : +80000244: 00000213 li tp,0 +80000248: 00d000b7 lui ra,0xd00 +8000024c: 00b00137 lui sp,0xb00 +80000250: 0220b1b3 mulhu gp,ra,sp +80000254: 00018313 mv t1,gp +80000258: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000025c: 00200293 li t0,2 +80000260: fe5214e3 bne tp,t0,80000248 +80000264: 00009eb7 lui t4,0x9 +80000268: f00e8e93 addi t4,t4,-256 # 8f00 <_start-0x7fff7100> +8000026c: 00b00e13 li t3,11 +80000270: 31d31a63 bne t1,t4,80000584 + +80000274 : +80000274: 00000213 li tp,0 +80000278: 00e000b7 lui ra,0xe00 +8000027c: 00b00137 lui sp,0xb00 +80000280: 0220b1b3 mulhu gp,ra,sp +80000284: 00000013 nop +80000288: 00018313 mv t1,gp +8000028c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000290: 00200293 li t0,2 +80000294: fe5212e3 bne tp,t0,80000278 +80000298: 0000aeb7 lui t4,0xa +8000029c: a00e8e93 addi t4,t4,-1536 # 9a00 <_start-0x7fff6600> +800002a0: 00c00e13 li t3,12 +800002a4: 2fd31063 bne t1,t4,80000584 + +800002a8 : +800002a8: 00000213 li tp,0 +800002ac: 00f000b7 lui ra,0xf00 +800002b0: 00b00137 lui sp,0xb00 +800002b4: 0220b1b3 mulhu gp,ra,sp +800002b8: 00000013 nop +800002bc: 00000013 nop +800002c0: 00018313 mv t1,gp +800002c4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002c8: 00200293 li t0,2 +800002cc: fe5210e3 bne tp,t0,800002ac +800002d0: 0000aeb7 lui t4,0xa +800002d4: 500e8e93 addi t4,t4,1280 # a500 <_start-0x7fff5b00> +800002d8: 00d00e13 li t3,13 +800002dc: 2bd31463 bne t1,t4,80000584 + +800002e0 : +800002e0: 00000213 li tp,0 +800002e4: 00d000b7 lui ra,0xd00 +800002e8: 00b00137 lui sp,0xb00 +800002ec: 0220b1b3 mulhu gp,ra,sp +800002f0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800002f4: 00200293 li t0,2 +800002f8: fe5216e3 bne tp,t0,800002e4 +800002fc: 00009eb7 lui t4,0x9 +80000300: f00e8e93 addi t4,t4,-256 # 8f00 <_start-0x7fff7100> +80000304: 00e00e13 li t3,14 +80000308: 27d19e63 bne gp,t4,80000584 + +8000030c : +8000030c: 00000213 li tp,0 +80000310: 00e000b7 lui ra,0xe00 +80000314: 00b00137 lui sp,0xb00 +80000318: 00000013 nop +8000031c: 0220b1b3 mulhu gp,ra,sp +80000320: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000324: 00200293 li t0,2 +80000328: fe5214e3 bne tp,t0,80000310 +8000032c: 0000aeb7 lui t4,0xa +80000330: a00e8e93 addi t4,t4,-1536 # 9a00 <_start-0x7fff6600> +80000334: 00f00e13 li t3,15 +80000338: 25d19663 bne gp,t4,80000584 + +8000033c : +8000033c: 00000213 li tp,0 +80000340: 00f000b7 lui ra,0xf00 +80000344: 00b00137 lui sp,0xb00 +80000348: 00000013 nop +8000034c: 00000013 nop +80000350: 0220b1b3 mulhu gp,ra,sp +80000354: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000358: 00200293 li t0,2 +8000035c: fe5212e3 bne tp,t0,80000340 +80000360: 0000aeb7 lui t4,0xa +80000364: 500e8e93 addi t4,t4,1280 # a500 <_start-0x7fff5b00> +80000368: 01000e13 li t3,16 +8000036c: 21d19c63 bne gp,t4,80000584 + +80000370 : +80000370: 00000213 li tp,0 +80000374: 00d000b7 lui ra,0xd00 +80000378: 00000013 nop +8000037c: 00b00137 lui sp,0xb00 +80000380: 0220b1b3 mulhu gp,ra,sp +80000384: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000388: 00200293 li t0,2 +8000038c: fe5214e3 bne tp,t0,80000374 +80000390: 00009eb7 lui t4,0x9 +80000394: f00e8e93 addi t4,t4,-256 # 8f00 <_start-0x7fff7100> +80000398: 01100e13 li t3,17 +8000039c: 1fd19463 bne gp,t4,80000584 + +800003a0 : +800003a0: 00000213 li tp,0 +800003a4: 00e000b7 lui ra,0xe00 +800003a8: 00000013 nop +800003ac: 00b00137 lui sp,0xb00 +800003b0: 00000013 nop +800003b4: 0220b1b3 mulhu gp,ra,sp +800003b8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003bc: 00200293 li t0,2 +800003c0: fe5212e3 bne tp,t0,800003a4 +800003c4: 0000aeb7 lui t4,0xa +800003c8: a00e8e93 addi t4,t4,-1536 # 9a00 <_start-0x7fff6600> +800003cc: 01200e13 li t3,18 +800003d0: 1bd19a63 bne gp,t4,80000584 + +800003d4 : +800003d4: 00000213 li tp,0 +800003d8: 00f000b7 lui ra,0xf00 +800003dc: 00000013 nop +800003e0: 00000013 nop +800003e4: 00b00137 lui sp,0xb00 +800003e8: 0220b1b3 mulhu gp,ra,sp +800003ec: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800003f0: 00200293 li t0,2 +800003f4: fe5212e3 bne tp,t0,800003d8 +800003f8: 0000aeb7 lui t4,0xa +800003fc: 500e8e93 addi t4,t4,1280 # a500 <_start-0x7fff5b00> +80000400: 01300e13 li t3,19 +80000404: 19d19063 bne gp,t4,80000584 + +80000408 : +80000408: 00000213 li tp,0 +8000040c: 00b00137 lui sp,0xb00 +80000410: 00d000b7 lui ra,0xd00 +80000414: 0220b1b3 mulhu gp,ra,sp +80000418: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000041c: 00200293 li t0,2 +80000420: fe5216e3 bne tp,t0,8000040c +80000424: 00009eb7 lui t4,0x9 +80000428: f00e8e93 addi t4,t4,-256 # 8f00 <_start-0x7fff7100> +8000042c: 01400e13 li t3,20 +80000430: 15d19a63 bne gp,t4,80000584 + +80000434 : +80000434: 00000213 li tp,0 +80000438: 00b00137 lui sp,0xb00 +8000043c: 00e000b7 lui ra,0xe00 +80000440: 00000013 nop +80000444: 0220b1b3 mulhu gp,ra,sp +80000448: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +8000044c: 00200293 li t0,2 +80000450: fe5214e3 bne tp,t0,80000438 +80000454: 0000aeb7 lui t4,0xa +80000458: a00e8e93 addi t4,t4,-1536 # 9a00 <_start-0x7fff6600> +8000045c: 01500e13 li t3,21 +80000460: 13d19263 bne gp,t4,80000584 + +80000464 : +80000464: 00000213 li tp,0 +80000468: 00b00137 lui sp,0xb00 +8000046c: 00f000b7 lui ra,0xf00 +80000470: 00000013 nop +80000474: 00000013 nop +80000478: 0220b1b3 mulhu gp,ra,sp +8000047c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000480: 00200293 li t0,2 +80000484: fe5212e3 bne tp,t0,80000468 +80000488: 0000aeb7 lui t4,0xa +8000048c: 500e8e93 addi t4,t4,1280 # a500 <_start-0x7fff5b00> +80000490: 01600e13 li t3,22 +80000494: 0fd19863 bne gp,t4,80000584 + +80000498 : +80000498: 00000213 li tp,0 +8000049c: 00b00137 lui sp,0xb00 +800004a0: 00000013 nop +800004a4: 00d000b7 lui ra,0xd00 +800004a8: 0220b1b3 mulhu gp,ra,sp +800004ac: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004b0: 00200293 li t0,2 +800004b4: fe5214e3 bne tp,t0,8000049c +800004b8: 00009eb7 lui t4,0x9 +800004bc: f00e8e93 addi t4,t4,-256 # 8f00 <_start-0x7fff7100> +800004c0: 01700e13 li t3,23 +800004c4: 0dd19063 bne gp,t4,80000584 + +800004c8 : +800004c8: 00000213 li tp,0 +800004cc: 00b00137 lui sp,0xb00 +800004d0: 00000013 nop +800004d4: 00e000b7 lui ra,0xe00 +800004d8: 00000013 nop +800004dc: 0220b1b3 mulhu gp,ra,sp +800004e0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +800004e4: 00200293 li t0,2 +800004e8: fe5212e3 bne tp,t0,800004cc +800004ec: 0000aeb7 lui t4,0xa +800004f0: a00e8e93 addi t4,t4,-1536 # 9a00 <_start-0x7fff6600> +800004f4: 01800e13 li t3,24 +800004f8: 09d19663 bne gp,t4,80000584 + +800004fc : +800004fc: 00000213 li tp,0 +80000500: 00b00137 lui sp,0xb00 +80000504: 00000013 nop +80000508: 00000013 nop +8000050c: 00f000b7 lui ra,0xf00 +80000510: 0220b1b3 mulhu gp,ra,sp +80000514: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff> +80000518: 00200293 li t0,2 +8000051c: fe5212e3 bne tp,t0,80000500 +80000520: 0000aeb7 lui t4,0xa +80000524: 500e8e93 addi t4,t4,1280 # a500 <_start-0x7fff5b00> +80000528: 01900e13 li t3,25 +8000052c: 05d19c63 bne gp,t4,80000584 + +80000530 : +80000530: 7c0000b7 lui ra,0x7c000 +80000534: 02103133 mulhu sp,zero,ra +80000538: 00000e93 li t4,0 +8000053c: 01a00e13 li t3,26 +80000540: 05d11263 bne sp,t4,80000584 + +80000544 : +80000544: 800000b7 lui ra,0x80000 +80000548: 0200b133 mulhu sp,ra,zero +8000054c: 00000e93 li t4,0 +80000550: 01b00e13 li t3,27 +80000554: 03d11863 bne sp,t4,80000584 + +80000558 : +80000558: 020030b3 mulhu ra,zero,zero +8000055c: 00000e93 li t4,0 +80000560: 01c00e13 li t3,28 +80000564: 03d09063 bne ra,t4,80000584 + +80000568 : +80000568: 021000b7 lui ra,0x2100 +8000056c: 02200137 lui sp,0x2200 +80000570: 0220b033 mulhu zero,ra,sp +80000574: 00000e93 li t4,0 +80000578: 01d00e13 li t3,29 +8000057c: 01d01463 bne zero,t4,80000584 +80000580: 01c01c63 bne zero,t3,80000598 + +80000584 : +80000584: 0ff0000f fence +80000588: 000e0063 beqz t3,80000588 +8000058c: 001e1e13 slli t3,t3,0x1 +80000590: 001e6e13 ori t3,t3,1 +80000594: 00000073 ecall + +80000598 : +80000598: 0ff0000f fence +8000059c: 00100e13 li t3,1 +800005a0: 00000073 ecall +800005a4: c0001073 unimp +800005a8: 0000 unimp +800005aa: 0000 unimp +800005ac: 0000 unimp +800005ae: 0000 unimp +800005b0: 0000 unimp +800005b2: 0000 unimp +800005b4: 0000 unimp +800005b6: 0000 unimp +800005b8: 0000 unimp +800005ba: 0000 unimp +800005bc: 0000 unimp +800005be: 0000 unimp +800005c0: 0000 unimp +800005c2: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32um-p-rem.dump b/VexRiscv/src/test/resources/asm/rv32um-p-rem.dump new file mode 100644 index 0000000..ad403c7 --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32um-p-rem.dump @@ -0,0 +1,152 @@ + +rv32um-p-rem: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 01400093 li ra,20 +800000c0: 00600113 li sp,6 +800000c4: 0220e1b3 rem gp,ra,sp +800000c8: 00200e93 li t4,2 +800000cc: 00200e13 li t3,2 +800000d0: 0dd19463 bne gp,t4,80000198 + +800000d4 : +800000d4: fec00093 li ra,-20 +800000d8: 00600113 li sp,6 +800000dc: 0220e1b3 rem gp,ra,sp +800000e0: ffe00e93 li t4,-2 +800000e4: 00300e13 li t3,3 +800000e8: 0bd19863 bne gp,t4,80000198 + +800000ec : +800000ec: 01400093 li ra,20 +800000f0: ffa00113 li sp,-6 +800000f4: 0220e1b3 rem gp,ra,sp +800000f8: 00200e93 li t4,2 +800000fc: 00400e13 li t3,4 +80000100: 09d19c63 bne gp,t4,80000198 + +80000104 : +80000104: fec00093 li ra,-20 +80000108: ffa00113 li sp,-6 +8000010c: 0220e1b3 rem gp,ra,sp +80000110: ffe00e93 li t4,-2 +80000114: 00500e13 li t3,5 +80000118: 09d19063 bne gp,t4,80000198 + +8000011c : +8000011c: 00000093 li ra,0 +80000120: 00100113 li sp,1 +80000124: 0220e1b3 rem gp,ra,sp +80000128: 00000e93 li t4,0 +8000012c: 00600e13 li t3,6 +80000130: 07d19463 bne gp,t4,80000198 + +80000134 : +80000134: 00000093 li ra,0 +80000138: fff00113 li sp,-1 +8000013c: 0220e1b3 rem gp,ra,sp +80000140: 00000e93 li t4,0 +80000144: 00700e13 li t3,7 +80000148: 05d19863 bne gp,t4,80000198 + +8000014c : +8000014c: 00000093 li ra,0 +80000150: 00000113 li sp,0 +80000154: 0220e1b3 rem gp,ra,sp +80000158: 00000e93 li t4,0 +8000015c: 00800e13 li t3,8 +80000160: 03d19c63 bne gp,t4,80000198 + +80000164 : +80000164: 00100093 li ra,1 +80000168: 00000113 li sp,0 +8000016c: 0220e1b3 rem gp,ra,sp +80000170: 00100e93 li t4,1 +80000174: 00900e13 li t3,9 +80000178: 03d19063 bne gp,t4,80000198 + +8000017c : +8000017c: 00000093 li ra,0 +80000180: 00000113 li sp,0 +80000184: 0220e1b3 rem gp,ra,sp +80000188: 00000e93 li t4,0 +8000018c: 00a00e13 li t3,10 +80000190: 01d19463 bne gp,t4,80000198 +80000194: 01c01c63 bne zero,t3,800001ac + +80000198 : +80000198: 0ff0000f fence +8000019c: 000e0063 beqz t3,8000019c +800001a0: 001e1e13 slli t3,t3,0x1 +800001a4: 001e6e13 ori t3,t3,1 +800001a8: 00000073 ecall + +800001ac : +800001ac: 0ff0000f fence +800001b0: 00100e13 li t3,1 +800001b4: 00000073 ecall +800001b8: c0001073 unimp +800001bc: 0000 unimp +800001be: 0000 unimp +800001c0: 0000 unimp +800001c2: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/rv32um-p-remu.dump b/VexRiscv/src/test/resources/asm/rv32um-p-remu.dump new file mode 100644 index 0000000..3ec417c --- /dev/null +++ b/VexRiscv/src/test/resources/asm/rv32um-p-remu.dump @@ -0,0 +1,152 @@ + +rv32um-p-remu: file format elf32-littleriscv + + +Disassembly of section .text.init: + +80000000 <_start>: +80000000: 04c0006f j 8000004c + +80000004 : +80000004: 34202f73 csrr t5,mcause +80000008: 00800f93 li t6,8 +8000000c: 03ff0a63 beq t5,t6,80000040 +80000010: 00900f93 li t6,9 +80000014: 03ff0663 beq t5,t6,80000040 +80000018: 00b00f93 li t6,11 +8000001c: 03ff0263 beq t5,t6,80000040 +80000020: 80000f17 auipc t5,0x80000 +80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000> +80000028: 000f0463 beqz t5,80000030 +8000002c: 000f0067 jr t5 +80000030: 34202f73 csrr t5,mcause +80000034: 000f5463 bgez t5,8000003c +80000038: 0040006f j 8000003c + +8000003c : +8000003c: 539e6e13 ori t3,t3,1337 + +80000040 : +80000040: 00001f17 auipc t5,0x1 +80000044: fdcf2023 sw t3,-64(t5) # 80001000 +80000048: ff9ff06f j 80000040 + +8000004c : +8000004c: f1402573 csrr a0,mhartid +80000050: 00051063 bnez a0,80000050 +80000054: 30102573 csrr a0,misa +80000058: 00055863 bgez a0,80000068 +8000005c: 0ff0000f fence +80000060: 00100e13 li t3,1 +80000064: 00000073 ecall +80000068: 00000e13 li t3,0 +8000006c: 00000297 auipc t0,0x0 +80000070: f9828293 addi t0,t0,-104 # 80000004 +80000074: 30529073 csrw mtvec,t0 +80000078: 30205073 csrwi medeleg,0 +8000007c: 30305073 csrwi mideleg,0 +80000080: 30405073 csrwi mie,0 +80000084: 80000297 auipc t0,0x80000 +80000088: f7c28293 addi t0,t0,-132 # 0 <_start-0x80000000> +8000008c: 00028c63 beqz t0,800000a4 +80000090: 10529073 csrw stvec,t0 +80000094: 1ab00293 li t0,427 +80000098: 30229073 csrw medeleg,t0 +8000009c: 30202373 csrr t1,medeleg +800000a0: f8629ee3 bne t0,t1,8000003c +800000a4: 30005073 csrwi mstatus,0 +800000a8: 00000297 auipc t0,0x0 +800000ac: 01428293 addi t0,t0,20 # 800000bc +800000b0: 34129073 csrw mepc,t0 +800000b4: f1402573 csrr a0,mhartid +800000b8: 30200073 mret + +800000bc : +800000bc: 01400093 li ra,20 +800000c0: 00600113 li sp,6 +800000c4: 0220f1b3 remu gp,ra,sp +800000c8: 00200e93 li t4,2 +800000cc: 00200e13 li t3,2 +800000d0: 0dd19463 bne gp,t4,80000198 + +800000d4 : +800000d4: fec00093 li ra,-20 +800000d8: 00600113 li sp,6 +800000dc: 0220f1b3 remu gp,ra,sp +800000e0: 00200e93 li t4,2 +800000e4: 00300e13 li t3,3 +800000e8: 0bd19863 bne gp,t4,80000198 + +800000ec : +800000ec: 01400093 li ra,20 +800000f0: ffa00113 li sp,-6 +800000f4: 0220f1b3 remu gp,ra,sp +800000f8: 01400e93 li t4,20 +800000fc: 00400e13 li t3,4 +80000100: 09d19c63 bne gp,t4,80000198 + +80000104 : +80000104: fec00093 li ra,-20 +80000108: ffa00113 li sp,-6 +8000010c: 0220f1b3 remu gp,ra,sp +80000110: fec00e93 li t4,-20 +80000114: 00500e13 li t3,5 +80000118: 09d19063 bne gp,t4,80000198 + +8000011c : +8000011c: 00000093 li ra,0 +80000120: 00100113 li sp,1 +80000124: 0220f1b3 remu gp,ra,sp +80000128: 00000e93 li t4,0 +8000012c: 00600e13 li t3,6 +80000130: 07d19463 bne gp,t4,80000198 + +80000134 : +80000134: 00000093 li ra,0 +80000138: fff00113 li sp,-1 +8000013c: 0220f1b3 remu gp,ra,sp +80000140: 00000e93 li t4,0 +80000144: 00700e13 li t3,7 +80000148: 05d19863 bne gp,t4,80000198 + +8000014c : +8000014c: 00000093 li ra,0 +80000150: 00000113 li sp,0 +80000154: 0220f1b3 remu gp,ra,sp +80000158: 00000e93 li t4,0 +8000015c: 00800e13 li t3,8 +80000160: 03d19c63 bne gp,t4,80000198 + +80000164 : +80000164: 00100093 li ra,1 +80000168: 00000113 li sp,0 +8000016c: 0220f1b3 remu gp,ra,sp +80000170: 00100e93 li t4,1 +80000174: 00900e13 li t3,9 +80000178: 03d19063 bne gp,t4,80000198 + +8000017c : +8000017c: 00000093 li ra,0 +80000180: 00000113 li sp,0 +80000184: 0220f1b3 remu gp,ra,sp +80000188: 00000e93 li t4,0 +8000018c: 00a00e13 li t3,10 +80000190: 01d19463 bne gp,t4,80000198 +80000194: 01c01c63 bne zero,t3,800001ac + +80000198 : +80000198: 0ff0000f fence +8000019c: 000e0063 beqz t3,8000019c +800001a0: 001e1e13 slli t3,t3,0x1 +800001a4: 001e6e13 ori t3,t3,1 +800001a8: 00000073 ecall + +800001ac : +800001ac: 0ff0000f fence +800001b0: 00100e13 li t3,1 +800001b4: 00000073 ecall +800001b8: c0001073 unimp +800001bc: 0000 unimp +800001be: 0000 unimp +800001c0: 0000 unimp +800001c2: 0000 unimp diff --git a/VexRiscv/src/test/resources/asm/testA.asm b/VexRiscv/src/test/resources/asm/testA.asm new file mode 100644 index 0000000..2ae77ec --- /dev/null +++ b/VexRiscv/src/test/resources/asm/testA.asm @@ -0,0 +1,118 @@ + +build/testA.elf: file format elf32-littleriscv + + +Disassembly of section .yolo: + +00000000 : + 0: 00000013 nop + 4: 00000013 nop + 8: 00000013 nop + c: 00000013 nop + 10: 00000013 nop + 14: 00000013 nop + 18: 00000013 nop + 1c: 00a00093 li ra,10 + 20: 00000013 nop + 24: 00000013 nop + 28: 00000013 nop + 2c: 00000013 nop + 30: 00000013 nop + 34: 00000013 nop + 38: 00000013 nop + 3c: 01400113 li sp,20 + 40: 00000013 nop + 44: 00000013 nop + 48: 00000013 nop + 4c: 00000013 nop + 50: 00000013 nop + 54: 00000013 nop + 58: 00000013 nop + 5c: 01e08193 addi gp,ra,30 + 60: 00000013 nop + 64: 00000013 nop + 68: 00000013 nop + 6c: 00000013 nop + 70: 00000013 nop + 74: 00000013 nop + 78: 00000013 nop + 7c: 00218233 add tp,gp,sp + 80: 00000013 nop + 84: 00000013 nop + 88: 00000013 nop + 8c: 00000013 nop + 90: 00000013 nop + 94: 00000013 nop + 98: 00000013 nop + 9c: 00a00093 li ra,10 + a0: 01400113 li sp,20 + a4: 01e08193 addi gp,ra,30 + a8: 00218233 add tp,gp,sp + ac: 00000013 nop + b0: 00000013 nop + b4: 00000013 nop + b8: 00000013 nop + bc: 00000013 nop + c0: 00000013 nop + c4: 00000013 nop + c8: 00000013 nop + cc: 00000013 nop + d0: 00000013 nop + d4: 00000013 nop + d8: 00000013 nop + dc: 00000013 nop + e0: 00000013 nop + e4: 00000013 nop + e8: 00000013 nop + ec: 00000013 nop + f0: 00000013 nop + f4: 00000013 nop + f8: 00000013 nop + fc: 00000013 nop + 100: 00000013 nop + 104: 00000013 nop + 108: 00000013 nop + 10c: 00000013 nop + 110: 00000013 nop + 114: 00000013 nop + 118: 00000013 nop + 11c: 00100293 li t0,1 + 120: 00000013 nop + 124: 00000013 nop + 128: 00000013 nop + 12c: 00000013 nop + 130: 00000013 nop + 134: 00000013 nop + 138: 00000013 nop + 13c: 0400006f j 17c + 140: 00000013 nop + 144: 00000013 nop + 148: 00000013 nop + 14c: 00000013 nop + 150: 00000013 nop + 154: 00000013 nop + 158: 00000013 nop + 15c: 00200313 li t1,2 + 160: 00000013 nop + 164: 00000013 nop + 168: 00000013 nop + 16c: 00000013 nop + 170: 00000013 nop + 174: 00000013 nop + 178: 00000013 nop + +0000017c : + 17c: 00300393 li t2,3 + 180: 00000013 nop + 184: 00000013 nop + 188: 00000013 nop + 18c: 00000013 nop + 190: 00000013 nop + 194: 00000013 nop + 198: 00000013 nop + 19c: 00100293 li t0,1 + 1a0: 0080006f j 1a8 + 1a4: 00200313 li t1,2 + +000001a8 : + 1a8: 00300393 li t2,3 diff --git a/VexRiscv/src/test/resources/bin/.gitignore b/VexRiscv/src/test/resources/bin/.gitignore new file mode 100644 index 0000000..1eb37dc --- /dev/null +++ b/VexRiscv/src/test/resources/bin/.gitignore @@ -0,0 +1 @@ +!*.bin diff --git a/VexRiscv/src/test/resources/bin/coremark_rv32i.bin b/VexRiscv/src/test/resources/bin/coremark_rv32i.bin new file mode 100755 index 0000000..eb6e7e7 Binary files /dev/null and b/VexRiscv/src/test/resources/bin/coremark_rv32i.bin differ diff --git a/VexRiscv/src/test/resources/bin/coremark_rv32ic.bin b/VexRiscv/src/test/resources/bin/coremark_rv32ic.bin new file mode 100755 index 0000000..a4a1391 Binary files /dev/null and b/VexRiscv/src/test/resources/bin/coremark_rv32ic.bin differ diff --git a/VexRiscv/src/test/resources/bin/coremark_rv32im.bin b/VexRiscv/src/test/resources/bin/coremark_rv32im.bin new file mode 100755 index 0000000..5c45806 Binary files /dev/null and b/VexRiscv/src/test/resources/bin/coremark_rv32im.bin differ diff --git a/VexRiscv/src/test/resources/bin/coremark_rv32imc.bin b/VexRiscv/src/test/resources/bin/coremark_rv32imc.bin new file mode 100755 index 0000000..69efed7 Binary files /dev/null and b/VexRiscv/src/test/resources/bin/coremark_rv32imc.bin differ diff --git a/VexRiscv/src/test/resources/elf/uart.elf b/VexRiscv/src/test/resources/elf/uart.elf new file mode 100755 index 0000000..672b9b1 Binary files /dev/null and b/VexRiscv/src/test/resources/elf/uart.elf differ diff --git a/VexRiscv/src/test/resources/freertos/AltBlckQ_rv32i_O0.hex b/VexRiscv/src/test/resources/freertos/AltBlckQ_rv32i_O0.hex new file mode 100644 index 0000000..f620c63 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/AltBlckQ_rv32i_O0.hex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diff --git a/VexRiscv/src/test/resources/freertos/AltBlckQ_rv32i_O3.hex b/VexRiscv/src/test/resources/freertos/AltBlckQ_rv32i_O3.hex new file mode 100644 index 0000000..13099f7 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/AltBlckQ_rv32i_O3.hex @@ -0,0 +1,2328 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F306F00400365 +:100010001300000013000000130000001300000094 +:100020001300000013000000130000001300000084 +:100030001300000013000000130000001300000074 +:100040009702000093824211739052309300000097 +:10005000130100009301000013020000930200004E +:100060001303000093030000130400009304000036 +:10007000130500009305000013060000930600001E +:100080001307000093070000130800009308000006 +:100090001309000093090000130A0000930A0000EE +:1000A000130B0000930B0000130C0000930C0000D6 +:1000B000130D0000930D0000130E0000930E0000BE +:1000C000130F0000930F000097110200938141D09D +:1000D0001705020013050553979503009385457294 +:1000E00013060000EF00001A170502001305C545AE +:1000F000970502009385654D13060000EF008018F8 +:1001000017A503001305057097B503009385456F88 +:1001100037B6ABAB1306B6BAEF00C01617B1030083 +:100120001301416EEF80D0249392120093D21200FB +:100130009382D2FF638A02008322010013014100EF +:100140006F0000177300203083220100130141006B +:10015000730020301301C1FF23205100F32220340B +:10016000E3C402FC8322010013014100130141F8A2 +:1001700023221100232421002326310023284100BB +:10018000232A5100232C6100232E71002320810299 +:10019000232291022324A1022326B1022328C10293 +:1001A000232AD102232CE102232EF1022320010570 +:1001B0002322110523242105232631052328410567 +:1001C000232A5105232C6105232E71052320810745 +:1001D000232291072324A1072326B1072328C1073F +:1001E000232AD107232CE107232EF107732520347E +:1001F000F3251034130601006F000000731015344E +:1002000083204100032181008321C10003220101D9 +:1002100083224101032381018323C10103240102BD +:1002200083244102032581028325C10203260103A1 +:1002300083264103032781038327C1030328010485 +:1002400083284104032981048329C104032A010569 +:10025000832A4105032B8105832BC105032C01064D +:10026000832C4106032D8106832DC106032E010731 +:10027000832E4107032F8107832FC1071301C10775 +:10028000730020302320C5006376B50013054500B8 +:100290006FF05FFF678000000000000000000000BA +:1002A000000000000000000000000000000000004E +:1002B000130101F8232011002322210023243100FF +:1002C0002326410023285100232A6100232C71009A +:1002D000232E8100232091022322A1022324B10294 +:1002E0002326C1022328D102232AE102232CF10272 +:1002F000232E010323201105232221052324310568 +:100300002326410523285105232A6105232C710545 +:10031000232E8105232091072322A1072324B1073F +:100320002326C1072328D107232AE107232CF1071D +:100330009702020083A2822423A02200F322103419 +:10034000232E5106EF00C03B1701020003210123B9 +:10035000032101008322C10773901234B7220000E9 +:100360009382028873A00230832001000322C1001F +:100370008322010103234101832381010324C1015D +:100380008324010203254102832581020326C10241 +:100390008326010303274103832781030328C10325 +:1003A000832801040329410483298104032AC10409 +:1003B000832A0105032B4105832B8105032CC105ED +:1003C000832C0106032D4106832D8106032EC106D1 +:1003D000832E0107032F4107832F81071301010893 +:1003E00073002030970202009382C22123A01200E2 +:1003F00023A2220023A4320023A6420023A85200F5 +:1004000023AA620023AC720023AE820023A09202D2 +:1004100023A2A20223A4B20223A6C20223A8D202CC +:1004200023AAE20223ACF20223AE020323A01205A8 +:1004300023A2220523A4320523A6420523A85205A0 +:1004400023AA620523AC720523AE820523A092077E +:1004500023A2A20723A4B20723A6C20723A8D20778 +:1004600023AAE20723ACF207EF60506E17010200E7 +:100470000321C110032101008322C10773901234AC +:10048000B72200009382028873A00230832001000B +:100490000322C1008322010103234101832381013F +:1004A0000324C10183240102032541028325810223 +:1004B0000326C10283260103032741038327810307 +:1004C0000328C103832801040329410483298104EB +:1004D000032AC104832A0105032B4105832B8105CF +:1004E000032CC105832C0106032D4106832D8106B3 +:1004F000032EC106832E0107032F4107832F810797 +:100500001301010873002030970202009382820FCA +:1005100083A0020003A1420083A1820003A2C200C3 +:1005200083A2020103A3420183A3820103A4C201A7 +:1005300083A4020203A5420283A5820203A6C2028B +:1005400083A6020303A7420383A7820303A8C2036F +:1005500083A8020403A9420483A9820403AAC20453 +:1005600083AA020503AB420583AB820503ACC20537 +:1005700083AC020603AD420683AD820603AEC2061B +:1005800083AE020703AFC20983AF82076780000012 +:1005900073700430130101F823201100232221007D +:1005A000232431002326410023285100232A6100FF +:1005B000232C7100232E8100232091022322A102EB +:1005C0002324B1022326C1022328D102232AE102D7 +:1005D000232CF102232E01032320110523222105C0 +:1005E000232431052326410523285105232A6105AB +:1005F000232C7105232E8105232091072322A10797 +:100600002324B1072326C1072328D107232AE10782 +:10061000232CF1079702020083A242F623A02200B6 +:10062000232E1106EF30406F17010200032101F560 +:10063000032101008322C10773901234B722000006 +:100640009382028873A00230832001000322C1003C +:100650008322010103234101832381010324C1017A +:100660008324010203254102832581020326C1025E +:100670008326010303274103832781030328C10342 +:10068000832801040329410483298104032AC10426 +:10069000832A0105032B4105832B8105032CC1050A +:1006A000832C0106032D4106832D8106032EC106EE +:1006B000832E0107032F4107832F810713010108B0 +:1006C00073002030737004306F00000073104530E9 +:1006D000678000007325403073F04330678000006E +:1006E00097070000938747FE232EB5FE2322C5FA05 +:1006F000232635F82320F5F8130505F86780000058 +:10070000130101FF23261100EF609041EF20D01864 +:10071000631805008320C1001301010167800000F8 +:100720008320C100130101016F30005F9307850032 +:100730001307F0FF2322F5002324E5002326F5000C +:100740002328F500232005006780000023280500EA +:10075000678000008327450023A2F50003A78700D8 +:1007600023A4E50003A787002322B70023A4B70032 +:1007700023A8A50083270500938717002320F500F1 +:100780006780000003A605009307F0FF13078500AC +:100790006316F6006F008003138707008327470066 +:1007A00083A60700E37AD6FE23A2F50023A4B700B0 +:1007B00023A4E5002322B70023A8A5008327050072 +:1007C000938717002320F5006780000003270501A9 +:1007D000832747006FF05FFD0327450083268500D0 +:1007E000832705012324D70003278500832645009E +:1007F0002322D70003A747006316A700032785001D +:1008000023A2E7002328050003A507001305F5FF31 +:1008100023A0A70067800000032605049387050036 +:10082000630406028325C50003274500B385C50080 +:100830002326B50063E6E500832505002326B500E1 +:10084000138507006F50100A67800000130101FF35 +:100850002324810023261100130405002322910084 +:10086000EF30D07F83278404635AF0048327440247 +:1008700063860704930444026F0000028327840404 +:100880009387F7FF2324F404832784046358F0023A +:10089000832744026384070213850400EF30102B82 +:1008A000E30E05FCEF30D057832784049387F7FFCE +:1008B0002324F40483278404E34CF0FC9307F0FF23 +:1008C0002324F404EF30D07CEF30507983274404A4 +:1008D000635AF00483270401638607049304040128 +:1008E0006F000002832744049387F7FF2322F40458 +:1008F000832744046358F0028327040163840702BA +:1009000013850400EF309024E30E05FCEF305051C6 +:10091000832744049387F7FF2322F40483274404A6 +:10092000E34CF0FC9307F0FF2322F404032481003E +:100930008320C10083244100130101016F305075F1 +:10094000630A0508130101FE232C8100130405002E +:10095000232E1100232A910023282101232631016F +:1009600013890500EF30906F832404048325C403AA +:100970008329040013850400EF8000051307F0FFAE +:10098000232C0402B3079540B387F9003385A900EF +:100990002322E4042322A400232434012326F40088 +:1009A0002324E404631C09028327040163920704DF +:1009B000EF30106E8320C1010324810183244101A3 +:1009C000032901018329C10013051000130101024D +:1009D00067800000737004306F000000130504018D +:1009E000EFF0DFD413054402EFF05FD46FF05FFC4B +:1009F00013050401EF30901593071000E31AF5FA80 +:100A0000EFF01FB96FF0DFFA631605007370043062 +:100A10006F000000130101FE232A91002328210109 +:100A200023263101232E1100232C8100930405007D +:100A300013890500930906006380050AEF70D078DA +:100A400013055505EF50804913040500630E050892 +:100A5000930745052320F500232E94022320240527 +:100A6000EF30D05F832404048325C40303290400EA +:100A700013850400EF7050759306F0FF232C0402D9 +:100A8000B30795403307A900B307F9002322D40424 +:100A90002322E4002326F4002324D40413050401B4 +:100AA00023242401EFF09FC813054402EFF01FC870 +:100AB000EF30105E23083405130504008320C101C4 +:100AC0000324810183244101032901018329C100F9 +:100AD000130101026780000013054005EF5000403C +:100AE0001304050063160500737004306F000000E6 +:100AF000232084006FF05FF66316050073700430E6 +:100B00006F0000006376B500737004306F00000062 +:100B1000130101FF23248100130620001384050024 +:100B20009305000023261100EFF01FEE630C050073 +:100B3000232C85028320C1000324810013010101BD +:100B400067800000737004306F000000130101FB28 +:100B50002326110423248104232291042320210528 +:100B6000232E3103232C4103232A5103232861031D +:100B7000232671032326C10063060528638C0526FE +:100B800093072000639CF6000327C503930710001A +:100B90006306F700737004306F00000013890600CD +:100BA0009384050013040500EF30902B631A0500B1 +:100BB0008327C10063860700737004306F00000054 +:100BC000EF30D049032784038327C403130B0000AD +:100BD000930B20009309F0FF130A0401930A4402C7 +:100BE0006364F710630479238327C10063820736A7 +:100BF00063160B0013058101EF301010EF305049E0 +:100C0000EF20803BEF309045832744046394370105 +:100C100023220404832784046394370123240404D7 +:100C2000EF3010479305C10013058101EF30D00F5D +:100C300063160530EF309042032784038327C403F3 +:100C40006304F710EF30D044EF3050418327840421 +:100C50006346F0026F00000313850A00EF30006F57 +:100C600063040500EF30D01B832784049387F7FFCC +:100C70002324F404832784046356F000832744026A +:100C8000E39C07FC23243405EF309040EF30103D07 +:100C9000832744046346F0026F00000313050A0033 +:100CA000EF30C06A63040500EF30901783274404D7 +:100CB0009387F7FF2322F404832744046356F0004C +:100CC00083270401E39C07FC23223405EF30503CCA +:100CD000EF200062130B1000EF305038032784031D +:100CE0008327C403E370F7F00326040463040612A9 +:100CF000631A091E0325840093850400EF50803E8B +:100D0000832784008326040403274400B387D70085 +:100D10002324F40063E4E71083278403832604007C +:100D200003274402938717002324D400232CF402C2 +:100D30006300071013054402EF3040619307100071 +:100D40006318F50E6F004014EF3090348325C10016 +:100D500013050A00EF308032EF30503083278404CF +:100D60006346F0026F00000313850A00EF30005E57 +:100D700063040500EF30D00A832784049387F7FFCC +:100D80002324F404832784046356F0008327440259 +:100D9000E39C07FC23243405EF30902FEF30102C18 +:100DA000832744046346F0026F00000313050A0022 +:100DB000EF30C05963040500EF30900683274404E8 +:100DC0009387F7FF2322F404832744046356F0003B +:100DD00083270401E39C07FC23223405EF30502BCA +:100DE000EF200051E31805EEEFF08FFA130B10001F +:100DF0006FF09FEE83270504E38407D873700430F7 +:100E00006F000000737004306F00000003260404BC +:100E1000631006088327040063860704832784037E +:100E20000327440293871700232CF402E31407F0EE +:100E3000EF301026130510008320C1040324810421 +:100E400083244104032901048329C103032A810364 +:100E5000832A4103032B0103832BC10213010105E4 +:100E60006780000003254400EF305012832784037D +:100E7000032744022322040093871700232CF40243 +:100E8000E31A07EAE30605FAEFF08FF06FF05FFA76 +:100E90000325C40093850400EF50C0248327040475 +:100EA0000327C40083260400B307F0403307F7008C +:100EB0002326E400637ED70403274400B307F7002A +:100EC0002326F40013072000832744026304E90467 +:100ED0000327840313071700232CE402E38A07F493 +:100EE0006FF05FE50325C40093850400EF50801F79 +:100EF000832704040327C40083260400B307F040BB +:100F00003307F7002326E400E37ED7FA6FF0DFFA19 +:100F10008327440203278403E30C07FA032784038F +:100F20001307F7FF232CE40203278403130717009A +:100F3000232CE402E38E07EE6FF0DFDF13050400DD +:100F4000EFF0DF90EF20C03A130500006FF0DFEE06 +:100F5000EF301014130500006FF01FEE130101FFB6 +:100F600023229100930405001305400523248100EA +:100F700023261100EF40907613040500630C05044E +:100F8000232C05029307F0FF2322F504130710001A +:100F900013050501232EE4022324F4042322040074 +:100FA0002320040023240400232604002320040417 +:100FB00023089404EFF08FF713054402EFF00FF7C6 +:100FC00093060000130600009305000013050400BB +:100FD000EFF0DFB7130504008320C1000324810074 +:100FE00083244100130101016780000063040504AC +:100FF000130101FF232481002322910023261100E5 +:10100000232021010329450013040500EF3040642B +:10101000930400006304A9028320C100032481001B +:1010200013850400032901008324410013010101F9 +:1010300067800000737004306F0000008327C400D5 +:10104000930410009387F7FF2326F400E39607FC30 +:10105000930600001306000093050000130504002A +:10106000EFF0DFAE6FF05FFB130101FC232E1102E6 +:10107000232C8102232A91022328210323263103D2 +:10108000232441032326C100630E050A93090000AF +:10109000638405101389060093840500130405007A +:1010A000130A05016F008003EF30807EEF30007B74 +:1010B0009305C10013058101EF3000476310050659 +:1010C000EF30C079032784038327C403630CF7023E +:1010D000EF30007CEF30C07B93091000EF300078D8 +:1010E000032784038327C4036362F7068327C100B1 +:1010F00063860702E39A09FA13058101EF30C03FC6 +:101100006FF09FFAEF30C0788325C10013050A0005 +:10111000EF20D076EFF0CFC76FF0DFFBEF304077F6 +:101120008320C10303248103832441030329010392 +:101130008329C102032A810213050000130101045F +:1011400067800000737004306F0000000326040401 +:101150006314060683270400638807048327840337 +:101160000327440293871700232CF4026310070817 +:10117000EF3000728320C1030324810383244103E1 +:10118000032901038329C102032A810213051000E8 +:10119000130101046780000083270504E38C07EE38 +:1011A000737004306F00000003254400EF30005ED0 +:1011B000232204006FF09FFA6316090403258400BC +:1011C00093850400EF401072832784008326040473 +:1011D00003274400B387D7002324F400E3E0E7F8B3 +:1011E000832704002324F4006FF05FF71305440203 +:1011F000EF30C01593071000E31CF5F6EFF04FB980 +:101200006FF01FF70325C40093850400EF40906D35 +:10121000832704040327C40083260400B307F04097 +:101220003307F7002326E4006378D7000327440040 +:10123000B307F7002326F40093072000E310F9F228 +:1012400083278403E38C07F0832784039387F7FFC6 +:10125000232CF4026FF09FF0130101FC232E1102E6 +:10126000232C8102232A91022328210323263103E0 +:10127000232441032326C1006308051493040000BE +:10128000638C051293890600138905001304050079 +:10129000130A45026F000001EF30805FEF30405FBE +:1012A00093041000EF30805B83278403639E07085C +:1012B0008327C100638C070463840404EF30405D1E +:1012C000EF30C0599305C10013058101EF30C025EF +:1012D000631E0502EF30805883278403E39E07FADC +:1012E000EF30005B83270400638607048325C10079 +:1012F00013050A00EF209058EFF08FA96FF01FFA46 +:1013000013058101EF30401F6FF05FFBEF30405855 +:101310008320C103032481038324410303290103A0 +:101320008329C102032A810213050000130101046D +:1013300067800000EF30805203254400EF30003515 +:10134000EF3000556FF09FFA032604048324C40095 +:101350006304060203274400B387C4002326F40075 +:1013600063E6E700832704002326F4008325C400F6 +:1013700013050900EF401057639C09048327840379 +:10138000032704009387F7FF232CF402631607005A +:10139000EF3040572322A400832704016380070411 +:1013A00013050401EF20907A930710006318F502EB +:1013B000EFF00F9E6F00800283270504E38407ECA3 +:1013C000737004306F000000737004306F00000011 +:1013D000832744022326940063960702EF30404B94 +:1013E0008320C103032481038324410303290103D0 +:1013F0008329C102032A810213051000130101048D +:101400006780000013054402EF205074E30805FCD8 +:101410006FF01FFA6302050A63880508130101FED5 +:10142000232C8100232A9100232821012324410118 +:10143000232E1100232631019307200093840600F8 +:1014400013090600138A050013040500639CF600C7 +:101450000327C503930710006302F70A7370043073 +:101460006F000000EFF00FA7032784038327C40356 +:10147000930905006366F7049304000013850900CF +:10148000EFF0CFA48320C101032481011385040060 +:1014900003290101832441018329C100032A81001A +:1014A000130101026780000083270504E38807F623 +:1014B000737004306F000000737004306F00000020 +:1014C00003260404631E060C8327040063860712A8 +:1014D000832784031307F0FF93871700232CF4025C +:1014E000832784046388E70E832784049304100011 +:1014F000938717002324F4046FF05FF8EFF08F9DBB +:10150000032784038327C403930905006360F70658 +:1015100003260404E30A06FA0325C40093050A001F +:10152000EF40503C832704040327C40083260400B3 +:10153000B307F0403307F7002326E4006378D700B1 +:1015400003274400B307F7002326F4009307200085 +:10155000E390F4F883278403E38C07F6832784035E +:101560009387F7FF232CF4026FF09FF60326040401 +:10157000E30C06F40325C40093050A00EF409036FF +:10158000832704040327C40083260400B307F04024 +:101590003307F7002326E400E364D7FA6FF09FFBDC +:1015A000E39C04F60325840093050A00EF40903382 +:1015B000832784008326040403274400B387D700CD +:1015C0002324F400E3E6E7F0832704002324F40057 +:1015D0006FF01FF08327440293041000E38007EAB2 +:1015E00013054402EF209056E30A05E8E30809E8F2 +:1015F000232099006FF09FE803254400EF30001985 +:10160000232204006FF0DFEC6302050A8327050440 +:1016100063860700737004306F00000083270500A5 +:10162000638A0708130101FE232C8100232A9100FD +:10163000130405002328210123263101232E110044 +:1016400013890500EFF00F89032784038327C40360 +:1016500093090500930400006376F702832784034F +:101660001307F0FF93871700232CF40283278404C9 +:10167000638AE70483278404930410009387170088 +:101680002324F40413850900EFF04F848320C10163 +:1016900003248101138504000329010183244101EE +:1016A0008329C100130101026780000073700430B8 +:1016B0006F00000083274500E38607F6737004304F +:1016C0006F00000083274402639607009304100014 +:1016D0006FF05FFB13054402EF205047E30805FE5F +:1016E000E30609FE930710002320F9006FF01FFEA8 +:1016F000130101FC232E1102232C8102232A9102C3 +:1017000023282103232631032324410323225103C9 +:101710002326C10063060528638C0526938A0600EC +:101720009389050013040500EF209073631A0500E8 +:101730008327C10063860700737004306F000000C8 +:10174000EF30C011832784036394071C8327C100F3 +:101750006380071013058101EF20105A9304F0FFF6 +:1017600013094402130A0401EF308012EF10D00471 +:10177000EF30C00E832744046394970023220404AF +:10178000832784046394970023240404EF304010DB +:101790009305C10013058101EF2010596310052442 +:1017A000EF30C00B83278403638A070AEF30400EB3 +:1017B000EF30C00A832784046346F0026F00000301 +:1017C00013050900EF20903863040500EF205065F1 +:1017D000832784049387F7FF2324F4048327840456 +:1017E0006356F00083274402E39C07FC23249404FF +:1017F000EF30000AEF308006832744046346F0028E +:101800006F00000313050A00EF2050346304050045 +:10181000EF201061832744049387F7FF2322F40409 +:10182000832744046356F00083270401E39C07FCEC +:1018300023229404EF30C005EF10902BEF3000020C +:10184000832784036396070C8327C100E39E07F078 +:10185000EF300004130500006F00800FEF304003ED +:1018600083270400638807108325C100130509003E +:10187000EF20D000EF20907E832784046346F0029F +:101880006F00000313050900EF20502C63040500CE +:10189000EF201059832784049387F7FF2324F4044F +:1018A000832784046356F00083274402E39C07FCEB +:1018B00023249404EF20D07DEF20507A8327440422 +:1018C0006346F0026F00000313050A00EF201028A2 +:1018D00063040500EF20D054832744049387F7FF67 +:1018E0002322F404832744046356F0008327040171 +:1018F000E39C07FC23229404EF209079EF10501F03 +:1019000063040508EF20907583278403E38E07F2B4 +:1019100093850900130504008324C400EFE0DFEF82 +:1019200063940A0883278403032704009387F7FF3F +:10193000232CF40263160700EF20D07C2322A4009E +:101940008327040163920708EF20907413051000A9 +:101950008320C1030324810383244103032901035A +:101960008329C102032A8102832A4102130101044F +:1019700067800000EF20906E03254400EF20105197 +:10198000EF2010716FF05FEEEFE09FC06FF09FF7F8 +:1019900083270504E38407D8737004306F000000C8 +:1019A000737004306F0000008327440223269400E4 +:1019B000E38C07F813054402EF205019E30605F8FD +:1019C000EFE01FBD6FF05FF813050401EF20101862 +:1019D00093071000E31AF5F66FF09FFE130504005D +:1019E000EFE0DFE6EF10D010130500006FF05FF6B8 +:1019F00063000506130101FF232481002320210138 +:101A00002326110023229100832445001389050019 +:101A100013040500EF20D0436380A4049306000064 +:101A2000130609009305000013050400EFF05FCCD6 +:101A300093071000630CF5028320C100032481008A +:101A40008324410003290100130101016780000084 +:101A5000737004306F0000008327C400130510006A +:101A6000938717002326F4006FF01FFD8327C4001F +:101A7000938717002326F4006FF01FFC6308050608 +:101A80006386050C130101FE232C8100232A91009B +:101A900023282101232631012324410113040500B9 +:101AA000232E11001309060093890500EFE09FC261 +:101AB00083278403130A050093040000639C070234 +:101AC00013050A00EFE09FC08320C10103248101B8 +:101AD0001385040003290101832441018329C100E6 +:101AE000032A810013010102678000007370043033 +:101AF0006F00000003260404630406028325C4006B +:101B000083274400B385C5002326B40063E6F500AF +:101B1000832504002326B40013850900EF40805C70 +:101B2000832784031307F0FF9387F7FF232CF40226 +:101B3000832744046384E70283274404930410004A +:101B4000938717002322F4046FF09FF7832705047F +:101B5000E38A07F2737004306F00000083270401EA +:101B600063960700930410006FF09FF513050401BE +:101B7000EF20C07DE30805FEE30609FE9307100091 +:101B80002320F9006FF01FFE630605088327050474 +:101B9000638A050863840708130101FE232C810072 +:101BA000232A910023282101232441011304050045 +:101BB000232E110023263101138A0500EFE09FB187 +:101BC000832784031309050093040000638E070034 +:101BD0008329C40093050A0013050400EFE0DFC366 +:101BE000930410002326340113050900EFE01FAE13 +:101BF0008320C1010324810113850400032901010D +:101C0000832441018329C100032A810013010102B9 +:101C100067800000737004306F0000007370043040 +:101C20006F000000E38C07FE737004306F0000004B +:101C300063160500737004306F000000130101FF8C +:101C4000232481001304050023261100EF201041F6 +:101C500003248403EF20D0438320C1001305040034 +:101C60000324810013010101678000006316050051 +:101C7000737004306F000000130101FF2326110070 +:101C80002324810013040500EF20503D83278403A3 +:101C90000324C4033304F440EF20903F13050400F1 +:101CA0008320C1000324810013010101678000002B +:101CB0006306050003258503678000007370043008 +:101CC0006F0000006308050497870300938787AFC0 +:101CD00003A747006304E50403A7C7006302E50800 +:101CE00003A74701630AE50603A7C7016302E506E8 +:101CF00003A74702630AE50403A7C7026302E504DA +:101D000003A74703630AE50203A7C7036302E502CB +:101D10006F40C034737004306F0000001307000080 +:101D200013173700B387E70023A007006F40003385 +:101D3000130770006FF0DFFE130760006FF05FFEA7 +:101D4000130750006FF0DFFD130740006FF05FFDD9 +:101D5000130730006FF0DFFC130720006FF05FFC0B +:101D6000130710006FF0DFFB0325C5046780000038 +:101D70002326B50467800000034505056780000041 +:101D800063080500032585031335150067800000EF +:101D9000737004306F000000630C05008327850317 +:101DA0000325C5033305F540133515006780000092 +:101DB000737004306F00000097870300938787A03B +:101DC00003A707006300070403A78700630807044D +:101DD00003A707016308070403A787016308070433 +:101DE00003A707026308070403A787026308070421 +:101DF00003A70703630C070403A78703630407040F +:101E0000678000001307000013173700B387E7004F +:101E100023A0B70023A2A7006780000013071000CB +:101E20006FF09FFE130720006FF01FFE13073000B6 +:101E30006FF09FFD130740006FF01FFD1307500068 +:101E40006FF09FFC130770006FF01FFC130760001A +:101E50006FF09FFB978703009387C79603A7470000 +:101E60006300E50403A7C7006306E50403A7470171 +:101E70006306E50403A7C7016306E50403A7470259 +:101E80006306E50403A7C7026306E50403A7470347 +:101E9000630AE50403A7C7036302A7046780000081 +:101EA0001307000013173700B387E70023A00700CC +:101EB00067800000130710006FF0DFFE130720009B +:101EC0006FF05FFE130730006FF0DFFD1307400077 +:101ED0006FF05FFD130750006FF0DFFC1307700019 +:101EE0006FF05FFC130760006FF0DFFB130101FF71 +:101EF00023248100232291002320210113040500C3 +:101F0000232611009384050013090600EF20101505 +:101F1000032744049307F0FF6314F700232204040B +:101F2000032784049307F0FF6314F70023240404B9 +:101F3000EF20101683278403639A07001306090015 +:101F40009385040013054402EF20802FEF20101129 +:101F500083278404635AF0048327440263860704BA +:101F6000930444026F000002832784049387F7FFE1 +:101F70002324F404832784046358F0028327440253 +:101F80006384070213850400EF20403CE30E05FC48 +:101F9000EF200069832784049387F7FF2324F40448 +:101FA00083278404E34CF0FC9307F0FF2324F4041C +:101FB000EF20100EEF20900A83274404635AF004A8 +:101FC0008327040163860704930404016F00000261 +:101FD000832744049387F7FF2322F40483274404D0 +:101FE0006358F00283270401638407021385040009 +:101FF000EF20C035E30E05FCEF2080628327440408 +:102000009387F7FF2322F40483274404E34CF0FC76 +:102010009307F0FF2322F404032481008320C100EE +:102020008324410003290100130101016F205006A0 +:1020300097E701009387875483A6070017E70100FD +:10204000130787520327070023A2A600636AE5044B +:10205000130101FF232481002326110017E701004B +:1020600013078751130405000325070083A5070004 +:1020700093854500EFE00FF197E701009387074D47 +:1020800083A707006376F40097E7010023A0874C3D +:102090008320C10003248100130101016780000037 +:1020A00017E701001307074D0325070083A5070065 +:1020B000938545006FE00FED97E701009387074C8C +:1020C00003A7070083A60700832747049387F7FF2A +:1020D0002322F70483A74604639407007360043047 +:1020E0006780000063020504130101FD232E310106 +:1020F000232A510123261102938A070023248102F7 +:102100002322910223202103232C41012328610152 +:1021100023267101930740009309070063FAE70043 +:10212000737004306F000000737004306F000000A3 +:10213000130B05009384050013090600938B06001A +:10214000130A08006302082C13050006EF3010592B +:10215000130405006302052A1319290023284503E7 +:10216000130609009305500A13050A00EF40800981 +:1021700003C70400832704031309C9FF230AE402E9 +:1021800003C70400338927011379C9FF6306070ECB +:1021900083C71400A30AF40283C71400638E070CDC +:1021A00083C72400230BF40283C724006386070C33 +:1021B00083C73400A30BF40283C73400638E070A7D +:1021C00083C74400230CF40283C744006386070AD4 +:1021D00083C75400A30CF40283C75400638E07081E +:1021E00083C76400230DF40283C764006386070875 +:1021F00083C77400A30DF40283C77400638E0706BF +:1022000083C78400230EF40283C784006386070615 +:1022100083C79400A30EF40283C79400638E07045F +:1022200083C7A400230FF40283C7A40063860704B6 +:1022300083C7B400A30FF40283C7B400638E070200 +:1022400083C7C4002300F40483C7C4006386070265 +:1022500083C7D400A300F40483C7D400638E0700AF +:1022600083C7E4002301F40483C7E4006386070006 +:1022700083C7F400A301F404130A440013050A0001 +:10228000A30104042326340323283405232A040449 +:10229000EFE0CFCB13058401EFE04FCB9307500065 +:1022A000B3873741232C040423288400232CF40013 +:1022B0002322840223220404232E040413860B0009 +:1022C00093050B0013050900EFE08FC12320A40044 +:1022D00063840A0023A08A007370043017E90100A8 +:1022E000130909288327090097E40100938404292E +:1022F000638C070003A7040083A704008327470417 +:10230000938717002322F70417E701001307072616 +:10231000832707009387170097E6010023A8F62478 +:1023200083A70400638E070E83270900639C0700C0 +:1023300083A7040083A7C70263E6F90097E70100BB +:1023400023AE872297E701009387872083A70700A2 +:1023500017E70100130707210325C402032707001D +:102360009387170097E6010023A4F61E2324F404A4 +:102370006376A70097E7010023A6A71E93054001F7 +:10238000EF60806497E701009387872F3385A7006C +:1023900093050A00EFE00FBC83270900638807005C +:1023A00083A7040083A747046390071283270900CB +:1023B00013041000638A070083A7040083A7C702E1 +:1023C00063F43701EFE0CF9C130504008320C102C2 +:1023D0000324810283244102032901028329C101CC +:1023E000032A8101832A4101032B0101832BC100B0 +:1023F000130101036780000013050A00EF30104647 +:102400001304F0FF6FF05FFC13152600EF30102D62 +:10241000130A0500E31A05D21304F0FF6FF0DFFA88 +:1024200097E7010023AC87140327070093071000E8 +:10243000E31AF7F017E5010013058524EFE00FAF6D +:1024400017E5010013050525EFE04FAE17E5010084 +:1024500013058525EFE08FAD17E50100130505266F +:10246000EFE0CFAC17E5010013058526EFE00FACD8 +:1024700017EB0100130B0B2713050B00EFE00FAB5D +:1024800097EA0100938A4A2713850A00EFE00FAA12 +:1024900017E5010013058527EFE04FA917E50100B7 +:1024A00013050528EFE08FA817E50100130585281F +:1024B000EFE0CFA797E7010023A0670D97E70100A2 +:1024C00023AA570B6FF01FE803A7040083A604009C +:1024D000832747049387F7FF2322F70483A7460443 +:1024E000E39607EC736004306FF05FEC130101FFBB +:1024F000232481002326110023229100232021017F +:10250000130405007370043097E4010093844405BC +:1025100083A70400639E07106318040097E7010077 +:102520009387C70503A40700130944001305090096 +:10253000EFE08FAA832784026386070013058401D6 +:10254000EFE08FA99305090017E501001305451D6C +:10255000EFE04FA097E701009387870103A70700EB +:1025600097E701009387C7FE83A7070013071700AB +:1025700097E6010023AEE6FE03A704009387170049 +:1025800097E6010023A6F6FC630C070097E701001D +:102590009387C7FE03A7070003274704631C070EA2 +:1025A00083A70400638A070697E70100938707FD66 +:1025B00003A70700630287107370043003A70400A9 +:1025C0006318070817E70100130707FB83260700B6 +:1025D00083A606006392060A1307F0FF97E6010040 +:1025E00023A6E6F603A704006308070203A7070073 +:1025F000032747046302070203A7070083A6070017 +:10260000832747049387F7FF2322F70483A7460411 +:1026100063940700736004308320C10003248100A9 +:102620008324410003290100130101016780000098 +:1026300097E70100938787F403A7070083A70700A4 +:1026400083274704938717002322F7046FF0DFECFA +:1026500083A6070003A7070003A746041307170074 +:1026600023A2E60417E70100130707F183260700FA +:1026700083A60600E38206F6032707000327C700A8 +:102680000327C7000327470097E6010023A0E6ECD5 +:102690006FF05FF503A7070083A6070083274704B1 +:1026A0009387F7FF2322F70483A74604E39A07EEF4 +:1026B000736004306FF0DFEE97E701009387C7E89F +:1026C00083A7070063860700737004306F00000063 +:1026D000032481008320C1008324410003290100D9 +:1026E000130101016FD0DFEA6304050C17E7010055 +:1026F0001307C7E883260700930700006386A60A2E +:10270000130101FF232611002324810023229100BD +:102710007370043097E60100938686E483A7060071 +:10272000638C0700032607008327070083274604DE +:10273000938717002322F60483A70600930405005D +:1027400003244501638807008327070083A7470404 +:102750006394070697E70100938707E283A70700C2 +:10276000638C870697E701009387C7E083A707007C +:102770006384870697E701009387C7FB6306F40429 +:1027800097E701009387C7F9630CF40293071000E1 +:102790008320C100032481008324410013850700A6 +:1027A00013010101678000001385070067800000A6 +:1027B000737004306F000000EFF01F906FF09FF90E +:1027C000930740006FF0DFFC83A7840293B71700E4 +:1027D000938727006FF0DFFB930720006FF05FFB0C +:1027E0007370043097E70100938787D703A707002A +:1027F000631607026304050483A707000325C502C7 +:10280000638C070097E70100938747D703A707006A +:1028100003274704631C07026780000017E70100D5 +:102820001307C7D5832607000327070003A746041D +:102830001307170023A2E604E31005FC17E70100C5 +:102840001307C7D3032507006FF01FFB03A707007B +:1028500083A60700832747049387F7FF2322F70403 +:1028600083A74604E39A07FA736004306780000088 +:10287000130101FF23248100130405002326110006 +:10288000EFD05FE56318040097E70100938707CF57 +:1028900003A407000324C402EFD05FE38320C10038 +:1028A0001305040003248100130101016780000067 +:1028B0009307400063F6B700737004306F000000A8 +:1028C000130101FE232C8100232E1100232A9100E5 +:1028D000232821012326310123244101130405006B +:1028E0007370043017E90100130989C783270900B1 +:1028F000639207106300041283270405638EF50AB0 +:1029000017E70100130787C763F0B70283260700A4 +:10291000638E8612032707008324C702B3B4950091 +:1029200093C414006F00000183240700B384844023 +:1029300093B414000327C4026386E70E832784013F +:102940002328B40463C8070093075000B385B74039 +:10295000232CB40093172700B387E7000327440113 +:1029600097E901009389C9D193972700B387F900AC +:102970006310F704130A440013050A00EFD0DFE5E3 +:1029800097E70100938707BE0325C40283A70700CA +:1029900063F6A70097E7010023A6A7BC93054001B3 +:1029A000EF60800293050A003385A900EFD09FDA1B +:1029B00063840400EFD0DFBD83270900638C070028 +:1029C00097E70100938787BB83A7070083A7470486 +:1029D000639E07048320C1010324810183244101F4 +:1029E000032901018329C100032A81001301010287 +:1029F0006780000097E70100938747B803A70700A7 +:102A000083A7070083274704938717002322F7042F +:102A1000E31404EE97E70100938747B603A4070089 +:102A20006FF09FED2326B4026FF05FF10324810164 +:102A30008320C10183244101032901018329C100AD +:102A4000032A8100130101026FF00FE793040000D5 +:102A50006FF05FEE630A0508130101FE232A91005F +:102A600097E40100938484B183A70400232E11000E +:102A7000232C810023282101232631016388A70408 +:102A80007370043017E90100130989AD8327090029 +:102A9000638C070003A7040083A70400832747046F +:102AA000938717002322F7040327450197E70100C6 +:102AB000938747C8630EF7028327090063880700DE +:102AC00083A7040083A74704639E07088320C101EE +:102AD0000324810183244101032901018329C100C9 +:102AE0001301010267800000737004306F00000062 +:102AF0008327850217E70100130747C1E38EE7FA32 +:102B0000E39C07FA930945001304050013850900A7 +:102B1000EFD09FCC97E701009387C7A40325C40299 +:102B200083A7070063F6A70097E7010023ACA7A2DD +:102B300093054001EF50506997E70100938747B430 +:102B40003385A70093850900EFD0DFC083A7040079 +:102B50000327C40283A7C702E360F7F6EFD05FA3A1 +:102B60006FF09FF5032481018320C101832441017B +:102B7000032901018329C100130101026FF0CFD3A2 +:102B800063020506130101FE232C8100232A910014 +:102B90002326310113040500232E110023282101CF +:102BA000EFD05FB30327440197E70100938787B80D +:102BB0009309050093040000630AF70213850900D6 +:102BC000EFD0DFB08320C10103248101138504000D +:102BD00003290101832441018329C100130101025A +:102BE00067800000737004306F0000008327840248 +:102BF00017E50100130585B1E382A7FCE39007FC0C +:102C000097E701009387479483A70700639407061B +:102C100097E701009387879683A707008324C40260 +:102C20001309440083A7C70213050900B3B4F400D5 +:102C3000EFD09FBA97E701009387C7920325C4029C +:102C400083A7070093C4140063F6A70097E7010069 +:102C500023AAA79093054001EF50105797E7010072 +:102C6000938707A2930509003385A700EFD09FAE95 +:102C70006FF0DFF493058401EFD0DFAD6FF01FF448 +:102C8000130101FE37150000232E1100232C8100B3 +:102C9000232A9100232821012326310123244101E5 +:102CA00023225101EF30802363060524930405009D +:102CB00013050006EF308022130405006300052889 +:102CC000371600009305500A232895021385040047 +:102CD000EF30405383240403B71700009387C7FFE6 +:102CE000B384F400B7474C45938797449309440055 +:102CF000232AF40213850900230C0402A30104040F +:102D00002326040223280404232A0404EFD01FA44A +:102D100013058401EFD09FA393075000232C0404D4 +:102D200093F4C4FF23288400232CF400232284027C +:102D300023220404232E0404130600009715000028 +:102D4000938585EA13850400EFD09F992320A40082 +:102D50007370043017E90100130989808327090083 +:102D600097E4010093848481638C070003A7040027 +:102D700083A7040083274704938717002322F704BF +:102D800017D701001307877E832707009387170053 +:102D900097D6010023ACF67C83A704006388070A5A +:102DA00083270900639C070083A7040083A7C70249 +:102DB0006396070097D7010023A2877C97D701006D +:102DC0009387077983A7070097D6010093868679B2 +:102DD0000327C40283A606009387170017D60100B5 +:102DE0002328F6762324F40463F6E60097D701003F +:102DF00023AAE77693172700B387E7009397270066 +:102E000017E501001305C5873305F5009385090013 +:102E1000EFD05F94832709006388070083A704002D +:102E200083A74704639A070E83270900638407007A +:102E300083A70400EF201007930710006304F51226 +:102E4000631A050A737004306F00000097D7010001 +:102E500023A687720327070093071000E310F7F6F5 +:102E600017E501001305C581EFD05F8C17E5010060 +:102E700013054582EFD09F8B17E501001305C5822E +:102E8000EFD0DF8A17E5010013054583EFD01F8AD5 +:102E900017E501001305C583EFD05F8997EA0100AC +:102EA000938A4A8413850A00EFD05F8817EA0100ED +:102EB000130A8A8413050A00EFD05F8717E5010023 +:102EC0001305C584EFD09F8617E5010013054585DE +:102ED000EFD0DF8517E501001305C585EFD01F850D +:102EE00097D7010023AA576997D7010023A4476900 +:102EF0006FF0DFEC8320C1010324810183244101B1 +:102F0000032901018329C100032A8100832A41008A +:102F1000130101026780000003A7040083A60400D8 +:102F2000832747049387F7FF2322F70483A74604E8 +:102F3000E39C07EE736004306FF01FEF0324810100 +:102F40008320C101032901018329C100032A8100D3 +:102F5000832A4100138504008324410113010102E7 +:102F60006F30C00F737004309307F0FF17D7010064 +:102F7000232EF75C0324810197D7010023A2A75ECB +:102F80008320C10183244101032901018329C10058 +:102F9000032A8100832A410097D7010023A6075CFA +:102FA000130101026FD00FC47370043097D7010072 +:102FB00023A8075A6FD04FD517D701001307C7585A +:102FC00083270700938717002320F70067800000FE +:102FD0007370043097D701009387875803A70700C1 +:102FE0006318070217D701001307075803250700C6 +:102FF00083A70700638C070097D7010093870758C2 +:1030000003A7070003274704631407026780000033 +:1030100017D70100130787568326070003270700E9 +:1030200003A746041307170023A2E6046FF09FFBD3 +:1030300003A7070083A60700832747049387F7FFAA +:103040002322F70483A74604E39207FC736004304D +:1030500067800000130101FF232611002324810053 +:10306000EFD04FE797D701009387075003A40700DD +:10307000EFD0CFE58320C1001305040003248100B5 +:10308000130101016780000097D701009387074E65 +:1030900003A5070067800000130101FD232611022C +:1030A000232481022322910223202103232E310194 +:1030B000232C4101232A5101232861012326710178 +:1030C00097D701009387474883A70700639A0706AD +:1030D00017D7010013074749832707009387170075 +:1030E00097D6010023A2F648832A0700638A0A0ABA +:1030F00097D701009387874583A7070093040000B3 +:1031000063FEFA0A97D901009389895717DA0100FB +:10311000130ACA4683270A009306100003A7C702B2 +:1031200093172700B387E70093972700B389F90027 +:1031300083A7090063F4F602930410006F000002F5 +:1031400097D701009387874183A707009304000066 +:103150009387170017D701002322F74097D7010064 +:103160009387873F83A707006384070093041000B9 +:103170008320C10203248102138504000329010274 +:10318000832441028329C101032A8101832A410149 +:10319000032B0101832BC100130101036780000091 +:1031A00017D401001304443D8327040083A70700BC +:1031B0006388070C737004306F00000017D401009F +:1031C0001304843B97D901009389894B17DA0100D6 +:1031D000130ACA3A17DB0100130BCB38832704000C +:1031E00083A70700638007088327040083A7C7001D +:1031F00003A9C70083274900930B490013850B00DF +:1032000063EAFA06EFD04FDD8327890213058901AF +:1032100063840700EFD04FDC8327C90203270B002C +:1032200093850B00139527003305F5001315250032 +:103230003385A9006376F70017D701002324F732FE +:10324000EFD04FD183270A000327C90283A7C70203 +:10325000E366F7F8832704009304100083A70700B0 +:10326000E39407F89307F0FF17D701002320F72E08 +:103270006FF05FEA17D70100232AF72C6FF09FE960 +:1032800017D701001307072F832704000327070020 +:1032900097D6010023A2E62E17D70100232CF72C86 +:1032A00097D701009387072B83A707009387170001 +:1032B00017D701002320F72A8327040083A70700DC +:1032C000639A07009307F0FF17D701002320F72820 +:1032D0006FF01FE28327040083A7C70083A7C700FE +:1032E00083A7470017D701002322F7266FF05FE07E +:1032F00097D701009387472503A7070063160700A8 +:10330000737004306F000000130101FE232E1100C2 +:10331000232C8100232A9100232821012326310117 +:1033200023244101232251012320610173700430C1 +:1033300097D401009384C42203A7040063100712EA +:1033400003A707001307F7FF97D6010023AEE61E79 +:1033500083A70700639E070A97D701009387072179 +:1033600083A707006386070A97DA0100938A4A3128 +:1033700017DA0100130A0A1F17D9010013090920DF +:10338000930910006F00C0013385EA00EFD08FBCB5 +:10339000832709000327C40283A7C7026374F706C3 +:1033A00083A7CA086380070E83A78A0903A4C700FE +:1033B00013058401EFD04FC2130B440013050B001B +:1033C000EFD08FC18327C40283260A0093050B0028 +:1033D000139527003305F500131525001307050085 +:1033E0003385AA00E3F2F6FA17D70100232CF7166B +:1033F000EFD04FB6832709000327C40283A7C70273 +:10340000E360F7FA97D7010023A837156FF05FF94B +:103410001304000083A70400638C070097D7010002 +:103420009387C71583A7070083A7470463980704FA +:10343000130504008320C101032481018324410179 +:10344000032901018329C100032A8100832A410045 +:10345000032B0100130101026780000017D7010050 +:103460001307C711832607000327070003A7460495 +:103470001307170023A2E6046FF09FECEFE0DFC311 +:103480006FF01FFB17D401001304440D83270400C1 +:10349000638C070283270400130910006386070268 +:1034A000EFF09FBF6306050097D7010023A6270B07 +:1034B000832704009387F7FF17D701002320F70A1B +:1034C00083270400E39E07FC97D701009387C70872 +:1034D00003A7070093071000E31CF7F2EFD04F8B10 +:1034E000130410006FF01FF36304050263960500D8 +:1034F000737004306F00000017D701001307C70472 +:1035000083270700638A0700737004306F00000090 +:10351000737004306F000000130101FF2324810049 +:103520002326110083260700032705009386160033 +:1035300017D60100232AD60097D601009386C6022B +:1035400083A606003304B7002320850063F0E60459 +:103550006372E40263F0860297D701009387070243 +:1035600003A5070013054500EFD00FA713050400BE +:10357000EFE01FACEFF0DFD7630E05008320C10042 +:10358000032481001301010167800000E366E4FC6D +:103590006FF05FFC032481008320C100130101014F +:1035A0006FC01FFF630E050017D701001307C7F98F +:1035B0008327070063880700737004306F000000E2 +:1035C0006FC01FFD130101FF23261100232481007A +:1035D00003270700930705001307170097D601007C +:1035E00023A4E6F697D60100938646F917D7010083 +:1035F000130787F70327070003A506003304F70026 +:1036000013054500EFD04F9D13050400EFE05FA2C6 +:10361000EFF01FCE631A0500032481008320C10050 +:10362000130101016FC0DFF68320C1000324810074 +:103630001301010167800000130101FF23248100B1 +:103640002326110097D70100938707F083A707006F +:10365000130400009387170017D701002326F7EE05 +:1036600097D70100938787F083A7070063ECF50ED7 +:1036700017DE0100130E4E0697D20100938282FFDF +:103680001304000013070000930F10001303500AE7 +:103690006F00C000130ECEFE6386C21183278EFF1B +:1036A00093050700E38807FE8327CEFF83A7470023 +:1036B000232EFEFE6388C72103AFC70083A7470000 +:1036C0003307E500930E0000232EFEFE6380C7093A +:1036D00083A8C70093874803232017012322F700FC +:1036E00083A788042326F7012324F70083A7C802B1 +:1036F0002328F70083A70805232C0700232AF700B7 +:1037000003A8080383470800639A67069307080025 +:103710009387170083C60700B3850741E38A66FED7 +:1037200093D525009395050193D50501231EB70078 +:10373000938E1E0013070702630C1F058327CEFF1D +:1037400083A74700232EFEFEE394C7F983274E008C +:10375000232EFEFE6FF0DFF73304640063040600DF +:1037600023200600EFF0DFB8130504008320C1001A +:10377000032481001301010167800000930500000C +:10378000231EB700938E1E0013070702E3181FFBCA +:103790003304D40113175400130ECEFE9305070013 +:1037A000E39EC2EF97D70100938707DD03AE0700C2 +:1037B00083270E00639E071097D70100938787DB4E +:1037C00003AE070083270E006392071C17DE01007B +:1037D000130E0EEB83270E0A6398072683274E0BE2 +:1037E000E38E07F683278E0B97DE0100938E0EF58E +:1037F00083A7470017D701002320F7F4638CD73D38 +:1038000083AFC70083A747003307B5001303000049 +:1038100097D6010023A2F6F2130F30009302200086 +:103820009308500A6388D70903A5C7009307450387 +:103830002320A7002322F700832785042326E701FE +:103840002324F7008327C5022328F7008327850256 +:10385000638407002326570083270505232C0700D0 +:10386000232AF7000328050383470800639C1733C6 +:10387000930708009387170083C60700B3850741A5 +:10388000E38A16FF93D525009395050193D505018D +:10389000231EB7001303130013070702E38EAFEADA +:1038A00083278E0B83A7470097D6010023A6F6E84F +:1038B000E39CD7F783270E0C97D6010023AEF6E6DC +:1038C0006FF09FF683274E00232EFEFE6FF0DFDEA3 +:1038D00083274E00130F8E0083A647002322DE00AD +:1038E000638CE62DB307E50003A7460083A2C6005C +:1038F000930E00002322EE00930F20001303500AC2 +:103900006300EF088328C7001387480323A017012B +:1039100023A2E70003A7880423A6F70123A4E70056 +:1039200003A7C80223A8E70003A7080523AC0700E4 +:1039300023AAE70003A8080303470800631A6722C5 +:10394000130708001307170083460700B305074154 +:10395000E38A66FE93D525009395050193D505016D +:10396000239EB700938E1E0093870702638C12215B +:1039700083264E0003A746002322EE00E314EFF84F +:103980000327CE002322EE006FF0DFF783274E00DF +:10399000130F8E0083A747002322FE006388E721D0 +:1039A00083A2C70083A74700B305B500930E0000AC +:1039B0002322FE00930F20001303500A6300FF0828 +:1039C00083A8C7009387480323A0150123A2F5000D +:1039D00083A7880423A6F50123A4F50083A7C802C2 +:1039E00023A8F50083A7080523AC050023AAF5004A +:1039F00003A808038347080063946714930708002B +:103A00009387170083C6070033870741E38A66FE62 +:103A1000135727001317070113570701239EE500CB +:103A2000938E1E00938505026386121383274E0032 +:103A300083A747002322FE00E314FFF88327CE006C +:103A40002322FE006FF0DFF783274E0A17DF010005 +:103A5000130F8FCD83A7470017D701002324F7CC7E +:103A60006382E71783A2C70083A74700B305B500A9 +:103A7000930E000017D701002326F7CA930F4000CA +:103A80001303500A6382E70983A8C700938748039A +:103A900023A0150123A2F50083A7880423A6F5011E +:103AA00023A4F50083A7C80223A8F50083A708056F +:103AB00023AC050023AAF50003A8080383470800E8 +:103AC000639C6704930708009387170083C6070069 +:103AD00033870741E38A66FE135727001317070150 +:103AE00013570701239EE500938E1E009385050260 +:103AF000638E120383274E0A83A7470017D701005E +:103B00002322F7C2E392E7F98327CE0A17D70100F1 +:103B1000232AF7C06FF05FF713070000239EE5002C +:103B2000938E1E0093850502E39612FD83274E0BAC +:103B30003304D40193155400E38207C26FF09FCA87 +:103B400013070000239EE500938E1E009385050257 +:103B5000E39E12ED17DE0100130E8EB283270E0ACC +:103B60003304D40193155400E38A07C66FF0DFEDE8 +:103B700093050000239EB700938E1E0093870702D3 +:103B8000E39812DF97D701009387C79E03AE070023 +:103B90003304D4019315540083270E00E38807C231 +:103BA0006FF0DFDE930500006FF09FCE8327CE001D +:103BB0002322FE006FF0DFDE8326CE002322DE000C +:103BC0006FF05FD28327CE0A17D70100232CF7B4FA +:103BD0006FF05FE983270E0C17D70100232EF7B48F +:103BE0006FF01FC2130101FD232291022320210344 +:103BF000232E3101232C4101232A51012328610165 +:103C000023267101232611022324810297D9010062 +:103C10009389899317D90100130989A617DA01003E +:103C2000130A0A9497DA0100938A4A9597DB0100F8 +:103C3000938BCB9397D4010093848493130B100040 +:103C40006F00000283A709000324090A9387170065 +:103C500017D70100232AF78EEFF08FE9631E0400C7 +:103C600083A70400E39007FE83270900E37AFBFEA5 +:103C7000EFC01F926FF0DFFE7370043083270A00DD +:103C8000639E07048327C90A03A4C70013054400E1 +:103C9000EFC09FB483A70B009387F7FF17D70100EE +:103CA0002326F78C83A704009387F7FF17D701001B +:103CB0002320F78C83270A006388070083A70A0064 +:103CC00083A747046398070203250403EF20003904 +:103CD00013050400EF2080386FF09FF803A70A0057 +:103CE00083A70A0083274704938717002322F7043A +:103CF0006FF05FF903A70A0083A60A008327470431 +:103D00009387F7FF2322F70483A74604E39E07FA6D +:103D1000736004306FF05FFB97D701009387C78211 +:103D200083A70700639E070E130101FF23261100DE +:103D3000232481002322910097D7010023AE07801E +:103D400017D701001307878383270700B7A6A5A508 +:103D50009386565A83A7070383A50700639ED50061 +:103D600003A64700631AB60083A687006396C600C1 +:103D700083A7C700638AD7000325070083250700B0 +:103D800093854503EF30C07617C701001307877D81 +:103D90008326070017D401001304848E6F000002ED +:103DA0008327070063860708832707009387F7FFA9 +:103DB00097C6010023A8F67A83260700939726006A +:103DC000B387D70093972700B307F40083A70700B2 +:103DD000E38807FC0325070093172500B387A70096 +:103DE000939727003307F4008326470093878700C3 +:103DF000B307F40083A4460023229700638EF402E5 +:103E000083A7C4008320C1000324810017C70100D9 +:103E10002326F77683244100130101016780000007 +:103E20009307100017C701002328F772678000006E +:103E3000737004306F00000083A4440093054001B8 +:103E4000EF4090383305A400232295006FF05FFB0C +:103E5000130101FE23282101232E1100232C8100B0 +:103E6000232A9100232631011309050073700430C1 +:103E700097C401009384C46E83A7040017C4010093 +:103E80001304C46F639C070C631409000329040026 +:103E90009309490013850900EFC01F948327890205 +:103EA0006386070013058901EFC01F9393850900FE +:103EB00017D5010013050588EFC0DF8983A704002B +:103EC000638807008327040083A747046394070AD5 +:103ED000832704006384270D83A7040063820706F9 +:103EE0007370043083A704006398070E97C701001E +:103EF0009387876803A70700032707006310070C51 +:103F00009307F0FF17C701002322F76483A704007B +:103F1000638807028327040083A74704638207029C +:103F20000327040083260400832747049387F7FFB1 +:103F30002322F70483A746046394070073600430C8 +:103F40008320C1010324810183244101032901014C +:103F50008329C100130101026780000003270400C8 +:103F60008327040083274704938717002322F7043D +:103F70006FF09FF103270400832604008327470482 +:103F80009387F7FF2322F70483A74604E39207F4FD +:103F90007360043083270400E39027F583A70400AF +:103FA0006382070697C701009387075A83A7070014 +:103FB0006388070A737004306F00000083A707004E +:103FC00083A7C70083A7C70083A7470017C70100BF +:103FD000232EF7566FF09FF3032704008327040076 +:103FE00083274704938717002322F70497C701000C +:103FF0009387875803A7070003270700E30207F00A +:104000006FF0DFFB97C701009387475617C7010082 +:104010001307076783A707000327470B6314F702FB +:104020008320C1010324810197C7010023A80754FD +:1040300083244101032901018329C10013010102E5 +:1040400067800000032481018320C1018324410192 +:10405000032901018329C100130101026FF0DFCBA5 +:10406000032481018320C10183244101032901012B +:104070008329C100130101026FC08FD16306050AB5 +:10408000130101FF2322910097C401009384044F80 +:10409000232021011389050083A504002326110094 +:1040A0002324810093858501EFC0CFED03A5040093 +:1040B00013054500EFC04FF29307F0FF630AF906BE +:1040C00097C701009387474A03A4070003A704008A +:1040D00083A707003304240123228700636EF406BC +:1040E00097C701009387474903A5070083A50400EC +:1040F00093854500EFC00FE997C7010093870745F7 +:1041000083A707006376F40097C7010023A08744C4 +:104110008320C1000324810083244100032901007E +:104120001301010167800000737004306F0000000C +:1041300083A50400032481008320C100832441005F +:104140000329010017C501001305C55E93854500CD +:10415000130101016FC00FE097C7010093878741EA +:1041600003A507000324810083A504008320C10068 +:1041700083244100032901009385450013010101B7 +:104180006FC04FE0630E050097C701009387C73BE0 +:1041900083A70700639A0700737004306F00000064 +:1041A000737004306F000000130101FF232291009F +:1041B00097C401009384843C03A7040093870500FF +:1041C00083A50400B7060080B3E7D70023261100BB +:1041D00023248100232CF700938585011304060016 +:1041E000EFC04FD703A5040013054500EFC0CFDE95 +:1041F0009307F0FF6304F40297C701009387C73663 +:1042000003A507008320C1008324410033058500F6 +:1042100003248100130101016FD09FE183A50400F5 +:10422000032481008320C1008324410017C50100BD +:104230001305455093854500130101016FC08FD1CF +:104240006308050A130101FF2322910097C40100AE +:104250009384C432232021011389050083A504001F +:104260002326110023248100938585011304060071 +:10427000EFC04FCE03A5040013054500EFC0CFD516 +:1042800093071000630AF40697C701009387C72DB0 +:1042900003A4070003A7040083A707003304240135 +:1042A00023228700636EF40697C701009387C72C0B +:1042B00003A5070083A5040093854500EFC08FCCBC +:1042C00097C701009387872883A707006376F400C8 +:1042D00097C7010023AC87268320C10003248100F7 +:1042E00083244100032901001301010167800000BC +:1042F000737004306F00000083A504000324810064 +:104300008320C100832441000329010017C5010057 +:104310001305454293854500130101016FC08FC30A +:1043200097C701009387072503A507000324810091 +:1043300083A504008320C1008324410003290100D8 +:1043400093854500130101016FC0CFC3130101FF25 +:104350002326110023248100232291008327C500F6 +:1043600003A4C7006304040A9304840113850400B2 +:10437000EFC08FC697C701009387071D83A707006B +:10438000639A07089304440013850400EFC0CFC468 +:1043900097C701009387071D0327C40283A707005F +:1043A00063F6E70097C7010023AEE71A93172700CB +:1043B000B387E7009397270017C501001305452C25 +:1043C000938504003305F500EFC0CFB897C701000F +:1043D0009387C71A83A707000327C40213050000A9 +:1043E00083A7C70263FAE7009307100017C701000D +:1043F0002324F716130510008320C1000324810035 +:1044000083244100130101016780000073700430B0 +:104410006F0000009385040017C501001305052FE8 +:10442000EFC04FB36FF09FFA97C701009387C71192 +:1044300083A7070063960700737004306F000000C5 +:10444000130101FF23261100232481002322910060 +:10445000B70700800324C500B3E7F5002320F5006B +:1044600063080408EFC04FB79304440013850400A9 +:10447000EFC08FB697C701009387C70E0327C4020A +:1044800083A7070063F6E70097C7010023ACE70C9A +:1044900093172700B387E7009397270017C50100FC +:1044A0001305051E3305F50093850400EFC08FAAA0 +:1044B00097C701009387870C83A707000327C402CF +:1044C0001305000083A7C70263FAE70093071000F3 +:1044D00017C701002322F708130510008320C1002D +:1044E000032481008324410013010101678000003F +:1044F000737004306F0000006304050297C7010069 +:104500009387470503A7070097C701009387C7054F +:1045100083A707002320E5002322F5006780000021 +:10452000737004306F0000006304050E638E050C89 +:10453000130101FF23261100232481001307050026 +:104540007370043097C801009388880183A708001E +:104550006380070297C701009387470283A607007D +:1045600083A7070083A746049387170023A2F604B6 +:1045700017C30100130343FF83A7050003260300AD +:104580009306F0FF130400006388D70417CE0100E0 +:10459000130E4EFC03250E00032807008326470058 +:1045A0006306A800130410006378D6023308D640CF +:1045B000130410006372F80203250E00B387C7408E +:1045C00003260300B387D70023A0F5002320A7000C +:1045D0002322C7001304000083A70800638C070090 +:1045E00097C70100938787F983A7070083A747042C +:1045F00063940702130504008320C1000324810093 +:104600001301010167800000737004306F00000027 +:10461000737004306F000000EFD01FAA6FF09FFD91 +:104620009307100017C701002328F7F267800000E6 +:10463000630605000325C50467800000130500001C +:1046400067800000630405002326B504678000002E +:1046500097C70100938787F203A5070067800000D2 +:1046600097C701009387C7EF83A7070013051000C2 +:10467000638C070097C70100938707ED03A5070028 +:10468000133515001315150067800000630C05082D +:10469000130101FE232A910097C40100938404EEC4 +:1046A00083A70400232E1100232C8100232821013D +:1046B000232631010327C50283A7C7026376F704C7 +:1046C0008327850163CC070083A7040083A6C70264 +:1046D00093075000B387D740232CF500931727008A +:1046E000B387E7000327450117C90100130949F9FA +:1046F00093972700B307F9006308F70283A7040024 +:1047000083A7C7022326F5028320C1010324810168 +:1047100083244101032901018329C10013010102FE +:1047200067800000678000009309450013040500BE +:1047300013850900EFC04F8A83A7040017C7010043 +:10474000130747E20327070083A7C7022326F402C3 +:104750006376F70017C701002326F7E013952700BB +:104760003305F50003248101131525008320C101C1 +:1047700083244101938509003305A9008329C100E1 +:1047800003290101130101026FB0DFFC630605027A +:10479000130101FF2326110023248100232291000D +:1047A00097C70100938787DD03A40700630AA4006D +:1047B000737004306F000000130500006780000074 +:1047C0008327440563960700737004306F00000070 +:1047D0008326C402032704059387F7FF232AF404E2 +:1047E0006384E600638E0700130500008320C10088 +:1047F000032481008324410013010101678000002C +:104800009304440013850400EFB01FFD0325040545 +:1048100097C70100938707D503A7070093075000A8 +:10482000B387A7402326A402232CF4006376A700B5 +:1048300097C7010023A8A7D293054001EF40C018F5 +:1048400097C701009387C7E33385A70093850400CA +:10485000EFB05FF0130510006FF05FF97370043074 +:1048600097C701009387C7CF83A70700638007021C +:1048700097C70100938787D003A7070083A7070086 +:1048800083274704938717002322F70467800000DB +:1048900097C701009387C7CC83A70700638C0702E3 +:1048A00097C70100938787CD03A707000327470415 +:1048B0006302070203A7070083A6070083274704B4 +:1048C0009387F7FF2322F70483A746046394070026 +:1048D000736004306780000097C70100938707CAA0 +:1048E00003A6070083A6070003A70700930750004D +:1048F00083A6C60203258601B387D740232CF70081 +:104900006780000097C70100938747C703A7070088 +:10491000630A070083A6070003A7460513071700CD +:1049200023AAE60403A5070067800000130101FD28 +:104930002320210323261102232481022322910212 +:10494000232E3101130905007370043097C4010050 +:10495000938404C183A7040017C40100130404C294 +:10496000639E070A8327040083A78705639A0700CD +:10497000832704001307100023AEE704639A050E93 +:1049800083A70400638807008327040083A74704E4 +:10499000639A07147370043083A7040063940706B6 +:1049A0008327040003A58705630805006302090443 +:1049B0008327040023AC07048327040023AE0704E5 +:1049C00083A70400638807008327040083A74704A4 +:1049D000639207068320C1020324810283244102DB +:1049E000032901028329C10113010103678000002B +:1049F00003270400832787059387F7FF232CF704F9 +:104A00006FF09FFB032704008327040083274704DC +:104A1000938717002322F7046FF09FF80327040001 +:104A20008327040083274704938717002322F70472 +:104A30006FF05FF3032704008326040083274704F5 +:104A40009387F7FF2322F70483A74604E39407F82C +:104A5000736004308320C102032481028324410255 +:104A6000032901028329C1011301010367800000AA +:104A7000032504002326B10013054500EFB0DFD560 +:104A80008325C1009307F0FF6380F50897C70100F5 +:104A9000938787AD83A907000327040083A7070036 +:104AA000B389B9002322370163ECF90697C70100E7 +:104AB000938787AC03A507008325040093854500F1 +:104AC000EFB05FCC97C70100938747A883A7070083 +:104AD00063F6F90097C7010023AA37A7EFB05FABD1 +:104AE0006FF01FEA0327040083260400832747048E +:104AF0009387F7FF2322F70483A74604E39C07E884 +:104B0000736004306FF01FE98325040017C50100AE +:104B1000130545C293854500EFB0DFC36FF01FFC5E +:104B200097C70100938707A503A507008325040005 +:104B300093854500EFB01FC56FF05FFA130101FDCB +:104B400023202103232E3101232611022324810255 +:104B500023229102232C41011389050093090600A9 +:104B60007370043097C401009384849F83A704006A +:104B700017C40100130484A0639C071403270400D6 +:104B8000930720000327C7056304F7028327040067 +:104B90001345F5FF03A787053375E50023ACA7048C +:104BA000832704001307100023AEE704639A060A64 +:104BB00083A70400638807008327040083A74704B2 +:104BC000639407167370043083A70400639E07067E +:104BD000638809008327040083A7870523A0F900C1 +:104BE0000327040093071000130500000327C705DF +:104BF000630EF700832704001349F9FF1305100023 +:104C000003A787053379E90023AC27058327040030 +:104C100023AE070483A704006388070083270400EA +:104C200083A747046392070C8320C10203248102F7 +:104C300083244102032901028329C101032A81013E +:104C40001301010367800000032704008327040089 +:104C500083274704938717002322F7046FF05FF739 +:104C6000032504002326D10013054500EFB0DFB66D +:104C70008326C1009307F0FF638AF60C97C70100F3 +:104C80009387878E03AA07000327040083A70700E2 +:104C9000330ADA00232247016368FA0C97C7010040 +:104CA0009387878D03A5070083250400938545001E +:104CB000EFB05FAD97C701009387478983A70700CF +:104CC0006370FA0A97C7010023A247896F00400961 +:104CD00003270400832704008327470493871700D2 +:104CE0002322F7046FF09FE90327040083260400C2 +:104CF000832747049387F7FF2322F70483A74604FB +:104D0000E39407F2736004308320C102032481021C +:104D100083244102032901028329C101032A81015D +:104D200013010103678000000327040083260400A9 +:104D3000832747049387F7FF2322F70483A74604BA +:104D4000E39207E8736004306FF0DFE78325040027 +:104D500017C501001305059E93854500EFB09F9F81 +:104D6000EFB01F836FF0DFE497C701009387878060 +:104D700003A507008325040093854500EFB09FA09D +:104D80006FF01FFE630A050C130101FF23261100BB +:104D900023248100232291002320210173700430F9 +:104DA00097B401009384C47B83A70400639607082B +:104DB000638606008327850523A0F6009307060077 +:104DC000930620000326C505232ED504138705006E +:104DD000130405006382D70A63F4F60893053000D4 +:104DE0006388B700930540006396B700630CD61044 +:104DF000232CE40493071000630CF608130410003E +:104E000083A70400638C070097B701009387077797 +:104E100083A7070083A747046398070613050400C8 +:104E20008320C10003248100832441000329010061 +:104E3000130101016780000097B701009387077491 +:104E400003A7070083A7070083274704938717005A +:104E50002322F7046FF0DFF5737004306F00000059 +:104E600093061000E398D7F88327850533E7B7004A +:104E7000232CE5046FF01FF883278505938717001F +:104E8000232CF5046FF01FF7EFD00FA36FF01FF97D +:104E90001309440013050900EFB01F9497B70100F0 +:104EA0009387476C0325C40283A7070063F6A70016 +:104EB00097B7010023A8A76A93054001EF30D030CF +:104EC00097B701009387C77B3385A7009305090037 +:104ED000EFB05F8883278402638607007370043015 +:104EE0006F00000097B701009387476983A7070009 +:104EF0000327C40283A7C702E3F2E7F0EFB04FE94C +:104F00006FF0DFEF130400006FF09FEF63080508F8 +:104F1000130101FE232C8100232A91002328210163 +:104F2000232631012324410123225101232E110084 +:104F300093090700138A06009304060013890500ED +:104F400013040500EFB00FF9930A050063060A0089 +:104F5000832784052320FA000327C4059307200034 +:104F6000232EF4046380F40463FA97109306300050 +:104F70006388D400930640006396D400630CF70E58 +:104F8000232C2405930710006312F70A8327840259 +:104F900063820702737004306F0000007370043086 +:104FA0006F0000008327840593871700232CF404E7 +:104FB0006FF05FFD97B701009387075983A707003C +:104FC0006390070A9304440013850400EFB0DF8068 +:104FD00097B70100938707590325C40283A70700E9 +:104FE00063F6A70097B7010023AEA75693054001CB +:104FF000EF30901D97B70100938787689385040071 +:105000003385A700EFB00FF597B7010093870757D7 +:1050100083A707000327C40283A7C70263F8E7003A +:10502000638609009307100023A0F9001304100001 +:1050300013850A00EFB08FE9130504008320C10136 +:105040000324810183244101032901018329C10033 +:10505000032A8100832A41001301010267800000B6 +:105060009305840117B501001305456AEFB08FEE73 +:105070006FF09FF9130400006FF09FFB930710007F +:10508000E392F4F08327840533E92701232C2405D8 +:105090006FF05FEF630A050E130101FE232C810000 +:1050A000232A91001304050023263101232E110029 +:1050B0002328210193840500EFB0CFE1930720005E +:1050C0008326C405232EF4048327840513071000C8 +:1050D0009309050093871700232CF4046396E608D0 +:1050E0008327840263860700737004306F0000001A +:1050F00097B701009387474583A70700639A07087E +:105100001309440013050900EFB00FED97B7010034 +:10511000938747450325C40283A7070063F6A700CA +:1051200097B7010023A0A74493054001EF30D009B1 +:1051300097B701009387C754930509003385A700EB +:10514000EFB04FE197B701009387474383A707006C +:105150000327C40283A7C70263F8E700638604003D +:105160009307100023A0F400032481018320C101D0 +:105170008324410103290101138509008329C1000A +:10518000130101026FB08FD4737004306F00000000 +:105190009305840117B5010013054557EFB08FDB68 +:1051A0006FF05FFA9307050063080506737004301B +:1051B00017B701001307C73A83260700639E06024C +:1051C00003A6C70593062000130500006316D6004A +:1051D00023AE07041305100083270700638C070024 +:1051E00097B701009387873903A707000327470470 +:1051F000631C07026780000097B60100938606389B +:1052000003A6060083A6060083264604938616009E +:105210002322D6046FF0DFFA97B701009387073691 +:1052200083A707006FF09FF803A7070083A6070076 +:10523000832747049387F7FF2322F70483A74604B5 +:10524000E39A07FA7360043067800000130101FBE2 +:105250002322910423202105232C4103232A5103D7 +:1052600023286103232671032326110423248104A8 +:10527000232E310323248103232291032320A1031E +:1052800017B901001309893017BB0100130B4B2F0D +:1052900097B401009384042F97BA0100938ACA2E11 +:1052A000130A1000930B90008327090003A707003F +:1052B0006306071683A7C70083A90700EFD0DFCFD7 +:1052C000EFD01FD183270B00130C05006368F50C8A +:1052D00097B7010023A6A72A13060000637635239B +:1052E00003A50400B3858941EFC05FC0EFE04F80A4 +:1052F0006306051603A504009306000013060000CC +:1053000093058100EFC0CFBEE30005FA832781003B +:10531000E3C207FE8329010183A7490163860700D1 +:1053200013854900EFB04FCBEFD09FCA83270B0006 +:10533000130C05006362F50C8327810017B7010089 +:1053400023208725E3E8FBFAB317FA0013F70721B8 +:105350006310072013F7770C6316072A93F70702E9 +:10536000E38A07F813850900EF00504F6FF09FF8AC +:1053700083A7C70003A4C70083AC070093094400B8 +:1053800013850900EFB04FC58327440213050400BD +:10539000E78007008327C4016386470D8327090040 +:1053A00003A70700E31607FC03A70A0097B601004E +:1053B00023A8861D97B6010023A8F61C97B7010005 +:1053C00023A6E71CEFD0DFF26FF0DFF283A7C70060 +:1053D00003A4C70003AD0700930C440013850C0021 +:1053E000EFB08FBF8327440213050400E780070056 +:1053F0008327C401638C470B8327090003A7070099 +:10540000E31607FC03A70A0097B6010023AEF616C1 +:1054100097B7010023ACE7166FF01FF2EFD0DFB9AA +:10542000EFD01FBB83270B00130C0500E368F5F6D4 +:1054300083A70A0003A504009309000003A6070040 +:10544000B385894117B70100232C87131336160043 +:10545000EFC0DFA9EFD0DFE9E31E05E8EFB04F931F +:105460006FF05FE98327840193850900B387FC000F +:1054700063E2FC0883A7040063860702232A010075 +:10548000232C9101232E8100EFF08F9D03A50400B2 +:10549000930600001306000093054101EFB00FEBE7 +:1054A000E31E05EE737004306F0000008327840153 +:1054B00093850C00B307FD006362FD0883A7040019 +:1054C00063860702232A0100232CA101232E8100D9 +:1054D000EFF00F9903A504009306000013060000E7 +:1054E00093054101EFB08FE6E31805F073700430C7 +:1054F0006F000000032509002322F4002328840004 +:10550000EFB04FA86FF09FE9EFD09FDE832709002F +:1055100083A7C70003A4C700930C440013850C00A5 +:10552000EFB08FAB8327C401638A4705832744020A +:1055300013050400E78007006FF0DFDB0325090097 +:105540002322F40023288400EFB0CFA36FF0DFEA1A +:105550008327C10023ACF9006382070AB387870160 +:1055600023A2F90023A83901938549006362FC084E +:1055700003A50A00EFB00FA16FF0DFD783278401E6 +:10558000232884003387F9002322E400636AEC04B3 +:10559000330C3C41636EFC0283A704006386070260 +:1055A000232A0100232C3101232E8100EFF04F8BA1 +:1055B00003A50400930600001306000093054101B3 +:1055C000EFB0CFD8E31405F6737004306F0000001D +:1055D00003A50A0093850C00EFB0CF9A6FF01FF57A +:1055E0000325090093850C00EFB0CF996FF01FF4ED +:1055F00003250900EFB00F996FF0DFCF737004300F +:105600006F0000008327C10083A6890123A8390108 +:105610003387D70023A2E9006362EC06B307FC409E +:1056200063EAD70683A7490213850900E7800700CC +:1056300083A7C901E39047CD83A689018327C100D1 +:1056400003A70400B387D70063060702232A0100DB +:10565000232CF100232E3101EFF08F8003A50400ED +:10566000930600001306000093054101EFB00FCE32 +:10567000E31205C8737004306F0000006374FC000F +:10568000E372F7FA0325090093854900EFB08F8F85 +:105690006FF05FC603A50A0093854900EFB08F8EB7 +:1056A0006FF05FC5130101FF232481002326110041 +:1056B000232291002320210117B40100130484EC5C +:1056C000EFF0CF998327040063840704EFF04F9C29 +:1056D00083270400638A0702930800001308000070 +:1056E00093070000130720009306000013060040F4 +:1056F00097B50100938585CC17050000130545B5C6 +:10570000EFC05F9E63140506737004306F000000E5 +:1057100017B901001309490313050900EFB00F8100 +:1057200097B401009384840313850400EFB00F80C5 +:10573000130600009305C0001305400097B7010051 +:1057400023A627E597B7010023A097E4EFB0CFABDE +:1057500017B701002328A7E26306050297B50100E9 +:10576000938545C5EFC04FE56FF05FF68320C1001C +:105770000324810083244100032901001301010156 +:1057800067800000737004306F000000638A050AB0 +:10579000130101FD232A5101930A05001305C002DC +:1057A000232481022322910223202103232E31016D +:1057B000232C410123261102232861012326710194 +:1057C0001309070093890600130A06009384050055 +:1057D000EF00C07013040500630C0502EFF00F88A2 +:1057E00097B70100938707DA83A70700638E070442 +:1057F000EFF00F8A1305440023205401232C94005A +:10580000232E44012320340323222403EFA01FF47A +:10581000130504008320C102032481028324410272 +:10582000032901028329C101032A8101832A41013D +:10583000032B0101832BC1001301010367800000CA +:10584000737004306F00000097BB0100938BCBEFA7 +:1058500013850B00EFA09FED17BB0100130B0BF09E +:1058600013050B00EFA09FEC130600009305C0008A +:105870001305400097B7010023AA77D197B701001D +:1058800023A467D1EFB04F9817B70100232CA7CE00 +:10589000630A050097B501009385C5B1EFC0CFD16C +:1058A0006FF01FF5737004306F000000630E050683 +:1058B000130101FE232C8100232E1100232A9100C5 +:1058C00017B40100130404CC832804001308050056 +:1058D0001305000063800804930407002322B1002D +:1058E000138706002324C1002326010193065000DC +:1058F00063C0B604EFE0DFD693072000630EF50423 +:1059000003250400930600001306000093054100E0 +:10591000EFB0CFA38320C10103248101832441017F +:105920001301010267800000737004306F000000F3 +:105930009305410093060000130607001385080035 +:10594000EFB05FAD8320C1010324810183244101B5 +:105950001301010267800000032504009306000084 +:105960001386040093054100EFB04F9E6FF09FFA3D +:10597000630605000325050067800000737004308E +:105980006F00000063160500737004306F000000A4 +:10599000130101FF232611002324810013040500B5 +:1059A000EFE0DFEB032544013334A000EFE05FEECE +:1059B000130504008320C1000324810013010101A9 +:1059C0006780000063160500737004306F000000EC +:1059D000130101FF23248100130405002326110075 +:1059E000EFE0DFE703240402EFE09FEA8320C10039 +:1059F00013050400032481001301010167800000E6 +:105A000063160500737004306F000000130101FF7E +:105A100023248100232291001384050093040500B0 +:105A200023261100EFE09FE323A0840203248100DA +:105A30008320C10083244100130101016FE05FE571 +:105A4000130101FF1305C001232481002326110047 +:105A5000EF00C0481304050063080500232005007B +:105A600013054500EFA09FCC130504008320C1005F +:105A70000324810013010101678000006302050215 +:105A8000B70700FFB3F7F5006386070073700430B3 +:105A90006F000000639A0500737004306F0000000F +:105AA000737004306F000000130101FE232C81008D +:105AB00023282101232631012324410123225101DE +:105AC000232E1100232A9100930907001389060051 +:105AD000930A060013840500130A0500EFE05FB87F +:105AE0006318050063860900737004306F000000BE +:105AF000EFD08FCC83240A00B3779400631209049B +:105B00006382070463880A009347F4FFB3F79700A2 +:105B10002320FA00EFD0CFFD8320C10103248101AF +:105B20001385040003290101832441018329C10055 +:105B3000032A8100832A41001301010267800000CB +:105B4000E302F4FCE38809FCB33550019395850129 +:105B500063060900B7070004B3E5F50013860900E2 +:105B6000B3E5850013054A00EFE0CFE1EFD04FF831 +:105B700063140500EFA0DFA1EFE01FD693176500C7 +:105B80009309050063DA0700B70400019384F4FF6A +:105B9000B3F499006FF05FF8EFE05FCC83290A005F +:105BA000B377340163100902638A070063880A002F +:105BB0009347F4FFB3F737012320FA00EFE05FCDFE +:105BC0006FF09FFCE31CF4FE6FF05FFE630C0500BA +:105BD000B70700FFB3F7F500638A0700737004305E +:105BE0006F000000737004306F000000130101FFAC +:105BF0002324810013040500232611002322910091 +:105C00002320210113890500EFE05FC583240400F0 +:105C10009345F9FFB3F795002320F400EFE05FC749 +:105C20008320C1000324810013850400032901009F +:105C3000832441001301010167800000130101FF6B +:105C4000232481001304050023261100EFA09FA840 +:105C500003240400EFA09FA78320C10013050400C4 +:105C6000032481001301010167800000630C05001B +:105C7000370700FFB3F7E500638A0700737004304D +:105C80006F000000737004306F0000006F205061DF +:105C9000B70700FFB377F600638607007370043020 +:105CA0006F00000063160600737004306F00000080 +:105CB000130101FE232C8100232821012326310119 +:105CC00023244101232E1100232A91001389060069 +:105CD00093890500130A050013040600EFE05F989E +:105CE000631E050463060900737004306F00000032 +:105CF000EFD08FAC83240A009385090013050A00B6 +:105D0000B3E49900EFF09FF6B3779400630AF408C8 +:105D100083240A00EFD0CFDD8320C1010324810159 +:105D20001385040003290101832441018329C10053 +:105D3000032A81001301010267800000EFD0CFA782 +:105D400083240A009385090013050A00B3E4340193 +:105D5000EFF0DFF1B3F7840063848704E30A09FA04 +:105D6000B705000513060900B365B40013054A0022 +:105D7000EFE04FC1EFD0CFD763140500EFA05F81F4 +:105D8000EFE09FB5931765009304050063D4070205 +:105D9000B70700019387F7FFB3F4F4006FF0DFF764 +:105DA00083270A001344F4FFB3F787002320FA0087 +:105DB0006FF05FF6EFE09FAA83240A00B3779400A8 +:105DC000639887009347F4FFB3F797002320FA0006 +:105DD000EFE01FAC6FF0DFFB130101FF2324810014 +:105DE000232611001304050023229100EFD0CF9C3D +:105DF0008327440063860702032504019304C4003B +:105E0000631895006F008003032504016308950261 +:105E1000B7050002EFE04FE183274400E39607FE59 +:105E200013050400EF008023032481008320C100B8 +:105E300083244100130101016FD08FCB73700430B4 +:105E40006F000000630C0500370700FFB3F7E500A3 +:105E5000638A0700737004306F00000073700430B1 +:105E60006F0000006F20D043630C0500B70700FFF0 +:105E7000B3F7F500638A0700737004306F00000009 +:105E8000737004306F000000130101FF23248100B0 +:105E9000232291002326110013040500938405009A +:105EA000EFE0DF9B0327040093C7F4FFB377F7000D +:105EB0002320F400032481008320C10083244100B7 +:105EC000130101016FE0DF9C6306050003258501D6 +:105ED000678000001305000067800000130101FFC8 +:105EE000232481002326110013040500EFD0CF8C5A +:105EF00097A701009387C76983A70700639C0704DE +:105F0000B797010017B701001307C7869387C7FF2C +:105F100097A6010023A4E66817A70100232EF766C1 +:105F200017B70100232AF78497A701009387876694 +:105F300017B701002320F7849307100017A701006B +:105F40002320076617A701002326076417A701006F +:105F50002320F76463120402EFD08FB9EF1080584A +:105F600013040000130504008320C10003248100F2 +:105F70001301010167800000130584009377350049 +:105F80006398070CB79701001307F5FF9387A7FFE6 +:105F9000E3E4E7FC97A601009386466083A706002A +:105FA0006F00400103A70700630A070093860700FC +:105FB0009307070003A74700E366A7FE17A70100A2 +:105FC0001307475DE38AE7F803A7070013060001FC +:105FD0001384870023A0E60003A74700B306A74069 +:105FE000637ED6023388A7002322D80023A2A7000D +:105FF0008325480097A601009386465A6F008000CB +:106000009306070003A7060003264700E36AB6FECF +:106010002320E80023A0060103A7470097A701005B +:106020009387075B83A70700B387E74017A70100A3 +:106030002320F75AEFD0CFABE30204F2130504009C +:106040008320C10003248100130101016780000047 +:106050001375C5FF130545006FF0DFF263080506F1 +:10606000130101FF232481002326110013040500DE +:10607000EFC09FF4930584FF0326C4FF17A7010018 +:106080001307C7516F00800013870700832707009D +:1060900083A64700E3EAC6FE232CF4FE2320B700C4 +:1060A00097A701009387C75203A707008327C4FF60 +:1060B000032481008320C100B387E70017A70100F4 +:1060C0002328F750130101016FD08FA267800000D1 +:1060D00097A701009387C74F03A5070067800000BB +:1060E000678000003367B50013773700930705001A +:1060F000631207049308D6FFB308150113070500C0 +:10610000938605006378150303A80600130747006C +:1061100093864600232E07FFE36817FF9347F5FF9A +:10612000B387170193F7C7FF93874700B385F5003F +:10613000B307F5003306C50063F4C70C938645002A +:1061400013884700B3B6D70033B8050133E7F5002D +:1061500093C616001348180013773700B3E60601FC +:10616000133717003377D700330EF64093861700A6 +:10617000630807061337AE006314070613532E0097 +:106180001388050093860700130700008328080082 +:10619000130717001308480023A016019386460032 +:1061A000E36667FE1377CEFFB385E500B387E700AC +:1061B0006306EE0483C60500138717002380D7000B +:1061C000637EC70283C6150013872700A380D7000C +:1061D0006376C70203C725002381E70067800000BC +:1061E000B307F640B387F5009385150003C7F5FFA5 +:1061F00093861600238FE6FEE398F5FE6780000085 +:1062000067800000B367C50093F73700B308C50087 +:106210006382070E63761511B307A04093F737002A +:10622000138737009306500093F5F50F13081500F8 +:106230003383A8401306F6FF6374D700130750009A +:106240006368E60E6380070E2300B5001307100095 +:10625000638EE700A300B500130730001308250084 +:106260006396E700130835002301B50013968500F7 +:1062700033E6C500139705013367E6003303F340A7 +:1062800093968501B366D70013562300B307F50034 +:106290001307000023A0D7001307170093874700B8 +:1062A000E36AC7FE1377C3FFB307E8006300E308A0 +:1062B0002380B70013871700637C1703A380B70000 +:1062C00013872700637617032381B70013873700EE +:1062D00063701703A381B70013874700637A170120 +:1062E0002382B7001387570063741701A382B70096 +:1062F0006780000093F5F50F13978500B365B7002D +:10630000139705013367B700E37415FF9307050082 +:106310009387470023AEE7FEE3EC17FF678000009A +:1063200067800000130805006FF05FF467800000CD +:10633000930705006FF0DFF783470500130705009B +:10634000638C07001305150083470500E39C07FED7 +:106350003305E540678000001305000067800000FA +:10636000130515008347F5FF9385150003C7F5FF57 +:1063700063880700E386E7FE3385E7406780000017 +:10638000930700006FF05FFF9307050093851500EA +:1063900003C7F5FF93871700A38FE7FEE31807FEF7 +:1063A0006780000083460500930700026398F600AB +:1063B0001305150083460500E38CF6FE938756FD12 +:1063C00093F7D70F63800704930705009305000038 +:1063D000638806041305000093871700138606FDE3 +:1063E0001317250083C607003305A70013151500F2 +:1063F0003305A600E39206FE638405003305A04042 +:1064000067800000938536FD8346150093B515001F +:1064100093071500E39006FC130500006FF0DFFD05 +:10642000130500006780000083A705002380A700F4 +:1064300083A705009387170023A0F500678000005D +:1064400097A601009386061683A706001737030058 +:106450001307473313861700B307F7002380A700FD +:1064600097A5010023A0C5149307A000630AF500B7 +:10647000930700046308F60013050000678000001E +:10648000E30C06FE370610F0130717008347F7FFEB +:106490002320F6F083A706009387F7FF97A5010056 +:1064A00023A2F510E39207FE1305000067800000A9 +:1064B000130101EB2322911423202115232A5113C8 +:1064C000232481132326111423248114232E311312 +:1064D000232C4113232861132326711323229113A4 +:1064E0002320A113232EB111930405001389050065 +:1064F0002326C100130C5002930A90008347090021 +:1065000063888703638C070603A404006F00800080 +:10651000638607062300F40003A40400130919008E +:106520001304140023A0840083470900E39287FF2B +:10653000034619009308190093860800130D000202 +:106540009309F0FF130AF0FF13080000130550052C +:106550009307D6FD93F7F70F13891600636EF53A8C +:1065600017A70100130707AA93972700B387E7002F +:1065700083A70700B387E700678007008320C11463 +:106580000324811483244114032901148329C11392 +:10659000032A8113832A4113032B0113832BC11276 +:1065A000032C8112832C4112032D0112832DC11162 +:1065B0001301011567800000930C80002324010063 +:1065C0009307100063DA071D8327C10003A40400AA +:1065D000938B770093F68BFF03AB060083A94600ED +:1065E000938786002326F10013860C009306000093 +:1065F00013050B0093850900EF10106D2328A100EF +:106600009307000063803733930D4101930B100013 +:1066100013860C009306000013050B0093850900F8 +:10662000EF10D00C9306000013860C00130B05002E +:1066300093890500EF1050698327810023A0AD00E6 +:1066400093861B00938D4D0063863701938B060064 +:106650006FF01FFCE37C9BFF63D2460393070400AB +:106660002380A70103A40400130AFAFF9307140070 +:1066700023A0F40013840700E3C446FF93962B0085 +:1066800093070101B386D7006F00800293877705D7 +:106690002300F40003A40400938BFBFF93871B00EB +:1066A0001304140023A084009386C6FFE358F0E48B +:1066B00083A70600E3ECFAFC938707036FF05FFD06 +:1066C00083A70400930600030327C1002380D7009B +:1066D00083A7040093064700930C0001138617005C +:1066E00023A0C40013068007A380C70003A40400EE +:1066F00023240100930707001304140023A084003F +:1067000003AB0700930900002326D1006FF0DFEDF3 +:106710008327C10083AC0700938B470063880C2854 +:10672000635C40259307D002631EFD2083C70C00E5 +:106730006388070263D8092483A60400938C1C0095 +:10674000130AFAFF2380F60003A4040013041400C4 +:1067500023A0840083C70C00E39E07FC6352400320 +:1067600003A40400930700022300F40003A4040020 +:10677000130AFAFF1304140023A08400E3160AFE90 +:10678000232671016FF09FD7232401009307100087 +:10679000930CA000E3CA07E38327C10003A404000D +:1067A000938647006FF0DFF503C6160093060900D5 +:1067B0006FF01FDA83A70400930650022380D700EE +:1067C00003A404001304140023A084006FF01FD35B +:1067D000930C0001232401006FF09FDE8327C1008A +:1067E00003C616009306090083A907009387470094 +:1067F0002326F100E35E0AD4138A09009309F0FF0F +:106800006FF01FD503C61600130DD00293060900C2 +:106810006FF01FD49347FAFF93D7F74103C61600D2 +:10682000337AFA00930609006FF09FD203C6160070 +:10683000130D0003930609006FF09FD183C5160066 +:10684000930906FD93060900938705FD138605004D +:10685000E3E2FAFA93972900B38937019386160089 +:1068600093991900B389B90083C50600938909FD7E +:10687000938705FD13860500E3FEFAFC6FF09FF792 +:106880000327C10083A704008326070013074700DE +:106890002326E1002380D70003A40400130414007E +:1068A00023A084006FF09FC59307100063D4070FE7 +:1068B0008327C1009386770093F686FF938786002F +:1068C0002326F10083A9460083A7060063DE090E94 +:1068D00083A604001306D002B307F0402380C6004D +:1068E00003A40400B336F000B309304113041400CC +:1068F00023A08400138B0700B389D940930CA00018 +:10690000232401006FF05FCE03C6160013081800A1 +:10691000930609006FF0DFC383A704001389080002 +:106920006FF09FE9E3729BCF93071000930B000079 +:1069300093061000E3C447D36FF05FD497AC010017 +:10694000938C4CA89385090013850C00EF1040022E +:10695000330AAA406352400303A404002300A401A5 +:1069600003A40400130AFAFF1304140023A08400F4 +:10697000E3160AFE130A000083C70C00E38207E057 +:10698000E3CC09DA9389F9FF1307F0FFE396E9DA1C +:106990006FF0DFDC8327C1009386470083A70700E1 +:1069A0002326D10093D9F7416FF05FF2635640017F +:1069B0009307D002E314FDF897AC0100938C8CA0F0 +:1069C000930780026FF01FD7138B0700930CA00072 +:1069D0002324010003A404006FF01FC1130101EB85 +:1069E000232E3113B70900409387F9FF2324811424 +:1069F00023229114232C4113232A511323261114EB +:106A000023202115232861132326711323248113A6 +:106A1000232291132320A113232EB111130A050061 +:106A20002324B10017A401001304C4B7973A03004C +:106A3000938A4AD597940100938444722326F100E7 +:106A4000930550021305A00013080004B70610F0C8 +:106A500083470A00638EB7046388070A032704008C +:106A6000130A1A00130617003387EA0097A80100DB +:106A700023AAC8B22300F7006380A708E31A06FD23 +:106A800017370300130707D0130717008347F7FFD3 +:106A900023A0F6F0832704009387F7FF17A60100D1 +:106AA0002322F6B0E39207FE83470A00E396B7FA83 +:106AB00083461A0013051A0013070500930B000202 +:106AC000130BF0FF130CF0FF1308000093055005A3 +:106AD000930890009387D6FD93F7F70F130A1700DA +:106AE00063ECF54493972700B387970083A70700CB +:106AF000B38797006780070017370300130787C81D +:106B0000E31406F86FF0DFF48320C114032481142A +:106B100083244114032901148329C113032A8113F7 +:106B2000832A4113032B0113832BC112032C8112DF +:106B3000832C4112032D0112832DC1111301011564 +:106B400067800000930C8000130D00009307100075 +:106B500063DA0721832781009387770093F787FF04 +:106B600083AD070003AB4700138787002324E100B0 +:106B700013860C009306000013850D0093050B008F +:106B8000EF1090142328A10063086D4513094101FB +:106B90009309100013860C009306000013850D0066 +:106BA00093050B00EF10803413860C009306000051 +:106BB000930D0500138B0500EF1010112320A90081 +:106BC0009389190013094900E3166DFDE3F49DFD57 +:106BD00013060004B70610F063D48903832704006A +:106BE00013871700B387FA0097A5010023ACE59A35 +:106BF00023807701630AC706130CFCFFE3C089FFFB +:106C00008327C1001305C10093089000B386F900E3 +:106C10009396260093070101B386D7001308A000BE +:106C200013030004370610F0E38CA6E083A70600E8 +:106C30009305000363F4F80093057005032704002F +:106C4000B387B700930517003387EA0017AE01003A +:106C5000232ABE942300F70063860737638C6532CE +:106C60009386C6FF6FF05FFC17370300130787B1E9 +:106C7000130717008347F7FF23A0F6F083270400CC +:106C80009387F7FF97A5010023AEF590E39207FEE7 +:106C9000130CFCFF6FF09FF683270400130600041B +:106CA0001387170097A5010023AEE58EB386FA007F +:106CB000930500032380B6006308C750938727001D +:106CC00097A6010023A0F68E3387EA00930680077B +:106CD0002300D700173703001307C7AAB70610F021 +:106CE0006392C702130717008347F7FF23A0F6F04C +:106CF000832704009387F7FF17A601002324F68A51 +:106D0000E39207FE83278100930C0001130D00001E +:106D10009387470003278100130B0000832D070092 +:106D20002324F1006FF0DFE48327810083AC0700A8 +:106D300013894700638E0C4C6358802B9307D00255 +:106D40006394FB3E03C70C00930507006314072AF6 +:106D50006F00002F93071000930CA000130D00008C +:106D6000E3CA07DF83278100938747006FF09FFA0C +:106D7000832781008346170013070A0003AB07002F +:106D8000938747002324F100E3560CD4130C0B0027 +:106D9000130BF0FF6FF01FD483461700930BD00244 +:106DA00013070A006FF01FD39347FCFF93D7F741F7 +:106DB00083461700337CFC0013070A006FF09FD155 +:106DC00083461700930B000313070A006FF09FD050 +:106DD00003461700138B06FD13070A00930706FDF1 +:106DE00093060600E3E2F8FA93172B00B3876701D6 +:106DF0001307170093971700B387C70003460700D0 +:106E0000138B07FD930706FD93060600E3FEF8FCCF +:106E10006FF09FF783258100832704001306A000ED +:106E200003A7050093861700B387FA0017950100A2 +:106E3000232AD5762380E700138945006300C736EF +:106E4000930700046384F62A232421016FF05FBFB7 +:106E50009307100063DE07358327810013877700CF +:106E6000137787FF930787002324F100032B470044 +:106E70008327070063560B3E032704001306000414 +:106E8000930617009795010023AED5703387EA006B +:106E90009305D0022300B700638CC638B307F040D7 +:106EA0003337F000330B6041938D0700330BEB4019 +:106EB000930CA000130D00006FF09FCB83461700CA +:106EC0001308180013070A006FF0DFC0834617008D +:106ED00013070A006FF01FC0832704009306000405 +:106EE0001387170017960100232EE66AB387FA006E +:106EF000130650022380C700E314D7B417370300EA +:106F000013074788B70610F0130717008347F7FFEA +:106F100023A0F6F0832704009387F7FF179601005C +:106F20002322F668E39207FE6FF09FB1930C0001F5 +:106F3000130D00006FF09FC1832704009306000427 +:106F4000130A05001387170017960100232CE66427 +:106F5000B387FA00130650022380C700E312D7AEAE +:106F60001737030013070782B70610F0130717003F +:106F70008347F7FF23A0F6F0832704009387F7FFEA +:106F8000179601002320F662E39207FE130A05001C +:106F90006FF01FAB172703001307C77E13071700F7 +:106FA0008347F7FF2320F6F0832704009387F7FF3A +:106FB0009795010023A8F55EE39207FE9386C6FF2E +:106FC0006FF09FC6172703001307C77BE39805FCE4 +:106FD0009386C6FF6FF05FC5E3FA9DBB930910006F +:106FE0006FF01FBF130C000003C70C0093050700D0 +:106FF000E30C07E49308F0FF1305A0001308000456 +:10700000B70610F0635E0B0C83270400138617008D +:10701000B387FA00179301002326C3582380E700A3 +:107020006382A5086308060B938C1C0003C70C0041 +:10703000130CFCFF93050700E31607FCE35680E101 +:10704000832704009305000213060004B70610F01E +:107050006F00C000130CFCFFE3080CDE1387170061 +:10706000B387FA002380B70017950100232CE5525F +:1070700093070700E310C7FE172703001307877065 +:10708000130717008347F7FF23A0F6F083270400B8 +:107090009387F7FF179501002326F550E39207FE2B +:1070A0006FF05FFB172703001307C76DE30E06F6AB +:1070B000130717008347F7FF23A0F6F08327040088 +:1070C0009387F7FF17960100232EF64CE39207FEF5 +:1070D0006FF09FF5172703001307C76A6FF05FFD76 +:1070E000130BFBFFE3121BF36FF05FF51727030091 +:1070F00013074769B70610F0130717008347F7FF18 +:1071000023A0F6F0832704009387F7FF179601006A +:10711000232AF648E39207FE232421016FF05F92B1 +:10712000979C0100938C0C2A93050B0013850C008F +:10713000EF001004330CAC40E35880EB83270400CD +:1071400013060004B70610F06F00C000130CFCFF1C +:10715000E30A0CE813871700B387FA00238077014E +:107160009795010023A0E54493070700E310C7FEAD +:107170001727030013070761130717008347F7FF5B +:1071800023A0F6F0832704009387F7FF979501006B +:1071900023AAF540E39207FE6FF05FFB1727030079 +:1071A0001307475EE39806F4232421016FF05F89FB +:1071B000832781001387470083A707002324E1006A +:1071C00013DBF7416FF01FCB172703001307875B13 +:1071D000B70610F0130717008347F7FF23A0F6F058 +:1071E000832704009387F7FF17960100232CF63AB4 +:1071F000E39207FE93071000179701002324F73A44 +:107200009307800717270300230EF7566FF09FAFF1 +:10721000635680019307D002E394FBF0979C010032 +:10722000938C4C1A13078002930580026FF09FDC49 +:107230009726030093860655370610F093861600AE +:1072400003C7F6FF2320E6F0032704001307F7FF28 +:107250009795010023A8E534E31207FE6FF01FC4E1 +:10726000938D0700930CA000130D00006FF05F904A +:10727000B70710F023A0A7F26F000000130101FC74 +:10728000130341022322B10293050300232E1100B0 +:107290002324C1022326D1022328E102232AF1025A +:1072A000232C0103232E110323266100EFF00FF39B +:1072B0008320C10113050000130101046780000051 +:1072C000130101FB13038103232481022326A10060 +:1072D000232CC10213040500130603001305C1008B +:1072E000232611022322F104232ED1022320E104BC +:1072F0002324010523261105232E6100EFF04F9B67 +:107300008327C100238007000325C1008320C10219 +:1073100033058540032481021301010567800000C5 +:10732000B70710F083A647F403A607F403A747F4B2 +:10733000E31AD7FE9306067D33B6C600B305E60012 +:1073400023A4D7F423A6B7F467800000B70710F092 +:1073500003A747F403A607F483A647F4E31AD7FE6E +:107360009306067D33B6C60023A4D7F4B305E60022 +:1073700023A6B7F49307000873A047306780000086 +:10738000130101FF23248100232611009797010098 +:107390009387C721179401001304042103A7070052 +:1073A0008327040093064706938747061796010034 +:1073B000232CF61EB7170000179601002328D61EAF +:1073C000938777BB63CAD7008320C1000324810061 +:1073D0001301010167800000B7F7FFFF9387C74AD9 +:1073E0003307F7009797010023A2E71CEF008043C3 +:1073F000930710006316F50203270400B727000067 +:107400009387773213050000E3D0E7FCEFF05FE6E7 +:10741000032481008320C100130101016FB0DFB894 +:1074200017950100130585FAEFF05FE513052000BD +:10743000EFF01FE4032481008320C1001301010148 +:107440006FB09FB617950100130505FA6FF01FE3A3 +:10745000179501001305C5F96FF05FE2130101FFF5 +:10746000232481002322910023261100B714000059 +:1074700017940100130444F8938784381300000024 +:107480009387F7FFE39C07FE13050400EFF01FDF6F +:107490006FF09FFE130520006F000015130101FF20 +:1074A00023261100EF000038130710009307000097 +:1074B000631AE5008320C100138507001301010151 +:1074C0006780000017950100130545F0EFF01FDB02 +:1074D0008320C100930720001385070013010101D9 +:1074E00067800000737004306F0000006780000048 +:1074F000737004306F000000130101FE232C810023 +:10750000232A910023282101232E110013040500B2 +:107510002317010013090000930410006F0080007E +:1075200013091000032644000325040093060000FD +:107530009305E100EF905FB3E31495FE631E09002D +:107540000327840083570700938717009397070149 +:1075500093D707412310F7008357E1009387170063 +:107560002317F1006FF01FFC130101FD232481029A +:107570002322910223202103232E310123261102ED +:107580001304050093090000930400001309100080 +:107590000326440003250400930600009305E10040 +:1075A000EF909FCBE31625FF8357E1006388970098 +:1075B00093840700930910006FF09FFD93841400DB +:1075C000639E0900032784008357070093871700F1 +:1075D0009397070193D707412310F7009394040171 +:1075E00093D404016FF0DFFA130101FE2326310169 +:1075F000930905001305C000232E1100232C8100E0 +:10760000232A910023282101EFE05F8D1304050058 +:10761000130600009305200013051000EF90CFBE65 +:107620001309803E97970100938707FA2320A4004F +:10763000232224012324F4001305C000EFE01F8A55 +:107640009304050003250400138709009306040032 +:1076500023A0A40017950100130585F623A4A40018 +:107660009308000013080000930700001306004071 +:1076700097950100938585D923A204001705000082 +:107680001305C5EEEFA01FA6938604009308000023 +:1076900013080000130700009307000013060040C2 +:1076A00097950100938505D717050000130505E59B +:1076B000EFA05FA31305C000EFE05F821304050095 +:1076C000130600009305200013051000EF90CFB3C0 +:1076D000979701009387E7EE2320A4002324F4006A +:1076E000232204001305C000EFE04FFF93040500C0 +:1076F000032504009306040023A2240123A0A40070 +:1077000017950100130565EC23A4A400930800005D +:107710001308000093070000130700001306004041 +:1077200097950100938585CF17050000130505E4A3 +:10773000EFA05F9B13870900938604009308000065 +:1077400013080000930700001306004097950100FE +:10775000938545CD17050000130545DAEFA09F98E6 +:107760001305C000EFE08FF71304050013060000B7 +:107770009305200013055000EF900FA99797010083 +:10778000938747E42320A400232224012324F40028 +:107790001305C000EFE08FF49304050003250400F7 +:1077A0009306040023A2240123A0A400179501003E +:1077B0001305C5E123A4A4009308000013080000EA +:1077C000930700001307000013060040979501007F +:1077D0009385C5C517050000130545D2EFA09F90FE +:1077E000032481018320C101032901018329C100F0 +:1077F00093860400832441019308000013080000CD +:10780000930700001307000013060040979501003E +:10781000938545C217050000130545D513010102E4 +:107820006FA05F8C130101FF232221012326810019 +:107830002324910023203101179501001305C5D899 +:10784000179801001308C8D783540500835E05000C +:107850008359080017990100130989D5035E0800B0 +:107860000354250083150900179701001307C7D497 +:1078700003532500831707008353280003162700AE +:10788000939909018358280093D9094183524500EF +:107890009394040113140401035F45008316290027 +:1078A000835F480093D40441B385354113540441A8 +:1078B00003174700B387974033068640B335B000BF +:1078C0009393030103154900B305B04093D30341DB +:1078D000B337F0003336C00093920201B3F7B7001C +:1078E0003306C040B386764093D2024193950F0190 +:1078F000B3F7C700B336D0000356480033075740EC +:1079000093D505410324C100B306D0403337E000CE +:107910003305B5403307E040B3F7D7003335A00057 +:10792000B3F7E7003305A040179701002316D7C926 +:1079300017970100231EC7C717970100231F67C6AB +:1079400017970100231717C7179701002318E7C7D8 +:10795000179701002310C7C6832481000329410023 +:107960008329010033F5A70013010101678000009E +:10797000B305B500930705006386B70003C707008A +:10798000631607003385A7406780000093871700C0 +:107990006FF09FFE130101FB23229104232C41036E +:1079A000232291032326110423248104232021056B +:1079B000232E3103232A5103232861032326710335 +:1079C000232481032320A103232EB101930C05005E +:1079D000138A05009304000063DE05003305A04010 +:1079E0003337A000B305B040930C0500338AE5405F +:1079F0009304F0FF63DA06003306C040B337C000DB +:107A0000B306D040B386F640930A06009389060079 +:107A100013840C0013090A0063960628179B0100C3 +:107A2000130B4B896370CA16B70701006372F61413 +:107A30009307F00F63F4C70093098000B357360132 +:107A4000330BFB0083470B0013050002B38737019C +:107A5000B309F540638C0900B3153A01B3D7FC00B4 +:107A6000B31A360133E9B70033943C0113DB0A0142 +:107A700093050B0013050900EF00507C130A050065 +:107A800093050B00939B0A0113050900EF00907604 +:107A900093DB0B019305050013850B00EF00D072FB +:107AA000131A0A0193570401B367FA0063FAA70097 +:107AB000B387570163E6570163F4A700B387570103 +:107AC0003389A74093050B0013050900EF001077D9 +:107AD000130A050093050B0013050900EF009071D0 +:107AE0001314040193050500131A0A0113850B00F2 +:107AF00013540401EF00506D33648A00637AA400CC +:107B000033045401636654016374A40033045401C4 +:107B10003304A4403354340193050000638A040005 +:107B200033048040B3378000B305B040B385F540DF +:107B3000130504008320C104032481048324410429 +:107B4000032901048329C103032A8103832A4103F2 +:107B5000032B0103832BC102032C8102832C4102DE +:107B6000032D0102832DC10113010105678000006F +:107B7000B707000193090001E362F6EC9309800165 +:107B80006FF0DFEB631A0600930500001305100089 +:107B9000EF005066930A0500B707010063FAFA0E7A +:107BA0009307F00F63F4570193098000B3D73A01AC +:107BB000330BFB0083470B001305000233095A41C6 +:107BC000B3873701B309F540E38209EAB39A3A0172 +:107BD000335BFA00B3153A01B3D7FC0093DB0A011B +:107BE00033E9B70013050B0093850B00EF00106518 +:107BF000130A050093850B00139C0A0113050B0063 +:107C0000EF00505F135C0C019305050013050C0099 +:107C1000EF00905B131A0A0193570901B367FA004A +:107C200033943C0163FAA700B387570163E6570119 +:107C300063F4A700B3875701338BA74093850B00EC +:107C400013050B00EF00905F130A050093850B00EE +:107C500013050B00EF00105A9305050013050C00E7 +:107C6000EF00905693160901131A0A0193D60601E4 +:107C7000B366DA0063FAA600B386560163E65601DE +:107C800063F4A600B38656013389A6406FF01FDE69 +:107C9000B707000193090001E3EAFAF093098001B4 +:107CA0006FF0DFF06376DA0093050A006FF01FE7EC +:107CB000B707010063FAF604930BF00F33B5DB004E +:107CC0001315350033D7A600978701009387875E89 +:107CD000B387E70083CB070093050002B38BAB00AB +:107CE000338B7541631C0B0263E4460163EACC00ED +:107CF0003384CC40B306DA4033B98C003389264153 +:107D0000930509006FF09FE1B7070001130500011B +:107D1000E3EAF6FA130580016FF0DFFAB396660125 +:107D2000335D7601336DDD00B35D7A01B3156A0111 +:107D300033DC7C0113540D01336CBC0013850D0042 +:107D400093050400B3196601EF00504F930A050034 +:107D50009305040013850D0033996C01931C0D01EC +:107D6000EF00504993DC0C01130A05009305050050 +:107D700013850C00EF005045939A0A0113570C012C +:107D800033E7EA00930D0A00637EA7003307A701DB +:107D9000930DFAFF6368A7016376A700930DEAFFCE +:107DA0003307A701330AA7409305040013050A000F +:107DB000EF00D04893050400930A050013050A005C +:107DC000EF005043930505001304050013850C00D4 +:107DD000EF00903F93150C01939A0A0193D505018A +:107DE000B3E5BA001307040063FEA500B385A5013F +:107DF0001307F4FF63E8A50163F6A5001307E4FF8A +:107E0000B385A501939D0D01B70C0100B3EDED0005 +:107E10001384FCFFB3F78D0033F48900338AA54047 +:107E200013850700930504002326F10093DD0D015F +:107E3000EF00903993050400930A050013850D00A7 +:107E4000EF00903813DC090193050C002324A100F6 +:107E500013850D00EF0050378327C10013040C0079 +:107E600093050400130C050013850700EF00D035BF +:107E70008326810013D70A013305D5003307A700F5 +:107E80006374D700330C9C01B70701009387F7FF99 +:107E9000935507013377F70013170701B3F7FA007B +:107EA000B3858501B307F7006366BA00631EBA00A5 +:107EB000637CF90033863741B3B7C700B385A5416A +:107EC000B385F54093070600B307F9403339F9004D +:107ED000B305BA40B385254133947501B3D7670123 +:107EE0003364F400B3D565016FF05FC3130101FD86 +:107EF00023229102232A51012326110223248102E5 +:107F000023202103232E3101232C41012328610149 +:107F10002326710123248101232291012320A10121 +:107F2000930A050093840500639E06381304060037 +:107F300093090500178901001309C93763F8C512B1 +:107F4000B7070100138B05006378F6101307F00FD5 +:107F50003337C70013173700B357E6003309F9006A +:107F6000834609003387E60093060002B386E640A5 +:107F7000638C0600B394D40033D7EA003314D600E0 +:107F8000336B9700B399DA00935A040193850A0082 +:107F900013050B00EF00902A1309050093850A00D2 +:107FA000931B040113050B00EF00D02493DB0B019E +:107FB000930405009305050013850B00EF00D02006 +:107FC0001319090193D70901B367F900138A040053 +:107FD00063FEA700B3878700138AF4FF63E8870076 +:107FE00063F6A700138AE4FFB3878700B384A74032 +:107FF00093850A0013850400EF005024130905003F +:1080000093850A0013850400EF00D01E939909019F +:1080100093040500930505001319090113850B004E +:1080200093D90901EF00501AB3693901138604008E +:1080300063FCA900B30934011386F4FF63E68900E9 +:1080400063F4A9001386E4FF13140A013364C40027 +:10805000130A00006F000013B707000113070001A7 +:10806000E36CF6EE130780016FF01FEF138A060032 +:10807000631A06009305000013051000EF00901727 +:1080800013040500B7070100637EF4129307F00F95 +:1080900063F48700130A8000B35744013309F900E1 +:1080A000034709009306000233074701B386E64001 +:1080B00063940612B3848440130A1000135B040116 +:1080C00093050B0013850400EF00501713090500FA +:1080D00093050B0013850400931B0401EF0090111E +:1080E00093DB0B01930405009305050013850B003A +:1080F000EF00900D1319090193D70901B367F90037 +:10810000938A040063FEA700B3878700938AF4FF75 +:1081100063E8870063F6A700938AE4FFB3878700CC +:10812000B384A74093050B0013850400EF001011E2 +:108130001309050093050B0013850400EF00900B55 +:10814000939909019304050093050500131909018A +:1081500013850B0093D90901EF001007B3693901AA +:108160001386040063FCA900B30934011386F4FFED +:1081700063E6890063F4A9001386E4FF13940A01FF +:108180003364C4001305040093050A008320C10270 +:108190000324810283244102032901028329C101AE +:1081A000032A8101832A4101032B0101832BC10092 +:1081B000032C8100832C4100032D010013010103D6 +:1081C00067800000B7070001130A0001E366F4ECC2 +:1081D000130A80016FF05FEC3314D40033DAE4004B +:1081E000B399DA0033D7EA00935A0401B394D40068 +:1081F00093850A0013050A00336B9700EF00100403 +:108200001309050093850A0013050A00931B040156 +:10821000EF00407E93DB0B019304050093050500FE +:1082200013850B00EF00407A1319090113570B0156 +:108230003367E900138A0400637EA70033078700D1 +:10824000138AF4FF636887006376A700138AE4FF4C +:1082500033078700B304A74093850A001385040001 +:10826000EF00C07D1309050093850A001385040003 +:10827000EF004078930405009305050013850B007B +:10828000EF00807413170B0113570701131909012D +:10829000B367E9001387040063FEA700B387870074 +:1082A0001387F4FF63E8870063F6A7001387E4FFF2 +:1082B000B3878700131A0A01B384A740336AEA0020 +:1082C0006FF0DFDF63ECD51EB707010063F4F6043F +:1082D0001307F00FB335D7009395350033D7B600A9 +:1082E00097870100938707FDB387E70003C707005F +:1082F000130A00023307B700330AEA4063160A0282 +:1083000013041000E3E096E833B6CA0013441600E5 +:108310006FF05FE7B707000193050001E3E0F6FCAB +:10832000930580016FF09FFBB35CE600B3964601B6 +:10833000B3ECDC0033D4E40093DB0C01B3974401CD +:1083400033D7EA0093850B0013050400336BF70065 +:10835000B3194601EF00806E1309050093850B00E9 +:1083600013050400139C0C01EF00C068135C0C01A2 +:10837000930405009305050013050C00EF00C0648D +:108380001319090113570B013367E9001384040023 +:10839000637EA700330797011384F4FF6368970196 +:1083A0006376A7001384E4FF33079701B304A74063 +:1083B00093850B0013850400EF0040681309050046 +:1083C00093850B0013850400EF00C0629304050041 +:1083D0009305050013050C00EF00005F93170B01D8 +:1083E0001319090193D70701B367F9001386040035 +:1083F00063FEA700B38797011386F4FF63E8970134 +:1084000063F6A7001386E4FFB387970113140401F2 +:10841000B70B01003364C4001389FBFF337D2401D3 +:1084200033F92901B384A7409305090013050D0012 +:10843000EF008059935C040193050900130B0500BC +:1084400013850C00EF00405893D90901130C050067 +:108450009385090013850C00EF00005713090500F0 +:108460009385090013050D00EF00005633058501C3 +:1084700093570B013385A700637485013309790194 +:1084800093570501B387270163E6F402E392F4BC36 +:10849000B70701009387F7FF3375F5001315050142 +:1084A000337BFB0033964A0133056501130A000054 +:1084B000E37AA6CC1304F4FF6FF09FB9130A00000F +:1084C000130400006FF01FCC130101FB232481046F +:1084D00023229104232E3103232291032326110406 +:1084E00023202105232C4103232A51032328610340 +:1084F00023267103232481032320A103232EB1010A +:10850000930C05009389050013040500938405006E +:10851000639E062613090600138A0600978A010047 +:10852000938A4AD963F4C514B70701006376F6123B +:108530009307F00F63F4C700130A8000B357460196 +:10854000B38AFA0003C70A00130500023307470184 +:10855000330AE540630C0A00B395490133D7EC00B8 +:1085600033194601B364B70033944C01935A09019F +:1085700093850A0013850400EF00404C9309050021 +:1085800093850A00131B090113850400EF00804640 +:10859000135B0B019305050013050B00EF00C042B0 +:1085A0009399090193570401B3E7F90063FAA7000F +:1085B000B387270163E6270163F4A700B387270188 +:1085C000B384A74093850A0013850400EF00004799 +:1085D0009309050093850A0013850400EF0080418C +:1085E00013140401930505009399090113050B0069 +:1085F00013540401EF00403D33E48900637AA40082 +:1086000033042401636624016374A4003304240149 +:108610003304A44033554401930500008320C10472 +:108620000324810483244104032901048329C10311 +:10863000032A8103832A4103032B0103832BC102F5 +:10864000032C8102832C4102032D0102832DC101E1 +:108650001301010567800000B7070001130A00013C +:10866000E36EF6EC130A80016FF05FED631A06000B +:108670009305000013051000EF00C0371309050033 +:10868000B7070100637AF90E9307F00F63F427012F +:10869000130A8000B3574901B38AFA0003C70A00DE +:1086A00013050002B384294133074701330AE5402B +:1086B000E30E0AEA33194901B3DAE900B395490137 +:1086C00033D7EC0093540901336BB70013850A00CC +:1086D00093850400EF00803693090500938504001C +:1086E000931B090113850A00EF00C03093DB0B01D7 +:1086F0009305050013850B00EF00002D93990901E8 +:1087000093570B01B3E7F90033944C0163FAA700C8 +:10871000B387270163E6270163F4A700B387270126 +:10872000B38AA7409385040013850A00EF00003147 +:10873000930905009385040013850A00EF00802B40 +:108740009305050013850B00EF00002893150B011E +:108750009399090193D50501B3E5B90063FAA50022 +:10876000B385250163E6250163F4A500B3852501E2 +:10877000B384A5406FF09FDFB7070001130A000123 +:10878000E36AF9F0130A80016FF0DFF0E3E8D5E85F +:10879000B707010063FCF604930BF00F33B5DB0061 +:1087A0001315350033D7A60097870100938787B04C +:1087B000B387E70083CB070093050002B38BAB00C0 +:1087C000338B7541631E0B0263E4360163EACC0010 +:1087D0003384CC40B386D94033B58C00B384A640F3 +:1087E00013050400938504006FF05FE3B7070001F1 +:1087F00013050001E3E8F6FA130580016FF09FFA14 +:10880000B3966601335D7601336DDD0033D47901B3 +:10881000B395690133DC7C0193540D01336CBC00CA +:108820001305040093850400B31A6601EF000021CC +:10883000130A0500938504001305040033996C01A5 +:10884000931C0D01EF00001B93DC0C0113040500C9 +:108850009305050013850C00EF000017131A0A0199 +:1088600013570C013367EA00130A0400637EA70064 +:108870003307A701130AF4FF6368A7016376A70013 +:10888000130AE4FF3307A701B309A7409385040047 +:1088900013850900EF00801A938504001304050076 +:1088A00013850900EF0000159305050093040500EA +:1088B00013850C00EF00401193150C0113140401F3 +:1088C00093D50501B365B4001387040063FEA500CA +:1088D000B385A5011387F4FF63E8A50163F6A5003E +:1088E0001387E4FFB385A501131A0A01B70C010031 +:1088F000336AEA001384FCFFB3778A0033F48A00FA +:10890000B384A54013850700930504002326F100D6 +:10891000135A0A01EF00400B930905009305040068 +:1089200013050A00EF00400A13DC0A01930D05004D +:1089300093050C0013050A00EF0000098327C1000E +:10894000130A050093050C0013850700EF00C0070C +:108950003305B50113D709013307A7006374B701C5 +:10896000330A9A01B70701009387F7FF9355070170 +:108970003377F70013170701B3F7F900B385450103 +:10898000B307F70063E6B400639EB400637CF900AC +:1089900033865741B3B7C700B385A541B385F540CA +:1089A00093070600B307F9403339F900B385B440A3 +:1089B000B385254133947501B3D767013365F4005E +:1089C000B3D565016FF09FC51306050013050000C0 +:1089D00093F61500638406003305C50093D5150092 +:1089E00013161600E39605FE678000006340050637 +:1089F00063C6050613860500930505001305F0FF01 +:108A0000630C060293061000637AB6006358C00038 +:108A10001316160093961600E36AB6FE13050000BF +:108A200063E6C500B385C5403365D50093D616000F +:108A300013561600E39606FE67800000938200003E +:108A4000EFF05FFB13850500678002003305A0404F +:108A500063D80500B305B0406FF0DFF9B305B0404F +:108A600093820000EFF01FF93305A04067800200F9 +:108A70009382000063CA0500634C0500EFF09FF786 +:108A80001385050067800200B305B040E35805FE7A +:108A90003305A040EFF01FF63305B04067800200B9 +:108AA000130101FE232C8100232A910013040500E9 +:108AB0009384050023282101232631012324410129 +:108AC00023225101232E1100EFA00FCF8327040092 +:108AD00003290401B7090001B3E59700930AC40014 +:108AE0002320B400930400009389F9FF370A0002A1 +:108AF0000327040063822A050326090013050900E1 +:108B0000B3654701B37636019317560033F7E60095 +:108B10000329490063C60700631607006FF05FFD75 +:108B2000E398E6FC9317760063D40700B3E4D4001F +:108B3000EFB09F8F6FF0DFFB93C4F4FFB3F4E4005A +:108B400023209400EFA0CFFA032504008320C10165 +:108B50000324810183244101032901018329C100E8 +:108B6000032A8100832A410013010102678000006B +:108B7000130101FF13052000232611002324810087 +:108B8000EFE09FA617E7FFFF1307C77F93060000DC +:108B9000130610009305400617850100130545864E +:108BA000EFC0DFBE6302050213040500EFA04FC251 +:108BB000130605001307000093060000930510003C +:108BC00013050400EFC09FCEEFA08F8B8320C10060 +:108BD00003248100130500001301010167800000D8 +:02000004800278 +:10000000A867FEFF1869FEFFB467FEFF1869FEFFD0 +:100010001869FEFF1869FEFF1869FEFFDC67FEFF26 +:100020001869FEFF1869FEFF0468FEFF1468FEFFF2 +:100030001869FEFF2C68FEFF3C68FEFF3C68FEFF6F +:100040003C68FEFF3C68FEFF3C68FEFF3C68FEFF2C +:100050003C68FEFF3C68FEFF3C68FEFF1869FEFF3F +:100060001869FEFF1869FEFF1869FEFF1869FEFF98 +:100070001869FEFF1869FEFF1869FEFF1869FEFF88 +:100080001869FEFF1869FEFF1869FEFF1869FEFF78 +:100090001869FEFF1869FEFF1869FEFF1869FEFF68 +:1000A0001869FEFF1869FEFF1869FEFF1869FEFF58 +:1000B0001869FEFF1869FEFF1869FEFF1869FEFF48 +:1000C0001869FEFF1869FEFF1869FEFF1869FEFF38 +:1000D0001869FEFF1869FEFF1869FEFF1869FEFF28 +:1000E0001869FEFF1869FEFF1869FEFF1869FEFF18 +:1000F0001869FEFF1869FEFF1869FEFF1869FEFF08 +:100100008068FEFFA868FEFF1869FEFF1869FEFF01 +:100110001869FEFF1869FEFF1869FEFF1869FEFFE7 +:100120001869FEFF0869FEFF1869FEFF1869FEFFE7 +:10013000B865FEFFC066FEFF1869FEFF1869FEFF86 +:100140001067FEFF1869FEFF8867FEFF1869FEFF53 +:100150001869FEFFD067FEFF746DFEFFE06DFEFFC5 +:10016000806DFEFFE06DFEFFE06DFEFFE06DFEFFC7 +:10017000E06DFEFF186CFEFFE06DFEFFE06DFEFF20 +:10018000406CFEFF506CFEFFE06DFEFF686CFEFFF2 +:10019000786CFEFF786CFEFF786CFEFF786CFEFFDB +:1001A000786CFEFF786CFEFF786CFEFF786CFEFFCB +:1001B000786CFEFFE06DFEFFE06DFEFFE06DFEFF80 +:1001C000E06DFEFFE06DFEFFE06DFEFFE06DFEFF07 +:1001D000E06DFEFFE06DFEFFE06DFEFFE06DFEFFF7 +:1001E000E06DFEFFE06DFEFFE06DFEFFE06DFEFFE7 +:1001F000E06DFEFFE06DFEFFE06DFEFFE06DFEFFD7 +:10020000E06DFEFFE06DFEFFE06DFEFFE06DFEFFC6 +:10021000E06DFEFFE06DFEFFE06DFEFFE06DFEFFB6 +:10022000E06DFEFFE06DFEFFE06DFEFFE06DFEFFA6 +:10023000E06DFEFFE06DFEFFE06DFEFFE06DFEFF96 +:10024000E06DFEFFE06DFEFFE06DFEFFE06DFEFF86 +:10025000E06DFEFFE06DFEFFBC6CFEFFF86CFEFF84 +:10026000E06DFEFFE06DFEFFE06DFEFFE06DFEFF66 +:10027000E06DFEFFE06DFEFFE06DFEFF646DFEFFD2 +:10028000E06DFEFFE06DFEFFEC69FEFF406BFEFFE0 +:10029000E06DFEFFE06DFEFFD06BFEFFE06DFEFF48 +:1002A000FC6BFEFFE06DFEFFE06DFEFFD46DFEFF18 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020416C74426C636B51207461736B7320A9 +:1003E0000D0A00006D69616F750A00006D69616F2B +:1003F00075320A0068756E6772790A004368656332 +:100400006B54696D6572000051436F6E7342310029 +:100410005150726F644232005150726F6442330027 +:1004200051436F6E734234005150726F6442350015 +:0804300051436F6E7342360068 +:100438001000000000000000017A5200017C010158 +:100448001B0D020050000000180000004075FEFF60 +:100458005805000000440E507489039406990B81D6 +:1004680001880292049305950796089709980A9AB5 +:100478000C9B0D036C010AC144C844C944D244D33F +:1004880044D444D544D644D744D844D944DA44DB88 +:10049800440E00440B0000004C0000006C000000FB +:1004A800447AFEFFDC05000000440E307089039595 +:1004B8000781018802920493059406960897099883 +:1004C8000A990B9A0C0370020AC144C844C944D261 +:1004D80044D344D444D544D644D744D844D944DA40 +:1004E800440E00440B00000050000000BC00000057 +:1004F800D07FFEFF0005000000440E50748802897A +:10050800039305990B810192049406950796089721 +:1005180009980A9A0C9B0D0320010AC144C844C9D2 +:1005280044D244D344D444D544D644D744D844D9F7 +:0C05380044DA44DB440E00440B000000D9 +:0805CC00FC8F01000400000097 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/AltBlckQ_rv32ic_O0.hex b/VexRiscv/src/test/resources/freertos/AltBlckQ_rv32ic_O0.hex new file mode 100644 index 0000000..9283e29 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/AltBlckQ_rv32ic_O0.hex @@ -0,0 +1,1528 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900021B7170502001305E53A979503009385EC +:1000A000256801461122170502001305A53497059E +:1000B00002009385A5340146C52817A50300130542 +:1000C000656697B503009385A56537B6ABAB130698 +:1000D000B6BAD92817B103001301C164EF40D01E8E +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2021C23A02200F3221034A2 +:1002400096DEEF006030170102000321A11A02417F +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E21923A0120023A2B9 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40E06E17010200032161 +:10033000610C0241F65273901234B722000093828E +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A20B83A0C0 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A202FA23A0220086DEEF1098 +:100460003062170102000321E1F80241F652739055 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F0961730020309707BF +:1004C00002009387E7F39843FD576305F7007370C5 +:1004D000043001A07370043001A041112AC6B24754 +:1004E000739047300100410182804111F327403071 +:1004F0003EC673F04330B2473E85410182804111D0 +:100500002AC62EC432C2B247F1173EC62247B247AE +:1005100098C3B247938787FA3EC61247B24798C33B +:10052000B247A1173EC68E873E87B24798C3B247EF +:10053000D1173EC6170700001307A7F8B24798C3A4 +:10054000B2473E8541018280411106C6EF402045F9 +:10055000EF105036AA8799C3EF1090520100B240B5 +:100560004101828041112AC6B24713878700B247F2 +:10057000D8C3B2477D5798C7B24713878700B247A1 +:10058000D8C7B24713878700B24798CBB24723A09A +:10059000070001004101828041112AC6B24723A809 +:1005A000070001004101828001112AC62EC4B24712 +:1005B000DC433ECEA2477247D8C3F2479847A247D2 +:1005C00098C7F2479C472247D8C3F247224798C7AB +:1005D000A247324798CBB2479C4313871700B247D4 +:1005E00098C301000561828001112AC62EC4A2476A +:1005F0009C433ECC6247FD576316F700B2479C4BC5 +:100600003ECE31A8B247A1073ECE21A0F247DC433F +:100610003ECEF247DC439C436247E379F7FEF24764 +:10062000D843A247D8C3A247DC43224798C7A24772 +:10063000724798C7F2472247D8C3A247324798CBA0 +:10064000B2479C4313871700B24798C30100056166 +:10065000828001112AC6B2479C4B3ECEB247DC4392 +:100660003247184798C7B2479C4732475843D8C3C8 +:10067000F247DC4332476316F700B2479847F24728 +:10068000D8C3B24723A80700F2479C431387F7FF5C +:10069000F24798C3F2479C433E8505618280797199 +:1006A00006D622D42AC62EC4B2473ECEF24781E7F0 +:1006B0007370043001A0EF20A078F2478043F24726 +:1006C000D85FF247BC43BE853A85EF50A038AA8771 +:1006D0003307F400F247D8C3F24723AC0702F247CE +:1006E0009843F24798C7F2478043F247DC5F13878D +:1006F000F7FFF247BC43BE853A85EF50A035AA8785 +:100700003307F400F247D8C7F2477D57F8C3F247E2 +:100710007D57B8C7A24799EFF2479C4B8DC7F24768 +:10072000C1073E85EF1010622A878547631DF700D9 +:10073000F13911A8F247C1073E852D35F24793875D +:1007400047023E850535EF20807285473E85B250D1 +:10075000225445618280797106D62AC62EC4B2879A +:10076000A303F10002CCB24781E77370043001A00B +:10077000A24799E302CE01A8A2453245EF50802D51 +:10078000AA8785073ECEF247938747053E85EF301F +:10079000007E2ACAD24785CFA24789E7D24752476F +:1007A00098C331A0D24713874705D24798C3D24791 +:1007B0003247D8DFD2472247B8C385455245C535B1 +:1007C000D247034771002388E704D2473ECCE24773 +:1007D00081E77370043001A0E2473E85B250456165 +:1007E0008280797106D6AA87A307F1001305400518 +:1007F000EF30E0772ACEF247B9CFF24723A20700C5 +:10080000F24723A00700F24723A40700F24723A6DC +:100810000700F24723AC0702F2470547D8DFF2474B +:1008200023A00704F2477D57F8C3F2477D57B8C7A6 +:10083000F2470347F1002388E704F247C1073E85EA +:100840001533F247938747023E85293B814601468F +:10085000814572453122F2473E85B2504561828022 +:10086000797106D622D42AC6B2473ECCE24781E748 +:100870007370043001A0E247C043EF20803EAA8796 +:100880006313F402E247DC471387F7FFE247D8C758 +:10089000E247DC4791E78146014681456245C92030 +:1008A00085473ECE11A002CEF2473E85B25022547B +:1008B00045618280797106D622D42AC62EC4B247F9 +:1008C0003ECCE24781E77370043001A0E247C043A9 +:1008D000EF202039AA87631BF400E247DC47138727 +:1008E0001700E247D8C785473ECE0DA08146224675 +:1008F00081456245812B2ACE724785476318F700F0 +:10090000E247DC4713871700E247D8C7F2473E8526 +:10091000B250225445618280797106D62AC62EC40F +:10092000B24781E77370043001A02247B24763F5F4 +:10093000E7007370043001A0094681453245213D2E +:100940002ACEF24781C7F247224798DFF24781E774 +:100950007370043001A0F2473E85B2504561828039 +:10096000397106DE2AC62EC432C236C002D6B2475C +:100970003ED4A25781E77370043001A0A24781E7FB +:10098000A257BC4399E3854711A0814781E7737063 +:10099000043001A0024789476317F700A257D85FC8 +:1009A00085476314F700854711A0814781E773707D +:1009B000043001A0EF20402CAA8799E3924799E3E5 +:1009C000854711A0814781E77370043001A0EF20B3 +:1009D0002047A257985FA257DC5F6366F700024783 +:1009E0008947631EF7020246A2452255EF00007CAC +:1009F0002AD2A257DC5389CFA257938747023E855C +:100A0000EF1050342A8785476317F700013421A07F +:100A1000925791C3E53AEF20804585474DA0924714 +:100A200089E7EF20C044814759A8B25799E77C086D +:100A30003E85EF10D04885473ED6EF204043EF106B +:100A4000A03BEF20E03FA257F843FD576315F700A6 +:100A5000A25723A20704A257B847FD576315F70012 +:100A6000A25723A40704EF20804058007C08BA85D1 +:100A70003E85EF10D047AA878DEF2255EF00D012A8 +:100A8000AA8795C3A257C1071247BA853E85EF10C2 +:100A900050122255EF00B003EF10C037AA87E39839 +:100AA00007F2AD322DB72255EF007002EF108036FD +:100AB00039BF2255EF00B001EF10C03581473E85A8 +:100AC000F25021618280797106D62AC62EC432C2C4 +:100AD00036C002CEB2473ECCE24781E773700430A5 +:100AE00001A0A24781E7E247BC4399E3854711A0F3 +:100AF000814781E77370043001A0EF206034E24742 +:100B0000985FE247DC5F6379F7020246A2456245DF +:100B1000EF00C069E247DC5381CFE2479387470289 +:100B20003E85EF1030222A8785476313F700F93896 +:100B3000EF20E033854785A0924789E7EF202033F7 +:100B4000814791A8F24799E71C083E85EF1030379E +:100B500085473ECEEF20A031EF20802E58001C08A4 +:100B6000BA853E85EF10B038AA8799EF6245EF004D +:100B7000B003AA8791CFE247C1071247BA853E85E5 +:100B8000EF103003613029A0EF20602E814721A0B3 +:100B9000EF20E02D9DB73E85B250456182803971CE +:100BA00006DE2AC62EC432C236C002D6B2473ED4B2 +:100BB000A25781E77370043001A0A24781E7A257D2 +:100BC000BC4399E3854711A0814781E773700430E6 +:100BD00001A0EF20E026A2579C5FB5CBA257DC47CF +:100BE0003ED2A2452255792D824795EFA2579C5FB0 +:100BF0001387F7FFA25798DFA2579C4391E7EF2096 +:100C0000602E2A87A257D8C3A2579C4B8DCFA257DC +:100C1000C1073E85EF1010132A8785476315F70239 +:100C2000EFF0CFFE0DA0A2571257D8C7A257DC5342 +:100C300099CBA257938747023E85EF10B010AA8741 +:100C400099C3EFF0AFFCEF2080228547ADA8924713 +:100C500089E7EF20C0218147BDA0B25799E77C0802 +:100C60003E85EF10D02585473ED6EF204020EF206F +:100C7000201D58007C08BA853E85EF105027AA87B2 +:100C800085EF2255E92DAA8785CFA2579C4391EB8A +:100C9000EF20001BA257DC433E85EF20E000EF2051 +:100CA000001DA257938747021247BA853E85EF1071 +:100CB0004070EFF0AFF529A0EF20601B814721A025 +:100CC000EF20E01A39B73E85F250216182807971B8 +:100CD00006D62AC62EC432C236C0B2473ECCE24740 +:100CE00081E77370043001A0A24781E7E247BC436B +:100CF00099E3854711A0814781E77370043001A013 +:100D0000024789476317F700E247D85F85476314B6 +:100D1000F700854711A0814781E77370043001A077 +:100D2000EFF0AFFCAA873ECAE247985FE247DC5F7C +:100D30006366F700024789476315F7040246A24538 +:100D40006245AD21E247B847FD576313F702E2471A +:100D5000DC538DC7E247938747023E85EF10807EC4 +:100D6000AA8789CF924799CB9247054798C339A064 +:100D7000E247BC4713871700E247B8C785473ECE16 +:100D800011A002CED2473E85EFF02FF5F2473E8507 +:100D9000B25045618280797106D62AC62EC4B24708 +:100DA0003ECCE24781E77370043001A0E247BC43C8 +:100DB00081C77370043001A0E2479C4381E7E2479A +:100DC000DC4399E3854711A0814781E773700430C4 +:100DD00001A0EFF08FF1AA873ECAE247985FE24791 +:100DE000DC5F6377F704E2479C5F13871700E247F5 +:100DF00098DFE247B847FD576313F702E247DC5339 +:100E00008DC7E247938747023E85EF10A073AA87FC +:100E100089CFA24799CBA247054798C339A0E2479B +:100E2000BC4713871700E247B8C785473ECE11A0DD +:100E300002CED2473E85EFF04FEAF2473E85B250F0 +:100E400045618280397106DE2AC62EC432C236C0A0 +:100E500002D6B2473ED4A25781E77370043001A096 +:100E6000A24781E7A257BC4399E3854711A0814778 +:100E700081E77370043001A0EF101060AA8799E336 +:100E8000924799E3854711A0814781E77370043049 +:100E900001A0EF10F07AA2579C5FB5CBA257DC47B8 +:100EA0003ED2A2452255F92E824795EFA2579C5F6C +:100EB0001387F7FFA25798DFA2579C4391E7EF20D3 +:100EC00060022A87A257D8C3A2579C4B8DCFA25746 +:100ED000C1073E85EF1000672A8785476315F70233 +:100EE000EFF0CFD20DA0A2571257D8C7A257DC53AC +:100EF00099CBA257938747023E85EF10A064AA873B +:100F000099C3EFF0AFD0EF10907685475DA8924778 +:100F100089E7EF10D07581476DA0B25799E77C083B +:100F20003E85EF10C07985473ED6EF105074EF0034 +:100F3000B06CEF10F070A257F843FD576315F7003F +:100F4000A25723A20704A257B847FD576315F7001D +:100F5000A25723A40704EF10907158007C08BA85AB +:100F60003E85EF10C078AA87A1EB2255CD2EAA8727 +:100F70009DCFA2579C4391EBEF10906CA257DC439E +:100F80003E85EF107052EF10906EA2579387470284 +:100F90001247BA853E85EF10C04122550D2EEF0055 +:100FA0007067AA87E39707EEEFF04FC6DDB52255CD +:100FB000392EEF003066F1BD2255112EEF009065FD +:100FC00081473E85F25021618280797106D62AC61A +:100FD0002EC432C2B2473ECCE24781E77370043080 +:100FE00001A0A24781E7E247BC4399E3854711A0EE +:100FF000814781E77370043001A0EFF00FCFAA871B +:101000003ECAE2479C5FA1CBA2456245A52CE247C0 +:101010009C5F1387F7FFE24798DFE247F843FD57ED +:101020006312F702E2479C4B85C7E247C1073E8542 +:10103000EF104051AA8789CF924799CB9247054735 +:1010400098C339A0E247FC4313871700E247F8C36F +:1010500085473ECE11A002CED2473E85EFF0EFC7C6 +:10106000F2473E85B25045618280797106D62AC624 +:101070002EC4B2473ECCE24781E77370043001A032 +:10108000A24781E7E247BC4399E3854711A0814726 +:1010900081E77370043001A0E247BC4381E77370BD +:1010A000043001A0EFF06FC4AA873ECAE2479C5FFC +:1010B00089CFE247DC473EC8A2456245E122E247CC +:1010C0004247D8C785473ECE11A002CED2473E85C3 +:1010D000EFF0AFC0F2473E85B25045618280797132 +:1010E00006D62AC6B24781E77370043001A0EF101C +:1010F0003055B2479C5F3ECEEF107057F2473E85A9 +:10110000B25045618280797106D62AC6B2473ECE7A +:10111000F24781E77370043001A0EF107052F2477C +:10112000D85FF2479C5FB307F7403ECCEF103054D6 +:10113000E2473E85B2504561828001112AC6B2471E +:1011400081E77370043001A0B2479C5F3ECEF24746 +:101150003E8505618280797106D62AC6B2473ECEA9 +:10116000F24781E77370043001A07245E5247245AF +:10117000EF20F0720100B2504561828041112AC611 +:10118000B247FC473E854101828041112AC62EC4E8 +:10119000B2472247F8C701004101828041112AC6A7 +:1011A000B24783C707053E8541018280797106D623 +:1011B0002AC62EC432C202CEB247BC4391EFB24718 +:1011C0009C43D5E7B247DC433E85EF10903B2ACEE7 +:1011D000B24723A2070061A8924785EFB24798471C +:1011E000B247BC433E86A2453A85EF20F079B2472C +:1011F0009847B247BC433E97B24798C7B247984713 +:10120000B247DC436365F706B2479843B24798C7D5 +:10121000B9A8B247D847B247BC433E86A2453A85F3 +:10122000EF209076B247D847B247BC43B307F040AF +:101230003E97B247D8C7B247D847B2479C43637B73 +:10124000F700B247D843B247BC43B307F0403E97DC +:10125000B247D8C712478947631BF700B2479C5F64 +:1012600099C7B2479C5F1387F7FFB24798DFB24731 +:101270009C5F13871700B24798DFF2473E85B25054 +:1012800045618280011106CE2AC62EC4B247BC43F6 +:101290009DCBB247D847B247BC433E97B247D8C769 +:1012A000B247D847B247DC436366F700B24798437A +:1012B000B247D8C7B247D847B247BC433E86BA8583 +:1012C0002245EF20706C0100F2400561828001111F +:1012D00006CE2AC6EF10D03625A0B247DC5395C7FC +:1012E000B247938747023E85EF10C025AA8799C36E +:1012F000EF104049B247BC471387F7FFB247B8C762 +:10130000B247BC47E34BF0FC11A00100B2477D5748 +:10131000B8C7EF10D035EF10B0321DA0B2479C4BCC +:101320008DC7B247C1073E85EF10C021AA8799C378 +:10133000EF104045B247FC431387F7FFB247F8C3AD +:10134000B247FC43E34CF0FC11A00100B2477D57CB +:10135000F8C3EF10D0310100F2400561828079714D +:1013600006D62AC6EF10D02DB2479C5F81E785478D +:101370003ECE11A002CEEF10902FF2473E85B25024 +:101380004561828001112AC6B24781E7737004303B +:1013900001A0B2479C5F81E785473ECE11A002CEF7 +:1013A000F2473E8505618280797106D62AC6EF1024 +:1013B0003029B247985FB247DC5F6315F700854775 +:1013C0003ECE11A002CEEF10902AF2473E85B250D9 +:1013D0004561828001112AC6B24781E773700430EB +:1013E00001A0B247985FB247DC5F6315F7008547FD +:1013F0003ECE11A002CEF2473E85056182800111EA +:101400002AC62EC402CE81A0178703001307872D9A +:10141000F2478E07BA979C4385E7178703001307A7 +:10142000672CF2478E07BA97224798C317870300A5 +:101430001307472BF2478E07BA973247D8C301A844 +:10144000F24785073ECE72479D47E3FFE7FA01006A +:101450000561828001112AC602CE0DA817870300FC +:1014600013074728F2478E07BA97DC433247631CBD +:10147000F700178703001307E726F2478E07BA978E +:1014800023A0070001A8F24785073ECE72479D477B +:10149000E3F6E7FC010005618280797106D62AC671 +:1014A0002EC432C2B2473ECEEF109019F247F84335 +:1014B000FD576315F700F24723A20704F247B84728 +:1014C000FD576315F700F24723A40704EF10301A05 +:1014D000F2479C5F89EBF247938747021246A24589 +:1014E0003E85EF00907E7245DD330100B2504561CC +:1014F0008280397106DE2ACE2ECC36C83AC63EC46A +:1015000042C246C0B287231BF100F24781E77370E5 +:10151000043001A03247914763F5E700737004304F +:1015200001A08357610192453E85EF1080512AD476 +:10153000A257638F0712A257985B83566101B707C2 +:101540000040FD17B6978A07BA973ED29257F19B93 +:101550003ED292578D8B81C77370043001A08357A0 +:1015600061013E8782463246E2452255EF10E02770 +:101570004246F2451255EFE09FF82A87A25798C3DA +:10158000A24781C7A247225798C3EF10700B97F765 +:101590000100938727009C431387170097F70100EA +:1015A000938747FF98C397F701009387A7E49C436D +:1015B00095E397F701009387E7E3225798C397F7DE +:1015C0000100938727FD98438547631AF702EF10C0 +:1015D000C02C35A097F70100938787FC9C4385E3D7 +:1015E00097F70100938707E19C43DC573247636814 +:1015F000F70097F701009387E7DF225798C397F723 +:1016000001009387E7FA9C431387170097F70100BF +:10161000938707FA98C397F70100938767F9984370 +:10162000A257B8C7A257D85797F70100938707F773 +:101630009C4363F9E700A257D85797F701009387B7 +:10164000E7F598C3A257D857BA878A07BA978A0787 +:1016500017F701001307C7E63E97A2579107BE850B +:101660003A85EFE07FF485473ED6EF10500019A091 +:10167000FD573ED6325785476313F70297F70100AF +:10168000938707F29C4381CF97F70100938787D612 +:101690009C43DC57324763F4E700EFE03FD7B25793 +:1016A0003E85F25021618280797106D62AC6EF10FC +:1016B0002079B24799E797F701009387A7D39C4316 +:1016C00011A0B2473ECEF24791073E85EFE07FF88A +:1016D000F2479C5791C7F247E1073E85EFE07FF75D +:1016E000F2479107BE8517F501001305E5E7EFE026 +:1016F000BFEB97F70100938767E89C4313871700B8 +:1017000097F70100938787E798C397F701009387BE +:1017100027EA9C431387170097F70100938747E94F +:1017200098C3EF10C07497F70100938767E79C4355 +:101730009DCB97F701009387E7CB9C437247631ECD +:10174000F70097F70100938727E79C4381C77370E1 +:10175000043001A0EFE09FCB39A0EF10606EEF10D6 +:10176000A04BEF10C0700100B250456182807971CA +:1017700006D62AC62EC402CEB24781E77370043063 +:1017800001A0A24781E77370043001A097F7010020 +:10179000938787E29C4381C77370043001A0A92D11 +:1017A00097F70100938747DF9C433ECCB2479C43A9 +:1017B0002247BA973ECAB2479C436247637EF7000E +:1017C000B2479C4352476374F7025247E24763F0C3 +:1017D000E70285473ECE21A8B2479C435247636645 +:1017E000F7005247E24763F4E70085473ECEB24731 +:1017F000524798C3F24789CF97F70100938787BF75 +:101800009C4391073E85EFE0DFE45245EF10001B5B +:1018100011252AC8C24799E3EFE05FBF0100B2502B +:1018200045618280797106D62AC602CEB247A9C325 +:1018300097F70100938747D89C4381C773700430A2 +:1018400001A05D2B97F70100938707D59C43324792 +:10185000BA973ECC97F701009387C7B99C4391078D +:101860003E85EFE01FDF6245EF10401565232ACE6D +:10187000F24799E3EFE09FB90100B25045618280E1 +:10188000797106D62AC6B2473ECCE24781E773702B +:10189000043001A097F701009387C7B59C436247C6 +:1018A0006314F70002CEBDA0EF108059E247DC4B75 +:1018B0003ECAEF10C05B97F70100938727C99C438E +:1018C0005247630AF70097F70100938767C89C4364 +:1018D00052476315F70089473ECE2DA8524797F728 +:1018E00001009387E7C9631BF700E2479C5781E734 +:1018F0008D473ECE05A089473ECE29A8524797F78F +:101900000100938767C66315F70091473ECE19A083 +:1019100085473ECEF2473E85B2504561828079715F +:1019200006D62AC6EF10C051B24799E797F70100D3 +:10193000938747AC9C4311A0B2473ECEF247DC5799 +:101940003ECCEF10C052E2473E85B25045618280E6 +:10195000797106D62AC6EFE05FB9AA873ECEB247B4 +:1019600099E797F701009387E7A89C4311A0B24736 +:101970003ECCE247DC573ECAF2473E85EFE0FFB57A +:10198000D2473E85B25045618280797106D62AC61B +:101990002EC402CE2247914763F5E70073700430EE +:1019A00001A02247914763F4E70091473EC4EF103E +:1019B0002049B24799E797F701009387A7A39C4373 +:1019C00011A0B2473ECCE247BC4B3ECA5247A247A9 +:1019D000630AF70E2247D24763F6E70297F7010042 +:1019E000938747A19C4362476308F70297F701007A +:1019F000938747A09C43DC572247636FF7008547D6 +:101A00003ECE19A897F701009387C79E9C43624773 +:101A10006314F70085473ECEE247DC573EC8E247F5 +:101A2000B84BE247DC576315F700E2472247D8D7A7 +:101A3000E2472247B8CBE2479C4F63C707001547F0 +:101A4000A2471D8FE24798CFE247D44B4247BA875F +:101A50008A07BA978A0717F70100130767A6BA978C +:101A60006394F600854711A08147B9C7E247910703 +:101A70003E85EFE01FBEE247D85797F701009387F6 +:101A8000E7B19C4363F9E700E247D85797F70100B5 +:101A90009387C7B098C3E247D857BA878A07BA97DF +:101AA0008A0717F701001307A7A13E97E24791079E +:101AB000BE853A85EFE05FAF724785476314F70054 +:101AC000EFE0DF94EF10A03A0100B2504561828050 +:101AD000797106D62AC6EF10A036B24799E797F774 +:101AE0000100938727919C4311A0B2473ECEF24755 +:101AF00091073E85EFE0FFB5F2479C5791C7F2474B +:101B0000E1073E85EFE0FFB4F2479107BE8517F588 +:101B100001001305E5A6EFE03FA9EF10403597F768 +:101B200001009387278D9C4372476319F70497F749 +:101B300001009387E7A69C4389CF97F7010093871D +:101B4000A7A79C4381C77370043001A0EFE01F8CEE +:101B500091A097F701009387A7A2984397F70100F8 +:101B6000938747A39C436319F70097F70100938776 +:101B7000678823A0070039A8212729A897F7010023 +:101B8000938707A29C4399C7EF10802BEF10C008E2 +:101B9000EF10E02D0100B2504561828001112AC68C +:101BA00002CEB2473ECCB24781E77370043001A049 +:101BB000E247D84B97F701009387879C6314F7009F +:101BC000854711A0814795C3E247985797F70100D1 +:101BD00093874798630BF700E2479C5799E3854743 +:101BE00011A0814799C385473ECEF2473E850561E6 +:101BF0008280797106D62AC6B2473ECEB24781E7C7 +:101C00007370043001A0F247C9C797E701009387BA +:101C1000677E9C437247630EF706EF1060227245A1 +:101C2000B53F2A8785476314F706F24791073E853B +:101C3000EFE03FA2F247D85797F701009387079646 +:101C40009C4363F9E700F247D85797F70100938761 +:101C5000E79498C3F247D857BA878A07BA978A0792 +:101C600017F701001307C7853E97F2479107BE8516 +:101C70003A85EFE07F93F247D85797E701009387C3 +:101C800067779C43DC576364F700EFE02FF8EF10B1 +:101C9000001E0100B25045618280797106D62AC6C5 +:101CA00002CEB2473ECCB24781E77370043001A048 +:101CB000EFE0BF83AA873ECA6245CD352A878547B4 +:101CC0006314F70897F701009387078F9C43A5E7F4 +:101CD000E247D85797E701009387C7719C43DC57C9 +:101CE0006364F70085473ECEE24791073E85EFE00B +:101CF0005F96E247D85797F701009387278A9C435E +:101D000063F9E700E247D85797F7010093870789FF +:101D100098C3E247D857BA878A07BA978A0717E75E +:101D200001001307E7793E97E2479107BE853A85A0 +:101D3000EFE09F8711A8E247E107BE8517F5010094 +:101D400013054581EFE05F86D2473E85EFE0EFF86F +:101D5000F2473E85B25045618280011106CE81482E +:101D600001488147014781461306004097E501007D +:101D70009385452917150000130585A5EFF06FF72A +:101D80002AC6324785476315F700EF10C06D2AC693 +:101D9000324785476319F7027370043097F70100E3 +:101DA000938747817D5798C397E701009387477FC3 +:101DB000054798C397E701009387077E23A0070094 +:101DC000EFE02FCE31A0B24781E77370043001A05D +:101DD0000100F24005618280411106C67370043033 +:101DE00097E701009387C77B23A00700EFE0AFD9F7 +:101DF0000100B2404101828097E701009387C77BD1 +:101E00009C431387170097E701009387E77A98C3ED +:101E100001008280011106CE02C697E70100938778 +:101E2000A7799C4381E77370043001A0EF10400153 +:101E300097E70100938747789C431387F7FF97E75D +:101E400001009387677798C397E701009387C77668 +:101E50009C436390071097E701009387A7739C4307 +:101E60006389070E59A097E701009387A76EDC47A7 +:101E7000DC473EC4A247E1073E85EFE08FFDA24765 +:101E800091073E85EFE0EFFCA247D85797E70100A6 +:101E90009387C7709C4363F9E700A247D85797E739 +:101EA00001009387A76F98C3A247D857BA878A07BC +:101EB000BA978A0717E70100130787603E97A24782 +:101EC0009107BE853A85EFE02FEEA247D85797E7F6 +:101ED0000100938727529C43DC576368F70097E71C +:101EE00001009387676C054798C397E701009387C4 +:101EF00067669C43ADFB97E701009387A76A9C4305 +:101F00008DCF35A0452AAA8799C797E70100938707 +:101F1000A769054798C397E701009387A7689C4383 +:101F20001387F7FF97E701009387C76798C397E781 +:101F30000100938727679C43F1F797E70100938798 +:101F4000A766984385476316F70085473EC6EFE0CE +:101F5000EFCBEF00D071B2473E85F2400561828041 +:101F6000011106CEEF00D06D97E701009387C7629D +:101F70009C433EC6EF00B06FB2473E85F24005611C +:101F80008280011106CEEFE04FD6AA873EC697E7C2 +:101F90000100938767609C433EC4B2473E85EFE0F3 +:101FA000CFD3A2473E85F2400561828097E70100CA +:101FB0009387475E9C433E858280797106D62AC608 +:101FC0002EC432C202CE95473ECC3D3597E7010084 +:101FD0009387475C9C4322476368F70CE247FD17F1 +:101FE0003ECCF24796073247B306F7006247BA87FE +:101FF0008A07BA978A0717E701001307674CBA9751 +:102000000546BE853685EF00902A2A87F247BA97A3 +:102010003ECEE247E1F7F247960732473E9797E711 +:1020200001009387A7529C430946BE853A85EF007D +:1020300010282A87F247BA973ECEF24796073247D2 +:102040003E9797E701009387A7509C430946BE85BA +:102050003A85EF00D0252A87F247BA973ECEF2475D +:1020600096073247BA97114697E501009385C54F09 +:102070003E85EF00D0232A87F247BA973ECEF2473B +:1020800096073247BA970D4697E501009385454F6D +:102090003E85EF00D0212A87F247BA973ECE92477D +:1020A00081C7924723A00700B533F2473E85B2505F +:1020B00045618280797106D602CE97E70100938749 +:1020C000A74F9C436395071897E701009387C74C78 +:1020D0009C431387170097E701009387E74B98C34A +:1020E00097E701009387474B9C433ECCE247B9EF0B +:1020F00097E70100938787459C439C4381C7737092 +:10210000043001A097E70100938747449C433ECAEF +:1021100097E701009387C743984397E701009387A8 +:10212000E74298C397E7010093878742524798C3D5 +:1021300097E70100938787479C431387170097E72A +:1021400001009387A74698C3EF00102D97E7010081 +:10215000938747469C4362476365F70C97E7010006 +:102160009387C73E9C439C4399E3854711A08147D1 +:1021700081CB97E701009387E7437D5798C355A02C +:1021800097E701009387873C9C43DC47DC473EC8C8 +:10219000C247DC433EC66247B2476379F70097E720 +:1021A000010093872741324798C3A5A8C2479107EA +:1021B0003E85EFE00FCAC2479C5791C7C247E1076F +:1021C0003E85EFE00FC9C247D85797E701009387D4 +:1021D000E73C9C4363F9E700C247D85797E7010003 +:1021E0009387C73B98C3C247D857BA878A07BA971D +:1021F0008A0717E701001307A72C3E97C2479107EC +:10220000BE853A85EFE04FBAC247D85797E701003D +:102210009387471E9C43DC57E362F7F485473ECE25 +:1022200035BF97E701009387E71C9C43D85797E693 +:1022300001009386E628BA878A07BA978A07B69775 +:102240009843854763F1E70285473ECE29A897E783 +:102250000100938727359C431387170097E70100F8 +:102260009387473498C397E701009387E7339C43EC +:1022700099C385473ECEF2473E85B2504561828084 +:10228000011106CE97E70100938707339C4381CB6A +:1022900097E7010093874731054798C339A297E72D +:1022A00001009387673023A0070097E70100938719 +:1022B00067149C439C5B3EC6B7A7A5A59387575A56 +:1022C0003EC4B2479C4322476314F702B2479107CA +:1022D0009C432247631EF700B247A1079C43224755 +:1022E0006318F700B247B1079C4322476308F7041D +:1022F00097E7010093870710984397E701009387BA +:10230000670F9C4393874703BE853A85EF20100BE8 +:1023100035A097E70100938767289C4381E7737096 +:10232000043001A097E70100938747279C43138758 +:10233000F7FF97E701009387672698C397E70100A7 +:102340009387C725984397E6010093866617BA8757 +:102350008A07BA978A07B6979C43C5DF97E70100BB +:102360009387C7239843BA878A07BA978A0717E7DC +:1023700001001307E714BA973EC29247DC43D843E3 +:102380009247D8C39247D8439247A1076317F700F3 +:102390009247DC43D8439247D8C39247DC43D8479F +:1023A00097E701009387070598C30100F240056194 +:1023B0008280797106D62AC62EC4B24781E773702F +:1023C000043001A097E701009387C7029C43E1070F +:1023D000BE853245EFE04FA197E701009387870163 +:1023E0009C4391073E85EFE0CFA62247FD5763103F +:1023F000F70297E701009387E7FF9C439107BE85AB +:1024000017E501001305C517EFE00F9A19A897E724 +:102410000100938767189C432247BA973ECE7245C6 +:10242000712B0100B25045618280797106D62AC6AF +:102430002EC432C2B24781E77370043001A097E71F +:102440000100938767179C4381E77370043001A0F4 +:1024500097E70100938707FA9C43A246370700805D +:10246000558F98CF97E701009387C7F89C43E10702 +:10247000BE853245EFE04F9397E70100938787F7DA +:102480009C4391073E85EFE0CF9C1247FD576310B8 +:10249000F70297E701009387E7F59C439107BE8514 +:1024A00017E501001305C50DEFE00F9019A897E798 +:1024B00001009387670E9C431247BA973ECE724540 +:1024C000F5290100B25045618280797106D62AC68D +:1024D0002EC432C2B24781E77370043001A097E77F +:1024E0000100938727F19C43E107BE853245EFE069 +:1024F000AF8B97E701009387E7EF9C4391073E85F9 +:10250000EFE02F95124785476310F70297E7010028 +:10251000938747EE9C439107BE8517E5010013059D +:102520002506EFE06F8819A897E701009387C70693 +:102530009C432247BA973ECE724549210100B250D2 +:1025400045618280797106D62AC6B247DC47DC47EE +:102550003ECCE24781E77370043001A0E247E10717 +:102560003E85EFE00F8F97E701009387E7049C43D8 +:10257000A1EBE24791073E85EFE0AF8DE247D857E8 +:1025800097E70100938787019C4363F9E700E247DF +:10259000D85797E701009387670098C3E247D85759 +:1025A000BA878A07BA978A0717E70100130747F126 +:1025B0003E97E2479107BE853A85EFD0FFFE11A80E +:1025C000E247E107BE8517E501001305A5F8EFD046 +:1025D000BFFDE247D85797E701009387A7E19C43E7 +:1025E000DC5763FBE70085473ECE97E70100938702 +:1025F000A7FB054798C311A002CEF2473E85B25013 +:1026000045618280797106D62AC62EC497E70100FB +:10261000938787FA9C4381E77370043001A02247B7 +:10262000B70700805D8FB24798C3B247DC473ECC06 +:10263000E24781E77370043001A03245EFE06F811B +:10264000E24791073E85EFE0CF80E247D85797E712 +:1026500001009387A7F49C4363F9E700E247D8574A +:1026600097E70100938787F398C3E247D857BA8763 +:102670008A07BA978A0717E70100130767E43E97AE +:10268000E2479107BE853A85EFD01FF2E247D8575F +:1026900097E70100938707D69C43DC5763FBE7006D +:1026A00085473ECE97E70100938707F0054798C31B +:1026B00011A002CEF2473E85B250456182804111A1 +:1026C0002AC6B24781E77370043001A097E7010082 +:1026D0009387C7ED9843B24798C397E70100938764 +:1026E000A7EB9843B247D8C30100410182807971BA +:1026F00006D62AC62EC4B24781E77370043001A003 +:10270000A24781E77370043001A01D2F97E70100F5 +:10271000938787E89C433ECCA2479843FD576314B8 +:10272000F70002CE91A8B247984397E7010093873C +:10273000E7E79C43630AF700B247DC4362476365FF +:10274000F70085473ECE0DA8B247DC4362471D8F98 +:10275000A2479C436370F702A2479843B247D44311 +:10276000E247B387F6403E97A24798C33245813F80 +:1027700002CE19A085473ECEDD2DF2473E85B250F0 +:102780004561828097E70100938707E2054798C378 +:102790000100828001112AC6B24799C7B2473ECCD8 +:1027A000E247FC473ECE11A002CEF2473E850561CE +:1027B000828001112AC62EC4B24791C7B2473ECECD +:1027C000F2472247F8C7010005618280011106CE59 +:1027D0002AC6852297E70100938787CE98438547CD +:1027E000E3F9E7FEEFD09FC2EDB7397106DE2ACEDE +:1027F0002ECC32CA36C8BA872317F10002D625A0DC +:102800006247B257BA9703C70700F246B257B69766 +:10281000238AE7026247B257BA9783C7070089CB7A +:10282000B25785073ED63257BD47E3FBE7FC11A000 +:102830000100F247A38107045247914763F4E70080 +:1028400091473ECAF2475247D8D7F2475247B8CBD2 +:10285000F24723AA0704F24791073E85EFD0DFD362 +:10286000F247E1073E85EFD03FD3F247724798CB5E +:102870001547D2471D8FF24798CFF2477247D8D3FA +:10288000F24723A20704F24723AC0704F24723AE22 +:1028900007040100F25021618280011106CE02C6B8 +:1028A0000DA03247BA878A07BA978A0717E701004F +:1028B000130707C1BA973E85EFD0DFCAB247850735 +:1028C0003EC632479147E3FEE7FC17E501001305DA +:1028D00065C5EFD03FC917E501001305E5C5EFD089 +:1028E0007FC817E501001305E5C6EFD0BFC717E5A0 +:1028F0000100130565C7EFD0FFC617E501001305FA +:1029000025C8EFD03FC697E70100938727C417E794 +:102910000100130727C198C397E70100938747C3B6 +:1029200017E70100130747C198C30100F240056192 +:102930008280011106CE8DA8EFF00FCC97E7010041 +:10294000938787C29C4393B7170093F7F70F3EC650 +:10295000EFF04FCCB247A9EBE52197E70100938751 +:10296000A7C0DC47DC473EC4A24791073E85EFD0B5 +:102970005FCE97E701009387E7C19C431387F7FF7A +:1029800097E70100938707C198C397E701009387F2 +:10299000E7BE9C431387F7FF97E70100938707BEC5 +:1029A00098C3F1212245912C97E70100938707BD39 +:1029B0009C43D9F30100F24005618280011106CEEB +:1029C0002AC697E701009387E7A29C433247D8C302 +:1029D00097E70100938747BC9C4332476372F70235 +:1029E00097E701009387C7B6984397E7010093875D +:1029F00067A09C439107BE853A85EFD0FFBE35A8FE +:102A000097E70100938787B4984397E7010093877E +:102A1000679E9C439107BE853A85EFD0FFBC97E740 +:102A20000100938727B99C4332476378F70097E703 +:102A30000100938727B8324798C30100F24005612F +:102A40008280797106D6AA872EC42317F100A24787 +:102A500089EB8357E1008A073E85EF104051AA8732 +:102A600011A0A2473ECCE24785C313050006EF1034 +:102A700000502ACEF24789C7F247624798DB31A05F +:102A80006245EF10C06111A002CEF24781CFF2473C +:102A9000985B8357E1008A073E869305500A3A8582 +:102AA000EF104075F2473E85B25045618280397122 +:102AB00006DE22DC2AC62EC432C202D6A2479C43BE +:102AC000638D070EA2473ED4A257DC43D843A257DA +:102AD000D8C3A257D843A257A1076317F700A2573C +:102AE000DC43D843A257D8C3A257DC43DC473ED2CD +:102AF000A2473ED08257DC43D8438257D8C382577F +:102B0000D8438257A1076317F7008257DC43D843A5 +:102B10008257D8C38257DC43DC473ECEB257960774 +:102B20003247BA97724798C3B25796073247BA9757 +:102B3000724713074703D8C3B25796073247BA976D +:102B40007247384798C7B25796073247BA97124725 +:102B5000D8C7B25796073247BA977247585798CB9B +:102B600012478D47631BF700F2479C5799C7B2572E +:102B700096073247BA970947D8C7B25796073247E0 +:102B8000BA977247384BD8CBB25796073247BA97A5 +:102B900023AC0700F247945BB257960732473304E1 +:102BA000F70036850D20AA87231EF400B25785074B +:102BB0003ED672479257E31DF7F2B2573E85F25068 +:102BC00062542161828001112AC602CE39A0B24727 +:102BD00085073EC6F24785073ECEB24703C70700CA +:102BE0009307500AE305F7FEF24789833ECEF2478A +:102BF000C207C1833E8505618280011106CE2AC6C7 +:102C0000B2479C5B3E85EF1080493245EF1020496A +:102C10000100F24005618280411197E7010093872E +:102C2000E7929C439C4399E3854711A0814781CB60 +:102C300097E70100938707987D5798C305A097E70A +:102C400001009387A7909C43DC47DC473EC6B24710 +:102C5000D84397E701009387E79598C301004101A6 +:102C60008280411197D701009387C7789C433EC665 +:102C7000B2473E8541018280411197E70100938769 +:102C800027929C4381E785473EC619A897E7010034 +:102C9000938787929C4381E789473EC611A002C66D +:102CA000B2473E8541018280797106D62AC6B24775 +:102CB0003ECEB247F9C3F247D85797D70100938762 +:102CC00067739C43DC57637AF70AF2479C4F63CCE7 +:102CD000070097D701009387E7719C43DC5715479E +:102CE0001D8FF24798CFF247D44BF247D857BA8797 +:102CF0008A07BA978A0717D701001307677CBA9724 +:102D00006394F600854711A08147A5C3F247910758 +:102D10003E85EFD01F9497D701009387A76D9C4302 +:102D2000D857F247D8D7F247D85797E7010093878B +:102D3000E7869C4363F9E700F247D85797E701001D +:102D40009387C78598C3F247D857BA878A07BA9737 +:102D50008A0717D701001307A7763E97F247910716 +:102D6000BE853A85EFD05F8409A897D70100938785 +:102D700067689C43D857F247D8D70100B2504561E5 +:102D80008280797106D62AC6B2473ECC02CEB247BF +:102D9000DDC397D701009387E7659C4362476305CE +:102DA000F7007370043001A0E247FC4B81E77370B9 +:102DB000043001A0E247FC4B1387F7FFE247F8CB52 +:102DC000E247D857E247BC4B6307F706E247FC4BA4 +:102DD000BDE3E24791073E85EFD0BF87E247B84B9E +:102DE000E247D8D7E247DC5715471D8FE24798CF17 +:102DF000E247D85797D701009387477A9C4363F9F6 +:102E0000E700E247D85797D701009387277998C3FF +:102E1000E247D857BA878A07BA978A0717D70100B7 +:102E20001307076A3E97E2479107BE853A85EFD0C0 +:102E3000AFF785473ECEF2473E85B250456182806E +:102E40007370043097D70100938787759C4391CFA7 +:102E500097D701009387075A9C43F8430507F8C3A7 +:102E600097D70100938707599C430100828097D729 +:102E700001009387E7729C438DCB97D7010093871E +:102E800067579C43FC4395C397D7010093878756A8 +:102E90009C43F8437D17F8C397D701009387875564 +:102EA0009C43FC4399E3736004300100828041112C +:102EB00097D70100938707549C439C4F3EC697D7F2 +:102EC0000100938727539C43D85797D701009387D6 +:102ED00067529C4395463387E64098CFB2473E857C +:102EE0004101828097D701009387C7509C4389CBCB +:102EF00097D70100938707509C43F84B0507F8CB01 +:102F000097D701009387074F9C433E858280797154 +:102F100006D62AC62EC42D3797D701009387874D32 +:102F20009C43BC4FADE397D701009387A74C9C43CC +:102F30000547F8CFA247A1CB97D701009387874BCE +:102F40009C4391073E85EFD0CFF02247FD57631099 +:102F5000F70297D701009387E7499C439107BE8505 +:102F600017D501001305C561EFD00FE419A897D755 +:102F70000100938767629C432247BA973ECE724511 +:102F8000353CEFD0AFC8E535653D97D70100938755 +:102F900067469C43BC4F3ECCE2479DC3B24789CBBA +:102FA00097D70100938707459C4323AC070409A8E2 +:102FB00097D70100938707449C43B84F7D17B8CF3C +:102FC00097D70100938707439C4323AE07044535F9 +:102FD000E2473E85B25045618280797106D62AC6A5 +:102FE0002EC432C236C0A93D97D7010093878740CF +:102FF0009C43F84F8947630DF70697D701009387E5 +:10300000673F9C43B44F32471347F7FF758FB8CFE4 +:1030100097D701009387073E9C430547F8CF824727 +:10302000A1CB97D701009387E73C9C4391073E854E +:10303000EFD02FE20247FD576310F70297D7010048 +:103040009387473B9C439107BE8517D50100130525 +:103050002553EFD06FD519A897D701009387C75391 +:103060009C430247BA973ECC6245893AEFD00FBAEB +:10307000FD3BF933924789CB97D701009387873778 +:103080009C43B84F924798C397D7010093878736E0 +:103090009C43F84F85476314F70002CE31A897D7B9 +:1030A0000100938727359C43B44F22471347F7FF0E +:1030B000758FB8CF85473ECE97D70100938787336A +:1030C0009C4323AE07046533F2473E85B250456109 +:1030D0008280797106D62AC62EC432C236C0854790 +:1030E0003ECEB24781E77370043001A0B2473ECCB8 +:1030F000813B824789C7E247B84F824798C3E2477E +:10310000FC4F3ECAE2470947F8CF1247914763EEAA +:10311000E70492471397270097D70100938707EF9B +:10312000BA97984397D70100938747EEBA9782875B +:10313000E247B84FA2475D8FE247B8CF3DA0E247D4 +:10314000BC4F13871700E247B8CF05A0E2472247DC +:10315000B8CF21A8524789476306F700E2472247C4 +:10316000B8CF21A002CE11A0010052478547631AB3 +:10317000F706E24791073E85EFD0AFCDE247D8573B +:1031800097D70100938787419C4363F9E700E247A3 +:10319000D85797D701009387674098C3E247D8571D +:1031A000BA878A07BA978A0717D7010013074731EA +:1031B0003E97E2479107BE853A85EFD0EFBEE247E2 +:1031C0009C5781C77370043001A0E247D85797D746 +:1031D0000100938727229C43DC5763F4E700EFD07C +:1031E000EFA27131F2473E85B2504561828039715C +:1031F00006DE2ACE2ECC32CA36C83AC685473ED61F +:10320000F24781E77370043001A0F2473ED4EFD05B +:10321000CFADAA873ED2C24789C7A257B84FC2478F +:1032200098C3A257FC4F3ED0A2570947F8CF524748 +:10323000914763EEE704D2471397270097D7010021 +:10324000938707DEBA97984397D70100938747DDA6 +:10325000BA978287A257B84FE2475D8FA257B8CF7F +:103260003DA0A257BC4F13871700A257B8CF05A0A7 +:10327000A2576247B8CF21A8025789476306F700D3 +:10328000A2576247B8CF21A002D611A00100025771 +:103290008547631DF708A2579C5781C77370043098 +:1032A00001A097D70100938727319C43A1EBA25738 +:1032B00091073E85EFD0EFB9A257D85797D70100B5 +:1032C0009387C72D9C4363F9E700A257D85797D738 +:1032D00001009387A72C98C3A257D857BA878A07AB +:1032E000BA978A0717D701001307871D3E97A25781 +:1032F0009107BE853A85EFD02FAB11A8A257E10701 +:10330000BE8517D501001305E524EFD0EFA9A2571C +:10331000D85797D701009387E70D9C43DC5763F795 +:10332000E700B24781C7B247054798C392573E8529 +:10333000EFD0AF9AB2573E85F25021618280797109 +:1033400006D62AC62EC4B24781E77370043001A0A6 +:10335000B2473ECEEFD06F99AA873ECCF247FC4FE2 +:103360003ECAF2470947F8CFF247BC4F1387170010 +:10337000F247B8CF52478547631DF708F2479C577D +:1033800081C77370043001A097D701009387C722CB +:103390009C43A1EBF24791073E85EFD08FABF247FC +:1033A000D85797D701009387671F9C4363F9E700BD +:1033B000F247D85797D701009387471E98C3F24723 +:1033C000D857BA878A07BA978A0717D70100130711 +:1033D000270F3E97F2479107BE853A85EFD0CF9CE5 +:1033E00011A8F247E107BE8517D501001305851620 +:1033F000EFD08F9BF247D85797D70100938787FF6D +:103400009C43DC5763F7E700A24781C7A247054703 +:1034100098C3E2473E85EFD04F8C0100B250456122 +:103420008280797106D62AC6B2473ECCE24799E738 +:1034300097D70100938707FC9C4311A0E2473ECC3D +:103440000134E247F84F89476318F700E24723AE9B +:10345000070485473ECE11A002CE113CF2473E85BF +:10346000B25045618280011106CE02C61D2B97D74E +:1034700001009387A7179C439DC38148014881475A +:10348000094781461306004097D50100938585BA08 +:10349000170500001305051BEFE0AF852AC6B247EC +:1034A00081E77370043001A0B2473E85F2400561A8 +:1034B0008280397106DE2ACE2ECC32CA36C83AC690 +:1034C000E24799E302D62DA81305C002EF00302A87 +:1034D0002AD6B25795C7F121B257724798C3B2574F +:1034E000624798CFB2575247D8CFB257424798D386 +:1034F000B2573247D8D3B25791073E85EFD0CF8924 +:10350000E24781E77370043001A0B2573E85F25064 +:1035100021618280397106DE2ACE2ECC32CA36C8AD +:103520003AC602D6F24781E77370043001A097D7FC +:1035300001009387A70B9C43B5CBE2473ED0D2470F +:103540003ED2F2473ED46247954763C4E704EFF0AA +:10355000AFF22A8789476310F70297D70100938754 +:10356000E7089C43181081463246BA853E85EFD065 +:103570002FBF2AD625A897D70100938727079C43FA +:10358000181081460146BA853E85EFD06FBD2AD618 +:1035900031A897D70100938767059C43181081468F +:1035A0004246BA853E85EFD08FF22AD6B2573E8585 +:1035B000F2502161828001112AC6B2473ECEB24745 +:1035C00081E77370043001A0F2479C433E8505619A +:1035D0008280797106D62AC62EC497D701009387B8 +:1035E00067009C43DC47DC473ECEF24791073E85AF +:1035F000EFD02F86F247D84F8547631BF702F2477B +:10360000984FB247BA97B2462246BE857245B92254 +:103610002A878547631EF700014781463246814568 +:103620007245CD3D2ACCE24781E77370043001A09A +:10363000F247DC53724582970100B25045618280A7 +:10364000797106D62AC63C083E8541282ACEE24733 +:10365000BE85724519206922FDB7797106D62AC642 +:103660002EC4EFE06FF93C083E8545282ACEE2479C +:10367000B9EFA24799EB3247F24763E8E700EFE082 +:103680006FF9F2453245B137A9A0A24781CF97D74C +:103690000100938767F59C439C4393B7170093F70A +:1036A000F70F3EC497D70100938747F494433247FE +:1036B000F247B307F7402246BE853685EFD0FFDDDF +:1036C000EFE04FF5AA8791E7EFC05FD419A0EFE0D4 +:1036D0006FF40100B2504561828001112AC697D76C +:1036E0000100938727F09C439C4393B7170093F7FF +:1036F000F70F3E87B24798C3B2479C4391EB97D7E9 +:103700000100938727EE9C43DC479C433ECE11A0EB +:1037100002CEF2473E8505618280797106D62AC6BF +:10372000EFE01F842ACE97D70100938767EC9C4374 +:1037300072476377F700692AB247054798C321A00B +:10374000B24723A0070097D70100938767EA724723 +:1037500098C3F2473E85B25045618280797106D6A2 +:103760002AC62EC432C236C002CEB2472247D8C3C0 +:10377000B247324798CB2247924763E8E7021247A5 +:1037800082471D8FB2479C4F6365F70085473ECE49 +:1037900099A097D70100938727E59843B2479107EF +:1037A000BE853A85EFC05FE43DA01247824763794A +:1037B000F700224782476365F70085473ECE21A880 +:1037C00097D70100938707E29843B2479107BE85D8 +:1037D0003A85EFC07FE1F2473E85B2504561828075 +:1037E000797106D6D9A0A24763C0070CC2473ECE66 +:1037F000F247DC4B91C7F24791073E85EFC07FE56A +:103800005C003E85193F2ACCA2472547636FF70825 +:103810001397270097D7010093874782BA97984359 +:1038200097D7010093878781BA9782873247F247FB +:103830009C4FBA973247BA866246BE857245393F79 +:103840002A8785476313F706F247DC537245829750 +:10385000F247D84F8547631AF7043247F2479C4F27 +:10386000BA97014781463E868145724565312ACA2D +:10387000D24785EF7370043001A03247F24798CFEA +:10388000F2479C4F81E77370043001A0F247984FD4 +:10389000E247BA97E2466246BE857245C13531A01D +:1038A0007245EF00C07F11A0010097D701009387F8 +:1038B000E7D39C43380081460146BA853E85EFD068 +:1038C0006FD8AA87E39107F20100B2504561828068 +:1038D000797106D671A097D701009387A7D09C4332 +:1038E000DC479C433ECC97D701009387A7CF9C43EE +:1038F000DC47DC473ECAD24791073E85EFC07FD503 +:10390000D247DC5352458297D247D84F8547631937 +:10391000F704D2479C4F6247BA973EC84247E247F6 +:1039200063F4E702D2474247D8C3D247524798CB05 +:1039300097D70100938707CB9843D2479107BE855D +:103940003A85EFC07FCA29A8014781466246814572 +:103950005245C9362AC6B24781E77370043001A0C8 +:1039600097D70100938707C89C439C43ADF797D72F +:103970000100938727C79C433ECE97D701009387CA +:10398000A7C6984397D701009387C7C598C397D711 +:103990000100938767C5724798C30100B250456123 +:1039A0008280411106C6EFF0AFC997D70100938717 +:1039B000E7C39C43C9E717D50100130525C0EFC035 +:1039C0007FBA17D501001305A5C0EFC0BFB997D7BF +:1039D0000100938727C117D70100130727BE98C39B +:1039E00097D70100938747C017D70100130747BE39 +:1039F00098C30146B1451145EFC0FFD52A8797D737 +:103A000001009387A7BE98C397D70100938707BE8D +:103A10009C4381E77370043001A097D7010093871E +:103A2000E7BC9C4389CF97D70100938727BC9C4371 +:103A300097C50100938505633E85EFD05F9CEFF04D +:103A40000FC30100B24041018280797106D62AC6B7 +:103A5000B2473ECEB24781E77370043001A0EFF069 +:103A60002FBEF247DC4BB337F00093F7F70F3ECC95 +:103A7000EFF0EFBFE2473E85B25045618280797139 +:103A800006D62AC6B2473ECEB24781E773700430ED +:103A900001A0EFF0EFBAF2479C533ECCEFF02FBD00 +:103AA000E2473E85B25045618280797106D62AC6CA +:103AB0002EC4B2473ECEB24781E77370043001A0F6 +:103AC000EFF00FB8F247224798D3EFF04FBA01005A +:103AD000B25045618280011106CE714549292AC63E +:103AE000B24789CBB24723A00700B24791073E8572 +:103AF000EFC05FA7B2473E85F24005618280397111 +:103B000006DE2AC62EC432C236C0B2473ED402D226 +:103B10001247B70700FFF98F81C77370043001A007 +:103B2000924781E77370043001A0EFF0EF94AA8709 +:103B300099E3824799E3854711A0814781E7737034 +:103B4000043001A0EFE04FABA2579C433ED0A2450A +:103B5000324585240257A2475D8F9247F98F12475D +:103B60006310F7020257A247D98F3ED6A257984357 +:103B7000924793C7F7FF7D8FA25798C302C025A035 +:103B8000824799CFA257938647001247B70700058F +:103B9000D98F0246BE853685EFE03F8902D621A047 +:103BA000A2579C433ED6EFE0EFA62ACE8247B1CB88 +:103BB000F24799E3EFC09F85EFF06FAF2AD63257F7 +:103BC000B7070002F98F85EBEFF08FA7A2579C4350 +:103BD0003ED632579247F98F1247631AF700A25721 +:103BE0009843924793C7F7FF7D8FA25798C3EFF092 +:103BF0000FA885473ED23257B7070001FD17F98F4E +:103C00003ED6B2573E85F250216182805D7186C6F4 +:103C10002ACE2ECC32CA36C83AC6F2473EDA02DC89 +:103C200002D8F24781E77370043001A06247B707FA +:103C300000FFF98F81C77370043001A0E24781E76C +:103C40007370043001A0EFF02F83AA8799E3B24785 +:103C500099E3854711A0814781E77370043001A083 +:103C6000EFE08F99D2579C433ED64246E24532550B +:103C700075242AD4A25799CFB2573EDE02C6D24746 +:103C8000A9CBD2579843E24793C7F7FF7D8FD2570E +:103C900098C381A0B24781E7B2573EDE1DA8D24744 +:103CA00091C76257B7070001D98F3EDCC24791C761 +:103CB0006257B7070004D98F3EDCD257938647007E +:103CC0006247E257D98F3246BE853685EFE0EFF581 +:103CD00002DEEFE02F942AD2B247B9CB925799E394 +:103CE000EFC0CFF2EFF0AF9C2ADE7257B7070002A9 +:103CF000F98F8DEBEFF0CF94D2579C433EDE4246D6 +:103D0000E2457255212CAA8799CBD24789CBD2574D +:103D10009843E24793C7F7FF7D8FD25798C3EFF0E0 +:103D20000F9502D87257B7070001FD17F98F3EDED5 +:103D3000F2573E85B64061618280797106D62AC607 +:103D40002EC4B2473ECEB24781E77370043001A063 +:103D50002247B70700FFF98F81C77370043001A0B5 +:103D6000EFF00F8EF2479C433ECCF2479843A247B8 +:103D700093C7F7FF7D8FF24798C3EFF04F8FE2476D +:103D80003E85B25045618280797106D62AC6B24717 +:103D90003ECEEFC08FF5AA873ECCF2479C433ECA89 +:103DA000E2473E85EFC06FF3D2473E85B250456192 +:103DB00082805D7186C62AC62EC402DCB2473ED818 +:103DC00002DAB24781E77370043001A02247B707D7 +:103DD00000FFF98F81C77370043001A0C2579107AB +:103DE0003ED6B257A1073ED4EFE00F81B257DC4771 +:103DF0003EDEC2579843A2475D8FC25798C3BDA805 +:103E0000F257DC433ED2F2579C433ED002DA0257CF +:103E1000B70700FFF98F3ECE0257B7070001FD1725 +:103E2000F98F3ED07247B7070004F98F89EBC2576C +:103E300098438257F98F89CF85473EDA11A8C25738 +:103E400098438257F98F02576314F70085473EDA8B +:103E5000D2579DC37247B7070001F98F89C76257D0 +:103E60008257D98F3EDCC2579843B7070002D98FDB +:103E7000BE857255EFE00FF992573EDE7257A2579A +:103E8000E310F7F8C2579843E25793C7F7FF7D8FC7 +:103E9000C25798C3EFD01FF8C2579C433E85B64027 +:103EA00061618280797106D62AC6B2473ECEF2475A +:103EB00091073ECCEFD05FF40DA0E247D847E24730 +:103EC000A1076315F7007370043001A0E247DC47D7 +:103ED000B70500023E85EFE0EFF2E2479C43F1FFB9 +:103EE0007245752AEFD01FF30100B2504561828000 +:103EF000011106CE2AC62EC4A24532455D3D010001 +:103F0000F24005618280011106CE2AC62EC4A24568 +:103F1000324525350100F2400561828001112AC633 +:103F20002EC432C202CE924781EB3247A247F98FAC +:103F300081CF85473ECE09A83247A247F98F224755 +:103F40006314F70085473ECEF2473E8505618280C7 +:103F500001112AC6B2473ECCB24799E302CE21A056 +:103F6000E2479C4F3ECEF2473E8505618280397123 +:103F700006DE2AC602D2EFD03FE8975703009387A8 +:103F800067689C4381EB55229757030093878767A7 +:103F9000054798C3B24789CFA1473E87B247BA9732 +:103FA0003EC6B2478D8B89C7B247F19B91073EC68B +:103FB000B247F9CB3247E567ED1763E7E70C975750 +:103FC0000300938727633ED4975703009387876244 +:103FD0009C433ED631A0B2573ED4B2579C433ED606 +:103FE000B257DC43324763F5E700B2579C43E5F72D +:103FF000325797570300938767606307F708A25704 +:104000009C432147BA973ED2B2579843A25798C3D0 +:10401000B257D843B247B307F74021470607637842 +:10402000F7043257B247BA973ECEB257D843B24799 +:104030001D8FF247D8C3B2573247D8C3F247DC438B +:104040003ECC975703009387E75A3ED021A0825772 +:104050009C433ED082579C43DC436247E3E9E7FE42 +:1040600082579843F24798C38257724798C397C7BD +:104070000100938767399843B257DC431D8F97C778 +:1040800001009387673898C3EFD0DFD8925799E340 +:10409000EF00303292573E85F25021618280797173 +:1040A00006D62AC6B2473ECCB247BDC3A147B30726 +:1040B000F0406247BA973ECCE2473ECAEFD0DFD32A +:1040C000D247DC433EC8975703009387A7523ECEA2 +:1040D00021A0F2479C433ECEF2479C43DC4342473B +:1040E000E3E9E7FEF2479843D24798C3F2475247C5 +:1040F00098C3D247D84397C701009387E7309C43C2 +:104100003E9797C701009387273098C3EFD09FD081 +:104110000100B2504561828097C701009387C72E86 +:104120009C433E85828001008280411197C7010037 +:104130009387874CF19B3EC6975703009387874B25 +:10414000324798C3975703009387C74A23A20700B3 +:10415000975703009387874A65677117D8C39757A6 +:1041600003009387A74923A00700B2473EC4A24794 +:1041700065677117D8C3A24717570300130707488D +:1041800098C301004101828001112AC62EC432C2A7 +:10419000A2473ECEB2473ECC3247A247D98F8D8B45 +:1041A000A1E319A8F2479843E24798C3E247910771 +:1041B0003ECCF24791073ECE9247F5173247BA9769 +:1041C0006247E361F7FE29A87247930717003ECEC6 +:1041D000E2479386170036CC034707002380E700A9 +:1041E00032479247BA976247E360F7FEB2473E858F +:1041F0000561828001112AC62EC432C2324792471D +:10420000D98F8D8B9DEFA24793F7F70F3ECAD24708 +:10421000A2075247D98F3ECAD247C2075247D98F09 +:104220003ECAB2473ECE39A0F247138747003ACE86 +:10423000524798C332479247BA977247E366F7FEF0 +:104240001DA0B2473ECC11A8E247138717003ACC15 +:1042500022471377F70F2380E70032479247BA9738 +:104260006247E363F7FEB2473E8505618280011134 +:104270002AC6B2473ECE21A0F24785073ECEF2477E +:1042800083C70700F5FB7247B247B307F7403E8587 +:104290000561828001112AC62EC4B2471387170018 +:1042A0003AC683C70700A30FF100A2471387170080 +:1042B0003AC483C70700230FF1008347F10199C770 +:1042C0000347F1018347E101E309F7FC0347F101EB +:1042D0008347E101B307F7403E8505618280011104 +:1042E0002AC62EC4B2473ECE0100224793071700CC +:1042F0003EC4F2479386170036CE0347070023805B +:10430000E70083C70700F5F3B2473E850561828069 +:1043100001112AC602CE02CC21A0B24785073EC6B3 +:10432000B24703C7070093070002E308F7FEB2474E +:1043300003C707009307D0026309F700B24703C71A +:1043400007009307B0026311F704B24783C7070061 +:10435000938737FD93B7170093F7F70F3ECCB2471B +:1043600085073EC615A07247BA878A07BA9786079F +:104370003ECEB247138717003AC683C7070093871C +:1043800007FD7247BA973ECEB24783C70700E1FFE9 +:10439000E24789C7F247B307F04011A0F2473E85D4 +:1043A0000561828001112AC69757030093878729E8 +:1043B0009C4393861700175703001307A72814C3BD +:1043C00032471377F70F975603009386A62BB697BD +:1043D0002380E7003247A947630BF7009757030094 +:1043E000938747269843930700046315F70497576C +:1043F0000300938727293ECE05A8F24713871700AD +:104400003ACE03C70700B70710F0938707F098C3A9 +:1044100097570300938707239C431387F7FF97570A +:1044200003009387272298C3975703009387872118 +:104430009C43E1F781473E85056182804D712326CB +:104440001114232481142322911423202115232EB7 +:104450003113232C4113232A51132ACE2ECC32C8D8 +:1044600036CA3AC63EC442C223260112B2473E8A29 +:10447000814AC24752485286D6863E85C285EF1091 +:10448000600DAA872E883E86C2868327C1121387B5 +:1044900017002326E11232878A07141AB69723AE33 +:1044A000E7EEB2473E848144D247268763E8E702BD +:1044B000D24726876396E700C247228763E0E70278 +:1044C000B2473E8981494A86CE864245D245EF00B1 +:1044D000B02AAA872E883EC842CA49BF010029A037 +:1044E000F247E24512458297A2471387F7FF3AC485 +:1044F0000327C112E346F7FE25A88327C1128A07C6 +:10450000181ABA9703A7C7EF8327C1128A07141A8C +:10451000B69783A6C7EFA54763F5D7009307700545 +:1045200019A093070003BA977247E2453E850297A8 +:104530008327C1121387F7FF2326E112E34FF0FA16 +:1045400001008320C114032481148324411403290E +:1045500001148329C113032A8113832A4113716132 +:10456000828041112AC62EC4A2460547635ED70049 +:10457000B2479C439D07E19B93868700324714C353 +:1045800003A847009C4335A0224719CB3247184364 +:1045900013064700B24690C21843BA87014811A8D3 +:1045A0003247184313064700B24690C21843BA87F1 +:1045B00001483E85C2854101828041112AC62EC430 +:1045C000A2460547635ED700B2479C439D07E19B27 +:1045D00093868700324714C303A847009C4305A86D +:1045E000224701CF3247184313064700B24690C214 +:1045F0001843BA877D873A8819A8324718431306AB +:104600004700B24690C21843BA877D873A883E85F4 +:10461000C28541018280397106DE22DC26DA2AC693 +:104620002EC432C236C011A86307042492478507FE +:104630003EC2B247A24522858297924783C70700B0 +:104640003E8493075002E311F4FE924785073EC271 +:1046500092473EC893070002A30BF100FD573ECEE0 +:10466000FD573ECC02D09247138717003AC283C74A +:1046700007003E849307D4FD130750056364F71EBB +:104680001397270097C701009387C79EBA9798434F +:1046900097C701009387079EBA9782879307D00236 +:1046A000A30BF100C9B793070003A30BF10065BF8B +:1046B00002CC6247BA878A07BA978607A297938780 +:1046C00007FD3ECC924783C707003E849307F00264 +:1046D00063D687029307900363C2870292478507D8 +:1046E0003EC2C1BF8247138747003AC09C433ECCBD +:1046F00039A0F247E3D907F602CEB5B70100F24779 +:10470000E3D307F6E2473ECEFD573ECCA9BF825722 +:1047100085073ED089BF8247138747003AC09C4334 +:104720003247A2453E850297B1A2824713874700D0 +:104730003AC0844389E497C4010093842493F247E8 +:104740006357F004034771019307D0026301F70434 +:10475000E247BE852685EF00E07F2A87F247998FE2 +:104760003ECE11A8834771013247A2453E8502978C +:10477000F247FD173ECEF247E346F0FE09A8B247E6 +:10478000A245228582978504F247FD173ECE83C756 +:1047900004003E841DC0E247E3C307FEE247FD1765 +:1047A0003ECCE247E3DD07FC09A8B247A24513056A +:1047B00000028297F247FD173ECEF247E347F0FE34 +:1047C00055A88A8782553E85CD3BAA872E883ED440 +:1047D00042D6A2573258C28763D90702B247A245D0 +:1047E0001305D0028297A255325681470148B386FD +:1047F000B740368533B5A7003307C840B307A74095 +:104800003E87B6873A883ED442D6A9473ED21DA8F5 +:10481000A9473ED215A0A1473ED239A885473ED030 +:10482000B247A245130500038297B247A24513057C +:1048300080078297C1473ED28A8782553E851533CD +:104840002AD42ED61257834771013E88F24722564A +:10485000B256A2453245DD3631A8B247A24522857F +:10486000829709A8B247A245130550028297C24712 +:104870003EC20100D9B30100F2506254D25421610A +:10488000828041112AC6B70710F0938707F232479A +:1048900098C301A05D7106D62AC62EDA32DC36DE58 +:1048A000BAC0BEC2C2C4C6C69C0891173ECEF2476B +:1048B000BE8632468145170500001305E5AEA13BD3 +:1048C00081473E85B2506161828001112AC62EC4A3 +:1048D0001EC2A2473ECEF2479C4332471377F70FE2 +:1048E0002380E700F2479C4313871700F24798C3E1 +:1048F0000100056182801D7106DE2AC62EC4B2C485 +:10490000B6C6BAC8BECAC2CCC6CE9C103ED25C08DF +:104910004C0817060000130686FB7D779346F7FFC9 +:104920000565130505803388A5003378E800136812 +:10493000783B23A007013295698F13677733D8C37B +:1049400033F7D5009315470137870300130737392D +:104950004D8F98C73377D60093164701370703006A +:1049600013077706558FD8C70F100000B2473ED601 +:104970009C10A1173ED422575C083E857C00BA8665 +:104980002246BE854939B24723800700B2473E8799 +:10499000B257B307F7403E85F2502561828041113E +:1049A000370510F0130545F408412AC6370510F005 +:1049B000130505F408412AC4370510F0130545F422 +:1049C0000841B248E39EA8FC32452A83814313176D +:1049D000030081462245AA850146B3E7B600336845 +:1049E000C700370710F0130587F49305007D0146D3 +:1049F000B386B700B688B3B8F8003307C800B387EA +:104A0000E8003E87B6873A881CC1232205010100D1 +:104A100041018280411106C659379307000873A0EF +:104A200047300100B24041018280011106CE2AC602 +:104A300017B5010013058579EFF0DFE50100F240BD +:104A400005618280011106CE2AC617B50100130543 +:104A50006578EFF03FE40100F240056182807971F2 +:104A600006D62AC602CE29A00100F24785073ECE0F +:104A70007247856793877738E3D8E7FE17B501005B +:104A80001305C575EFF01FE1F1BF411106C60945D9 +:104A9000252A0100B24041018280011106CE02C6E2 +:104AA000F92E2A878547630CF70017B50100130517 +:104AB0006573EFF03FDEB24793E727003EC6B2478B +:104AC0003E85F24005618280011106CE02C6753F27 +:104AD0001707000013076704814605469305400643 +:104AE00017B501001305C571EFE0BF9C2AC6B24798 +:104AF00099CBEFD0EFC6AA87014781463E86854510 +:104B00003245EFE03FA102C4EFD02FA581473E859B +:104B1000F24005618280797106D62AC697C70100E6 +:104B20009387878D9C431387470697C70100938718 +:104B3000A78C98C397C701009387478C9C43138722 +:104B4000470697C701009387678B98C397C70100F3 +:104B50009387878A98438567938777BB63D5E704F4 +:104B600097C70100938747899843FD7793878744C8 +:104B70003E9797C701009387278898C3393F2ACE6D +:104B8000F24799EB97C70100938747879843896756 +:104B90009387773263DBE700F2473E85EFF07FCE05 +:104BA000EFD08FA321A0010011A00100B2504561F8 +:104BB00082807370043001A00100828041112AC6F6 +:104BC0002EC47370043001A05D7186C62AC6854765 +:104BD0003EDE95473EDC9307803E3EDA02D8314503 +:104BE000EFF0EFB82AD6014689457255EFB0BFB64F +:104BF0002A87B25798C3B2575257D8C3B2571757DC +:104C00000300130727AC98C73145EFF04FB62AD4FD +:104C1000B2579843A25798C3A2574257D8C3A25736 +:104C200017570300130787AA98C78148014881478F +:104C30003247B2561306004097B501009385055DD3 +:104C4000170500001305251CEFC0BF8A81480148E5 +:104C500081470147A2561306004097B5010093858E +:104C6000655B1705000013054513EFC09F883145AC +:104C7000EFF0EFAF2AD2014689457255EFB0BFADD4 +:104C80002A87925798C392574257D8C392571757BB +:104C900003001307C7A398C73145EFF04FAD2AD0E3 +:104CA00092579843825798C382575257D8C3825716 +:104CB00017570300130727A198C781480148814768 +:104CC000014792561306004097B50100938505559C +:104CD0001705000013052513EFC0BF818148014867 +:104CE0008147324782561306004097B501009385ED +:104CF0006553170500001305450AEFC08FFF3145C6 +:104D0000EFF0EFA62ACE014689456255EFB0BFA469 +:104D10002A87F24798C3F2475257D8C3F24717572A +:104D200003001307E79A98C73145EFF04FA42ACC48 +:104D3000F2479843E24798C3E2475257D8C3E24745 +:104D4000175703001307479898C7814801488147C0 +:104D50000147F2461306004097B501009385054DC3 +:104D60001705000013056503EFC0AFF8814801483F +:104D700081470147E2461306004097B5010093853D +:104D8000654B1705000013050508EFC08FF60100FD +:104D9000B64061618280797106D62AC6231B010064 +:104DA000231F0100B2473ECCE2479843E247D0437D +:104DB000930761018146BE853A85EFB0DFD02A872F +:104DC00085476306F7008547231FF100F1BF83176E +:104DD000E10185E3E2479C4703D70700420741878B +:104DE00042074183050742074183420741872390D9 +:104DF000E700835761018507C207C183231BF100C8 +:104E000065B7797106D62AC6231F0100231E01004B +:104E1000B2473ECCE2479843E247D0439307610153 +:104E20008146BE853A85EFB09FD72A878547E31331 +:104E3000F7FE835761010357E101630AF7008357C7 +:104E40006101231FF1008547231EF100E1B783179D +:104E5000C10185E3E2479C4703D70700420741872A +:104E60004207418305074207418342074187239058 +:104E7000E7008357E1018507231FF10061BF41115E +:104E800085473EC602C475A81757030013078783DA +:104E9000A2478607BA9783D7070013970701418770 +:104EA0009756030093860683A2478607B697839793 +:104EB00007006313F70002C6175703001307878024 +:104EC000A2478607BA9783D7070013970701418740 +:104ED0009756030093860680A2478607B6972390CD +:104EE000E700174703001307677EA2478607BA97B4 +:104EF00083D70700139707014187974603009386DE +:104F0000E67DA2478607B697839707006313F700ED +:104F100002C6174703001307677BA2478607BA97A5 +:104F200083D70700139707014187974603009386AD +:104F3000E67AA2478607B6972390E700A24785073F +:104F40003EC422478947E3D1E7F4B2473E85410199 +:104F500082800000B305B500930705006386B700A3 +:104F600003C70700631607003385A740678000006A +:104F7000938717006FF09FFE130101FD232291021A +:104F8000232A5101232611022324810223202103F5 +:104F9000232E3101232C4101232861012326710195 +:104FA00023248101232291012320A101930A0500DA +:104FB00093840500639E06381304060093090500D8 +:104FC00017B901001309892763F8C512B707010053 +:104FD000138B05006378F6101307F00F3337C70003 +:104FE00013173700B357E6003309F9008346090069 +:104FF0003387E60093060002B386E640638C060022 +:10500000B394D40033D7EA003314D600336B97003F +:10501000B399DA00935A040193850A0013050B0033 +:10502000EF00902A1309050093850A00931B0401E1 +:1050300013050B00EF00D02493DB0B019304050054 +:105040009305050013850B00EF00D020131909010B +:1050500093D70901B367F900138A040063FEA70020 +:10506000B3878700138AF4FF63E8870063F6A7001D +:10507000138AE4FFB3878700B384A74093850A00AF +:1050800013850400EF0050241309050093850A00DE +:1050900013850400EF00D01E9399090193040500C5 +:1050A000930505001319090113850B0093D9090114 +:1050B000EF00501AB36939011386040063FCA9009C +:1050C000B30934011386F4FF63E6890063F4A90091 +:1050D0001386E4FF13140A013364C400130A0000AA +:1050E0006F000013B707000113070001E36CF6EE31 +:1050F000130780016FF01FEF138A0600631A060082 +:105100009305000013051000EF009017130405002D +:10511000B7070100637EF4129307F00F63F4870072 +:10512000130A8000B35744013309F900034709000B +:105130009306000233074701B386E64063940612E4 +:10514000B3848440130A1000135B040193050B0021 +:1051500013850400EF0050171309050093050B0099 +:1051600013850400931B0401EF00901193DB0B01E6 +:10517000930405009305050013850B00EF00900DC7 +:105180001319090193D70901B367F900938A040041 +:1051900063FEA700B3878700938AF4FF63E8870064 +:1051A00063F6A700938AE4FFB3878700B384A74020 +:1051B00093050B0013850400EF001011130905007F +:1051C00093050B0013850400EF00900B93990901E0 +:1051D00093040500930505001319090113850B00BD +:1051E00093D90901EF001007B36939011386040050 +:1051F00063FCA900B30934011386F4FF63E6890058 +:1052000063F4A9001386E4FF13940A013364C40015 +:105210001305040093050A008320C10203248102C0 +:1052200083244102032901028329C101032A810148 +:10523000832A4101032B0101832BC100032C810030 +:10524000832C4100032D010013010103678000003E +:10525000B7070001130A0001E366F4EC130A8001AA +:105260006FF05FEC3314D40033DAE400B399DA0062 +:1052700033D7EA00935A0401B394D40093850A000B +:1052800013050A00336B9700EF00100413090500A3 +:1052900093850A0013050A00931B0401EF00407E6A +:1052A00093DB0B01930405009305050013850B00A8 +:1052B000EF00407A1319090113570B013367E90016 +:1052C000138A0400637EA70033078700138AF4FF64 +:1052D000636887006376A700138AE4FF33078700BB +:1052E000B304A74093850A0013850400EF00C07D36 +:1052F0001309050093850A0013850400EF00407828 +:10530000930405009305050013850B00EF008074DE +:1053100013170B011357070113190901B367E900AC +:105320001387040063FEA700B38787001387F4FF89 +:1053300063E8870063F6A7001387E4FFB38787005D +:10534000131A0A01B384A740336AEA006FF0DFDF63 +:1053500063ECD51EB707010063F4F6041307F00FE2 +:10536000B335D7009395350033D7B60097B7010012 +:105370009387C7ECB387E70003C70700130A00024F +:105380003307B700330AEA4063160A021304100019 +:10539000E3E096E833B6CA00134416006FF05FE707 +:1053A000B707000193050001E3E0F6FC93058001D7 +:1053B0006FF09FFBB35CE600B3964601B3ECDC00F4 +:1053C00033D4E40093DB0C01B397440133D7EA00F4 +:1053D00093850B0013050400336BF700B3194601E6 +:1053E000EF00806E1309050093850B001305040080 +:1053F000139C0C01EF00C068135C0C0193040500C2 +:105400009305050013050C00EF00C0641319090192 +:1054100013570B013367E90013840400637EA70070 +:10542000330797011384F4FF636897016376A7003D +:105430001384E4FF33079701B304A74093850B005F +:1054400013850400EF0040681309050093850B00E5 +:1054500013850400EF00C062930405009305050066 +:1054600013050C00EF00005F93170B0113190901DE +:1054700093D70701B367F9001386040063FEA70002 +:10548000B38797011386F4FF63E8970163F6A700DB +:105490001386E4FFB387970113140401B70B0100CF +:1054A0003364C4001389FBFF337D240133F92901E0 +:1054B000B384A7409305090013050D00EF00805940 +:1054C000935C040193050900130B050013850C0080 +:1054D000EF00405893D90901130C0500938509008A +:1054E00013850C00EF000057130905009385090090 +:1054F00013050D00EF0000563305850193570B018E +:105500003385A70063748501330979019357050139 +:10551000B387270163E6F402E392F4BCB707010006 +:105520009387F7FF3375F50013150501337BFB00F7 +:1055300033964A0133056501130A0000E37AA6CCCD +:105540001304F4FF6FF09FB9130A00001304000066 +:105550006FF01FCC130101FB23248104232291044B +:10556000232E310323229103232611042320210516 +:10557000232C4103232A510323286103232671038B +:10558000232481032320A103232EB101930C0500C2 +:10559000938905001304050093840500639E062685 +:1055A00013090600138A060097BA0100938A0AC9F4 +:1055B00063F4C514B70701006376F6129307F00F82 +:1055C00063F4C700130A8000B3574601B38AFA0098 +:1055D00003C70A001305000233074701330AE540F9 +:1055E000630C0A00B395490133D7EC003319460127 +:1055F000B364B70033944C01935A090193850A00B0 +:1056000013850400EF00404C9309050093850A00C0 +:10561000131B090113850400EF008046135B0B0187 +:105620009305050013050B00EF00C0429399090193 +:1056300093570401B3E7F90063FAA700B387270182 +:1056400063E6270163F4A700B3872701B384A7406B +:1056500093850A0013850400EF00004793090500B5 +:1056600093850A0013850400EF00804113140401A0 +:10567000930505009399090113050B0013540401C8 +:10568000EF00403D33E48900637AA4003304240131 +:10569000636624016374A400330424013304A4402A +:1056A00033554401930500008320C1040324810481 +:1056B00083244104032901048329C103032A8103AC +:1056C000832A4103032B0103832BC102032C810294 +:1056D000832C4102032D0102832DC1011301010519 +:1056E00067800000B7070001130A0001E36EF6ECC3 +:1056F000130A80016FF05FED631A06009305000046 +:1057000013051000EF00C03713090500B7070100AB +:10571000637AF90E9307F00F63F42701130A8000F0 +:10572000B3574901B38AFA0003C70A001305000200 +:10573000B384294133074701330AE540E30E0AEAFF +:1057400033194901B3DAE900B395490133D7EC00C5 +:1057500093540901336BB70013850A009385040045 +:10576000EF0080369309050093850400931B09011F +:1057700013850A00EF00C03093DB0B019305050091 +:1057800013850B00EF00002D9399090193570B012E +:10579000B3E7F90033944C0163FAA700B3872701FC +:1057A00063E6270163F4A700B3872701B38AA74004 +:1057B0009385040013850A00EF000031930905006A +:1057C0009385040013850A00EF00802B93050500E4 +:1057D00013850B00EF00002893150B019399090125 +:1057E00093D50501B3E5B90063FAA500B38525019A +:1057F00063E6250163F4A500B3852501B384A540C4 +:105800006FF09FDFB7070001130A0001E36AF9F0A8 +:10581000130A80016FF0DFF0E3E8D5E8B707010075 +:1058200063FCF604930BF00F33B5DB001315350062 +:1058300033D7A60097B70100938747A0B387E70047 +:1058400083CB070093050002B38BAB00338B75410C +:10585000631E0B0263E4360163EACC003384CC4060 +:10586000B386D94033B58C00B384A6401305040039 +:10587000938504006FF05FE3B70700011305000193 +:10588000E3E8F6FA130580016FF09FFAB39666011C +:10589000335D7601336DDD0033D47901B395690151 +:1058A00033DC7C0193540D01336CBC001305040000 +:1058B00093850400B31A6601EF000021130A050066 +:1058C000938504001305040033996C01931C0D01AA +:1058D000EF00001B93DC0C01130405009305050089 +:1058E00013850C00EF000017131A0A0113570C015F +:1058F0003367EA00130A0400637EA7003307A70199 +:10590000130AF4FF6368A7016376A700130AE4FF94 +:105910003307A701B309A740938504001385090045 +:10592000EF00801A93850400130405001385090015 +:10593000EF000015930505009304050013850C0086 +:10594000EF00401193150C011314040193D50501C8 +:10595000B365B4001387040063FEA500B385A501F9 +:105960001387F4FF63E8A50163F6A5001387E4FF3E +:10597000B385A501131A0A01B70C0100336AEA00C6 +:105980001384FCFFB3778A0033F48A00B384A54004 +:1059900013850700930504002326F100135A0A011A +:1059A000EF00400B930905009305040013050A005E +:1059B000EF00400A13DC0A01930D050093050C006B +:1059C00013050A00EF0000098327C100130A050030 +:1059D00093050C0013850700EF00C0073305B501E0 +:1059E00013D709013307A7006374B701330A9A017B +:1059F000B70701009387F7FF935507013377F70047 +:105A000013170701B3F7F900B3854501B307F70092 +:105A100063E6B400639EB400637CF90033865741AB +:105A2000B3B7C700B385A541B385F540930706001A +:105A3000B307F9403339F900B385B440B385254144 +:105A400033947501B3D767013365F400B3D56501AD +:105A50006FF09FC5130605001305000093F61500AF +:105A6000638406003305C50093D515001316160090 +:105A7000E39605FE678000006340050663C60506E1 +:105A800013860500930505001305F0FF630C06025D +:105A900093061000637AB6006358C0001316160010 +:105AA00093961600E36AB6FE1305000063E6C50090 +:105AB000B385C5403365D50093D61600135616003E +:105AC000E39606FE6780000093820000EFF05FFB24 +:105AD00013850500678002003305A04063D80500E8 +:105AE000B305B0406FF0DFF9B305B040938200001A +:105AF000EFF01FF93305A040678002009382000099 +:105B000063CA0500634C0500EFF09FF7138505009D +:105B100067800200B305B040E35805FE3305A0409E +:0C5B2000EFF01FF63305B0406780020074 +:02000004800278 +:1000000049444C45000000006031FEFF2831FEFFEE +:100010003631FEFF4431FEFF4C31FEFF7032FEFFF1 +:100020003832FEFF4632FEFF5432FEFF5C32FEFFE6 +:10003000546D722053766300F437FEFFF437FEFFF1 +:10004000F437FEFF7238FEFF4238FEFF6838FEFFCD +:10005000F437FEFFF437FEFF7238FEFF4238FEFF32 +:10006000546D725100000000286E756C6C29000000 +:10007000F645FEFFF447FEFFEA47FEFFF447FEFFAA +:10008000F447FEFFF447FEFFF447FEFF7446FEFF11 +:10009000F447FEFFF447FEFF2C46FEFF8246FEFFBC +:1000A000F447FEFF3646FEFF4046FEFF4046FEFF99 +:1000B0004046FEFF4046FEFF4046FEFF4046FEFF34 +:1000C0004046FEFF4046FEFF4046FEFFF447FEFF6F +:1000D000F447FEFFF447FEFFF447FEFFF447FEFF40 +:1000E000F447FEFFF447FEFFF447FEFFF447FEFF30 +:1000F000F447FEFFF447FEFFF447FEFFF447FEFF20 +:10010000F447FEFFF447FEFFF447FEFFF447FEFF0F +:10011000F447FEFFF447FEFFF447FEFFF447FEFFFF +:10012000F447FEFFF447FEFFF447FEFFF447FEFFEF +:10013000F447FEFFF447FEFFF447FEFFF447FEFFDF +:10014000F447FEFFF447FEFFF447FEFFF447FEFFCF +:10015000F447FEFFF447FEFFF447FEFFF447FEFFBF +:10016000F447FEFFF447FEFFF447FEFFF447FEFFAF +:10017000A646FEFF5247FEFFF447FEFFF447FEFF90 +:10018000F447FEFFF447FEFFF447FEFFF447FEFF8F +:10019000F447FEFF9E46FEFFF447FEFFF447FEFFD6 +:1001A000A647FEFFAC47FEFFF447FEFFF447FEFF05 +:1001B000BA46FEFFF447FEFFA047FEFFF447FEFFEE +:1001C000F447FEFFC447FEFF6D69616F750A0000CA +:1001D0006D69616F75320A0068756E6772790A0021 +:1001E0004572726F7220696E20416C74426C636B51 +:1001F00051207461736B73200D0A000043686563BE +:100200006B54696D6572000051436F6E734231002B +:100210005150726F644232005150726F6442330029 +:1002200051436F6E734234005150726F6442350017 +:1002300051436F6E73423600000102020303030351 +:100240000404040404040404050505050505050566 +:100250000505050505050505060606060606060646 +:10026000060606060606060606060606060606062E +:100270000606060606060606070707070707070716 +:1002800007070707070707070707070707070707FE +:1002900007070707070707070707070707070707EE +:1002A00007070707070707070707070707070707DE +:1002B00007070707070707070808080808080808C6 +:1002C00008080808080808080808080808080808AE +:1002D000080808080808080808080808080808089E +:1002E000080808080808080808080808080808088E +:1002F000080808080808080808080808080808087E +:10030000080808080808080808080808080808086D +:10031000080808080808080808080808080808085D +:10032000080808080808080808080808080808084D +:08033000080808080808080885 +:100338001000000000000000017A5200017C010159 +:100348001B0D02004C00000018000000244CFEFFAA +:10035800DC05000000440E30708903950781018890 +:100368000292049305940696089709980A990B9A9D +:100378000C0370020AC144C844C944D244D344D4CB +:1003880044D544D644D744D844D944DA440E00442A +:100398000B0000005000000068000000B051FEFF94 +:1003A8000005000000440E507488028903930599E3 +:1003B8000B810192049406950796089709980A9A62 +:1003C8000C9B0D0320010AC144C844C944D244D33C +:1003D80044D444D544D644D744D844D944DA44DB39 +:0803E800440E00440B0000006C +:1003FC00AAAAAAAA08000000FC8F010004000000B1 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/AltBlckQ_rv32ic_O3.hex b/VexRiscv/src/test/resources/freertos/AltBlckQ_rv32ic_O3.hex new file mode 100644 index 0000000..e001723 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/AltBlckQ_rv32ic_O3.hex @@ -0,0 +1,1740 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900021D4170502001305E556979503009385B3 +:1000A000257601461122170502001305E54997053B +:1000B0000200938585510146C52817A50300130545 +:1000C000657497B503009385A57337B6ABAB13067C +:1000D000B6BAD92817B103001301C172EF60205FCF +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2823423A02200F32210340A +:1002400096DEEF00002B170102000321213302414B +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E23523A0120023A29D +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40D0551701020003218A +:10033000E1240241F65273901234B72200009382F6 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A22783A0A4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2821223A0220086DEEF20F0 +:10046000205D17010200032161110241F6527390D1 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF403036EF10103B01E517 +:10050000B24041018280B24041016F2060529307A6 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30704F8F +:1005B0008280411122C406C62A8426C2EF20F04060 +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF2010076DD5EF20F0263C44FD173F +:1005F0003CC43C44E344F0FEFD573CC4EF20303F94 +:10060000EF20B03C7C40635BF0021C4885CB930438 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF20D0026DD5EF20B0225F +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20703A29CD0111F9 +:1006500022CC2A8406CE26CA4AC84EC62E89EF204E +:10066000D03624404C5C832904002685EF50D06F9F +:100670007D57232C0402B3079540CE974E9578C042 +:1006800048C0232434015CC438C4631109021C48E7 +:100690008DE7EF20D035F2406244D2444249B2495E +:1006A0000545056182807370043001A013050401C3 +:1006B000B93D13054402A13DE9BF13050401EF2034 +:1006C00040798547E317F5FC9133E1B701E5737095 +:1006D000043001A0011126CA4AC84EC606CE22CC5B +:1006E000AA842E89B289A5C9EF5010681305550553 +:1006F000EF30F0222A8435C5930745051CC144DC40 +:1007000023202405EF20702C24404C5C0329040096 +:100710002685EF507065FD56232C0402B3079540E3 +:100720003307A900CA9774C058C05CC434C4130509 +:10073000040123242401E13B13054402C93BEF20BB +:10074000102B230834052285F2406244D2444249EA +:10075000B2490561828013054005EF30501C2A84A0 +:1007600001E57370043001A000C051BF01E5737052 +:10077000043001A06375B5007370043001A041110D +:1007800022C409462E84814506C6893711C500DD7D +:10079000B2402244410182807370043001A05D7137 +:1007A00086C6A2C4A6C2CAC04EDE52DC56DA5AD8E9 +:1007B0005ED632C6630A051C6382051C89476399AD +:1007C000F600585D85476305F7007370043001A09B +:1007D0003689AE842A84EF20900A11E5B24781C79A +:1007E0007370043001A0EF20501E185C5C5C014B5C +:1007F000894BFD59130A0401930A44026365F70CFF +:1008000063077919B2476381072463150B00280831 +:10081000EF204077EF20B01DEF10E07FEF20F01ABF +:100820007C4063943701232204043C4463943701E1 +:1008300023240404EF20B01B6C002808EF20A076CE +:10084000631E051EEF207018185C5C5C630CF70AD1 +:10085000EF20F019EF2070173C44634FF00039A8E7 +:100860005685EF20005F19C1EF20E07E3C44FD1764 +:100870003CC43C446354F0005C50FDF32324340535 +:10088000EF20F016EF2070147C40634FF00039A881 +:100890005285EF20005C19C1EF20E07B7C40FD1702 +:1008A0007CC07C406354F0001C48FDF323223405D7 +:1008B000EF20F013EF10301B054BEF201011185CE8 +:1008C0005C5CE37FF7F230406305060C631F09129E +:1008D0000844A685EF30F01C1C4434405840B697BD +:1008E0001CC463EAE70A1C5C14405850850714C412 +:1008F0001CDC5DC713054402EF20A055854763113A +:10090000F50AC9A8EF20B00EB2455285EF20203677 +:10091000EF20B00B3C44634FF00039A85685EF2020 +:10092000405319C1EF2020733C44FD173CC43C44A4 +:100930006354F0005C50FDF323243405EF20300BAA +:10094000EF20B0087C40634FF00039A85285EF20BB +:10095000405019C1EF2020707C40FD177CC07C40C6 +:100960006354F0001C48FDF323223405EF203008C7 +:10097000EF10700F31F1593C054B81B73C41E38FCB +:1009800007E27370043001A07370043001A030409E +:1009900021E61C4085C71C5C585085071CDC39FBD0 +:1009A000EF20F0040545B640264496440649F25926 +:1009B000625AD25A425BB25B616182804840EF204A +:1009C00020781C5C58502322040085071CDCE313AC +:1009D00007F279D5253CE9B74844A685EF30700C7D +:1009E0003C4058441440B307F0403E9758C4637CE1 +:1009F000D7025840BA975CC409475C506306E902C5 +:100A0000185C050718DCC9DFF5B54844A685EF304A +:100A100050093C4058441440B307F0403E9758C436 +:100A2000E37CD7FCF9B75C50185C79DB185C7D1768 +:100A300018DC185C050718DCA5D76DBD2285953E2E +:100A4000EF107002014585B7EF20607A0145A1BF24 +:100A5000411126C2AA841305400522C406C6EF3000 +:100A6000006C2A8415CD232C0502FD577CC1054757 +:100A7000410558DC3CC42322040023200400232425 +:100A80000400232604002320040423089404413492 +:100A900013054402AD3C8146014681452285013360 +:100AA0002285B24022449244410182800DC5411109 +:100AB00022C426C206C64AC0032945002A84EF2064 +:100AC000405B8144630CA900B24022442685024960 +:100AD0009244410182807370043001A05C448544DB +:100AE000FD175CC4F5F381460146814522854539F1 +:100AF000E1BF397106DE22DC26DA4AD84ED652D45E +:100B000032C635CD8149DDC13689AE842A84130AC7 +:100B100005012DA0EF20A06DEF20206B6C002808B0 +:100B2000EF20604831E1EF20406A185C5C5C6305AF +:100B3000F702EF20C06BEF20806B8549EF20E06863 +:100B4000185C5C5C6360F704B24799CFE39409FCDE +:100B50002808EF2020437DBFEF206069B245528511 +:100B6000EF20E0106530C1BFEF206068F2506254A2 +:100B7000D2544259B259225A014521618280737080 +:100B8000043001A0304015EE1C4095C71C5C585045 +:100B900085071CDC39E7EF208065F2506254D2549F +:100BA0004259B259225A0545216182803C41A9DF50 +:100BB0007370043001A04840EF20805823220400C5 +:100BC000F1B7631909020844A685EF30806D1C4413 +:100BD00034405840B6971CC4E3EAE7FA1C401CC4F2 +:100BE00075B713054402EF20C0268547E315F5FAD3 +:100BF000313855B74844A685EF30A06A3C40584488 +:100C00001440B307F0403E9758C46375D70058406E +:100C1000BA975CC48947E31BF9F61C5CA5DB1C5C36 +:100C2000FD171CDCA5B7397106DE22DC26DA4AD8AE +:100C30004ED652D432C66301050E81446388050C3A +:100C4000B6892E892A84130A450231A0EF20205A42 +:100C5000EF20E0598544EF2040571C5CBDE3B247CC +:100C600095CF8DC8EF20A058EF2020566C002808A3 +:100C7000EF20603305E5EF2040551C5CE1FBEF20E1 +:100C800000571C409DC7B2455285EF10507EEFF0D3 +:100C9000EFF77DBF2808EF20E02EE9B7EF202055C1 +:100CA000F2506254D2544259B259225A014521613C +:100CB0008280EF2080514840EF20603EEF2020539B +:100CC000D9B73040444409CE5840B387C4005CC40F +:100CD00063E4E7001C405CC44C444A85EF30605C30 +:100CE000639F09021C5C1840FD171CDC01E7EF2024 +:100CF000805448C01C489DC713050401EF206015AF +:100D000085476311F502EFF06FF029A83C41E389B4 +:100D100007F27370043001A07370043001A05C50BE +:100D200044C481EFEF20A04CF2506254D254425997 +:100D3000B259225A05452161828013054402EF20F1 +:100D400040116DD1C9B725C1B1C9011122CC26CA44 +:100D50004AC852C406CE4EC68947B68432892E8A06 +:100D60002A846399F600585D85476301F70673701E +:100D7000043001A0EFF06FF5185C5C5CAA89636732 +:100D8000F70281444E85EFF0EFF3F240624426858E +:100D90004249D244B249224A056182803C41D5D7BA +:100DA0007370043001A07370043001A0304049E238 +:100DB0001C40DDCB1C5C7D5785071CDC3C446388F4 +:100DC000E7083C44854485073CC46DBFEFF0EFEF76 +:100DD000185C5C5CAA89636DF702304071DA4844A4 +:100DE000D285EF30004C3C4058441440B307F040EB +:100DF0003E9758C46375D7005840BA975CC489477A +:100E0000E39AF4FA1C5CDDD71C5CFD171CDC5DB7B3 +:100E1000304059DE4844D285EF30A0483C40584429 +:100E20001440B307F0403E9758C4E367D7FCD9BFDE +:100E3000DDF40844D285EF30C0461C4434405840AD +:100E4000B6971CC4E3E8E7F61C401CC4A5B75C5089 +:100E500085448DDB13054402EF10B07F05D5E30315 +:100E600009F22320990039BF4840EF20602D23224A +:100E7000040089B731CD3C4181C77370043001A0B3 +:100E80001C41B1CB011122CC26CA2A844AC84EC6C5 +:100E900006CE2E89EFF06FE3185C5C5CAA89814472 +:100EA000637DF7001C5C7D5785071CDC3C4463892F +:100EB000E7023C44854485073CC44E85EFF08FE053 +:100EC000F240624426854249D244B249056182809B +:100ED0007370043001A05C41D5D77370043001A059 +:100EE0005C5099E38544D1BF13054402EF1070763E +:100EF00075D9E30909FE85472320F900E5B7397163 +:100F000006DE22DC26DA4AD84ED652D456D232C673 +:100F10006302051C638A051AB68AAE892A84EF200B +:100F2000001611E5B24781C77370043001A0EF20AD +:100F3000C0291C5C63920714B247638E070A280815 +:100F4000EF204004FD5413094402130A0401EF206A +:100F5000002AEF10400CEF2040277C40639497005C +:100F6000232204043C446394970023240404EF20C8 +:100F700000286C002808EF20000363130518EF20F9 +:100F8000C0241C5CADCFEF208026EF2000243C4421 +:100F9000634FF00039A84A85EF10B06B19C1EF20FC +:100FA000800B3C44FD173CC43C446354F0005C504F +:100FB000FDF324C4EF20A023EF2020217C40634FC9 +:100FC000F00039A85285EF10D06819C1EF20A008B1 +:100FD0007C40FD177CC07C406354F0001C48FDF34E +:100FE00064C0EF20C020EF100028EF20001E1C5C22 +:100FF000C1E7B247A9FFEF20801F014555A0EF20B0 +:10100000001F1C40DDC7B2454A85EF105046EF2057 +:10101000C01B3C44634FF00039A84A85EF10706351 +:1010200019C1EF2040033C44FD173CC43C446354C9 +:10103000F0005C50FDF324C4EF20601BEF20E018AB +:101040007C40634FF00039A85285EF10906019C1C1 +:10105000EF2060007C40FD177CC07C406354F000B2 +:101060001C48FDF364C0EF208018EF10C01F31C989 +:10107000EF20A0151C5CB5DFCE8522854444EFF03F +:101080006FD1639C0A041C5C1840FD171CDC01E74F +:10109000EF20601A48C01C48A1EFEF20401505451D +:1010A000F2506254D2544259B259225A925A216192 +:1010B0008280EF2080114840EF10707EEF202013D7 +:1010C00099B7EFF0AFB46DB73C41E38707E47370B5 +:1010D000043001A07370043001A05C5044C4D5DF1B +:1010E00013054402EF10F0564DD9EFF02FB275B74B +:1010F00013050401EF10F0558547E310F5FAF5B735 +:101100002285EFF00FCBEF100016014551BF1DC92E +:10111000411122C44AC006C626C244412E892A84EF +:10112000EF1030756383A40281464A86814522858B +:10113000F93385476300F502B240224492440249E4 +:10114000410182807370043001A05C44054585072D +:101150005CC4DDB75C4485075CC4F9BF15CDADC97F +:10116000011122CC26CA4AC84EC652C42A8406CED1 +:101170003289AE89EFF06FB51C5C2A8A814485E321 +:101180005285EFF02FB4F240624426854249D244A2 +:10119000B249224A056182807370043001A0304058 +:1011A00001CE4C445C40B2954CC463E4F5000C4065 +:1011B0004CC44E85EF30E00E1C5C7D57FD171CDCE7 +:1011C0007C40638CE7007C40854485077CC04DBF34 +:1011D0003C41D9D77370043001A01C4899E3854481 +:1011E00045B713050401EF10D04675D9E30909FE90 +:1011F00085472320F900E5B721C93C41A1CDA1CB0A +:10120000011122CC26CA4AC852C42A8406CE4EC630 +:101210002E8AEFF08FAB1C5C2A89814491CB832905 +:10122000C400D2852285EFF0EFB685442326340131 +:101230004A85EFF02FA9F240624426854249D24404 +:10124000B249224A056182807370043001A0737034 +:10125000043001A0EDDF7370043001A001E573706C +:10126000043001A0411122C42A8406C6EF10F07593 +:10127000005CEF10D077B240228522444101828089 +:1012800001E57370043001A0411106C622C42A840E +:10129000EF10B0731C5C405C1D8CEF105075228504 +:1012A000B24022444101828019C1085D828073707E +:1012B000043001A01DCD978703009387A750D84322 +:1012C000630CE502D847630CE504D84B6307E504DB +:1012D000D84F6302E504D853630DE502D85763087D +:1012E000E502D85B6303E502D85F630EE5006F207B +:1012F00030757370043001A001470E07BA9723A020 +:1013000007006F20F0731D47CDBF1947FDB7154784 +:10131000EDB71147DDB70D47CDB70947F9BF054711 +:10132000E9BF684582806CC58280034505058280DF +:1013300009C5085D1335150082807370043001A063 +:1013400019C51C5D485D1D8D1335150082807370B5 +:10135000043001A0978703009387C746984305C3CD +:10136000984705C7984B05C7984F05C7985305C7B9 +:10137000985705C7985B15C7985F15C382800147CA +:101380000E07BA978CC3C8C382800547D5BF0947EB +:10139000C5BF0D47F5B71147E5B71547D5B71D4789 +:1013A000C5B71947F1BF978703009387A741D84373 +:1013B0006308E502D847630BE502D84B630AE502F0 +:1013C000D84F6309E502D8536308E502D85763078D +:1013D000E502D85B6308E502D85F6303A702828059 +:1013E00001470E07BA9723A0070082800547D5BFA3 +:1013F0000947C5BF0D47F5B71147E5B71547D5B73D +:101400001D47C5B71947F1BF411122C426C24AC0C2 +:101410002A8406C6AE843289EF10305B7840FD57CF +:101420006314F700232204043844FD576314F700C3 +:1014300023240404EF10B05B1C5C99E74A86A68560 +:1014400013054402EF10B015EF1030583C44635BB5 +:10145000F0025C5085CB9304440209A83C44FD177C +:101460003CC43C446350F0025C5089CF2685EF10A9 +:10147000501E6DD5EF10303E3C44FD173CC43C443B +:10148000E344F0FEFD573CC4EF107056EF10F053EC +:101490007C40635BF0021C4885CB9304040109A8DF +:1014A0007C40FD177CC07C406350F0021C4889CF13 +:1014B0002685EF10101A6DD5EF10F0397C40FD171E +:1014C0007CC07C40E344F0FEFD577CC02244B24027 +:1014D0009244024941016F10905197F701009387A0 +:1014E000E709944317F70100130707081843C8C218 +:1014F000636EE502411122C406C617F70100130707 +:10150000A7072A8408438C439105EFF06F8397F770 +:1015100001009387A7039C436376F40097F70100CB +:1015200023A68702B24022444101828017F70100BE +:101530001307470408438C4391056FF06F8097F7BA +:1015400001009387A703984394437C43FD177CC312 +:10155000FC4299E37360043082801DC179714ECEE4 +:1015600056CA06D6BE8A22D426D24AD052CC5AC8EF +:101570005EC69147BA8963F8E7007370043001A032 +:101580007370043001A02A8BAE843289B68B428AF4 +:101590006308082213050006EF2070382A84630CC4 +:1015A00005200A09232845034A869305500A5285D7 +:1015B000EF20305D03C704001C587119230AE402B0 +:1015C00003C704003E991379C9FF79C783C7140084 +:1015D000A30AF40283C71400E1C383C72400230BCA +:1015E000F40283C72400CDCB83C73400A30BF402DD +:1015F00083C73400D5C383C74400230CF40283C7D8 +:101600004400D9CB83C75400A30CF40283C7540011 +:10161000C1C783C76400230DF40283C76400ADCF44 +:1016200083C77400A30DF40283C77400B5C783C7D2 +:101630008400230EF40283C78400B9CF83C79400CB +:10164000A30EF40283C79400A1CB83C7A400230F89 +:10165000F40283C7A400A9C383C7B400A30FF40294 +:1016600083C7B40095CB83C7C4002300F40483C7A9 +:10167000C4009DC383C7D400A300F40483C7D4006F +:1016800081CF83C7E4002301F40483C7E40089C742 +:1016900083C7F400A301F404130A44005285A30194 +:1016A00004042326340323283405232A0404EFE00A +:1016B0005FE713058401EFE0DFE69547B387374125 +:1016C000232C040400C81CCC40D023220404232E65 +:1016D00004045E86DA854A85EFE0DFDF08C06384B4 +:1016E0000A0023A08A007370043017F9010013095F +:1016F00029E78327090097F40100938424E891C720 +:1017000098409C407C4385077CC317F70100130772 +:10171000E7E51C43850797F6010023A9F6E49C4002 +:10172000CDCB8327090089EB9C40DC5763E6F900A9 +:1017300097F7010023A487E497F70100938747E117 +:101740009C4317F701001307E7E148541843850746 +:1017500097F6010023AEF6DE3CC46376A70097F748 +:10176000010023A1A7E0D145EF40106097F70100E9 +:10177000938707F13E95D285EFE01FDB83270900B1 +:1017800089C79C40FC436395070E832709000544E5 +:1017900099C79C40DC5763F43701EFE03FC72285CF +:1017A000B250225492540259F249624AD24A424BF0 +:1017B000B24B456182805285EF2090287D54C5B799 +:1017C00013152600EF20B0152A8AE31505DC7D5499 +:1017D000F9B797F7010023A387DA18438547E31D7C +:1017E000F7F417F501001305A5E9EFE05FD217F54F +:1017F0000100130525EAEFE09FD117F5010013055D +:10180000A5EAEFE0DFD017F50100130525EBEFE0C7 +:101810001FD017F501001305A5EBEFE05FCF17FB15 +:101820000100130B2BEC5A85EFE07FCE97FA0100F5 +:10183000938A8AEC5685EFE09FCD17F501001305DA +:10184000E5ECEFE0DFCC17F50100130565EDEFE007 +:101850001FCC17F501001305E5EDEFE05FCB97F71F +:10186000010023AB67D197F7010023A557D1E9B554 +:10187000984094407C43FD177CC3FC42E39707F0FB +:101880007360043019B7411122C406C626C24AC08B +:101890002A847370043097F40100938464CC9C40D4 +:1018A000F9E311E497F70100938747CD80431309CB +:1018B00044004A85EFE0DFCB1C5489C7130584013F +:1018C000EFE01FCBCA8517F50100130565E5EFE0D2 +:1018D000BFC597F701009387A7C9984397F7010001 +:1018E000938707C79C43050797F6010023A2E6C824 +:1018F0009840850797F6010023ACF6C401CB97F713 +:1019000001009387A7C79843784349EF9C40B1C72C +:1019100097F70100938787C69843630F8708737012 +:101920000430984039EB17F701001307E7C414435C +:101930009442A5E27D5797F6010023A9E6C098409E +:1019400009CF9843784311CB984394437C43FD17C8 +:101950007CC3FC4299E373600430B2402244924459 +:1019600002494101828097F70100938727C198437C +:101970009C437C4385077CC32DB794439843F8422E +:101980000507F8C217F70100130707BF1443944275 +:10199000D5D2184358475847584397F6010023A714 +:1019A000E6BA71BF984394437C43FD177CC3FC4265 +:1019B000B1FF7360043099BF97F701009387C7B8F0 +:1019C0009C4381C77370043001A02244B24092440A +:1019D000024941016FE09FA341C517F701001307BA +:1019E000E7B914438147638BA606411106C622C49A +:1019F00026C27370043097F60100938666B69C4247 +:101A000091C710431C437C4285077CC29C42AA8438 +:101A1000404981C71C43FC43B9E797F7010093870E +:101A2000A7B59C43638A870497F70100938787B41F +:101A30009C436383870497F701009387A7CF6308CC +:101A4000F40297F701009387A7CD6300F40285475E +:101A5000B240224492443E85410182803E8582808C +:101A60007370043001A0E13C4DBF9147D5B79C5441 +:101A700093B717008907E9BF8947D9BF737004304E +:101A800097F701009387C7AD984309EF15C59C43AD +:101A9000485581CB97F70100938747AE9843784329 +:101AA00015E3828017F70100130747AD144318436D +:101AB000F8420507F8C261FD17F70100130707ACEC +:101AC0000843F1B7984394437C43FD177CC3FC4221 +:101AD000E9FB736004308280411122C42A8406C667 +:101AE000EFE0BF9E11E497F70100938727A9804399 +:101AF0004054EFE03F9DB240228522444101828064 +:101B0000914763F5B7007370043001A0011122CC36 +:101B100006CE26CA4AC84EC652C42A847370043000 +:101B200017F901001309C9A383270900CDEF71C478 +:101B30003C486388F50817F70100130727A463FCE6 +:101B4000B7001443638B860C18434457B3B4950015 +:101B500093C4140029A00443818C93B414005854F6 +:101B60006383E70A1C4C2CC863C607009547B385FE +:101B7000B7400CCC93172700BA97584897F9010043 +:101B8000938909B08A07CE97631AF702130A4400B3 +:101B90005285EFE0FF9D97F701009387A79C48547B +:101BA0009C4363F6A70097F7010023ADA79AD145A0 +:101BB000EF40901BD2854E95EFE01F9799C0EFE064 +:101BC000FF848327090081CB97F701009387079B48 +:101BD0009C43FC439DEBF2406244D2444249B249EB +:101BE000224A0561828097F70100938727999843DD +:101BF0009C437C4385077CC305FC97F701009387D2 +:101C0000E797804335B74CD4B1BF6244F240D24429 +:101C10004249B249224A05611DB2814489B725C1B2 +:101C2000011126CA97F40100938444959C4006CE86 +:101C300022CC4AC84EC6638DA7027370043017F9D0 +:101C400001001309E9918327090091C798409C403E +:101C50007C4385077CC3584997F70100938787AD7C +:101C60006302F7028327090081C79C40FC43A5EB70 +:101C7000F2406244D2444249B249056182807370A5 +:101C8000043001A01C5517F70100130727A8E38BA8 +:101C9000E7FCE9FB930945002A844E85EFE05F8D60 +:101CA00097F701009387078C48549C4363F6A7007D +:101CB00097F7010023A8A78AD145EF40F00A97F7CC +:101CC00001009387E79B3E95CE85EFE0FF859C4022 +:101CD0005854DC57E368F7F8EFE04FF361B762441C +:101CE000F240D2444249B249056191B815CD011183 +:101CF00022CC26CA4EC62A8406CE4AC8EFE0EFFCA4 +:101D0000584897F701009387E7A2AA8981446300A6 +:101D1000F7024E85EFE00FFBF24062442685424910 +:101D2000D244B249056182807370043001A01C5412 +:101D300017F501001305859DE38DA7FCF9FB97F7C7 +:101D40000100938767809C43A9EB97F70100938775 +:101D5000E7829C43445413094400DC574A85B3B4DA +:101D6000F400EFE0FF8097E701009387A77F4854D6 +:101D70009C4393C4140063F6A70097E7010023A3D4 +:101D8000A77ED145EF40407E97F701009387478FAC +:101D9000CA853E95EFE04FF9ADBF93058401EFE0B2 +:101DA000AFF885BF0111056506CE22CC26CA4AC808 +:101DB0004EC652C456C2EF208036630E051CAA845C +:101DC00013050006EF20A0352A84630A051E056668 +:101DD0009305500A04D92685EF20A05A0458856738 +:101DE000F117BE94B7474C45938797449309440035 +:101DF0005CD84E85230C0402A301040423260402AC +:101E000023280404232A0404EFE0AFF1130584011E +:101E1000EFE02FF19547232C0404F19800C81CCC67 +:101E200040D023220404232E040401469715000009 +:101E30009385E5B12685EFE0EFE908C073700430C3 +:101E400017E901001309C9718327090097E401000C +:101E50009384C47291C798409C407C4385077CC39F +:101E600017E70100130787701C43850797E60100F9 +:101E700023AEF66E9C40C9C38327090081EB9C40CA +:101E8000DC5789E797E7010023AA876E97E70100EF +:101E90009387076C9C4397E601009386A66C585481 +:101EA0009442850717E601002324F66A3CC463F6D2 +:101EB000E60097E7010023A7E76A93172700BA9780 +:101EC0008A0717E501001305A57B3E95CE85EFE057 +:101ED000AFE58327090081C79C40FC43F1E78327D6 +:101EE000090091C39C40EF10F04985476304F50E4B +:101EF0005DE17370043001A097E7010023A08768BB +:101F000018438547E314F7F817E5010013054577F3 +:101F1000EFE0EFDF17E501001305C577EFE02FDFF6 +:101F200017E5010013054578EFE06FDE17E50100C6 +:101F30001305C578EFE0AFDD17E50100130545791E +:101F4000EFE0EFDC97EA0100938ACA795685EFE06B +:101F50000FDC17EA0100130A2A7A5285EFE02FDB23 +:101F600017E501001305857AEFE06FDA17E5010048 +:101F70001305057BEFE0AFD917E501001305857B5D +:101F8000EFE0EFD897E7010023A8575F97E701003C +:101F900023A2475FE5BDF2406244D2444249B249C0 +:101FA000224A924A05618280984094407C43FD1702 +:101FB0007CC3FC42E39507F2736004300DB76244C2 +:101FC000F2404249B249224A924A2685D2440561EA +:101FD0006F20002773700430FD5717E70100232797 +:101FE000F756624497E7010023ACA756F240D2446B +:101FF0004249B249224A924A97E7010023A607566E +:1020000005616FE00FAA7370043097E7010023A900 +:1020100007546FE04FB717E701001307E7521C435F +:1020200085071CC382807370043097E70100938793 +:102030002753984305E317E701001307E7520843C6 +:102040009C4381CB97E7010093874753984378439C +:1020500001EF828017E7010013074752144318432A +:10206000F8420507F8C2C1BF984394437C43FD176B +:102070007CC3FC42F9FF736004308280411106C6C4 +:1020800022C4EFE08FC497E701009387E74D8043B8 +:10209000EFE04FC3B240228522444101828097E79E +:1020A00001009387A74C88438280797106D622D499 +:1020B00026D24AD04ECE52CC56CA5AC85EC697E7F0 +:1020C0000100938767489C43B1EF17E701001307AE +:1020D000A7491C43850797E6010023A7F648832AF2 +:1020E0000700638F0A0697E70100938727469C4302 +:1020F000814463F1FA0897E901009389695817EA66 +:102100000100130AAA4783270A008546D857931768 +:102110002700BA978A07BE9983A7090063FFF600D4 +:10212000854421A897E70100938747439C43814456 +:10213000850717E701002323F74297E701009387FC +:10214000A7419C4391C38544B2502254268502592D +:102150009254F249624AD24A424BB24B4561828064 +:1021600017E40100130444411C409C43DDC3737019 +:10217000043001A017E401001304044097E90100B2 +:102180009389095017EA0100130A4A3F17EB01002F +:10219000130B4B3D1C409C43B5C31C40DC4703A9BB +:1021A000C70083274900930B49005E8563EEFA045C +:1021B000EFE00FBC832789021305890199C3EFE083 +:1021C0002FBB8327C90203270B00DE851395270049 +:1021D0003E950A054E956376F70017E70100232325 +:1021E000F738EFE06FB483270A000327C902DC57F2 +:1021F000E362F7FA1C4085449C43C5F3FD5717E79B +:1022000001002325F73401B717E701002320F73435 +:10221000DDBD17E701001307E7351C40184397E6BB +:10222000010023ABE63417E701002325F73497E7D5 +:102230000100938727329C43850717E70100232B72 +:10224000F7301C409C4399E7FD5717E70100232F07 +:10225000F72E51BD1C40DC47DC47DC4317E701008B +:102260002326F72E49B597E701009387E72D98437A +:1022700001E77370043001A0011106CE22CC26CAFA +:102280004AC84EC652C456C25AC07370043097E44E +:1022900001009384E42C984061EB98437D1797E606 +:1022A000010023A3E62A9C43D9EB97E7010093871B +:1022B000E72B9C43C9C797EA0100938A6A3C17EA57 +:1022C0000100130A2A2A17E901001309292B85495D +:1022D00019A83385EA00EFE02FA583270900585499 +:1022E000DC576379F70483A7CA08C1CF83A78A099B +:1022F000C04713058401EFE0AFA7130B44005A85D4 +:10230000EFE00FA75C5483260A00DA8513952700B7 +:102310003E950A052A875695E3FDF6FA17E7010070 +:102320002322F724EFE04FA0832709005854DC57FD +:10233000E36BF7FA97E7010023A037236DB7014459 +:102340009C4081CB97E70100938747239C43FC4344 +:1023500095E72285F2406244D2444249B249224A7A +:10236000924A024B0561828017E70100130707219B +:1023700014431843F8420507F8C205B7EFF02F9C45 +:10238000C9BF17E401001304641D1C4095C31C4021 +:10239000054999CF193B09C597E7010023AE271BD3 +:1023A0001C40FD1717E70100232AF71A1C40FDF314 +:1023B00097E701009387471A98438547E311F7F899 +:1023C000EFE0CF840544ADBF11CD81E573700430DB +:1023D00001A017E70100130727171C4399C7737063 +:1023E000043001A07370043001A0411122C406C65C +:1023F00014431841850617E601002327D61497E6F3 +:1024000001009386661694423304B70000C163F757 +:10241000E602637FE40063FD860097E7010093878F +:10242000E71588431105EFE0AF942285EFF0EF8ABE +:102430001D3D01C9B240224441018280E36FE4FCAA +:10244000D9BF2244B24041016FD05FFC11C917E7E8 +:1024500001001307670F1C4391C77370043001A07C +:102460006FD0DFFA411106C622C41843AA870507B8 +:1024700097E6010023AAE60C97E601009386061072 +:1024800017E701001307470E184388423304F7008B +:102490001105EFE0EF8D2285EFF02F84E93311E590 +:1024A0002244B24041016FD07FF6B2402244410144 +:1024B0008280411122C406C697E701009387C708AE +:1024C0009C430144850717E70100232FF70697E790 +:1024D00001009387A7099C4363E3F50C17EE010005 +:1024E000130E8E1F97E201009382C2180144014728 +:1024F000854F1303500A21A0311E6387C20D832725 +:102500008EFFBA85F5DB8327CEFFDC43232EFEFE4C +:102510006382C71B03AFC700DC432A97814E232E7B +:10252000FEFE6385C70783A8C7009387480323205F +:1025300017015CC383A788042326F7011CC783A760 +:10254000C8021CCB83A70805232C07005CCB03A87B +:10255000080383470800639A6704C287850783C618 +:102560000700B3850741E38B66FE8981C205C181FF +:10257000231EB700850E1307070263001F0583277C +:10258000CEFFDC43232EFEFEE39FC7F983274E00D8 +:10259000232EFEFE49BF1A9419C223200600E13102 +:1025A0002285B2402244410182808145231EB7002A +:1025B000850E13070702E3141FFD769413175400CA +:1025C000311EBA85E39DC2F397E701009387C7FAEE +:1025D00003AE070083270E00FDE397E70100938712 +:1025E00067F903AE070083270E006398071617EEFE +:1025F0000100130EEE0883270E0A639D071E832732 +:102600004E0BD9DB83278E0B97EE0100938E0E13B2 +:10261000DC4317E701002321F7126381D73383AF2F +:10262000C700DC433307B500014397E6010023A54B +:10263000F6100D4F89429308500A6386D707C847A2 +:102640009307450308C35CC33C452326E7011CC729 +:102650005C551CCB1C5599C3232657003C49232CA1 +:1026600007005CCB03280503834708006395172BFD +:10267000C287850783C60700B3850741E38B16FF32 +:102680008981C205C181231EB70005031307070214 +:10269000E383AFF083278E0BDC4397E6010023AD85 +:1026A000F608E39ED7F983270E0C97E6010023A5D1 +:1026B000F60871B783274E00232EFEFEA1BD8327A7 +:1026C0004E00130F8E00D4432322DE00638CE625D8 +:1026D000B307E500D84283A2C600814E2322EE0054 +:1026E000894F1303500A6305EF068328C700138739 +:1026F000480323A01701D8C303A7880423A6F70122 +:1027000098C703A7C80298CB03A7080523AC070006 +:10271000D8CB03A80803034708006318671C428747 +:10272000050783460700B3050741E38B66FE8981F1 +:10273000C205C181239EB700850E93870702638E71 +:10274000121B83264E00D8422322EE00E31FEFF82F +:102750000327CE002322EE0049BF83274E00130F2C +:102760008E00DC432322FE006389E71B83A2C7009F +:10277000DC43AA95814E2322FE00894F1303500AA1 +:102780006305FF0683A8C7009387480323A01501AC +:10279000DCC183A7880423A6F5019CC583A7C802D2 +:1027A0009CC983A7080523AC0500DCC903A808035E +:1027B0008347080063976710C287850783C60700B1 +:1027C00033870741E38B66FE098342074183239EDB +:1027D000E500850E93850502638D120F83274E0059 +:1027E000DC432322FE00E31FFFF88327CE002322D1 +:1027F000FE0049BF83274E0A17EF0100130FCFF2E7 +:10280000DC4317E70100232FF7F06382E71383A26D +:10281000C700DC43AA95814E17E701002324F7F097 +:10282000914F1303500A6387E70783A8C700938774 +:10283000480323A01501DCC183A7880423A6F50162 +:102840009CC583A7C8029CC983A7080523AC0500C3 +:10285000DCC903A808038347080063946704C287A0 +:10286000850783C6070033870741E38B66FE09832C +:1028700042074183239EE500850E93850502638A06 +:10288000120383274E0ADC4317E70100232CF7E8E5 +:10289000E39DE7F98327CE0A17E701002324F7E831 +:1028A00069B70147239EE500850E93850502E39AEB +:1028B00012FD83274E0B769493155400E38E07CCBC +:1028C00091B30147239EE500850E93850502E397AA +:1028D00012F117EE0100130EAEDA83270E0A76947A +:1028E00093155400E38D07D031B78145239EB7007F +:1028F000850E93870702E39612E597E70100938719 +:1029000067C703AE070076949315540083270E0023 +:10291000E38F07CC99B58145BDB38327CE00232231 +:10292000FE00A9B58326CE002322DE0055B38327FF +:10293000CE0A17E701002327F7DED1BD83270E0C4F +:1029400017E70100232AF7DED9B9797126D24AD0D8 +:102950004ECE52CC56CA5AC85EC606D622D497E985 +:102960000100938969BE17E90100130969D117EACB +:102970000100130AEABE97EA0100938A2AC097EB86 +:102980000100938BABBE97E40100938464BE054BBA +:1029900021A883A709000324090A850717E7010076 +:1029A0002324F7BAC93011E89C40E5F783270900D2 +:1029B000E37CFBFEEFD09FA5C5BF73700430832777 +:1029C0000A00B9E38327C90AC04713054400EFD0C2 +:1029D0003FBA83A70B00FD1717E701002328F7B8BC +:1029E0009C40FD1717E701002324F7B883270A004E +:1029F00089C783A70A00FC4385E30858EF105004F9 +:102A00002285EF10F0034DB703A70A0083A70A0041 +:102A10007C4385077CC37DB703A70A0083A60A0011 +:102A20007C43FD177CC3FC42E9FB73600430F1B7C3 +:102A300097E70100938747B19C43DDE7411106C644 +:102A400022C426C297E7010023A807B017E70100B8 +:102A50001307C7B21C43B7A6A5A59386565A9C5B1D +:102A60008C43639BD500D0436318B60094476395AD +:102A7000C600DC476388D70008430C4393854503B1 +:102A8000EF20C07317E701001307C7AD144317E425 +:102A900001001304E4BE11A81C43A9CF1C43FD1779 +:102AA00097E6010023A0F6AC144393972600B6974F +:102AB0008A07A2979C43EDD3084393172500AA9752 +:102AC0008A073307F4005443A107A297C44244C3C2 +:102AD0006385F402DC44B240224417E70100232F4F +:102AE000F7A8924441018280854717E7010023251A +:102AF000F7A682807370043001A0C440D145EF3046 +:102B0000B026229544C1F9B701114AC806CE22CC9D +:102B100026CA4EC62A897370043097E40100938454 +:102B200024A49C4017E40100130444A5D9E76314CE +:102B3000090003290400930949004E85EFD05FA3E3 +:102B40008327890289C713058901EFD07FA2CE852B +:102B500017E50100130505BEEFD01F9D9C4081C7FE +:102B60001C40FC43ADE31C40638B27079C409DCF7A +:102B7000737004309C40C1EB97E701009387C79FB7 +:102B80009843184325EBFD5717E701002320F79CD6 +:102B90009C4089CF1C40FC4391CB184014407C439F +:102BA000FD177CC3FC4299E373600430F240624439 +:102BB000D2444249B2490561828018401C407C439E +:102BC00085077CC3ADB7184014407C43FD177CC318 +:102BD000FC42D1FB736004301C40E39927F99C4010 +:102BE000A1C397E70100938727969C43ADCB7370F1 +:102BF000043001A09C43DC47DC47DC4317E70100BD +:102C00002326F79471B718401C407C4385077CC38A +:102C100097E7010093874796984318432DD7D9BF6C +:102C200097E701009387879417E70100130747A5EB +:102C30009C430327470B631DF700F240624497E76C +:102C4000010023AD0792D2444249B2490561828016 +:102C50006244F240D2444249B2490561D1BB624468 +:102C6000F240D2444249B24905616FD02FFA3DC9C2 +:102C7000411126C297E40100938444904AC02E89F2 +:102C80008C4006C622C4E105EFD09F8B8840110519 +:102C9000EFD01F8EFD57630AF90497E70100938771 +:102CA000A78C804398409C434A9440C3636CF404CF +:102CB00097E701009387478C88438C409105EFD0BC +:102CC0003F8897E70100938767889C436376F40009 +:102CD00097E7010023AC8786B24022449244024920 +:102CE000410182807370043001A08C402244B240C4 +:102CF0009244024917E501001305C5A3910541015E +:102D00006FD09F8297E701009387C786884322444C +:102D10008C40B24092440249910541016FD05F82DC +:102D200011C997E70100938727829C4399E7737045 +:102D3000043001A07370043001A0411126C297E451 +:102D400001009384A4839840AE878C40B70600802E +:102D5000D58F06C622C41CCFE1053284EFD0CFFC4C +:102D600088401105EFD0DF80FD57630EF40097D740 +:102D700001009387677F8843B240924422952244A2 +:102D800041016FE08FF58C402244B240924417E538 +:102D900001001305259A910541016FD0EFF825CD6B +:102DA000411126C297D401009384447D4AC02E89E4 +:102DB0008C4006C622C4E1053284EFD0EFF688408D +:102DC0001105EFD0EFFA8547630AF40497D70100A5 +:102DD00093878779804398409C434A9440C3636CAF +:102DE000F40497D701009387277988438C40910595 +:102DF000EFD00FF597D70100938747759C43637613 +:102E0000F40097D7010023A38774B2402244924470 +:102E10000249410182807370043001A08C40224439 +:102E2000B2409244024917E501001305A5909105AF +:102E300041016FD06FEF97D701009387A773884345 +:102E400022448C40B24092440249910541016FD026 +:102E50002FEF411106C622C426C25C45C04735CCBF +:102E6000930484012685EFD0AFF097D701009387B4 +:102E7000A76D9C43B5E7930444002685EFD04FEF40 +:102E800097D701009387076E58549C4363F6E70079 +:102E900097D7010023A8E76C93172700BA978A07F2 +:102EA00017D501001305C57DA6853E95EFD0CFE768 +:102EB00097D701009387876C9C4358540145DC5792 +:102EC00063F8E700854717D701002327F768054512 +:102ED000B24022449244410182807370043001A0C8 +:102EE000A68517E5010013056582EFD0EFE3C9B7AA +:102EF00097D70100938747659C4381E7737004303F +:102F000001A0411106C622C426C2B7070080404571 +:102F1000CD8F1CC12DC4EFD0AFE5930444002685AE +:102F2000EFD00FE597D701009387C76358549C43B0 +:102F300063F6E70097D7010023A6E76293172700FF +:102F4000BA978A0717D50100130585733E95A685A4 +:102F5000EFD08FDD97D70100938747629C43585489 +:102F60000145DC5763F8E700854717D701002325A3 +:102F7000F75E0545B240224492444101828073705D +:102F8000043001A011CD97D701009387A75C984327 +:102F900097D701009387475D9C4318C15CC182802D +:102FA0007370043001A055C1D1CD411106C622C4B1 +:102FB0002A877370043097D801009388685A83A7D2 +:102FC000080091CB97D701009387475B94439C43BC +:102FD000FC428507FCC217D301001303E3589C4150 +:102FE00003260300FD560144638FD70217DE01005C +:102FF000130E4E5603250E000328070054436305A5 +:10300000A80005446371D6023308D6400544637CAA +:10301000F80003250E00918F03260300B6979CC18C +:1030200008C350C3014483A7080081CB97D7010090 +:103030009387C7549C43FC4381EF2285B2402244CE +:10304000410182807370043001A07370043001A0CC +:10305000EFE0EFCEDDB7854717D70100232EF74EFF +:10306000828019C1684582800145828011C16CC58A +:10307000828097D70100938767508843828097D7D3 +:1030800001009387E74D9C43054589CB97D7010005 +:103090009387874B8843133515000605828031CD11 +:1030A000011126CA97D401009384444D9C4006CE5A +:1030B00022CC4AC84EC65855DC576379F7021C4DDE +:1030C00063C707009C40D4579547958F1CCD931735 +:1030D0002700BA97584917D901001309695A8A0776 +:1030E000CA97630DF7009C40DC575CD5F240624400 +:1030F000D2444249B24905618280828093094500E9 +:103100002A844E85EFD0CFC69C4017D70100130705 +:1031100067451843DC575CD46376F70017D7010086 +:103120002322F744139527003E9562440A05F24096 +:10313000D244CE854A95B249424905616FD0CFBE8F +:1031400019CD411106C622C426C297D70100938724 +:10315000E74280436307A4007370043001A0014577 +:1031600082807C4881E77370043001A05454384851 +:10317000FD177CC86383E60099C70145B24022442D +:10318000924441018280930444002685EFD04FBED3 +:10319000284897D701009387E73C98439547898F44 +:1031A00048D41CCC6376A70097D7010023ACA73A7C +:1031B000D145EF30603B97D701009387674C3E9530 +:1031C000A685EFD06FB6054555BF7370043097D70D +:1031D00001009387E7389C4391CB97D701009387F1 +:1031E000E73998439C437C4385077CC3828097D70B +:1031F00001009387E7369C438DC397D701009387DF +:10320000E7379843784311CB984394437C43FD17A9 +:103210007CC3FC4299E373600430828097D701003D +:103220009387C7359043944398439547D456084EA7 +:10323000958F1CCF828097D70100938727349843BE +:1032400009C79443F84A0507F8CA88438280797110 +:103250004AD006D622D426D24ECE2A8973700430A4 +:1032600097D401009384C42F9C4017D40100130409 +:10327000E430BDE31C40BC4F89E71C400547F8CF54 +:10328000D1E19C4081C71C40FC43F1EB73700430DA +:103290009C408DEF1C40A84F11C5630409021C40DF +:1032A00023AC07041C4023AE07049C4081C71C408C +:1032B000FC438DEBB250225492540259F2494561BD +:1032C000828018403C4FFD173CCFE9BF18401C409E +:1032D0007C4385077CC37DBF18401C407C43850729 +:1032E0007CC349BF184014407C43FD177CC3FC429B +:1032F000F1F373600430B250225492540259F249EF +:103300004561828008402EC61105EFD06FA6B245F8 +:10331000FD576380F50697D701009387E72483A9BB +:10332000070018409C43AE992322370163ECF9044F +:1033300097D701009387472488430C409105EFD02D +:103340002FA097D70100938767209C4363F6F9006D +:1033500097D7010023AC371FEFD04F8B1DB7184014 +:1033600014407C43FD177CC3FC428DF37360043032 +:1033700031BF0C4017D501001305C53B9105EFD0B7 +:10338000AF9AD9BF97D701009387C71E88430C40D7 +:103390009105EFD0EF9AC9B779714AD04ECE06D6D3 +:1033A00022D426D252CC2E89B2897370043097D49D +:1033B00001009384E41A9C4017D401001304041CF8 +:1033C000F9EF18408947784F630CF7001C4013450C +:1033D000F5FFB84F798DA8CF1C400547F8CFADE673 +:1033E0009C4081C71C40FC43F5E3737004309C4053 +:1033F000B1E7638609001C40BC4F23A0F9001840C8 +:1034000085470145784F630BF7001C401349F9FFCE +:103410000545B84F3379E90023AC27051C4023AE9E +:1034200007049C4081C71C40FC43C1E3B2502254B6 +:1034300092540259F249624A4561828018401C4008 +:103440007C4385077CC375B7084036C61105EFD0AD +:103450002F92B246FD576385F60897D701009387F0 +:10346000A71003AA070018409C43369A232247015D +:103470006363FA0897D701009387071088430C40CD +:103480009105EFD0EF8B97D701009387270C9C43D2 +:103490006370FA0697D7010023AA470B91A818403A +:1034A0001C407C4385077CC329BF184014407C43E3 +:1034B000FD177CC3FC42BDFB73600430B250225444 +:1034C00092540259F249624A456182801840144080 +:1034D0007C43FD177CC3FC4289FB7360043031B729 +:1034E0000C4017D501001305E5249105EFD0CF83DB +:1034F000EFC0DFF1F5B597D701009387A7078843A1 +:103500000C409105EFD0CF83E5B751C1411106C6FC +:1035100022C426C24AC07370043097D40100938439 +:1035200024049C40B9EB99C23C4D9CC2B2878946A9 +:10353000704D74CD2E872A846386D70663FCF6040B +:103540008D456387B70091456395B7006300D60C3E +:1035500038CC8547630FF60405449C4081CB97D750 +:1035600001009387A7019C43FC43A9E32285B24055 +:103570002244924402494101828097D701009387F7 +:10358000E7FF98439C437C4385077CC369BF737006 +:10359000043001A08546E39ED7FA3C4D33E7B700DF +:1035A00038CD45BF3C4D85073CCD65B7EFD03FF9E1 +:1035B00075BF130944004A85EFC09FFB97D70100F0 +:1035C000938747FA48549C4363F6A70097D70100B6 +:1035D00023AAA7F8D145EF20307997D70100938728 +:1035E000270A3E95CA85EFC03FF41C5481C773700B +:1035F000043001A097D70100938747F89C435854A3 +:10360000DC57E3FBE7F4EFC07FE0B9B70144B1B7A3 +:1036100039CD011122CC26CA4AC84EC652C456C260 +:1036200006CEBA89368AB2842E892A84EFC0FFE991 +:10363000AA8A63050A003C4C2320FA00784C89478B +:103640007CCC6389F40263F4970C8D466387D400C5 +:1036500091466396D400630AF70A232C2405854714 +:10366000631EF7061C5499CB7370043001A073706D +:10367000043001A03C4C85073CCCD5B797D701005E +:10368000938787EC9C43ADEB930444002685EFC001 +:103690003FEE97D701009387E7EC48549C4363F6CD +:1036A000A70097D7010023AFA7EAD145EF20D06B41 +:1036B00097D701009387C7FCA6853E95EFC0DFE64C +:1036C00097D70100938787EB9C435854DC5763F7E7 +:1036D000E70063850900854723A0F9000544568566 +:1036E000EFC05FDE2285F2406244D2444249B249D3 +:1036F000224A924A056182809305840117D5010010 +:103700001305C500EFC05FE265BF0144C9BF85472F +:10371000E397F4F43C4C33E92701232C240581B7CB +:103720004DC1011122CC26CA2A844EC606CE4AC8F3 +:10373000AE84EFC09FD98947744C7CCC3C4C054784 +:10374000AA8985073CCC6395E6061C5481C7737033 +:10375000043001A097D70100938707DF9C43ADE7B2 +:10376000130944004A85EFC0BFE097D70100938753 +:1037700067DF48549C4363F6A70097D7010023A353 +:10378000A7DED145EF20505E97D70100938747EF22 +:10379000CA853E95EFC05FD997D70100938707DEB2 +:1037A0009C435854DC5763F5E70099C085479CC09B +:1037B0006244F240D24442494E85B24905616FC02D +:1037C0007FD07370043001A09305840117D50100E8 +:1037D0001305C5F3EFC05FD5C1B7AA8729C57370BC +:1037E000043017D701001307A7D714439DE2F04F09 +:1037F000894601456315D60023AE070405451C43E1 +:1038000081CB97D70100938767D79843784315E317 +:10381000828097D60100938666D690429442744285 +:10382000850674C2E9B797D70100938727D59C43D3 +:103830007DB7984394437C43FD177CC3FC42E9FB6E +:103840007360043082805D71A6C2CAC052DC56DA51 +:103850005AD85ED686C6A2C44EDE62D466D26AD07C +:1038600017D90100130989D217DB0100130B4BD1C3 +:1038700097D40100938404D197DA0100938ACAD0C7 +:10388000054AA54B83270900984363010712DC47CB +:1038900083A90700EFE02FF8EFE0EFF883270B0094 +:1038A0002A8C6362F50A97D7010023ABA7CC0146A7 +:1038B0006370351B8840B3858941EFD0FFB4EFE0DA +:1038C0009F9A630105128840814601462C00EFD083 +:1038D0000FE34DD9A247E3C807FEC24983A74901B8 +:1038E00089C713854900EFC0BFC8EFE0CFF3832736 +:1038F0000B002A8C636DF508A24717D7010023211E +:1039000087C9E3E2FBFCB317FA0013F70721631A38 +:10391000071613F7770C6318071E93F70702C5D72E +:103920004E85EF00F01145B7DC47C04783AC070078 +:10393000930944004E85EFC0BFC35C502285829737 +:103940005C4C6384470B83270900984371FF03A7EE +:103950000A0097D6010023A586C397D6010023A5A8 +:10396000F6C297D7010023A3E7C2EFE0DF8FA1BF24 +:10397000DC47C04703AD0700930C44006685EFC0E9 +:103980003FBF5C50228582975C4C63864709832742 +:103990000900984371FF03A70A0097D6010023A5E9 +:1039A000F6BE97D7010023A3E7BEB9B7EFE0AFE6B5 +:1039B000EFE06FE783270B002A8CE366F5F883A717 +:1039C0000A00884081499043B385894117D7010097 +:1039D000232887BB13361600EFD01FA3EFE0BF8864 +:1039E000E31305EEEFC09FA2F9BD1C4CCE85E69710 +:1039F00063E9FC049C4089CF02CA66CC22CEEFF07A +:103A00000FE88840814601464C08EFC05FD905FDAC +:103A10007370043001A01C4CE685EA97636CFD04CA +:103A20009C4089CF02CA6ACC22CEEFF04FE5884095 +:103A3000814601464C08EFC09FD631F973700430BF +:103A400001A0032509005CC000C8EFC07FAFE5BD41 +:103A5000EFE07F8183270900DC47C047930C4400D7 +:103A60006685EFC0FFB05C4C638F47035C502285D6 +:103A7000829791BD032509005CC000C8EFC05FAC10 +:103A800039B7B24723ACF900A5CFE29723A2F900DA +:103A900023A8390193854900636FFC0403A50A003C +:103AA000EFC01FAA0DB51C4C00C83387F90058C0E1 +:103AB000636DEC02330C3C416363FC029C4089CF94 +:103AC00002CA4ECC22CEEFF08FDB88408146014601 +:103AD0004C08EFC0DFCC59F97370043001A003A586 +:103AE0000A00E685EFC0DFA551B703250900E6858A +:103AF000EFC01FA5A5BF03250900EFC07FA4E1B358 +:103B00007370043001A0B24783A6890123A839014C +:103B10003387D70023A2E9006364EC04B307FC40B9 +:103B200063EBD70483A749024E85829783A7C90117 +:103B3000E39B47D983A68901B2479840B69711CF36 +:103B400002CA3ECC4ECEEFF08FD38840814601466C +:103B50004C08EFC0DFC4E31805D67370043001A031 +:103B60006374FC00E370F7FC0325090093854900AA +:103B7000EFC01F9D89BB03A50A0093854900EFC0D4 +:103B80003F9C91B3411122C406C626C24AC017D435 +:103B900001001304249FEFF04FE31C4095CBEFF09E +:103BA0000FE51C409DC38148014881470947814674 +:103BB0001306004097D50100938545801705000046 +:103BC0001305A5C8EFD07F9939E97370043001A0BF +:103BD00017D90100130949B74A85EFC05F9397D4FD +:103BE00001009384A4B72685EFC07F920146B145BA +:103BF000114597D7010023AB279997D7010023A53B +:103C00009798EFC0BFAC17D70100232DA79611CD11 +:103C100097C501009385057AEFD0CFF349B7B2403D +:103C2000224492440249410182807370043001A011 +:103C3000A5C9797156CAAA8A1305C00222D426D210 +:103C40004AD04ECE52CC06D65AC85EC63A89B689FC +:103C5000328AAE84E9212A8405C9EFF00FD797D7BD +:103C60000100938727929C439DCFEFF04FD8130517 +:103C700044002320540104CC232E44012320340388 +:103C800023222403EFC0FF892285B250225492548C +:103C90000259F249624AD24A424BB24B4561828094 +:103CA0007370043001A097DB0100938BEBA95E8554 +:103CB000EFC0FF8517DB0100130B4BAA5A85EFC03D +:103CC0001F850146B145114597D7010023A0778D87 +:103CD00097D7010023AA678BEFC05F9F17D701001A +:103CE0002322A78A01C997C501009385A56CEFD04F +:103CF0006FE6A5BF7370043001A039C5011122CC55 +:103D000006CE26CA17D401001304C48783280400F2 +:103D10002A88014563850802BA842EC2368732C4D8 +:103D200042C6954663C5B602EFF06FB58947630B8F +:103D3000F5020840814601464C00EFC05FA6F24004 +:103D40006244D244056182807370043001A04C004B +:103D500081463A864685EFC01FFFF2406244D24456 +:103D6000056182800840814626864C00EFC03FA353 +:103D7000F9B719C1084182807370043001A001E5D0 +:103D80007370043001A0411106C622C42A84EFF0EA +:103D9000CFC348483334A000EFF06FC52285B2404E +:103DA00022444101828001E57370043001A0411179 +:103DB00022C42A8406C6EFF04FC10050EFF02FC393 +:103DC000B240228522444101828001E573700430B3 +:103DD00001A0411122C426C22E84AA8406C6EFF097 +:103DE000CFBE80D02244B240924441016FF02FC038 +:103DF0004111714522C406C61D262A8411C52320FF +:103E000005001105EFC0AFF02285B2402244410108 +:103E1000828001CDB70700FFED8F81C7737004303A +:103E200001A099E57370043001A07370043001A003 +:103E3000011122CC4AC84EC652C456C206CE26CA6A +:103E4000BA893689B28A2E842A8AEFF04FA311E507 +:103E5000638509007370043001A0EFE0CF9B8324D9 +:103E60000A00B37794006316090295C763870A00B6 +:103E70009347F4FFE58F2320FA00EFE0CFBEF24036 +:103E8000624426854249D244B249224A924A056197 +:103E90008280E30DF4FCE38209FEB3355001E205B4 +:103EA00063050900B7070004DD8D4E86C18D13053B +:103EB0004A00EFE0FFE6EFE00FBB19E1EFC00FD5DE +:103EC000EFF0CFB593176500AA8963D80700B70450 +:103ED0000001FD14B3F499005DB7EFF00FAF832933 +:103EE0000A00B3773401631E090089CB63880A0096 +:103EF0009347F4FFB3F737012320FA00EFF02FAF19 +:103F0000F9B7E31DF4FEDDB701C9B70700FFED8F78 +:103F100099C77370043001A07370043001A041117F +:103F200022C42A8406C626C24AC02E89EFF0EFA911 +:103F300004409345F9FFB3F795001CC0EFF02FAB99 +:103F4000B2402244268502499244410182804111B7 +:103F500022C42A8406C6EFC04FD70040EFC08FD6D8 +:103F6000B240228522444101828009C9370700FFFF +:103F7000B3F7E50099C77370043001A07370043083 +:103F800001A06F20E06BB70700FFF18F81C773704E +:103F9000043001A001E67370043001A0011122CCAD +:103FA0004AC84EC652C406CE26CA3689AE892A8A67 +:103FB0003284EFF0CF8C21E1630509007370043087 +:103FC00001A0EFE04F8583240A00CE855285B3E43B +:103FD0009900613FB37794006307F40683240A00D5 +:103FE000EFE06FA8F240624426854249D244B249CC +:103FF000224A05618280EFE00F8283240A00CE8589 +:104000005285B3E434019537B3F78400638D87029A +:10401000E30609FCB70500054A86C18D13054A0071 +:10402000EFE01FD0EFE02FA419E1EFC02FBEEFF0BB +:10403000EF9E93176500AA8463DF0700B7070001AE +:10404000FD17FD8C45B783270A001344F4FFE18F69 +:104050002320FA0071B7EFF04F9783240A00B3775B +:104060009400639787009347F4FFE58F2320FA00BD +:10407000EFF0EF97E1B7411122C406C62A8426C2A9 +:10408000EFD07FF95C4085C308489304C4006316F1 +:10409000950015A0084863009502B7050002EFE0FF +:1040A0003FE55C40E5FB2285612A2244B240924410 +:1040B00041016FE04F9B7370043001A009C93707BD +:1040C00000FFB3F7E50099C77370043001A0737067 +:1040D000043001A06F20C05601C9B70700FFED8F63 +:1040E00099C77370043001A07370043001A04111AE +:1040F00022C426C206C62A84AE84EFF00F8D184073 +:1041000093C7F4FFF98F1CC02244B240924441018E +:104110006FF0EF8D19C1084D8280014582804111F9 +:1041200022C406C62A84EFD01FEF97C701009387E9 +:1041300027469C43A1EBE56717C701001307876378 +:10414000F11797C6010023ABE64417C701002325EA +:10415000F74417C701002321F76297C7010093872F +:10416000674317C701002327F760854717C701007A +:104170002328074217C70100232E074017C7010055 +:104180002328F74019E8EFE00F8EEF10A00201445A +:104190002285B24022444101828013058400937736 +:1041A0003500C1EFE5671307F5FFE917E3EDE7FC1D +:1041B00097C601009386863E9C4229A0984311C76A +:1041C000BE86BA87D843E36BA7FE17C70100130763 +:1041D000673CE38AE7FA984341461384870098C214 +:1041E000D843B306A7406378D6023388A7002322BA +:1041F000D800C8C38325480097C601009386063AB5 +:1042000011A0BA8698425043E36DB6FE2320E80021 +:1042100023A00601D84397C701009387673B9C43BF +:10422000998F17C701002325F73AEFE0CF8331DCE0 +:104230002285B240224441018280719911059DB7C7 +:1042400029C9411122C406C62A84EFD0DFDC9305B8 +:1042500084FF0326C4FF17C701001307273411A0EA +:104260003E871C43D443E3EDC6FE232CF4FE0CC36F +:1042700097C701009387C73598438327C4FF22441B +:10428000B240BA9717C701002324F73441016FD019 +:104290009FFD828097C70100938787338843828080 +:1042A00082803367B5000D8BAA871DE79308D6FF80 +:1042B000AA982A87AE866371150303A80600110722 +:1042C0009106232E07FFE36A17FF9347F5FFC69772 +:1042D000F19B9107BE95AA972A9663F3C70A938626 +:1042E000450013884700B3B6D70033B8050133E75C +:1042F000F50093C61600134818000D8BB3E60601AF +:1043000013371700758F330EF6409386170029CFA9 +:104310001337AE0031EB13532E002E88BE860147B3 +:10432000832808000507110823A016019106E369F8 +:1043300067FE1377CEFFBA95BA976302EE0483C681 +:104340000500138717002380D700637AC70283C64E +:10435000150013872700A380D7006372C70203C725 +:1043600025002381E7008280B307F640AE978505DC +:1043700003C7F5FF8506238FE6FEE39AF5FE8280EC +:104380008280B367C5008D8BB308C500E9C3637134 +:10439000150FB307A0408D8B13873700954693F513 +:1043A000F50F130815003383A8407D166373D700FB +:1043B00015476363E60CD5CF2300B5000547638D31 +:1043C000E700A300B5000D47130825006396E7003A +:1043D000130835002301B500139685004D8E139701 +:1043E0000501518F3303F34093968501D98E1356FF +:1043F0002300AA97014794C305079107E36DC7FE01 +:104400001377C3FFB307E8006307E3062380B70011 +:1044100013871700637C1703A380B7001387270057 +:10442000637617032381B700138737006370170380 +:10443000A381B70013874700637A17012382B7006F +:104440001387570063741701A382B700828093F526 +:10445000F50F13978500D98D139705014D8FE377DD +:1044600015FFAA87910723AEE7FEE3ED17FF8280D1 +:1044700082802A8895B78280AA8749BF8347050032 +:104480002A8799C7050583470500EDFF198D8280AE +:104490000145828005058347F5FF850503C7F5FFC4 +:1044A00091C7E389E7FE3385E74082808147E5BF16 +:1044B000AA87850503C7F5FF8507A38FE7FE75FB70 +:1044C000828083460500930700026397F600050586 +:1044D00083460500E38DF6FE938756FD93F7D70FCD +:1044E00085C7AA87814585CE01458507138606FDC8 +:1044F0001317250083C607003A9506053295F5F691 +:1045000099C13305A0408280938536FD834615000E +:1045100093B5150093071500E1FA0145D5B701459C +:1045200082809C412380A7009C4185079CC182809A +:1045300097C60100938606079C421757030013078E +:10454000672413861700BA972380A70097C5010038 +:1045500023AAC504A9476308F5009307000463056F +:10456000F6000145828075DE370610F005078347A7 +:10457000F7FF2320F6F09C42FD1797C5010023A307 +:10458000F502EDF7014582804D712322911423201D +:104590002115232A511323248113232611142324A4 +:1045A0008114232E3113232C411323286113232636 +:1045B0007113232291132320A113232EB111AA8456 +:1045C0002E8932C6130C5002A54A83470900638026 +:1045D0008703B9CB804011A0A1CB2300F400804019 +:1045E0000509050480C083470900E39787FF034658 +:1045F000190093081900C686130D0002FD597D5A53 +:104600000148130550059307D6FD93F7F70F13895B +:1046100016006363F52A17C701001307A79E8A07D0 +:10462000BA979C43BA9782878320C11403248114CC +:1046300083244114032901148329C113032A8113FC +:10464000832A4113032B0113832BC112032C8112E4 +:10465000832C4112032D0112832DC11171618280BF +:10466000A14C02C4854763D50715B2478040938BA0 +:10467000770093F68BFF03AB060083A94600938770 +:1046800086003EC6668681465A85CE85EF10D01DCF +:104690002AC8814763853723930D4101854B668680 +:1046A00081465A85CE85EF10603E814666862A8B0C +:1046B000AE89EF10701BA24723A0AD0093861B00AC +:1046C000910D63843701B68BD9BFE37E9BFF63DD19 +:1046D0004601A2872380A70180407D1A930714001A +:1046E0009CC03E84E3C846FF93962B001C08BE96F0 +:1046F00031A8938777052300F4008040FD1B938742 +:104700001B00050480C0F116E351F0EC9C42E3E28B +:10471000FAFE93870703C5B79C4093060003324710 +:104720002380D7009C4093064700C14C1386170096 +:1047300090C013068007A380C700804002C4BA87D8 +:10474000050480C003AB0700814936C625BFB247C8 +:1047500083AC0700938B470063840C1C635F401994 +:104760009307D0026319FD1683C70C0085C363DC71 +:1047700009189440850C7D1A2380F60080400504BA +:1047800080C083C70C00E5F7635D4001804093075C +:1047900000022300F40080407D1A050480C0E31A63 +:1047A0000AFE5EC61DB502C48547A94CE3CF07EBE0 +:1047B000B24780409386470071B703C61600CA8689 +:1047C00099B59C40930650022380D7008040050491 +:1047D00080C0E5BBC14C02C471B5B24703C6160028 +:1047E000CA8683A9070091073EC6E35E0AE04E8AA7 +:1047F000FD5911BD03C61600130DD002CA8621B59E +:104800009347FAFFFD8703C61600337AFA00CA867B +:10481000DDBB03C61600130D0003CA86EDB383C5C6 +:104820001600930906FDCA86938705FD2E86E3EEE2 +:10483000FAFA93972900BE9985068609AE9983C531 +:104840000600938909FD938705FD2E86E3F3FAFEA2 +:1048500069BF32479C40144311073AC62380D700F2 +:104860008040050480C095B3854763D3070BB247EA +:1048700093867700E19A938786003EC683A9460017 +:104880009C4263DC090A94401306D002B307F0404F +:104890002380C6008040B336F000B30930410504E0 +:1048A00080C03E8BB389D940A94C02C4E1BB03C68A +:1048B00016000508CA8681BB9C40468921B7E37D66 +:1048C0009BDD8547814B8546E3C547E131BD97CCEC +:1048D0000100938C2CAFCE856685EF007043330AC0 +:1048E000AA40635C400180402300A40180407D1AFF +:1048F000050480C0E31A0AFE014A83C70C00E38264 +:1049000007EAE3C809E6FD197D57E394E9E6ADBD82 +:10491000B247938647009C4336C693D9F74195B773 +:10492000635640019307D002E313FDFA97CC0100D0 +:10493000938C4CA9930780021DBD3E8BA94C02C4E9 +:10494000804089B34D71232E3113B70900409387FE +:10495000F9FF2324811423229114232C4113232AA9 +:1049600051132326111423202115232861132326F4 +:10497000711323248113232291132320A113232EA7 +:10498000B1112A8A2EC417C401001304A4C1975A76 +:104990000300938A2ADF97B401009384247C3EC6E7 +:1049A00093055002294513080004B70610F0834709 +:1049B0000A006386B704C1C71840050A130617002A +:1049C000569797C8010023AFC8BC2300F700638245 +:1049D000A706E31E06FD175703001307A7DA05070E +:1049E0008347F7FF23A0F6F01C40FD1717C6010010 +:1049F000232AF6BAEDF783470A00E39EB7FA834607 +:104A00001A0013051A002A87930B00027D5B7D5C58 +:104A1000014893055005A5489387D6FD93F7F70FF6 +:104A2000130A170063E7F5348A07A6979C43A697F5 +:104A30008287175703001307E7D455F28DBF8320F1 +:104A4000C1140324811483244114032901148329EC +:104A5000C113032A8113832A4113032B0113832BD0 +:104A6000C112032C8112832C4112032D0112832DBC +:104A7000C11171618280A14C014D854763DB07192B +:104A8000A2479D07E19B83AD070003AB4700138757 +:104A900087003AC4668681466E85DA85EF10C05C71 +:104AA0002AC863066D35130941018549668681462A +:104AB0006E85DA85EF00907D66868146AA8D2E8B05 +:104AC000EF10805A2320A90085091109E3106DFF1A +:104AD000E3FE9DFD13060004B70610F063D18903C1 +:104AE0001C4013871700D69797C5010023ACE5AA91 +:104AF00023807701630CC7047D1CE3C389FFB247A1 +:104B00006800A548B386F9008A061C08BE962948A5 +:104B100013030004370610F0E384A6E89C429305D3 +:104B2000000363F4F800930570051840AE979305F1 +:104B30001700569717CE01002326BEA62300F700C4 +:104B40006380072B638C6526F116F9B717570300AE +:104B5000130747C305078347F7FF23A0F6F01C4060 +:104B6000FD1797C5010023AFF5A2EDF77D1C71B7C6 +:104B70001C40130600041387170097C5010023A3E8 +:104B8000E5A2B386FA00930500032380B600630F05 +:104B9000C73C890797C6010023A6F6A0569793063F +:104BA00080072300D700175703001307A7BDB706D8 +:104BB00010F0639EC70005078347F7FF23A0F6F0B8 +:104BC0001C40FD1717C60100232EF69CEDF7A247E7 +:104BD000C14C014D91072247014B832D07003EC474 +:104BE00055BDA24783AC070013894700638E0C3A7A +:104BF000635480219307D002639EFB2E03C70C00F1 +:104C0000BA856310072025AC8547A94C014DE3C93F +:104C100007E7A2479107C1B7A2478346170052870B +:104C200003AB070091073EC4E3580CDE5A8C7D5B52 +:104C3000E5B383461700930BD0025287F1BB93472D +:104C4000FCFFFD8783461700337CFC005287E9B3E5 +:104C500083461700930B000352877DBB0346170062 +:104C6000138B06FD5287930706FDB286E3EEF8FA32 +:104C700093172B00DA9705078607B29703460700BC +:104C8000138B07FD930706FDB286E3F3F8FE69BFB9 +:104C9000A2451C402946984193861700D69717C510 +:104CA00001002321D5902380E7001389450063008C +:104CB000C72A930700046387F6204AC4D5B1854705 +:104CC00063DE0729A24713877700619B930787005C +:104CD0003EC4032B47001C43635A0B301840130695 +:104CE00000049306170097C5010023ADD58A569797 +:104CF0009305D0022300B7006387C62CB307F040AA +:104D00003337F000330B6041BE8D330BEB40A94CC1 +:104D1000014D49B38346170005085287F5B983460C +:104D200017005287D5B91C4093060004138717005B +:104D300017C601002328E686D69713065002238063 +:104D4000C700E31FD7C4175703001307A7A3B7066D +:104D500010F005078347F7FF23A0F6F01C40FD176E +:104D600017C601002320F684EDF71DB9C14C014D93 +:104D700029B31C40930600042A8A1387170017C61C +:104D800001002321E682D697130650022380C70034 +:104D9000E318D7C0175703001307C79EB70610F0D4 +:104DA00005078347F7FF23A0F6F01C40FD1717B651 +:104DB00001002329F67EEDF72A8ADDB61757030096 +:104DC0001307479C05078347F7FF2320F6F01C4095 +:104DD000FD1797B5010023A7F57CEDF7F1162DBB64 +:104DE000175703001307079AF1FDF11635B3E3FCDB +:104DF0009DCB8549C5B1014C03C70C00BA85E30EB4 +:104E000007EAFD58294513080004B70610F0635758 +:104E10000B0A1C4013861700D69717B301002323F3 +:104E2000C3782380E7006384A50663040609850C24 +:104E300003C70C007D1CBA8579FBE35080E91C4058 +:104E40009305000213060004B70610F021A07D1C94 +:104E5000E3050CE613871700D6972380B70017B534 +:104E600001002321E574BA87E313C7FE1757030037 +:104E70001307479105078347F7FF23A0F6F01C406F +:104E8000FD1717B50100232FF570EDF7C9B71757B8 +:104E900003001307278F41DE05078347F7FF23A091 +:104EA000F6F01C40FD1717B60100232DF66EEDF746 +:104EB000BDBF175703001307E78CF9BF7D1BE31A2B +:104EC0001BF5A5BF175703001307C78BB70610F0D4 +:104ED00005078347F7FF23A0F6F01C40FD1717B620 +:104EE00001002321F66CEDF74AC45DBC97BC0100BC +:104EF000938C4C4DDA856685212D330CAC40E35DF7 +:104F000080EF1C4013060004B70610F021A07D1CA2 +:104F1000E3030CEE13871700D6972380770197B52C +:104F2000010023A1E568BA87E313C7FE1757030002 +:104F30001307478505078347F7FF23A0F6F01C40BA +:104F4000FD1797B5010023AFF564EDF7C9B7175703 +:104F5000030013072783BDFA4AC499B4A2471387F5 +:104F600047009C433AC413DBF741BDB31757030016 +:104F700013074781B70610F005078347F7FF23A003 +:104F8000F6F01C40FD1717B60100232DF660EDF773 +:104F9000854717B701002327F76093078007174756 +:104FA00003002301F77E25B1635680019307D002E9 +:104FB000E39EFBF297BC0100938CCC401307800268 +:104FC000930580023DBD974603009386A67B370676 +:104FD00010F0850603C7F6FF2320E6F018407D1782 +:104FE00097B5010023A0E55C6DF709BBBE8DA94C08 +:104FF000014D4DB4B70710F023A0A7F201A03971FD +:10500000130341022ED29A8506CE32D436D63AD830 +:105010003EDA42DC46DE1AC63532F24001452161F5 +:1050200082805D711303810322D42AC632DC2A8474 +:105030001A86680006D6BEC236DEBAC0C2C4C6C66C +:105040001ACEEFF06FD4B247238007003245B2503A +:10505000018D225461618280B70710F083A647F466 +:1050600003A607F403A747F4E31AD7FE9306067DC9 +:1050700033B6C600B305E60023A4D7F423A6B7F4DD +:105080008280B70710F003A747F403A607F483A6AE +:1050900047F4E31AD7FE9306067D33B6C60023A471 +:1050A000D7F4B305E60023A6B7F49307000873A06E +:1050B00047308280411122C406C697B7010093870A +:1050C000E74E17B401001304244E98431C40930686 +:1050D00047069387470617B601002327F64C8567D6 +:1050E00017B601002324D64C938777BB63C6D7003D +:1050F000B240224441018280FD779387C74A3E97A0 +:1051000097B7010023A4E74ACD2C85476310F50229 +:1051100018408967938777320145E3DBE7FCEFF0BE +:105120007FED2244B24041016FC0FFED17B5010091 +:105130001305C529EFF0BFEC0945EFF0BFEB2244A2 +:10514000B24041016FC03FEC17B501001305C529FE +:105150006FF0FFEA17B50100130585296FF03FEAEC +:10516000411122C426C206C6856417B40100130487 +:10517000A428938784380100FD17F5FF2285EFF0FE +:105180001FE8C5BF0945D9A8411106C6BD2405477A +:1051900081476316E500B2403E854101828017B524 +:1051A00001001305A522EFF09FE5B24089473E8537 +:1051B000410182807370043001A0828073700430DA +:1051C00001A0011122CC26CA4AC806CE2A84231780 +:1051D00001000149854411A005495040084081461D +:1051E0009305E100EFB0FF90E31895FE631A090004 +:1051F0001844835707008507C207C1872310F700AB +:105200008357E10085072317F100C1BF797122D4CC +:1052100026D24AD04ECE06D62A84814981440549F9 +:105220005040084081469305E100EFB0DF9FE3194D +:1052300025FF8357E10063859700BE848549CDB77C +:105240008504639A09001844835707008507C2073D +:10525000C1872310F700C204C180D9B701114EC61F +:10526000AA89314506CE22CC26CA4AC8EFE03FEBD8 +:105270002A84014689450545EFB04FC51309803E94 +:1052800097B701009387473408C0232224011CC428 +:105290003145EFE0DFE8AA8408404E87A28688C047 +:1052A00017B501001305C53188C4814801488147FD +:1052B0001306004097B501009385451523A204000D +:1052C000170500001305C5F4EFC02FA9A686814875 +:1052D0000148014781471306004097B501009385B7 +:1052E000651317050000130505EEEFC00FA7314544 +:1052F000EFE0FFE22A84014689450545EFB00FBD86 +:1053000097B701009387E72B08C01CC42322040031 +:105310003145EFE0DFE0AA840840A28623A2240101 +:1053200088C017B501001305452A88C48148014883 +:10533000814701471306004097B501009385050E8C +:10534000170500001305C5ECEFC02FA14E87A686F8 +:105350008148014881471306004097B501009385B5 +:10536000650C17050000130505E6EFC00F9F3145DA +:10537000EFE0FFDA2A84014689451545EFB00FB505 +:1053800097B701009387072408C0232224011CC477 +:105390003145EFE0DFD8AA840840A28623A2240189 +:1053A00088C017B501001305652288C481480148EB +:1053B000814701471306004097B501009385050713 +:1053C00017050000130525E0EFC02F996244F24055 +:1053D0004249B249A686D2448148014881470147E3 +:1053E0001306004097B501009385C504170500001A +:1053F000130505E205616FC04F9641114AC222C6EE +:1054000026C44EC017B501001305051C17B80100CE +:105410001308081B83540500835E050083590800A8 +:1054200017B901001309C918035E080003542500C9 +:105430008315090017B70100130707180353250048 +:10544000831707008353280003162700C2098358D7 +:10545000280093D9094183524500C2044204035FE6 +:10546000450083162900835F4800C184B385354118 +:10547000418403174700858F018EB335B000C20306 +:1054800003154900B305B04093D30341B337F0008F +:105490003336C000C202ED8F3306C040B38676407B +:1054A00093D2024193950F01F18FB336D00003568A +:1054B000480033075740C1853244B306D0403337E4 +:1054C000E0000D8D3307E040F58F3335A000F98FF4 +:1054D0003305A04017B701002310D70F17B70100FD +:1054E0002318C70D17B701002319670C17B7010060 +:1054F0002311170D17B701002312E70D17B701008D +:10550000231AC70AA244124982497D8D4101828033 +:10551000B305B500930705006386B70003C707000E +:10552000631607003385A740678000009387170044 +:105530006FF09FFE130101FB23229104232C4103F2 +:1055400023229103232611042324810423202105EF +:10555000232E3103232A51032328610323267103B9 +:10556000232481032320A103232EB101930C0500E2 +:10557000138A05009304000063DE05003305A04094 +:105580003337A000B305B040930C0500338AE540E3 +:105590009304F0FF63DA06003306C040B337C0005F +:1055A000B306D040B386F640930A060093890600FE +:1055B00013840C0013090A006396062817BB010028 +:1055C000130B4BCF6370CA16B70701006372F61452 +:1055D0009307F00F63F4C70093098000B3573601B7 +:1055E000330BFB0083470B0013050002B387370121 +:1055F000B309F540638C0900B3153A01B3D7FC0039 +:10560000B31A360133E9B70033943C0113DB0A01C6 +:1056100093050B0013050900EF00507C130A0500E9 +:1056200093050B00939B0A0113050900EF00907688 +:1056300093DB0B019305050013850B00EF00D0727F +:10564000131A0A0193570401B367FA0063FAA7001B +:10565000B387570163E6570163F4A700B387570187 +:105660003389A74093050B0013050900EF0010775D +:10567000130A050093050B0013050900EF00907154 +:105680001314040193050500131A0A0113850B0076 +:1056900013540401EF00506D33648A00637AA40050 +:1056A00033045401636654016374A4003304540149 +:1056B0003304A4403354340193050000638A04008A +:1056C00033048040B3378000B305B040B385F54064 +:1056D000130504008320C1040324810483244104AE +:1056E000032901048329C103032A8103832A410377 +:1056F000032B0103832BC102032C8102832C410263 +:10570000032D0102832DC1011301010567800000F3 +:10571000B707000193090001E362F6EC93098001E9 +:105720006FF0DFEB631A060093050000130510000D +:10573000EF005066930A0500B707010063FAFA0EFE +:105740009307F00F63F4570193098000B3D73A0130 +:10575000330BFB0083470B001305000233095A414A +:10576000B3873701B309F540E38209EAB39A3A01F6 +:10577000335BFA00B3153A01B3D7FC0093DB0A019F +:1057800033E9B70013050B0093850B00EF0010659C +:10579000130A050093850B00139C0A0113050B00E7 +:1057A000EF00505F135C0C019305050013050C001E +:1057B000EF00905B131A0A0193570901B367FA00CF +:1057C00033943C0163FAA700B387570163E657019E +:1057D00063F4A700B3875701338BA74093850B0071 +:1057E00013050B00EF00905F130A050093850B0073 +:1057F00013050B00EF00105A9305050013050C006C +:10580000EF00905693160901131A0A0193D6060168 +:10581000B366DA0063FAA600B386560163E6560162 +:1058200063F4A600B38656013389A6406FF01FDEED +:10583000B707000193090001E3EAFAF09309800138 +:105840006FF0DFF06376DA0093050A006FF01FE770 +:10585000B707010063FAF604930BF00F33B5DB00D2 +:105860001315350033D7A60097B70100938787A497 +:10587000B387E70083CB070093050002B38BAB002F +:10588000338B7541631C0B0263E4460163EACC0071 +:105890003384CC40B306DA4033B98C0033892641D7 +:1058A000930509006FF09FE1B707000113050001A0 +:1058B000E3EAF6FA130580016FF0DFFAB3966601AA +:1058C000335D7601336DDD00B35D7A01B3156A0196 +:1058D00033DC7C0113540D01336CBC0013850D00C7 +:1058E00093050400B3196601EF00504F930A0500B9 +:1058F0009305040013850D0033996C01931C0D0171 +:10590000EF00504993DC0C01130A050093050500D4 +:1059100013850C00EF005045939A0A0113570C01B0 +:1059200033E7EA00930D0A00637EA7003307A7015F +:10593000930DFAFF6368A7016376A700930DEAFF52 +:105940003307A701330AA7409305040013050A0093 +:10595000EF00D04893050400930A050013050A00E0 +:10596000EF005043930505001304050013850C0058 +:10597000EF00903F93150C01939A0A0193D505010E +:10598000B3E5BA001307040063FEA500B385A501C3 +:105990001307F4FF63E8A50163F6A5001307E4FF0E +:1059A000B385A501939D0D01B70C0100B3EDED008A +:1059B0001384FCFFB3F78D0033F48900338AA540CC +:1059C00013850700930504002326F10093DD0D01E4 +:1059D000EF00903993050400930A050013850D002C +:1059E000EF00903813DC090193050C002324A1007B +:1059F00013850D00EF0050378327C10013040C00FE +:105A000093050400130C050013850700EF00D03543 +:105A10008326810013D70A013305D5003307A70079 +:105A20006374D700330C9C01B70701009387F7FF1D +:105A3000935507013377F70013170701B3F7FA00FF +:105A4000B3858501B307F7006366BA00631EBA0029 +:105A5000637CF90033863741B3B7C700B385A541EE +:105A6000B385F54093070600B307F9403339F900D1 +:105A7000B305BA40B385254133947501B3D76701A7 +:105A80003364F400B3D565016FF05FC3130101FD0A +:105A900023229102232A5101232611022324810269 +:105AA00023202103232E3101232C410123286101CE +:105AB0002326710123248101232291012320A101A6 +:105AC000930A050093840500639E063813040600BC +:105AD0009309050017A901001309C97D63F8C512D0 +:105AE000B7070100138B05006378F6101307F00F5A +:105AF0003337C70013173700B357E6003309F900EF +:105B0000834609003387E60093060002B386E64029 +:105B1000638C0600B394D40033D7EA003314D60064 +:105B2000336B9700B399DA00935A040193850A0006 +:105B300013050B00EF00902A1309050093850A0056 +:105B4000931B040113050B00EF00D02493DB0B0122 +:105B5000930405009305050013850B00EF00D0208A +:105B60001319090193D70901B367F900138A0400D7 +:105B700063FEA700B3878700138AF4FF63E88700FA +:105B800063F6A700138AE4FFB3878700B384A740B6 +:105B900093850A0013850400EF00502413090500C3 +:105BA00093850A0013850400EF00D01E9399090124 +:105BB00093040500930505001319090113850B00D3 +:105BC00093D90901EF00501AB36939011386040013 +:105BD00063FCA900B30934011386F4FF63E689006E +:105BE00063F4A9001386E4FF13140A013364C400AC +:105BF000130A00006F000013B7070001130700012C +:105C0000E36CF6EE130780016FF01FEF138A0600B6 +:105C1000631A06009305000013051000EF009017AB +:105C200013040500B7070100637EF4129307F00F19 +:105C300063F48700130A8000B35744013309F90065 +:105C4000034709009306000233074701B386E64085 +:105C500063940612B3848440130A1000135B04019A +:105C600093050B0013850400EF005017130905007E +:105C700093050B0013850400931B0401EF009011A2 +:105C800093DB0B01930405009305050013850B00BE +:105C9000EF00900D1319090193D70901B367F900BB +:105CA000938A040063FEA700B3878700938AF4FFFA +:105CB00063E8870063F6A700938AE4FFB387870051 +:105CC000B384A74093050B0013850400EF00101167 +:105CD0001309050093050B0013850400EF00900BDA +:105CE000939909019304050093050500131909010F +:105CF00013850B0093D90901EF001007B36939012F +:105D00001386040063FCA900B30934011386F4FF71 +:105D100063E6890063F4A9001386E4FF13940A0183 +:105D20003364C4001305040093050A008320C102F4 +:105D30000324810283244102032901028329C10132 +:105D4000032A8101832A4101032B0101832BC10016 +:105D5000032C8100832C4100032D0100130101035A +:105D600067800000B7070001130A0001E366F4EC46 +:105D7000130A80016FF05FEC3314D40033DAE400CF +:105D8000B399DA0033D7EA00935A0401B394D400EC +:105D900093850A0013050A00336B9700EF00100487 +:105DA0001309050093850A0013050A00931B0401DB +:105DB000EF00407E93DB0B01930405009305050083 +:105DC00013850B00EF00407A1319090113570B01DB +:105DD0003367E900138A0400637EA7003307870056 +:105DE000138AF4FF636887006376A700138AE4FFD1 +:105DF00033078700B304A74093850A001385040086 +:105E0000EF00C07D1309050093850A001385040087 +:105E1000EF004078930405009305050013850B00FF +:105E2000EF00807413170B011357070113190901B1 +:105E3000B367E9001387040063FEA700B3878700F8 +:105E40001387F4FF63E8870063F6A7001387E4FF76 +:105E5000B3878700131A0A01B384A740336AEA00A4 +:105E60006FF0DFDF63ECD51EB707010063F4F604C3 +:105E70001307F00FB335D7009395350033D7B6002D +:105E800097A7010093870743B387E70003C707007D +:105E9000130A00023307B700330AEA4063160A0206 +:105EA00013041000E3E096E833B6CA00134416006A +:105EB0006FF05FE7B707000193050001E3E0F6FC30 +:105EC000930580016FF09FFBB35CE600B39646013B +:105ED000B3ECDC0033D4E40093DB0C01B397440152 +:105EE00033D7EA0093850B0013050400336BF700EA +:105EF000B3194601EF00806E1309050093850B006E +:105F000013050400139C0C01EF00C068135C0C0126 +:105F1000930405009305050013050C00EF00C06411 +:105F20001319090113570B013367E90013840400A7 +:105F3000637EA700330797011384F4FF636897011A +:105F40006376A7001384E4FF33079701B304A740E7 +:105F500093850B0013850400EF00406813090500CA +:105F600093850B0013850400EF00C06293040500C5 +:105F70009305050013050C00EF00005F93170B015C +:105F80001319090193D70701B367F90013860400B9 +:105F900063FEA700B38797011386F4FF63E89701B8 +:105FA00063F6A7001386E4FFB38797011314040177 +:105FB000B70B01003364C4001389FBFF337D240158 +:105FC00033F92901B384A7409305090013050D0097 +:105FD000EF008059935C040193050900130B050041 +:105FE00013850C00EF00405893D90901130C0500EC +:105FF0009385090013850C00EF0000571309050075 +:106000009385090013050D00EF0000563305850147 +:1060100093570B013385A700637485013309790118 +:1060200093570501B387270163E6F402E392F4BCBA +:10603000B70701009387F7FF3375F50013150501C6 +:10604000337BFB0033964A0133056501130A0000D8 +:10605000E37AA6CC1304F4FF6FF09FB9130A000093 +:10606000130400006FF01FCC130101FB23248104F3 +:1060700023229104232E310323229103232611048A +:1060800023202105232C4103232A510323286103C4 +:1060900023267103232481032320A103232EB1018E +:1060A000930C0500938905001304050093840500F3 +:1060B000639E062613090600138A060097AA0100AC +:1060C000938A4A1F63F4C514B70701006376F6127A +:1060D0009307F00F63F4C700130A8000B35746011B +:1060E000B38AFA0003C70A00130500023307470109 +:1060F000330AE540630C0A00B395490133D7EC003D +:1061000033194601B364B70033944C01935A090123 +:1061100093850A0013850400EF00404C93090500A5 +:1061200093850A00131B090113850400EF008046C4 +:10613000135B0B019305050013050B00EF00C04234 +:106140009399090193570401B3E7F90063FAA70093 +:10615000B387270163E6270163F4A700B38727010C +:10616000B384A74093850A0013850400EF0000471D +:106170009309050093850A0013850400EF00804110 +:1061800013140401930505009399090113050B00ED +:1061900013540401EF00403D33E48900637AA40006 +:1061A00033042401636624016374A40033042401CE +:1061B0003304A44033554401930500008320C104F7 +:1061C0000324810483244104032901048329C10396 +:1061D000032A8103832A4103032B0103832BC1027A +:1061E000032C8102832C4102032D0102832DC10166 +:1061F0001301010567800000B7070001130A0001C1 +:10620000E36EF6EC130A80016FF05FED631A06008F +:106210009305000013051000EF00C03713090500B7 +:10622000B7070100637AF90E9307F00F63F42701B3 +:10623000130A8000B3574901B38AFA0003C70A0062 +:1062400013050002B384294133074701330AE540AF +:10625000E30E0AEA33194901B3DAE900B3954901BB +:1062600033D7EC0093540901336BB70013850A0050 +:1062700093850400EF0080369309050093850400A0 +:10628000931B090113850A00EF00C03093DB0B015B +:106290009305050013850B00EF00002D939909016C +:1062A00093570B01B3E7F90033944C0163FAA7004D +:1062B000B387270163E6270163F4A700B3872701AB +:1062C000B38AA7409385040013850A00EF000031CC +:1062D000930905009385040013850A00EF00802BC5 +:1062E0009305050013850B00EF00002893150B01A3 +:1062F0009399090193D50501B3E5B90063FAA500A7 +:10630000B385250163E6250163F4A500B385250166 +:10631000B384A5406FF09FDFB7070001130A0001A7 +:10632000E36AF9F0130A80016FF0DFF0E3E8D5E8E3 +:10633000B707010063FCF604930BF00F33B5DB00E5 +:106340001315350033D7A60097A70100938787F66A +:10635000B387E70083CB070093050002B38BAB0044 +:10636000338B7541631E0B0263E4360163EACC0094 +:106370003384CC40B386D94033B58C00B384A64077 +:1063800013050400938504006FF05FE3B707000175 +:1063900013050001E3E8F6FA130580016FF09FFA98 +:1063A000B3966601335D7601336DDD0033D4790138 +:1063B000B395690133DC7C0193540D01336CBC004F +:1063C0001305040093850400B31A6601EF00002151 +:1063D000130A0500938504001305040033996C012A +:1063E000931C0D01EF00001B93DC0C01130405004E +:1063F0009305050013850C00EF000017131A0A011E +:1064000013570C013367EA00130A0400637EA700E8 +:106410003307A701130AF4FF6368A7016376A70097 +:10642000130AE4FF3307A701B309A74093850400CB +:1064300013850900EF00801A9385040013040500FA +:1064400013850900EF00001593050500930405006E +:1064500013850C00EF00401193150C011314040177 +:1064600093D50501B365B4001387040063FEA5004E +:10647000B385A5011387F4FF63E8A50163F6A500C2 +:106480001387E4FFB385A501131A0A01B70C0100B5 +:10649000336AEA001384FCFFB3778A0033F48A007E +:1064A000B384A54013850700930504002326F1005B +:1064B000135A0A01EF00400B9309050093050400ED +:1064C00013050A00EF00400A13DC0A01930D0500D2 +:1064D00093050C0013050A00EF0000098327C10093 +:1064E000130A050093050C0013850700EF00C00791 +:1064F0003305B50113D709013307A7006374B7014A +:10650000330A9A01B70701009387F7FF93550701F4 +:106510003377F70013170701B3F7F900B385450187 +:10652000B307F70063E6B400639EB400637CF90030 +:1065300033865741B3B7C700B385A541B385F5404E +:1065400093070600B307F9403339F900B385B44027 +:10655000B385254133947501B3D767013365F400E2 +:10656000B3D565016FF09FC5130605001305000044 +:1065700093F61500638406003305C50093D5150016 +:1065800013161600E39605FE6780000063400506BB +:1065900063C6050613860500930505001305F0FF85 +:1065A000630C060293061000637AB6006358C000BD +:1065B0001316160093961600E36AB6FE1305000044 +:1065C00063E6C500B385C5403365D50093D6160094 +:1065D00013561600E39606FE6780000093820000C3 +:1065E000EFF05FFB13850500678002003305A040D4 +:1065F00063D80500B305B0406FF0DFF9B305B040D4 +:1066000093820000EFF01FF93305A040678002007D +:106610009382000063CA0500634C0500EFF09FF70A +:106620001385050067800200B305B040E35805FEFE +:106630003305A040EFF01FF63305B040678002003D +:10664000011122CC26CA2A84AE844AC84EC652C43E +:1066500056C206CEEFB03F9C1C4003290401B70987 +:106660000001B3E59700930AC4000CC08144FD19F2 +:10667000370A00021840638C2A03032609004A8562 +:10668000B3654701B376360193175600758F03291A +:10669000490063C4070001E7F1BFE39DE6FC9317DF +:1066A000760063D30700D58CEFC09F84E1B793C415 +:1066B000F4FFF98C04C0EFB01FBB0840F240624405 +:1066C000D2444249B249224A924A0561828041112C +:1066D000094506C622C4EFE07FB817F7FFFF13078E +:1066E000A79D814605469305400617A501001305A1 +:1066F00025D1EFD0EFD319C92A84EFB0DF922A86D3 +:106700000147814685452285EFD02FDFEFB08FE925 +:0A671000B24022440145410182809D +:02000004800278 +:10000000BA47FEFFB848FEFFC247FEFFB848FEFFF2 +:10001000B848FEFFB848FEFFB848FEFFDA47FEFFCB +:10002000B848FEFFB848FEFFF447FEFF0048FEFF59 +:10003000B848FEFF1248FEFF1E48FEFF1E48FEFFA6 +:100040001E48FEFF1E48FEFF1E48FEFF1E48FEFF24 +:100050001E48FEFF1E48FEFF1E48FEFFB848FEFF7A +:10006000B848FEFFB848FEFFB848FEFFB848FEFF9C +:10007000B848FEFFB848FEFFB848FEFFB848FEFF8C +:10008000B848FEFFB848FEFFB848FEFFB848FEFF7C +:10009000B848FEFFB848FEFFB848FEFFB848FEFF6C +:1000A000B848FEFFB848FEFFB848FEFFB848FEFF5C +:1000B000B848FEFFB848FEFFB848FEFFB848FEFF4C +:1000C000B848FEFFB848FEFFB848FEFFB848FEFF3C +:1000D000B848FEFFB848FEFFB848FEFFB848FEFF2C +:1000E000B848FEFFB848FEFFB848FEFFB848FEFF1C +:1000F000B848FEFFB848FEFFB848FEFFB848FEFF0C +:100100005248FEFF6848FEFFB848FEFFB848FEFFB1 +:10011000B848FEFFB848FEFFB848FEFFB848FEFFEB +:10012000B848FEFFAE48FEFFB848FEFFB848FEFFE5 +:100130006046FEFF1847FEFFB848FEFFB848FEFFC6 +:100140004E47FEFFB848FEFFA647FEFFB848FEFF39 +:10015000B848FEFFD447FEFFC64BFEFF1A4CFEFF19 +:10016000CE4BFEFF1A4CFEFF1A4CFEFF1A4CFEFF50 +:100170001A4CFEFFC04AFEFF1A4CFEFF1A4CFEFF4F +:10018000DA4AFEFFE64AFEFF1A4CFEFFF84AFEFF7F +:10019000044BFEFF044BFEFF044BFEFF044BFEFF2F +:1001A000044BFEFF044BFEFF044BFEFF044BFEFF1F +:1001B000044BFEFF1A4CFEFF1A4CFEFF1A4CFEFFCA +:1001C0001A4CFEFF1A4CFEFF1A4CFEFF1A4CFEFFA3 +:1001D0001A4CFEFF1A4CFEFF1A4CFEFF1A4CFEFF93 +:1001E0001A4CFEFF1A4CFEFF1A4CFEFF1A4CFEFF83 +:1001F0001A4CFEFF1A4CFEFF1A4CFEFF1A4CFEFF73 +:100200001A4CFEFF1A4CFEFF1A4CFEFF1A4CFEFF62 +:100210001A4CFEFF1A4CFEFF1A4CFEFF1A4CFEFF52 +:100220001A4CFEFF1A4CFEFF1A4CFEFF1A4CFEFF42 +:100230001A4CFEFF1A4CFEFF1A4CFEFF1A4CFEFF32 +:100240001A4CFEFF1A4CFEFF1A4CFEFF1A4CFEFF22 +:100250001A4CFEFF1A4CFEFF384BFEFF664BFEFFAA +:100260001A4CFEFF1A4CFEFF1A4CFEFF1A4CFEFF02 +:100270001A4CFEFF1A4CFEFF1A4CFEFFBC4BFEFF51 +:100280001A4CFEFF1A4CFEFF1E49FEFF184AFEFFE5 +:100290001A4CFEFF1A4CFEFF8A4AFEFF1A4CFEFF64 +:1002A000B04AFEFF1A4CFEFF1A4CFEFF144CFEFF34 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020416C74426C636B51207461736B7320A9 +:1003E0000D0A00006D69616F750A00006D69616F2B +:1003F00075320A0068756E6772790A004368656332 +:100400006B54696D6572000051436F6E7342310029 +:100410005150726F644232005150726F6442330027 +:1004200051436F6E734234005150726F6442350015 +:0804300051436F6E7342360068 +:100438001000000000000000017A5200017C010158 +:100448001B0D02005000000018000000E050FEFFE5 +:100458005805000000440E507489039406990B81D6 +:1004680001880292049305950796089709980A9AB5 +:100478000C9B0D036C010AC144C844C944D244D33F +:1004880044D444D544D644D744D844D944DA44DB88 +:10049800440E00440B0000004C0000006C000000FB +:1004A800E455FEFFDC05000000440E30708903951A +:1004B8000781018802920493059406960897099883 +:1004C8000A990B9A0C0370020AC144C844C944D261 +:1004D80044D344D444D544D644D744D844D944DA40 +:1004E800440E00440B00000050000000BC00000057 +:1004F800705BFEFF0005000000440E5074880289FE +:10050800039305990B810192049406950796089721 +:1005180009980A9A0C9B0D0320010AC144C844C9D2 +:1005280044D244D344D444D544D644D744D844D9F7 +:0C05380044DA44DB440E00440B000000D9 +:0805CC00FC8F01000400000097 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/AltBlckQ_rv32im_O3.hex b/VexRiscv/src/test/resources/freertos/AltBlckQ_rv32im_O3.hex new file mode 100644 index 0000000..1053a52 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/AltBlckQ_rv32im_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/AltBlckQ_rv32imac_O3.hex b/VexRiscv/src/test/resources/freertos/AltBlckQ_rv32imac_O3.hex new file mode 100644 index 0000000..f1dae80 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/AltBlckQ_rv32imac_O3.hex @@ -0,0 +1,1616 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900061C8170502001305E54A9795030093858B +:1000A000256A01461122170502001305253E970512 +:1000B00002009385C5450146C52817A50300130511 +:1000C000656897B503009385A56737B6ABAB130694 +:1000D000B6BAD92817B103001301C166EF50906F6B +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2C22823A02200F3221034D6 +:1002400096DEEF00002B1701020003216127024117 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E22923A0120023A2A9 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40F0531701020003216C +:1003300021190241F65273901234B72200009382C1 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A21B83A0B0 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2C20623A0220086DEEF20BC +:10046000C05B170102000321A1050241F6527390FF +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF405034EF10B03901E55B +:10050000B24041018280B24041016F200051930707 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30904D71 +:1005B0008280411122C406C62A8426C2EF20103F41 +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF2030056DD5EF2010253C44FD1702 +:1005F0003CC43C44E344F0FEFD573CC4EF20503D76 +:10060000EF20D03A7C40635BF0021C4885CB93041A +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF20F0006DD5EF20D02023 +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20903829C54111A3 +:1006500022C426C22A8406C6AE84EF203035344038 +:100660005C5C1840232C0402B387F6027D5670C0F0 +:1006700018C430C4B386D740BA9736975CC058C404 +:1006800091EC1C489DE3EF20B034B24022449244E8 +:100690000545410182807370043001A013050401F7 +:1006A000BD3513054402A535F9BF13050401EF203C +:1006B00060788547E319F5FC913BF1B701E573706C +:1006C000043001A0011126CA4AC84EC606CE22CC6B +:1006D000B2892E89AA84A5C53305B502130555052F +:1006E000EF3010222A8435C1930745051CC144DC34 +:1006F00023202405EF20902B34405C5C18407D566D +:10070000B387F602232C040270C018C430C413054A +:100710000401B386D740BA9736975CC058C4C53B2E +:1007200013054402ED33EF20B02A23083405228557 +:10073000F2406244D2444249B249056182801305C5 +:100740004005EF30F01B2A8401E57370043001A0EE +:1007500000C071BF01E57370043001A06375B5007E +:100760007370043001A0411122C409462E848145D2 +:1007700006C6A93711C500DDB2402244410182807E +:100780007370043001A05D7186C6A2C4A6C2CAC03F +:100790004EDE52DC56DA5AD85ED632C6630A051CE3 +:1007A0006382051C89476399F600585D8547630598 +:1007B000F7007370043001A03689AE842A84EF20DC +:1007C000300A11E5B24781C77370043001A0EF20F1 +:1007D000F01D185C5C5C014B894BFD59130A040148 +:1007E000930A44026365F70C63077919B247638182 +:1007F000072463150B002808EF20E076EF20501D3A +:10080000EF101000EF20901A7C40639437012322F0 +:1008100004043C446394370123240404EF20501B58 +:100820006C002808EF204076631E051EEF2010188C +:10083000185C5C5C630CF70AEF209019EF2010172E +:100840003C44634FF00039A85685EF20A05E19C1E3 +:10085000EF20807E3C44FD173CC43C446354F000D0 +:100860005C50FDF323243405EF209016EF20101484 +:100870007C40634FF00039A85285EF20A05B19C17E +:10088000EF20807B7C40FD177CC07C406354F000EF +:100890001C48FDF323223405EF209013EF10501B6A +:1008A000054BEF20B010185C5C5CE37FF7F2304042 +:1008B0006305060C631F09120844A685EF30901CDF +:1008C0001C4434405840B6971CC463EAE70A1C5CD9 +:1008D00014405850850714C41CDC5DC7130544023E +:1008E000EF20405585476311F50AC9A8EF20500E47 +:1008F000B2455285EF20C035EF20500B3C44634F8A +:10090000F00039A85685EF20E05219C1EF20C072DF +:100910003C44FD173CC43C446354F0005C50FDF380 +:1009200023243405EF20D00AEF2050087C40634F89 +:10093000F00039A85285EF20E04F19C1EF20C06FB9 +:100940007C40FD177CC07C406354F0001C48FDF3E4 +:1009500023223405EF20D007EF10900F31F17D34C2 +:10096000054B81B73C41E38F07E27370043001A06F +:100970007370043001A0304021E61C4085C71C5C28 +:10098000585085071CDC39FBEF2090040545B64024 +:10099000264496440649F259625AD25A425BB25BE7 +:1009A000616182804840EF20C0771C5C5850232250 +:1009B000040085071CDCE31307F279D5813CE9B715 +:1009C0004844A685EF30100C3C4058441440B3070F +:1009D000F0403E9758C4637CD7025840BA975CC435 +:1009E00009475C506306E902185C050718DCC9DF9B +:1009F000F5B54844A685EF30F0083C405844144013 +:100A0000B307F0403E9758C4E37CD7FCF9B75C507D +:100A1000185C79DB185C7D1718DC185C050718DC9E +:100A2000A5D76DBD22857136EF109002014585B7BF +:100A3000EF20007A0145A1BF411126C2AA84130507 +:100A4000400522C406C6EF30A06B2A8415CD232CA6 +:100A50000502FD577CC10547410558DC3CC42322F3 +:100A60000400232004002324040023260400232060 +:100A7000040423089404613C13054402493C814664 +:100A800001468145228501332285B24022449244A9 +:100A9000410182800DC5411122C426C206C64AC04A +:100AA000032945002A84EF20E05A8144630CA90001 +:100AB000B2402244268502499244410182807370EB +:100AC000043001A05C448544FD175CC4F5F3814605 +:100AD0000146814522854539E1BF397106DE22DCB8 +:100AE00026DA4AD84ED652D432C635CD8149DDC138 +:100AF0003689AE842A84130A05012DA0EF20406DAB +:100B0000EF20C06A6C002808EF20004831E1EF2098 +:100B1000E069185C5C5C6305F702EF20606BEF2016 +:100B2000206B8549EF208068185C5C5C6360F7048B +:100B3000B24799CFE39409FC2808EF20C0427DBF5B +:100B4000EF200069B2455285EF208010C130C1BF4F +:100B5000EF200068F2506254D2544259B259225ADE +:100B60000145216182807370043001A0304015EE90 +:100B70001C4095C71C5C585085071CDC39E7EF20EA +:100B80002065F2506254D2544259B259225A054556 +:100B9000216182803C41A9DF7370043001A048408C +:100BA000EF20205823220400F1B7631909020844FA +:100BB000A685EF30206D1C4434405840B6971CC4C5 +:100BC000E3EAE7FA1C401CC475B713054402EF20A2 +:100BD00060268547E315F5FA153855B74844A685CC +:100BE000EF30406A3C4058441440B307F0403E9711 +:100BF00058C46375D7005840BA975CC48947E31B53 +:100C0000F9F61C5CA5DB1C5CFD171CDCA5B7397173 +:100C100006DE22DC26DA4AD84ED652D432C663012A +:100C2000050E81446388050CB6892E892A84130A2F +:100C3000450231A0EF20C059EF2080598544EF20B4 +:100C4000E0561C5CBDE3B24795CF8DC8EF204058FD +:100C5000EF20C0556C002808EF20003305E5EF2099 +:100C6000E0541C5CE1FBEF20A0561C409DC7B24540 +:100C70005285EF10F07DEFF06FF97DBF2808EF206F +:100C8000802EE9B7EF20C054F2506254D25442593A +:100C9000B259225A014521618280EF2020514840FB +:100CA000EF20003EEF20C052D9B73040444409CE77 +:100CB0005840B387C4005CC463E4E7001C405CC4D4 +:100CC0004C444A85EF30005C639F09021C5C18406D +:100CD000FD171CDC01E7EF20205448C01C489DC7CD +:100CE00013050401EF20001585476311F502EFF0AD +:100CF000EFF129A83C41E38907F27370043001A0A9 +:100D00007370043001A05C5044C481EFEF20404C6C +:100D1000F2506254D2544259B259225A05452161C7 +:100D2000828013054402EF20E0106DD1C9B725C1C0 +:100D3000B1C9011122CC26CA4AC852C406CE4EC639 +:100D40008947B68432892E8A2A846399F600585DD1 +:100D500085476301F7067370043001A0EFF0EFF6EA +:100D6000185C5C5CAA896367F70281444E85EFF0EA +:100D70006FF5F240624426854249D244B249224A84 +:100D8000056182803C41D5D77370043001A0737037 +:100D9000043001A0304049E21C40DDCB1C5C7D5793 +:100DA00085071CDC3C446388E7083C448544850790 +:100DB0003CC46DBFEFF06FF1185C5C5CAA89636D99 +:100DC000F702304071DA4844D285EF30A04B3C4006 +:100DD00058441440B307F0403E9758C46375D70099 +:100DE0005840BA975CC48947E39AF4FA1C5CDDD793 +:100DF0001C5CFD171CDC5DB7304059DE4844D285D1 +:100E0000EF3040483C4058441440B307F0403E9710 +:100E100058C4E367D7FCD9BFDDF40844D285EF306E +:100E200060461C4434405840B6971CC4E3E8E7F6DB +:100E30001C401CC4A5B75C5085448DDB13054402DF +:100E4000EF10507F05D5E30309F22320990039BF45 +:100E50004840EF20002D2322040089B731CD3C41CA +:100E600081C77370043001A01C41B1CB011122CCA9 +:100E700026CA2A844AC84EC606CE2E89EFF0EFE471 +:100E8000185C5C5CAA898144637DF7001C5C7D571B +:100E900085071CDC3C446389E7023C4485448507A4 +:100EA0003CC44E85EFF00FE2F24062442685424991 +:100EB000D244B249056182807370043001A05C4164 +:100EC000D5D77370043001A05C5099E38544D1BF3D +:100ED00013054402EF10107675D9E30909FE854722 +:100EE0002320F900E5B7397106DE22DC26DA4AD87C +:100EF0004ED652D456D232C66302051C638A051AF6 +:100F0000B68AAE892A84EF20A01511E5B24781C7C1 +:100F10007370043001A0EF2060291C5C63920714F9 +:100F2000B247638E070A2808EF20E003FD54130937 +:100F30004402130A0401EF20A029EF10600CEF20F7 +:100F4000E0267C4063949700232204043C4463948D +:100F5000970023240404EF20A0276C002808EF202A +:100F6000A00263130518EF2060241C5CADCFEF20B6 +:100F70002026EF20A0233C44634FF00039A84A8587 +:100F8000EF10506B19C1EF20200B3C44FD173CC4FF +:100F90003C446354F0005C50FDF324C4EF20402334 +:100FA000EF20C0207C40634FF00039A85285EF103D +:100FB000706819C1EF2040087C40FD177CC07C4060 +:100FC0006354F0001C48FDF364C0EF206020EF1074 +:100FD0002028EF20A01D1C5CC1E7B247A9FFEF202D +:100FE000201F014555A0EF20A01E1C40DDC7B245C3 +:100FF0004A85EF10F045EF20601B3C44634FF00042 +:1010000039A84A85EF10106319C1EF20E0023C4473 +:10101000FD173CC43C446354F0005C50FDF324C411 +:10102000EF20001BEF2080187C40634FF00039A8B0 +:101030005285EF10306019C1EF2000007C40FD1791 +:101040007CC07C406354F0001C48FDF364C0EF207A +:101050002018EF10E01F31C9EF2040151C5CB5DFF0 +:10106000CE8522854444EFF0EFD2639C0A041C5CD9 +:101070001840FD171CDC01E7EF20001A48C01C488F +:10108000A1EFEF20E0140545F2506254D2544259CA +:10109000B259225A925A21618280EF202011484091 +:1010A000EF10107EEF20C01299B7EFF02FB66DB79A +:1010B0003C41E38707E47370043001A0737004308F +:1010C00001A05C5044C4D5DF13054402EF109056D4 +:1010D0004DD9EFF0AFB375B713050401EF1090557C +:1010E0008547E310F5FAF5B72285EFF08FCCEF10C6 +:1010F0002016014551BF1DC9411122C44AC006C670 +:1011000026C244412E892A84EF10D0746383A4023E +:1011100081464A8681452285F93385476300F50279 +:10112000B2402244924402494101828073700430EB +:1011300001A05C44054585075CC4DDB75C448507B8 +:101140005CC4F9BF15CDADC9011122CC26CA4AC86D +:101150004EC652C42A8406CE3289AE89EFF0EFB66D +:101160001C5C2A8A814485E35285EFF0AFB5F240DA +:10117000624426854249D244B249224A05618280AE +:101180007370043001A0304001CE4C445C40B295F5 +:101190004CC463E4F5000C404CC44E85EF30800E27 +:1011A0001C5C7D57FD171CDC7C40638CE7007C4099 +:1011B000854485077CC04DBF3C41D9D7737004304E +:1011C00001A01C4899E3854445B713050401EF10BD +:1011D000704675D9E30909FE85472320F900E5B774 +:1011E00021C93C41A1CDA1CB011122CC26CA4AC8BC +:1011F00052C42A8406CE4EC62E8AEFF00FAD1C5C78 +:101200002A89814491CB8329C400D2852285EFF0BD +:101210006FB88544232634014A85EFF0AFAAF24027 +:10122000624426854249D244B249224A05618280FD +:101230007370043001A07370043001A0EDDF73708F +:10124000043001A001E57370043001A0411122C4F3 +:101250002A8406C6EF109075005CEF107077B240DC +:10126000228522444101828001E57370043001A08F +:10127000411106C622C42A84EF1050731C5C405CE6 +:101280001D8CEF10F0742285B2402244410182800F +:1012900019C1085D82807370043001A01DCD97874D +:1012A000030093872746D843630CE502D847630CB5 +:1012B000E504D84B6307E504D84F6302E504D8532F +:1012C000630DE502D8576308E502D85B6303E502C6 +:1012D000D85F630EE5006F20D0747370043001A0F6 +:1012E00001470E07BA9723A007006F2090731D4790 +:1012F000CDBF1947FDB71547EDB71147DDB70D470E +:10130000CDB70947F9BF0547E9BF684582806CC57D +:10131000828003450505828009C5085D13351500E7 +:1013200082807370043001A019C51C5D485D1D8D5D +:101330001335150082807370043001A09787030075 +:101340009387473C984305C3984705C7984B05C703 +:10135000984F05C7985305C7985705C7985B15C799 +:10136000985F15C3828001470E07BA978CC3C8C324 +:1013700082800547D5BF0947C5BF0D47F5B711475F +:10138000E5B71547D5B71D47C5B71947F1BF9787CB +:10139000030093872737D8436308E502D847630BD8 +:1013A000E502D84B630AE502D84F6309E502D8533A +:1013B0006308E502D8576307E502D85B6308E502D6 +:1013C000D85F6303A702828001470E07BA9723A064 +:1013D000070082800547D5BF0947C5BF0D47F5B750 +:1013E0001147E5B71547D5B71D47C5B71947F1BF31 +:1013F000411122C426C24AC02A8406C6AE8432895C +:10140000EF10D05A7840FD576314F70023220404EC +:101410003844FD576314F70023240404EF10505B95 +:101420001C5C99E74A86A68513054402EF10501507 +:10143000EF10D0573C44635BF0025C5085CB9304C3 +:10144000440209A83C44FD173CC43C446350F002EC +:101450005C5089CF2685EF10F01D6DD5EF10D03D83 +:101460003C44FD173CC43C44E344F0FEFD573CC4FF +:10147000EF101056EF1090537C40635BF0021C4855 +:1014800085CB9304040109A87C40FD177CC07C40F7 +:101490006350F0021C4889CF2685EF10B0196DD536 +:1014A000EF1090397C40FD177CC07C40E344F0FE97 +:1014B000FD577CC02244B2409244024941016F1062 +:1014C000305197F701009387A7FF944317F7010066 +:1014D0001307C7FD1843C8C2636EE502411122C459 +:1014E00006C617F70100130767FD2A8408438C43DB +:1014F0009105EFF0EF8497F70100938767F99C431C +:101500006376F40097F7010023A487F8B2402244E1 +:101510004101828017F70100130707FA08438C4343 +:1015200091056FF0EF8197F70100938767F9984372 +:1015300094437C43FD177CC3FC4299E37360043001 +:1015400082801DC179714ECE56CA06D6BE8A22D47B +:1015500026D24AD052CC5AC85EC69147BA8963F89F +:10156000E7007370043001A07370043001A02A8B6F +:10157000AE843289B68B428A6308082213050006BE +:10158000EF2010382A84630C05200A09232845031C +:101590004A869305500A5285EF20D05C03C70400A9 +:1015A0001C587119230AE40203C704003E991379F9 +:1015B000C9FF79C783C71400A30AF40283C71400C4 +:1015C000E1C383C72400230BF40283C72400CDCBDF +:1015D00083C73400A30BF40283C73400D5C383C789 +:1015E0004400230CF40283C74400D9CB83C75400C2 +:1015F000A30CF40283C75400C1C783C76400230D42 +:10160000F40283C76400ADCF83C77400A30DF40256 +:1016100083C77400B5C783C78400230EF40283C751 +:101620008400B9CF83C79400A30EF40283C794004B +:10163000A1CB83C7A400230FF40283C7A400A9C3CE +:1016400083C7B400A30FF40283C7B40095CB83C74C +:10165000C4002300F40483C7C4009DC383C7D4001F +:10166000A300F40483C7D40081CF83C7E40023011F +:10167000F40483C7E40089C783C7F400A301F4041A +:10168000130A44005285A3010404232634032328AB +:101690003405232A0404EFE0DFE813058401EFE0BA +:1016A0005FE89547B3873741232C040400C81CCC5E +:1016B00040D023220404232E04045E86DA854A8562 +:1016C000EFE05FE108C063840A0023A08A00737022 +:1016D000043017F901001309E9DC8327090097F4A6 +:1016E00001009384E4DD91C798409C407C438507CA +:1016F0007CC317F701001307A7DB1C43850797F688 +:10170000010023A7F6DA9C40CDCB8327090089EBA3 +:101710009C40DC5763E6F90097F7010023A287DAC3 +:1017200097F70100938707D7984397F601009386B0 +:10173000A6D75C549442050717F60100232CE6D483 +:1017400038C463F6F60017F70100232FF7D451478A +:10175000B387E70217F50100130585E6D2853E95AC +:10176000EFE09FDC8327090089C79C40FC43639519 +:10177000070E83270900054499C79C40DC5763F492 +:101780003701EFE0BFC82285B2502254925402596B +:10179000F249624AD24A424BB24B4561828052853D +:1017A000EF2030287D54C5B713152600EF205015C3 +:1017B0002A8AE31505DC7D54F9B797F7010023A1C8 +:1017C00087D018438547E31DF7F417F5010013058B +:1017D00025DFEFE0DFD317F501001305A5DFEFE00C +:1017E0001FD317F50100130525E0EFE05FD217F5D1 +:1017F00001001305A5E0EFE09FD117F501001305E7 +:1018000025E1EFE0DFD017FB0100130BABE15A85B8 +:10181000EFE0FFCF97FA0100938A0AE25685EFE0E6 +:101820001FCF17F50100130565E2EFE05FCE17F556 +:1018300001001305E5E2EFE09FCD17F50100130568 +:1018400065E3EFE0DFCC97F7010023A967C797F7BF +:10185000010023A357C7E9B5984094407C43FD1786 +:101860007CC3FC42E39707F07360043019B7411161 +:1018700022C406C626C24AC02A847370043097F474 +:101880000100938424C29C40F9E311E497F701001E +:10189000938707C38043130944004A85EFE05FCD77 +:1018A0001C5489C713058401EFE09FCCCA8517F546 +:1018B00001001305E5DAEFE03FC797F701009387D2 +:1018C00067BF984397F701009387C7BC9C43050700 +:1018D00097F6010023A0E6BE9840850797F6010021 +:1018E00023AAF6BA01CB97F70100938767BD984307 +:1018F000784349EF9C40B1C797F70100938747BCF5 +:101900009843630F870873700430984039EB17F7DA +:1019100001001307A7BA14439442A5E27D5797F636 +:10192000010023A7E6B6984009CF9843784311CB2E +:10193000984394437C43FD177CC3FC4299E3736056 +:101940000430B2402244924402494101828097F718 +:1019500001009387E7B698439C437C4385077CC38B +:101960002DB794439843F8420507F8C217F70100D2 +:101970001307C7B414439442D5D218435847584765 +:10198000584397F6010023A5E6B071BF98439443EE +:101990007C43FD177CC3FC42B1FF7360043099BFE8 +:1019A00097F70100938787AE9C4381C7737004301B +:1019B00001A02244B2409244024941016FE01FA5B8 +:1019C00041C517F701001307A7AF14438147638B85 +:1019D000A606411106C622C426C27370043097F6CB +:1019E0000100938626AC9C4291C710431C437C4265 +:1019F00085077CC29C42AA84404981C71C43FC43A2 +:101A0000B9E797F70100938767AB9C43638A870424 +:101A100097F70100938747AA9C436383870497F74E +:101A20000100938727C56308F40297F701009387A5 +:101A300027C36300F4028547B240224492443E85A6 +:101A4000410182803E8582807370043001A0E13CB8 +:101A50004DBF9147D5B79C5493B717008907E9BF8D +:101A60008947D9BF7370043097F70100938787A324 +:101A7000984309EF15C59C43485581CB97F7010062 +:101A8000938707A49843784315E3828017F70100F2 +:101A9000130707A314431843F8420507F8C261FD72 +:101AA00017F701001307C7A10843F1B79843944300 +:101AB0007C43FD177CC3FC42E9FB736004308280E9 +:101AC000411122C42A8406C6EFE03FA011E497F733 +:101AD00001009387E79E80434054EFE0BF9EB240F1 +:101AE0002285224441018280914763F5B7007370DB +:101AF000043001A0011122CC06CE26CA4AC84EC627 +:101B000052C42A847370043017F9010013098999AB +:101B100083270900D5EF79C43C486389F50817F796 +:101B200001001307E79963FCB7001443638C860C2C +:101B300018434457B3B4950093C4140029A0044338 +:101B4000818C93B4140058546384E70A1C4C2CC84D +:101B500063C607009547B385B7400CCC93172700A1 +:101B6000BA97584897F90100938989A58A07CE97B3 +:101B7000631BF702130A44005285EFE07F9F17F7BB +:101B80000100130767925C5418436376F70017F758 +:101B90000100232BF7905147B387E702D2853385A5 +:101BA000F900EFE07F9899C0EFE05F868327090096 +:101BB00081CB97F701009387A7909C43FC439DEB53 +:101BC000F2406244D2444249B249224A05618280CD +:101BD00097F701009387C78E98439C437C43850702 +:101BE0007CC31DF897F701009387878D80432DB73D +:101BF0004CD4A9BF6244F240D2444249B249224A7D +:101C0000056115B2814481B725C1011126CA97F437 +:101C100001009384E48A9C4006CE22CC4AC84EC67A +:101C2000638DA7027370043017F9010013098987C7 +:101C30008327090091C798409C407C4385077CC35B +:101C4000584997F701009387E7A26302F7028327B9 +:101C5000090081C79C40FC43A5EBF2406244D2449A +:101C60004249B249056182807370043001A01C555D +:101C700017F701001307879DE38BE7FCE9FB930946 +:101C800045002A844E85EFE0BF8E17F70100130749 +:101C9000A7815C5418436376F70017F701002325EA +:101CA000F7805147B387E70217F501001305459107 +:101CB000CE853E95EFE05F879C405854DC57E36843 +:101CC000F7F8EFE0AFF461B76244F240D244424922 +:101CD000B249056189B815CD011122CC26CA4AC87E +:101CE0002A8406CE4EC6EFE04FFE584897F7010013 +:101CF000938747982A8981446300F7024A85EFE079 +:101D00006FFCF240624426854249D244B2490561E3 +:101D100082807370043001A01C5417F50100130574 +:101D2000E592E38DA7FCF9FB97E70100938707761F +:101D30009C43A9EB97E70100938787789C43445421 +:101D400093094400DC574E85B3B4F400EFE05F82A2 +:101D500017E70100130747755C54184393C4140038 +:101D60006376F70017E701002320F7745147B38724 +:101D7000E70217F501001305A584CE853E95EFE037 +:101D8000AFFAADBF93058401EFE00FFA85BF0111F3 +:101D9000056506CE22CC26CA4AC84EC652C456C2D3 +:101DA000EF200036630E051CAA8413050006EF2001 +:101DB00020352A84630A051E05669305500A04D956 +:101DC0002685EF20205A04588567F117BE94B7473F +:101DD0004C4593879744930944005CD84E85230C67 +:101DE0000402A30104042326040223280404232A52 +:101DF0000404EFE00FF313058401EFE08FF2954741 +:101E0000232C0404F19800C81CCC40D023220404E5 +:101E1000232E04040146971500009385E5B126851D +:101E2000EFE04FEB08C07370043017E901001309AD +:101E300069678327090097E401009384646891C768 +:101E400098409C407C4385077CC317E7010013073B +:101E500027661C43850797E6010023ABF6649C4088 +:101E6000C9C38327090081EB9C40DC5789E797E7CA +:101E7000010023A7876497E701009387A7619C432C +:101E800097E601009386466258549442850717E608 +:101E900001002321F6603CC463F6E60097E70100E9 +:101EA00023A4E76093172700BA978A0717E5010074 +:101EB000130505713E95CE85EFE00FE783270900F6 +:101EC00081C79C40FC43F1E78327090091C39C40F4 +:101ED000EF10704985476304F50E5DE173700430BF +:101EE00001A097E7010023AD875C18438547E31401 +:101EF000F7F817E501001305A56CEFE04FE117E5D2 +:101F000001001305256DEFE08FE017E501001305D3 +:101F1000A56DEFE0CFDF17E501001305256EEFE0BB +:101F20000FDF17E501001305A56EEFE04FDE97EA1E +:101F30000100938A2A6F5685EFE06FDD17EA0100F2 +:101F4000130A8A6F5285EFE08FDC17E50100130555 +:101F5000E56FEFE0CFDB17E5010013056570EFE0FB +:101F60000FDB17E501001305E570EFE04FDA97E7A7 +:101F7000010023A5575597E7010023AF4753E5BD5F +:101F8000F2406244D2444249B249224A924A05612F +:101F90008280984094407C43FD177CC3FC42E395CB +:101FA00007F2736004300DB76244F2404249B2490F +:101FB000224A924A2685D24405616F20802673709A +:101FC0000430FD5717E701002324F74C624497E7DC +:101FD000010023A9A74CF240D2444249B249224A07 +:101FE000924A97E7010023A3074C05616FE06FABAE +:101FF0007370043097E7010023A6074A6FE0AFB87B +:1020000017E70100130787481C4385071CC382801C +:102010007370043097E701009387C748984305E33E +:1020200017E701001307874808439C4381CB97E7D4 +:1020300001009387E7489843784301EF828017E7D0 +:1020400001001307E74714431843F8420507F8C295 +:10205000C1BF984394437C43FD177CC3FC42F9FF06 +:10206000736004308280411106C622C4EFE0EFC5E0 +:1020700097E70100938787438043EFE0AFC4B24006 +:10208000228522444101828097E7010093874742DD +:1020900088438280797106D622D426D24AD04ECE89 +:1020A00052CC56CA5AC85EC697E701009387073ECE +:1020B0009C43B1EF17E701001307473F1C43850717 +:1020C00097E6010023A4F63E832A0700638F0A06E1 +:1020D00097E701009387C73B9C43814463F1FA086B +:1020E00097E901009389C94D17EA0100130A4A3D97 +:1020F00083270A008546D85793172700BA978A077F +:10210000BE9983A7090063FFF600854421A897E7DD +:1021100001009387E7389C438144850717E7010056 +:102120002320F73897E70100938747379C4391C3F3 +:102130008544B2502254268502599254F249624A8B +:10214000D24A424BB24B4561828017E4010013042E +:10215000E4361C409C43DDC37370043001A017E4D7 +:1021600001001304A43597E901009389694517EA32 +:102170000100130AEA3417EB0100130BEB321C4089 +:102180009C43B5C31C40DC4703A9C7008327490013 +:10219000930B49005E8563EEFA04EFE06FBD832781 +:1021A00089021305890199C3EFE08FBC8327C90217 +:1021B00003270B00DE85139527003E950A054E95F3 +:1021C0006376F70017E701002320F72EEFE0CFB585 +:1021D00083270A000327C902DC57E362F7FA1C4091 +:1021E00085449C43C5F3FD5717E701002322F72AD6 +:1021F00001B717E70100232DF728DDBD17E7010020 +:102200001307872B1C40184397E6010023A8E62AF2 +:1022100017E701002322F72A97E701009387C727D2 +:102220009C43850717E701002328F7261C409C43A1 +:1022300099E7FD5717E70100232CF72451BD1C40F7 +:10224000DC47DC47DC4317E701002323F72449B5CB +:1022500097E7010093878723984301E77370043061 +:1022600001A0011106CE22CC26CA4AC84EC652C4CD +:1022700056C25AC07370043097E4010093848422DC +:10228000984061EB98437D1797E6010023A0E62074 +:102290009C43D9EB97E70100938787219C43C9C7EB +:1022A00097EA0100938ACA3117EA0100130ACA1F8C +:1022B00017E901001309C920854919A83385EA00E7 +:1022C000EFE08FA6832709005854DC576379F704A1 +:1022D00083A7CA08C1CF83A78A09C0471305840111 +:1022E000EFE00FA9130B44005A85EFE06FA85C5490 +:1022F00083260A00DA85139527003E950A052A876A +:102300005695E3FDF6FA17E70100232FF718EFE0E3 +:10231000AFA1832709005854DC57E36BF7FA97E71E +:10232000010023AD37176DB701449C4081CB97E77F +:1023300001009387E7189C43FC4395E72285F24010 +:102340006244D2444249B249224A924A024B056150 +:10235000828017E701001307A71614431843F842B9 +:102360000507F8C205B7EFF00F9CC9BF17E40100DD +:10237000130404131C4095C31C40054999CF193B15 +:1023800009C597E7010023AB27111C40FD1717E78C +:1023900001002327F7101C40FDF397E70100938706 +:1023A000E70F98438547E311F7F8EFE02F860544E0 +:1023B000ADBF11CD81E57370043001A017E70100B6 +:1023C0001307C70C1C4399C77370043001A07370C6 +:1023D000043001A0411122C406C6144318418506E9 +:1023E00017E601002324D60A97E601009386060C1F +:1023F00094423304B70000C163F7E602637FE40050 +:1024000063FD860097E701009387870B88431105DA +:10241000EFE00F962285EFF0CF8A1D3D01C9B24053 +:10242000224441018280E36FE4FCD9BF2244B240E0 +:1024300041016FD0BFFD11C917E701001307070560 +:102440001C4391C77370043001A06FD03FFC411151 +:1024500006C622C41843AA87050797E6010023A7EA +:10246000E60297E601009386A60517E70100130729 +:10247000E703184388423304F7001105EFE04F8F5C +:102480002285EFF00F84E93311E52244B240410187 +:102490006FD0DFF7B240224441018280411122C453 +:1024A00006C697E70100938767FE9C4301448507B2 +:1024B00017E70100232CF7FC97E70100938747FFFC +:1024C0009C4363E3F50C17EE0100130EEE1497E244 +:1024D00001009382220E01440147854F1303500AE5 +:1024E00021A0311E6387C20D83278EFFBA85F5DBDD +:1024F0008327CEFFDC43232EFEFE6382C71B03AF80 +:10250000C700DC432A97814E232EFEFE6385C70752 +:1025100083A8C70093874803232017015CC383A7C0 +:1025200088042326F7011CC783A7C8021CCB83A7F6 +:102530000805232C07005CCB03A808038347080089 +:10254000639A6704C287850783C60700B38507417E +:10255000E38B66FE8981C205C181231EB700850E0B +:102560001307070263001F058327CEFFDC43232EDA +:10257000FEFEE39FC7F983274E00232EFEFE49BFD0 +:102580001A9419C223200600E1312285B240224468 +:10259000410182808145231EB700850E1307070283 +:1025A000E3141FFD769413175400311EBA85E39D82 +:1025B000C2F397E70100938767F003AE0700832714 +:1025C0000E00FDE397E70100938707EF03AE0700D6 +:1025D00083270E006398071617EE0100130E4EFEB8 +:1025E00083270E0A639D071E83274E0BD9DB8327A3 +:1025F0008E0B97EE0100938E6E08DC4317E7010007 +:10260000232CF7066381D73383AFC700DC4333073E +:10261000B500014397E6010023A0F6060D4F89425D +:102620009308500A6386D707C8479307450308C332 +:102630005CC33C452326E7011CC75C551CCB1C55DD +:1026400099C3232657003C49232C07005CCB032861 +:102650000503834708006395172BC287850783C648 +:102660000700B3850741E38B16FF8981C205C1814D +:10267000231EB700050313070702E383AFF0832788 +:102680008E0BDC4397E6010023A8F6FEE39ED7F904 +:1026900083270E0C97E6010023A0F6FE71B783276F +:1026A0004E00232EFEFEA1BD83274E00130F8E0089 +:1026B000D4432322DE00638CE625B307E500D8422D +:1026C00083A2C600814E2322EE00894F1303500AD5 +:1026D0006305EF068328C7001387480323A017016B +:1026E000D8C303A7880423A6F70198C703A7C80285 +:1026F00098CB03A7080523AC0700D8CB03A8080391 +:10270000034708006318671C4287050783460700D4 +:10271000B3050741E38B66FE8981C205C181239E13 +:10272000B700850E93870702638E121B83264E0027 +:10273000D8422322EE00E31FEFF80327CE00232226 +:10274000EE0049BF83274E00130F8E00DC43232287 +:10275000FE006389E71B83A2C700DC43AA95814E74 +:102760002322FE00894F1303500A6305FF0683A846 +:10277000C7009387480323A01501DCC183A7880401 +:1027800023A6F5019CC583A7C8029CC983A7080599 +:1027900023AC0500DCC903A808038347080063973E +:1027A0006710C287850783C6070033870741E38B1D +:1027B00066FE098342074183239EE500850E9385CB +:1027C0000502638D120F83274E00DC432322FE0097 +:1027D000E31FFFF88327CE002322FE0049BF832793 +:1027E0004E0A17EF0100130F2FE8DC4317E7010033 +:1027F000232AF7E66382E71383A2C700DC43AA9586 +:10280000814E17E70100232FF7E4914F1303500A7D +:102810006387E70783A8C7009387480323A01501B0 +:10282000DCC183A7880423A6F5019CC583A7C80241 +:102830009CC983A7080523AC0500DCC903A80803CD +:102840008347080063946704C287850783C607002F +:1028500033870741E38B66FE098342074183239E4A +:10286000E500850E93850502638A120383274E0ACD +:10287000DC4317E701002327F7DEE39DE7F9832711 +:10288000CE0A17E70100232FF7DC69B70147239E23 +:10289000E500850E93850502E39A12FD83274E0B12 +:1028A000769493155400E38E07CC91B30147239E91 +:1028B000E500850E93850502E39712F117EE0100FE +:1028C000130E0ED083270E0A769493155400E38DD1 +:1028D00007D031B78145239EB700850E9387070245 +:1028E000E39612E597E70100938707BD03AE070063 +:1028F00076949315540083270E00E38F07CC99B587 +:102900008145BDB38327CE002322FE00A9B58326CF +:10291000CE002322DE0055B38327CE0A17E701003D +:102920002322F7D4D1BD83270E0C17E701002325FE +:10293000F7D4D9B9797126D24AD04ECE52CC56CAE4 +:102940005AC85EC606D622D497E90100938909B415 +:1029500017E901001309C9C617EA0100130A8AB46E +:1029600097EA0100938ACAB597EB0100938B4BB4A9 +:1029700097E40100938404B4054B21A883A70900C0 +:102980000324090A850717E701002321F7B0C9309E +:1029900011E89C40E5F783270900E37CFBFEEFD0BC +:1029A000FFA6C5BF7370043083270A00B9E38327ED +:1029B000C90AC04713054400EFD09FBB83A70B0093 +:1029C000FD1717E701002325F7AE9C40FD1717E719 +:1029D00001002321F7AE83270A0089C783A70A00D5 +:1029E000FC4385E30858EF10D0032285EF107003F5 +:1029F0004DB703A70A0083A70A007C4385077CC361 +:102A00007DB703A70A0083A60A007C43FD177CC399 +:102A1000FC42E9FB73600430F1B797E7010093874C +:102A2000E7A69C43DDE3411106C697E7010023A713 +:102A300007A617E701001307A7A81C43B7A6A5A57B +:102A40009386565A9C5B8C43639BD500D043631896 +:102A5000B60094476395C600DC476388D7000843F7 +:102A60000C4393854503EF20807397E6010093861E +:102A7000A6A3904217E70100130787B411A89C4250 +:102A8000B9CB9C42FD1717E60100232FF6A0904218 +:102A900093172600B2978A07BA979C43EDD39042CA +:102AA00093172600B2978A07B305F700D441A10710 +:102AB000BA97D442D4C16383F602DC46B24017E72A +:102AC0000100232FF79E41018280854717E701000F +:102AD0002326F79C82807370043001A0D14733060F +:102AE000F602D442329754C3C9BF01114AC806CE78 +:102AF00022CC26CA4EC62A897370043097E401009E +:102B00009384449A9C4017E401001304649BD9E722 +:102B10006314090003290400930949004E85EFD08E +:102B20003FA58327890289C713058901EFD05FA4D8 +:102B3000CE8517E501001305E5B3EFD0FF9E9C405D +:102B400081C71C40FC43ADE31C40638B27079C40BE +:102B50009DCF737004309C40C1EB97E701009387D1 +:102B6000E7959843184325EBFD5717E7010023210C +:102B7000F7929C4089CF1C40FC4391CB18401440F5 +:102B80007C43FD177CC3FC4299E373600430F24040 +:102B90006244D2444249B2490561828018401C40D7 +:102BA0007C4385077CC3ADB7184014407C43FD17B8 +:102BB0007CC3FC42D1FB736004301C40E39927F9CD +:102BC0009C40A1C397E701009387478C9C43ADCB02 +:102BD0007370043001A09C43DC47DC47DC4317E7FB +:102BE00001002327F78A71B718401C407C438507F2 +:102BF0007CC397E701009387678C984318432DD7D0 +:102C0000D9BF97E701009387A78A17E70100130749 +:102C1000279B9C430327470B631DF700F240624448 +:102C200097E7010023AE0788D2444249B2490561C3 +:102C300082806244F240D2444249B2490561F1BB0C +:102C40006244F240D2444249B24905616FD00FFC60 +:102C50003DC9411126C297E40100938464864AC0AD +:102C60002E898C4006C622C4E105EFD07F8D8840B6 +:102C70001105EFD0FF8FFD57630AF90497E70100B4 +:102C80009387C782804398409C434A9440C3636CB7 +:102C9000F40497E701009387678288438C4091058D +:102CA000EFD01F8A97D701009387877E9C43637676 +:102CB000F40097D7010023AD877CB24022449244B0 +:102CC0000249410182807370043001A08C4022448B +:102CD000B2409244024917E501001305A5999105F8 +:102CE00041016FD07F8497D701009387E77C8843A9 +:102CF00022448C40B24092440249910541016FD078 +:102D00003F8411C997D70100938747789C4399E77F +:102D10007370043001A07370043001A0411126C209 +:102D200097D401009384C4799840AE878C40B7064D +:102D30000080D58F06C622C41CCFE1053284EFD0B7 +:102D4000AFFE88401105EFD0BF82FD57630EF4003F +:102D500097D70100938787758843B24092442295A4 +:102D6000224441016FE0EFF58C402244B24092448E +:102D700017E5010013050590910541016FD0CFFAC9 +:102D800025CD411126C297D40100938464734AC0B3 +:102D90002E898C4006C622C4E1053284EFD0CFF8DC +:102DA00088401105EFD0CFFC8547630AF40497D71C +:102DB00001009387A76F804398409C434A9440C387 +:102DC000636CF40497D701009387476F88438C4066 +:102DD0009105EFD0EFF697D701009387676B9C437F +:102DE0006376F40097D7010023A4876AB240224497 +:102DF00092440249410182807370043001A08C40EA +:102E00002244B2409244024917E501001305858629 +:102E1000910541016FD04FF197D701009387C769A2 +:102E2000884322448C40B2409244024991054101BA +:102E30006FD00FF1411106C622C426C25C45C047BF +:102E400035CC930484012685EFD08FF297D701000B +:102E50009387C7639C43B5E7930444002685EFD06E +:102E60002FF197D701009387276458549C4363F64A +:102E7000E70097D7010023A9E76293172700BA97C5 +:102E80008A0717D501001305A573A6853E95EFD0D7 +:102E9000AFE997D701009387A7629C435854014537 +:102EA000DC5763F8E700854717D701002328F75E52 +:102EB0000545B2402244924441018280737004303F +:102EC00001A0A68517D5010013054578EFD0CFE501 +:102ED000C9B797D701009387675B9C4381E77370FD +:102EE000043001A0411106C622C426C2B7070080E3 +:102EF0004045CD8F1CC12DC4EFD08FE79304440013 +:102F00002685EFD0EFE697D701009387E75958540D +:102F10009C4363F6E70097D7010023A7E758931770 +:102F20002700BA978A0717D50100130565693E95F2 +:102F3000A685EFD06FDF97D70100938767589C4332 +:102F400058540145DC5763F8E700854717D701005F +:102F50002326F7540545B240224492444101828021 +:102F60007370043001A011CD97D701009387C75229 +:102F7000984397D70100938767539C4318C15CC15E +:102F800082807370043001A055C1D1CD411106C6B5 +:102F900022C42A877370043097D801009388885020 +:102FA00083A7080091CB97D701009387675194437B +:102FB0009C43FC428507FCC217D301001303034F57 +:102FC0009C4103260300FD560144638FD70217DEA0 +:102FD0000100130E6E4C03250E0003280700544316 +:102FE0006305A80005446371D6023308D640054442 +:102FF000637CF80003250E00918F03260300B6972B +:103000009CC108C350C3014483A7080081CB97D754 +:1030100001009387E74A9C43FC4381EF2285B2403D +:103020002244410182807370043001A07370043027 +:1030300001A0EFE04FCFDDB7854717D70100232F61 +:10304000F744828019C1684582800145828011C1A0 +:103050006CC5828097D7010093878746884382801A +:1030600097D70100938707449C43054589CB97D7A1 +:1030700001009387A7418843133515000605828018 +:1030800031CD011126CA97D40100938464439C403A +:1030900006CE22CC4AC84EC65855DC576379F70293 +:1030A0001C4D63C707009C40D4579547958F1CCD96 +:1030B00093172700BA97584917D9010013094950A7 +:1030C0008A07CA97630DF7009C40DC575CD5F24035 +:1030D0006244D2444249B2490561828082809309A8 +:1030E00045002A844E85EFD0AFC89C4017D7010019 +:1030F0001307873B1843DC575CD46376F70017D778 +:1031000001002323F73A139527003E9562440A05F0 +:10311000F240D244CE854A95B249424905616FD00A +:10312000AFC019CD411106C622C426C297D70100EF +:103130009387073980436307A4007370043001A0AC +:10314000014582807C4881E77370043001A05454AB +:103150003848FD177CC86383E60099C70145B24033 +:103160002244924441018280930444002685EFD09A +:103170002FC03C4817D701001307073314431547E6 +:103180001D8F5CD418CC63F6F60017D70100232DF1 +:10319000F7305147B387E70217D5010013054542C1 +:1031A000A6853E95EFD04FB8054555BF73700430E6 +:1031B00097D701009387072F9C4391CB97D70100A6 +:1031C0009387073098439C437C4385077CC3828068 +:1031D00097D701009387072D9C438DC397D7010094 +:1031E0009387072E9843784311CB984394437C43AD +:1031F000FD177CC3FC4299E373600430828097D74B +:1032000001009387E72B9043944398439547D45606 +:10321000084E958F1CCF828097D701009387472A4D +:10322000984309C79443F84A0507F8CA884382803F +:1032300079714AD006D622D426D24ECE2A8973700E +:10324000043097D401009384E4259C4017D40100F6 +:1032500013040427BDE31C40BC4F89E71C4005470D +:10326000F8CFD1E19C4081C71C40FC43F1EB737067 +:1032700004309C408DEF1C40A84F11C56304090227 +:103280001C4023AC07041C4023AE07049C4081C7AC +:103290001C40FC438DEBB250225492540259F24927 +:1032A0004561828018403C4FFD173CCFE9BF184074 +:1032B0001C407C4385077CC37DBF18401C407C4379 +:1032C00085077CC349BF184014407C43FD177CC36D +:1032D000FC42F1F373600430B2502254925402590C +:1032E000F2494561828008402EC61105EFD04FA8F3 +:1032F000B245FD576380F50697D701009387071BFA +:1033000083A9070018409C43AE992322370163EC40 +:10331000F90497D701009387671A88430C409105F9 +:10332000EFD00FA297D70100938787169C4363F6CF +:10333000F90097D7010023AD3715EFD02F8D1DB7BA +:10334000184014407C43FD177CC3FC428DF373602E +:10335000043031BF0C4017D501001305A53191058C +:10336000EFD08F9CD9BF97D701009387E71488438C +:103370000C409105EFD0CF9CC9B779714AD04ECEA1 +:1033800006D622D426D252CC2E89B289737004304C +:1033900097D40100938404119C4017D401001304B6 +:1033A0002412F9EF18408947784F630CF7001C404E +:1033B0001345F5FFB84F798DA8CF1C400547F8CFCE +:1033C000ADE69C4081C71C40FC43F5E373700430BC +:1033D0009C40B1E7638609001C40BC4F23A0F90064 +:1033E000184085470145784F630BF7001C4013498F +:1033F000F9FF0545B84F3379E90023AC27051C4098 +:1034000023AE07049C4081C71C40FC43C1E3B2507B +:10341000225492540259F249624A4561828018400E +:103420001C407C4385077CC375B7084036C6110530 +:10343000EFD00F94B246FD576385F60897D7010089 +:103440009387C70603AA070018409C43369A232295 +:1034500047016363FA0897D70100938727068843DB +:103460000C409105EFD0CF8D97D70100938747028D +:103470009C436370FA0697D7010023AB470191A8DC +:1034800018401C407C4385077CC329BF184014406A +:103490007C43FD177CC3FC42BDFB73600430B2501B +:1034A000225492540259F249624A4561828018407E +:1034B00014407C43FD177CC3FC4289FB73600430DD +:1034C00031B70C4017D501001305C51A9105EFD08F +:1034D000AF85EFC0BFF3F5B597D701009387C7FD60 +:1034E00088430C409105EFD0AF85E5B751C141113C +:1034F00006C622C426C24AC07370043097D40100A5 +:10350000938444FA9C40B9EB99C23C4D9CC2B2876B +:103510008946704D74CD2E872A846386D70663FC56 +:10352000F6048D456387B70091456395B700630046 +:10353000D60C38CC8547630FF60405449C4081CBFC +:1035400097D701009387C7F79C43FC43A9E32285E3 +:10355000B2402244924402494101828097D701003F +:10356000938707F698439C437C4385077CC369BFD8 +:103570007370043001A08546E39ED7FA3C4D33E7D3 +:10358000B70038CD45BF3C4D85073CCD65B7EFD082 +:103590009FF975BF130944004A85EFC07FFD17D717 +:1035A0000100130767F05C5418436376F70017D7E0 +:1035B0000100232BF7EE5147B387E70217D501002F +:1035C00013050500CA853E95EFC01FF61C5481C740 +:1035D0007370043001A097D70100938767EE9C4376 +:1035E0005854DC57E3FBE7F4EFC05FE2B9B701449E +:1035F000B1B739CD011122CC26CA4AC84EC652C431 +:1036000056C206CEBA89368AB2842E892A84EFC081 +:10361000DFEBAA8A63050A003C4C2320FA00784CB1 +:1036200089477CCC6389F40263F4970C8D466387E9 +:10363000D40091466396D400630AF70A232C24052C +:103640008547631EF7061C5499CB7370043001A0A4 +:103650007370043001A03C4C85073CCCD5B797D79C +:1036600001009387A7E29C43ADEB930444002685B9 +:10367000EFC01FF017D70100130707E35C5418438E +:103680006376F70017D701002320F7E25147B3878D +:10369000E70217D501001305A5F2A6853E95EFC0F8 +:1036A000BFE897D701009387A7E19C435854DC57A4 +:1036B00063F7E70063850900854723A0F900054407 +:1036C0005685EFC03FE02285F2406244D244424931 +:1036D000B249224A924A056182809305840117D536 +:1036E00001001305A5F6EFC03FE465BF0144C9BF63 +:1036F0008547E397F4F43C4C33E92701232C240558 +:1037000081B74DC1011122CC26CA2A844AC806CEEF +:103710004EC6AE84EFC07FDB8947744C7CCC3C4CFA +:1037200005472A8985073CCC6395E6061C5481C76A +:103730007370043001A097D70100938727D59C436D +:10374000ADE7930944004E85EFC09FE217D7010013 +:10375000130787D55C5418436376F70017D7010029 +:103760002324F7D45147B387E70217D50100130587 +:1037700025E5CE853E95EFC03FDB97D701009387C7 +:1037800027D49C435854DC5763F5E70099C085471C +:103790009CC06244F240D244B2494A854249056124 +:1037A0006FC05FD27370043001A09305840117D5F8 +:1037B00001001305A5E9EFC03FD7C1B7AA8729C506 +:1037C0007370043017D701001307C7CD14439DE26F +:1037D000F04F894601456315D60023AE0704054521 +:1037E0001C4381CB97D70100938787CD98437843BB +:1037F00015E3828097D60100938686CC904294424E +:103800007442850674C2E9B797D70100938747CB06 +:103810009C437DB7984394437C43FD177CC3FC4293 +:10382000E9FB7360043082805D71A6C2CAC052DCBD +:1038300056DA5AD85ED686C6A2C44EDE62D466D2A6 +:103840006AD017D901001309A9C817DB0100130BAF +:103850006BC797D40100938424C797DA0100938A39 +:10386000EAC6054AA54B832709009843630107125E +:10387000DC4783A90700EFE0AFF8EFE06FF983279B +:103880000B002A8C6362F50A97D7010023ACA7C20C +:1038900001466370351B8840B3858941EFD05FB521 +:1038A000EFE01F9B630105128840814601462C0012 +:1038B000EFD06FE34DD9A247E3C807FEC24983A703 +:1038C000490189C713854900EFC09FCAEFE04FF453 +:1038D00083270B002A8C636DF508A24717D70100D8 +:1038E000232287BFE3E2FBFCB317FA0013F707219B +:1038F000631A071613F7770C6318071E93F707026E +:10390000C5D74E85EF00F01145B7DC47C04783AC03 +:103910000700930944004E85EFC09FC55C50228587 +:1039200082975C4C6384470B83270900984371FF9F +:1039300003A70A0097D6010023A686B997D60100EF +:1039400023A6F6B897D7010023A4E7B8EFE05F906D +:10395000A1BFDC47C04703AD0700930C4400668558 +:10396000EFC01FC15C50228582975C4C638647097B +:1039700083270900984371FF03A70A0097D6010027 +:1039800023A6F6B497D7010023A4E7B4B9B7EFE0B4 +:103990002FE7EFE0EFE783270B002A8CE366F5F8CB +:1039A00083A70A00884081499043B385894117D78E +:1039B0000100232987B113361600EFD07FA3EFE073 +:1039C0003F89E31305EEEFC07FA4F9BD1C4CCE8503 +:1039D000E69763E9FC049C4089CF02CA66CC22CEFC +:1039E000EFF00FE88840814601464C08EFC0BFD990 +:1039F00005FD7370043001A01C4CE685EA97636CEA +:103A0000FD049C4089CF02CA6ACC22CEEFF04FE57C +:103A10008840814601464C08EFC0FFD631F97370EB +:103A2000043001A0032509005CC000C8EFC05FB1ED +:103A3000E5BDEFE0FF8183270900DC47C047930C19 +:103A400044006685EFC0DFB25C4C638F47035C5077 +:103A50002285829791BD032509005CC000C8EFC094 +:103A60003FAE39B7B24723ACF900A5CFE29723A206 +:103A7000F90023A8390193854900636FFC0403A56D +:103A80000A00EFC0FFAB0DB51C4C00C83387F9002E +:103A900058C0636DEC02330C3C416363FC029C40F4 +:103AA00089CF02CA4ECC22CEEFF08FDB8840814610 +:103AB00001464C08EFC03FCD59F97370043001A0A6 +:103AC00003A50A00E685EFC0BFA751B7032509008B +:103AD000E685EFC0FFA6A5BF03250900EFC05FA6DE +:103AE000E1B37370043001A0B24783A6890123A813 +:103AF00039013387D70023A2E9006364EC04B307DC +:103B0000FC4063EBD70483A749024E85829783A7C5 +:103B1000C901E39B47D983A68901B2479840B6976C +:103B200011CF02CA3ECC4ECEEFF08FD388408146F3 +:103B300001464C08EFC03FC5E31805D6737004304A +:103B400001A06374FC00E370F7FC03250900938572 +:103B50004900EFC0FF9E89BB03A50A009385490079 +:103B6000EFC01F9E91B3411122C406C626C24AC0AF +:103B700017D4010013044495EFF04FE31C4095CB9C +:103B8000EFF00FE51C409DC381480148814709477C +:103B900081461306004097D50100938525821705BD +:103BA00000001305A5C8EFD0DF9939E97370043020 +:103BB00001A017D90100130929AD4A85EFC03F952F +:103BC00097D40100938484AD2685EFC05F940146AD +:103BD000B145114597D7010023AC278F97D7010036 +:103BE00023A6978EEFC09FAD17D70100232EA78C79 +:103BF00011CD97C501009385E57BEFD02FF449B730 +:103C0000B2402244924402494101828073700430E0 +:103C100001A0A5C9797156CAAA8A1305C00222D487 +:103C200026D24AD04ECE52CC06D65AC85EC63A8963 +:103C3000B689328AAE84E9212A8405C9EFF00FD70C +:103C400097D70100938747889C439DCFEFF04FD8CB +:103C5000130544002320540104CC232E44012320C7 +:103C6000340323222403EFC0DF8B2285B250225479 +:103C700092540259F249624AD24A424BB24B4561D0 +:103C800082807370043001A097DB0100938BCB9F7F +:103C90005E85EFC0DF8717DB0100130B2BA05A8571 +:103CA000EFC0FF860146B145114597D7010023A11A +:103CB000778397D7010023AB6781EFC03FA017D769 +:103CC00001002323A78001C997C501009385856E54 +:103CD000EFD0CFE6A5BF7370043001A039C5011144 +:103CE00022CC06CE26CA17C401001304E47D832823 +:103CF00004002A88014563850802BA842EC23687EB +:103D000032C442C6954663C5B602EFF06FB5894727 +:103D1000630BF5020840814601464C00EFC0BFA688 +:103D2000F2406244D244056182807370043001A085 +:103D30004C0081463A864685EFC07FFFF2406244E0 +:103D4000D244056182800840814626864C00EFC03F +:103D50009FA3F9B719C1084182807370043001A094 +:103D600001E57370043001A0411106C622C42A8403 +:103D7000EFF0CFC348483334A000EFF06FC5228581 +:103D8000B24022444101828001E57370043001A0F9 +:103D9000411122C42A8406C6EFF04FC10050EFF053 +:103DA0002FC3B240228522444101828001E5737015 +:103DB000043001A0411122C426C22E84AA8406C662 +:103DC000EFF0CFBE80D02244B240924441016FF068 +:103DD0002FC04111714522C406C61D262A8411C573 +:103DE000232005001105EFC08FF22285B240224446 +:103DF0004101828001CDB70700FFED8F81C773704D +:103E0000043001A099E57370043001A07370043090 +:103E100001A0011122CC4AC84EC652C456C206CED9 +:103E200026CABA893689B28A2E842A8AEFF04FA32D +:103E300011E5638509007370043001A0EFE04F9C29 +:103E400083240A00B37794006316090295C7638739 +:103E50000A009347F4FFE58F2320FA00EFE04FBFFD +:103E6000F240624426854249D244B249224A924AEB +:103E700005618280E30DF4FCE38209FEB335500155 +:103E8000E20563050900B7070004DD8D4E86C18D8C +:103E900013054A00EFE0FFE6EFE08FBB19E1EFC04A +:103EA000EFD6EFF0CFB593176500AA8963D8070066 +:103EB000B7040001FD14B3F499005DB7EFF00FAF44 +:103EC00083290A00B3773401631E090089CB638814 +:103ED0000A009347F4FFB3F737012320FA00EFF00D +:103EE0002FAFF9B7E31DF4FEDDB701C9B70700FF37 +:103EF000ED8F99C77370043001A07370043001A076 +:103F0000411122C42A8406C626C24AC02E89EFF077 +:103F1000EFA904409345F9FFB3F795001CC0EFF0FB +:103F20002FABB2402244268502499244410182804F +:103F3000411122C42A8406C6EFC02FD90040EFC029 +:103F40006FD8B240228522444101828009C93707D7 +:103F500000FFB3F7E50099C77370043001A07370D8 +:103F6000043001A06F10307EB70700FFF18F81C7CA +:103F70007370043001A001E67370043001A00111D8 +:103F800022CC4AC84EC652C406CE26CA3689AE894D +:103F90002A8A3284EFF0CF8C21E163050900737027 +:103FA000043001A0EFE0CF8583240A00CE8552853E +:103FB000B3E49900613FB37794006307F406832468 +:103FC0000A00EFE0EFA8F240624426854249D2445D +:103FD000B249224A05618280EFE08F8283240A0081 +:103FE000CE855285B3E434019537B3F78400638DF1 +:103FF0008702E30609FCB70500054A86C18D130553 +:104000004A00EFE01FD0EFE0AFA419E1EFC00FC00E +:10401000EFF0EF9E93176500AA8463DF0700B707F0 +:104020000001FD17FD8C45B783270A001344F4FFF8 +:10403000E18F2320FA0071B7EFF04F9783240A0035 +:10404000B3779400639787009347F4FFE58F2320AD +:10405000FA00EFF0EF97E1B7411122C406C62A84B7 +:1040600026C2EFD0FFF95C4085C308489304C40022 +:104070006316950015A0084863009502B705000275 +:10408000EFE03FE55C40E5FB2285612A2244B24037 +:10409000924441016FE0CF9B7370043001A009C9C5 +:1040A000370700FFB3F7E50099C77370043001A02C +:1040B0007370043001A06F10106901C9B70700FFC9 +:1040C000ED8F99C77370043001A07370043001A0A4 +:1040D000411122C426C206C62A84AE84EFF00F8D99 +:1040E000184093C7F4FFF98F1CC02244B240924499 +:1040F00041016FF0EF8D19C1084D8280014582802A +:10410000411122C406C62A84EFD09FEF97C7010051 +:104110009387473C9C43A1EBE56717C70100130752 +:104120006759F11797C6010023ACE63A17C701009B +:104130002326F73A17C701002320F75897C7010035 +:104140009387873917C701002326F756854717C776 +:1041500001002329073817C70100232F073617C787 +:1041600001002329F73619E8EFE08F8EEF10A00247 +:1041700001442285B240224441018280130584001B +:1041800093773500C1EFE5671307F5FFE917E3ED16 +:10419000E7FC97C601009386A6349C4229A0984369 +:1041A00011C7BE86BA87D843E36BA7FE17C70100C5 +:1041B00013078732E38AE7FA98434146138487005E +:1041C00098C2D843B306A7406378D6023388A700C5 +:1041D0002322D800C8C38325480097C601009386D0 +:1041E000263011A0BA8698425043E36DB6FE2320D4 +:1041F000E80023A00601D84397C7010093878731C1 +:104200009C43998F17C701002326F730EFE04F84B6 +:1042100031DC2285B240224441018280719911052E +:104220009DB729C9411122C406C62A84EFD05FDD9B +:10423000930584FF0326C4FF17C701001307472A0D +:1042400011A03E871C43D443E3EDC6FE232CF4FEAD +:104250000CC397C701009387E72B98438327C4FFBC +:104260002244B240BA9717C701002325F72A41011B +:104270006FD01FFE828097C701009387A7298843CC +:10428000828082803367B5000D8BAA871DE7930873 +:10429000D6FFAA982A87AE866371150303A8060085 +:1042A00011079106232E07FFE36A17FF9347F5FFD7 +:1042B000C697F19B9107BE95AA972A9663F3C70A02 +:1042C0009386450013884700B3B6D70033B805017D +:1042D00033E7F50093C61600134818000D8BB3E6BC +:1042E000060113371700758F330EF64093861700BB +:1042F00029CF1337AE0031EB13532E002E88BE8624 +:104300000147832808000507110823A0160191061C +:10431000E36967FE1377CEFFBA95BA976302EE049E +:1043200083C60500138717002380D700637AC7026E +:1043300083C6150013872700A380D7006372C702C6 +:1043400003C725002381E7008280B307F640AE97BC +:10435000850503C7F5FF8506238FE6FEE39AF5FE84 +:1043600082808280B367C5008D8BB308C500E9C326 +:104370006371150FB307A0408D8B138737009546E7 +:1043800093F5F50F130815003383A8407D1663736A +:10439000D70015476363E60CD5CF2300B50005476A +:1043A000638DE700A300B5000D4713082500639651 +:1043B000E700130835002301B500139685004D8EE4 +:1043C00013970501518F3303F34093968501D98EDE +:1043D00013562300AA97014794C305079107E36D7D +:1043E000C7FE1377C3FFB307E8006307E306238024 +:1043F000B70013871700637C1703A380B7001387E8 +:104400002700637617032381B70013873700637093 +:104410001703A381B70013874700637A170123822C +:10442000B7001387570063741701A382B700828017 +:1044300093F5F50F13978500D98D139705014D8FCF +:10444000E37715FFAA87910723AEE7FEE3ED17FF99 +:10445000828082802A8895B78280AA8749BF834755 +:1044600005002A8799C7050583470500EDFF198DCB +:1044700082800145828005058347F5FF850503C7D6 +:10448000F5FF91C7E389E7FE3385E74082808147E6 +:10449000E5BFAA87850503C7F5FF8507A38FE7FE5C +:1044A00075FB828083460500930700026397F60040 +:1044B000050583460500E38DF6FE938756FD93F7C9 +:1044C000D70F85C7AA87814585CE01458507138605 +:1044D00006FD1317250083C607003A950605329599 +:1044E000F5F699C13305A0408280938536FD834659 +:1044F000150093B5150093071500E1FA0145D5B7EE +:10450000014582809C412380A7009C4185079CC176 +:10451000828097C60100938626FD9C4217570300B0 +:104520001307471A13861700BA972380A70097C569 +:10453000010023ABC5FAA9476308F50093070004FF +:104540006305F6000145828075DE370610F0050729 +:104550008347F7FF2320F6F09C42FD1797C5010023 +:1045600023A4F5F8EDF7014582804D7123229114C3 +:1045700023202115232A51132324811323261114C8 +:1045800023248114232E3113232C41132328611358 +:1045900023267113232291132320A113232EB1115B +:1045A000AA842E8932C6130C5002A54A83470900FB +:1045B00063808703B9CB804011A0A1CB2300F40016 +:1045C00080400509050480C083470900E39787FF01 +:1045D0000346190093081900C686130D0002FD5901 +:1045E0007D5A0148130550059307D6FD93F7F70F41 +:1045F000138916006363F52A17C70100130787A004 +:104600008A07BA979C43BA9782878320C1140324F0 +:10461000811483244114032901148329C113032A1B +:104620008113832A4113032B0113832BC112032C03 +:104630008112832C4112032D0112832DC11171614E +:104640008280A14C02C4854763D50715B2478040DC +:10465000938B770093F68BFF03AB060083A946008C +:10466000938786003EC6668681465A85CE85EF10C2 +:1046700040582AC8814763853723930D4101854BF4 +:10468000668681465A85CE85EF10C02081466686B3 +:104690002A8BAE89EF10E055A24723A0AD00938688 +:1046A0001B00910D63843701B68BD9BFE37E9BFF5E +:1046B00063DD4601A2872380A70180407D1A93070E +:1046C00014009CC03E84E3C846FF93962B001C0850 +:1046D000BE9631A8938777052300F4008040FD1B28 +:1046E00093871B00050480C0F116E351F0EC9C4257 +:1046F000E3E2FAFE93870703C5B79C4093060003E5 +:1047000032472380D7009C4093064700C14C138654 +:10471000170090C013068007A380C700804002C422 +:10472000BA87050480C003AB0700814936C625BFA0 +:10473000B24783AC0700938B470063840C1C635F14 +:1047400040199307D0026319FD1683C70C0085C377 +:1047500063DC09189440850C7D1A2380F6008040A4 +:10476000050480C083C70C00E5F7635D400180400D +:10477000930700022300F40080407D1A050480C0E6 +:10478000E31A0AFE5EC61DB502C48547A94CE3CFF5 +:1047900007EBB24780409386470071B703C6160007 +:1047A000CA8699B59C40930650022380D70080406A +:1047B000050480C0E5BBC14C02C471B5B24703C655 +:1047C0001600CA8683A9070091073EC6E35E0AE089 +:1047D0004E8AFD5911BD03C61600130DD002CA86BC +:1047E00021B59347FAFFFD8703C61600337AFA0016 +:1047F000CA86DDBB03C61600130D0003CA86EDB3DF +:1048000083C51600930906FDCA86938705FD2E868B +:10481000E3EEFAFA93972900BE9985068609AE99C8 +:1048200083C50600938909FD938705FD2E86E3F372 +:10483000FAFE69BF32479C40144311073AC62380F1 +:10484000D7008040050480C095B3854763D3070B2C +:10485000B24793867700E19A938786003EC683A984 +:1048600046009C4263DC090A94401306D002B30759 +:10487000F0402380C6008040B336F000B3093041D9 +:10488000050480C03E8BB389D940A94C02C4E1BB6A +:1048900003C616000508CA8681BB9C40468921B71D +:1048A000E37D9BDD8547814B8546E3C547E131BD0F +:1048B00097CC0100938C0CB1CE856685EF007043D8 +:1048C000330AAA40635C400180402300A401804079 +:1048D0007D1A050480C0E31A0AFE014A83C70C0052 +:1048E000E38207EAE3C809E6FD197D57E394E9E6A8 +:1048F000ADBDB247938647009C4336C693D9F74176 +:1049000095B7635640019307D002E313FDFA97CCA5 +:104910000100938C2CAB930780021DBD3E8BA94CEC +:1049200002C4804089B34D71232E3113B709004072 +:104930009387F9FF2324811423229114232C4113FC +:10494000232A511323261114232021152328611310 +:104950002326711323248113232291132320A113CF +:10496000232EB1112A8A2EC417C401001304C4B720 +:10497000975A0300938A0AD597B401009384047E62 +:104980003EC693055002294513080004B70610F0EF +:1049900083470A006386B704C1C71840050A130697 +:1049A0001700569797C8010023A0C8B42300F7004A +:1049B0006382A706E31E06FD17570300130787D07F +:1049C00005078347F7FF23A0F6F01C40FD1717C625 +:1049D0000100232BF6B0EDF783470A00E39EB7FAF8 +:1049E00083461A0013051A002A87930B00027D5B89 +:1049F0007D5C014893055005A5489387D6FD93F744 +:104A0000F70F130A170063E7F5348A07A6979C434C +:104A1000A6978287175703001307C7CA55F28DBFA1 +:104A20008320C11403248114832441140329011415 +:104A30008329C113032A8113832A4113032B0113F2 +:104A4000832BC112032C8112832C4112032D0112DE +:104A5000832DC11171618280A14C014D854763DBBB +:104A60000719A2479D07E19B83AD070003AB4700F1 +:104A7000138787003AC4668681466E85DA85EF1013 +:104A800040172AC863066D351309410185496686BA +:104A900081466E85DA85EF00F05F66868146AA8DD5 +:104AA0002E8BEF1000152320A90085091109E310B2 +:104AB0006DFFE3FE9DFD13060004B70610F063D101 +:104AC00089031C4013871700D69797C5010023ADB3 +:104AD000E5A023807701630CC7047D1CE3C389FF35 +:104AE000B2476800A548B386F9008A061C08BE963E +:104AF000294813030004370610F0E384A6E89C421B +:104B00009305000363F4F800930570051840AE9711 +:104B100093051700569717CE01002327BE9C23004C +:104B2000F7006380072B638C6526F116F9B71757DA +:104B30000300130727B905078347F7FF23A0F6F003 +:104B40001C40FD1797C5010023A0F59AEDF77D1CC9 +:104B500071B71C40130600041387170097C50100A6 +:104B600023A4E598B386FA00930500032380B600DA +:104B7000630FC73C890797C6010023A7F69656978F +:104B8000930680072300D70017570300130787B346 +:104B9000B70610F0639EC70005078347F7FF23A001 +:104BA000F6F01C40FD1717C60100232FF692EDF713 +:104BB000A247C14C014D91072247014B832D0700AD +:104BC0003EC455BDA24783AC070013894700638EDE +:104BD0000C3A635480219307D002639EFB2E03C7D7 +:104BE0000C00BA856310072025AC8547A94C014D00 +:104BF000E3C907E7A2479107C1B7A2478346170059 +:104C0000528703AB070091073EC4E3580CDE5A8C71 +:104C10007D5BE5B383461700930BD0025287F1BB4F +:104C20009347FCFFFD8783461700337CFC005287C7 +:104C3000E9B383461700930B000352877DBB0346FD +:104C40001700138B06FD5287930706FDB286E3EE2D +:104C5000F8FA93172B00DA9705078607B2970346F1 +:104C60000700138B07FD930706FDB286E3F3F8FEFA +:104C700069BFA2451C402946984193861700D697E4 +:104C800017C501002322D5862380E700138945003C +:104C90006300C72A930700046387F6204AC4D5B18E +:104CA000854763DE0729A24713877700619B930737 +:104CB00087003EC4032B47001C43635A0B30184047 +:104CC000130600049306170097C5010023AED58094 +:104CD00056979305D0022300B7006387C62CB3070D +:104CE000F0403337F000330B6041BE8D330BEB40A7 +:104CF000A94C014D49B38346170005085287F5B901 +:104D0000834617005287D5B91C40930600041387C9 +:104D1000170017B601002329E67CD6971306500228 +:104D20002380C700E31FD7C41757030013078799D1 +:104D3000B70610F005078347F7FF23A0F6F01C40E5 +:104D4000FD1717B601002321F67AEDF71DB9C14C06 +:104D5000014D29B31C40930600042A8A13871700CB +:104D600017B601002322E678D69713065002238057 +:104D7000C700E318D7C0175703001307A794B70657 +:104D800010F005078347F7FF23A0F6F01C40FD173E +:104D900017B60100232AF674EDF72A8ADDB61757F5 +:104DA00003001307279205078347F7FF2320F6F038 +:104DB0001C40FD1797B5010023A8F572EDF7F11619 +:104DC0002DBB175703001307E78FF1FDF11635B31D +:104DD000E3FC9DCB8549C5B1014C03C70C00BA85E6 +:104DE000E30E07EAFD58294513080004B70610F042 +:104DF00063570B0A1C4013861700D69717B30100A0 +:104E00002324C36E2380E7006384A5066304060998 +:104E1000850C03C70C007D1CBA8579FBE35080E943 +:104E20001C409305000213060004B70610F021A0F1 +:104E30007D1CE3050CE613871700D6972380B70087 +:104E400017B501002322E56ABA87E313C7FE175797 +:104E500003001307278705078347F7FF23A0F6F012 +:104E60001C40FD1717B501002320F568EDF7C9B701 +:104E7000175703001307078541DE05078347F7FF30 +:104E800023A0F6F01C40FD1717B60100232EF66490 +:104E9000EDF7BDBF175703001307C782F9BF7D1B8E +:104EA000E31A1BF5A5BF175703001307A781B70621 +:104EB00010F005078347F7FF23A0F6F01C40FD170D +:104EC00017B601002322F662EDF74AC45DBC97BC19 +:104ED0000100938C2C4FDA856685212D330CAC4074 +:104EE000E35D80EF1C4013060004B70610F021A01C +:104EF0007D1CE3030CEE13871700D6972380770100 +:104F000097B5010023A2E55EBA87E313C7FE1747F2 +:104F100003001307277B05078347F7FF23A0F6F05D +:104F20001C40FD1797B5010023A0F55CEDF7C9B74C +:104F30001747030013070779BDFA4AC499B4A2477B +:104F4000138747009C433AC413DBF741BDB31747AF +:104F5000030013072777B70610F005078347F7FF0D +:104F600023A0F6F01C40FD1717B60100232EF656BD +:104F7000EDF7854717B701002328F75693078007F9 +:104F8000174703002300F77425B163568001930788 +:104F9000D002E39EFBF297BC0100938CAC42130756 +:104FA0008002930580023DBD97460300938686717B +:104FB000370610F0850603C7F6FF2320E6F01840F9 +:104FC0007D1797B5010023A1E5526DF709BBBE8D92 +:104FD000A94C014D4DB4B70710F023A0A7F201A0D2 +:104FE0003971130341022ED29A8506CE32D436D6B9 +:104FF0003AD83EDA42DC46DE1AC63532F240014586 +:10500000216182805D711303810322D42AC632DCC0 +:105010002A841A86680006D6BEC236DEBAC0C2C46A +:10502000C6C61ACEEFF06FD4B247238007003245D0 +:10503000B250018D225461618280B70710F083A6BF +:1050400047F403A607F403A747F4E31AD7FE930631 +:10505000067D33B6C600B305E60023A4D7F423A625 +:10506000B7F48280B70710F003A747F403A607F44C +:1050700083A647F4E31AD7FE9306067D33B6C6002F +:1050800023A4D7F4B305E60023A6B7F493070008DA +:1050900073A047308280411122C406C697B7010031 +:1050A0009387074517B401001304444498431C40F8 +:1050B000930647069387470617B601002328F64252 +:1050C000856717B601002325D642938777BB63C651 +:1050D000D700B240224441018280FD779387C74ABE +:1050E0003E9797B7010023A5E740CD2C8547631075 +:1050F000F50218408967938777320145E3DBE7FCC7 +:10510000EFF07FED2244B24041016FC07FEE17B552 +:1051100001001305A52BEFF0BFEC0945EFF0BFEB45 +:105120002244B24041016FC0BFEC17B50100130526 +:10513000A52B6FF0FFEA17B501001305652B6FF083 +:105140003FEA411122C426C206C6856417B4010095 +:105150001304842A938784380100FD17F5FF228504 +:10516000EFF01FE8C5BF0945D9A8411106C6BD2407 +:10517000054781476316E500B2403E8541018280C4 +:1051800017B5010013058524EFF09FE5B24089476C +:105190003E85410182807370043001A0828073706B +:1051A000043001A0011122CC26CA4AC806CE2A84A6 +:1051B000231701000149854411A0054950400840CA +:1051C00081469305E100EFB05F91E31895FE631A05 +:1051D00009001844835707008507C207C1872310B9 +:1051E000F7008357E10085072317F100C1BF7971EC +:1051F00022D426D24AD04ECE06D62A848149814472 +:1052000005495040084081469305E100EFB03FA0BA +:10521000E31925FF8357E10063859700BE84854924 +:10522000CDB78504639A09001844835707008507A2 +:10523000C207C1872310F700C204C180D9B701118A +:105240004EC6AA89314506CE22CC26CA4AC8EFE00E +:105250003FEB2A84014689450545EFB02FC6130967 +:10526000803E97B701009387672A08C02322240154 +:105270001CC43145EFE0DFE8AA8408404E87A286CF +:1052800088C017B501001305E52788C48148014887 +:1052900081471306004097B501009385251723A287 +:1052A0000400170500001305C5F4EFC08FA9A686FA +:1052B00081480148014781471306004097B5010026 +:1052C0009385451517050000130505EEEFC06FA780 +:1052D0003145EFE0FFE22A84014689450545EFB0FC +:1052E000EFBD97B701009387072208C01CC4232293 +:1052F00004003145EFE0DFE0AA840840A28623A243 +:10530000240188C017B501001305652088C48148B1 +:105310000148814701471306004097B50100938576 +:10532000E50F170500001305C5ECEFC08FA14E87F0 +:10533000A6868148014881471306004097B50100C1 +:105340009385450E17050000130505E6EFC06F9F16 +:105350003145EFE0FFDA2A84014689451545EFB073 +:10536000EFB597B701009387271A08C023222401BD +:105370001CC43145EFE0DFD8AA840840A28623A2EE +:10538000240188C017B501001305851888C4814819 +:105390000148814701471306004097B501009385F6 +:1053A000E50817050000130525E0EFC08F9962445A +:1053B000F2404249B249A686D24481480148814719 +:1053C00001471306004097B501009385A506170510 +:1053D0000000130505E205616FC0AF9641114AC296 +:1053E00022C626C44EC017B501001305251217B8F2 +:1053F00001001308281183540500835E05008359BA +:10540000080017B901001309E90E035E08000354F0 +:1054100025008315090017B701001307270E035352 +:105420002500831707008353280003162700C209AD +:105430008358280093D9094183524500C20442048D +:10544000035F450083162900835F4800C184B3854C +:105450003541418403174700858F018EB335B00075 +:10546000C20303154900B305B04093D30341B337DA +:10547000F0003336C000C202ED8F3306C040B38661 +:10548000764093D2024193950F01F18FB336D0004D +:105490000356480033075740C1853244B306D04015 +:1054A0003337E0000D8D3307E040F58F3335A00032 +:1054B000F98F3305A04017B701002311D70517B79F +:1054C00001002319C70317B70100231A670217B792 +:1054D00001002312170317B701002313E70317B7BF +:1054E0000100231BC700A244124982497D8D41015E +:1054F0008280AA95AA876385B70003C7070001E7E2 +:105500003385A74082808507FDB7814863DA0500AF +:105510003305A040B337A000B305B0409D8DFD58C2 +:1055200063D906003306C040B337C000B306D0408D +:105530009D8E32883683AA872E87639F061C97B676 +:105540000100938626D763F1C50C416E6377C60BC5 +:10555000130EF00F6373CE002143335E6600F296A4 +:1055600003CE06001A9E130300023303C341630CEB +:105570000300B3956500335EC501331866003367D9 +:10558000BE00B3176500135E0801B355C7031315BA +:105590000801418193D607013376C703B305B502ED +:1055A0004206D18E63F8B600C29663E5060163F346 +:1055B000B600C2968D8E33F7C603C207C183B3D639 +:1055C000C603B306D50213150701C98F63F8D700C8 +:1055D000C29763E5070163F3D700C297958F33D570 +:1055E00067008145638A0800B307A0403337F000A5 +:1055F000B305B0403E85998D8280370E000141434E +:10560000E36DC6F5614391BF01E605483358680272 +:1056100041676373E8081307F00F637307012143C1 +:1056200033576800BA9603C606001A9613030002A1 +:105630003303C34063190306B3850541935608013C +:1056400013150801418113D6070133F7D502B3D5ED +:10565000D5024207518FB305B5026378B700429770 +:10566000636507016373B7004297B305B74033F72B +:10567000D502C207C183B3D5D502B305B502131550 +:105680000701C98F63F8B700C29763E5070163F3A9 +:10569000B700C2978D8FA1B7370700014143E3617F +:1056A000E8F86143B5BF3318680033DEC500935E88 +:1056B00008013356C500B31765003355DE03B395B3 +:1056C00065004D8E93150801C1819356060133770D +:1056D000DE033385A5024207558F6378A700429702 +:1056E000636507016373A7004297B306A74033F7CA +:1056F000D60342064182B3D6D6034207B386D5020B +:10570000B365C70063F8D500C29563E5050163F38F +:10571000D500C295958D1DB7E3E6D5EC416863F5DC +:105720000605930EF00F33B8DE000E0833DE0601D7 +:1057300017B30100130303B87293834E0300130ED3 +:105740000002C29E330EDE4163170E0263E4B60010 +:105750006369C500B307C540958D3335F5003387C0 +:10576000A5403E85BA85BDBD370300014148E3EF42 +:1057700066FA614865BF3357D601B396C601D98E24 +:10578000B357D50133D7D501B395C501CD8F93D587 +:1057900006013373B702139F0601135F0F0113D87D +:1057A00007013316C6013315C5013357B70242034B +:1057B00033680301B30FEF023A83637CF801369834 +:1057C0001303F7FF6367D8006375F8011303E7FF5E +:1057D00036983308F841B37FB8023358B802C20F85 +:1057E000B3050F03139F0701135F0F0133EFEF01A1 +:1057F0004287637CBF00369F1307F8FF6367DF00B3 +:105800006375BF001307E8FF369F4203C16F336320 +:10581000E3009387FFFF13580601330FBF40B375B2 +:10582000F30013530301F18F3387F502B307F3023B +:10583000B385050333030303BE95135807012E9860 +:105840006373F8007E93C167FD17935508013378A1 +:10585000F80042087D8F9A9542976366BF00631BEC +:10586000BF006379E5003306C7403337C700958D25 +:10587000998D32873307E5403335E500B305BF40E6 +:10588000898DB397D5013357C70133E5E700B3D509 +:10589000C50189BBAE87328836872A836396062086 +:1058A00097B80100938808A163FEC50A4167637435 +:1058B000E60A1307F00F3337C7000E07B356E600AA +:1058C000B69883C60800369793060002998E99CA47 +:1058D000B397D7003357E5003318D600B365F70008 +:1058E0003313D50013550801B3D7A50213160801C9 +:1058F00041829356030133F7A502B305F60242072E +:10590000D98E3E8763FCB600C2961387F7FF63E724 +:10591000060163F5B6001387E7FFC2968D8EB3F7D5 +:10592000A602420313530301B3D6A602C20733E310 +:105930006700B305D6023685637BB30042931385B7 +:10594000F6FF636603016374B3001385E6FF420745 +:10595000498F81454DA8B70600014147E360D6F65F +:105960006147A9BF01E6854633D8C602C166637E9A +:10597000D8089306F00F63F306012147B356E800F9 +:10598000B69883C60800BA9613070002158F49E738 +:10599000B38707418545135608019318080193D82A +:1059A00008019356030133F7C702B3D7C702420772 +:1059B000D98E3385F8023E8763FCA600C296138712 +:1059C000F7FF63E7060163F5A6001387E7FFC296BA +:1059D000898EB3F7C602420313530301B3D6C6023E +:1059E000C20733E36700B388D8023685637B1301AF +:1059F00042931385F6FF63660301637413011385F5 +:105A0000E6FF4207498F3A858280B7060001414789 +:105A1000E366D8F661479DB73318E800B3D5D700E1 +:105A20003313E500B356D50013550801B397E700CB +:105A300033F7A502B3E8F60093170801C18313D624 +:105A40000801B3D5A5024207518FB386B7022E864F +:105A5000637CD70042971386F5FF63670701637580 +:105A6000D7001386E5FF4297B306D74033F7A60267 +:105A7000C20893D80801B3D6A6024207B385D7025D +:105A8000B3671701368763FCB700C2971387F6FF29 +:105A900063E7070163F5B7001387E6FFC2978D8FB1 +:105AA00093150601D98DC5BD63E2D514416763FE28 +:105AB000E6021308F00F3337D8000E0733D8E6009C +:105AC00097A501009385057FC29503C8050093053E +:105AD00000023A98B385054185E10547E3E5F6F212 +:105AE0003335C5001347150039BFB70500014147DD +:105AF000E3E6B6FC6147D9B733570601B396B60063 +:105B0000D98E93DE060133D70701B378D703B39755 +:105B1000B700335805013363F80093970601C1833A +:105B2000135803013316B6003357D703C20833E8BE +:105B30000801338FE7023A8E637CE8013698130E32 +:105B4000F7FF6367D8006375E801130EE7FF369827 +:105B50003308E841B378D8033358D803C208B38E6A +:105B6000070393170301C183B3E7F800428763FC7F +:105B7000D701B6971307F8FF63E7D70063F5D7019E +:105B80001307E8FFB697420EB387D741C16E33675C +:105B9000EE001388FEFF3373070193580701337833 +:105BA00006014182330E03033388080393560E0126 +:105BB0003303C30242939A96B388C80263F3060183 +:105BC000F69813D60601B29863E01703E39317D94A +:105BD000C167FD17FD8EC206337EFE003315B5008A +:105BE000F2968145E371D5E27D17A5B38145014762 +:105BF00019BDB2883687AA872E886398061C97A697 +:105C000001009386266B63F8C50A4163637E6608CC +:105C10001303F00F6373C30021473353E6009A96D2 +:105C200003CE0600130300023A9E3303C341630C04 +:105C30000300B3956500335EC501B3186600336891 +:105C4000BE00B317650013D608013377C802139559 +:105C50000801418193D607013358C8024207D98E03 +:105C60003308050363F80601C69663E5160163F37E +:105C70000601C696B386064133F7C602C207C18342 +:105C8000B3D6C602B306D50213150701C98F63F850 +:105C9000D700C69763E5170163F3D700C697958FC2 +:105CA00033D5670081458280370300014147E366B1 +:105CB00066F661479DB701E60546B358160341668F +:105CC00063F3C8081306F00F63731601214733D638 +:105CD000E800B29603CE0600130300023A9E330397 +:105CE000C34163190306B385154113D70801139502 +:105CF0000801418113D60701B3F6E502B3D5E502E9 +:105D0000C206D18EB305B50263F8B600C69663E548 +:105D1000160163F3B600C696B385B640B3F6E50246 +:105D2000C207C183B3D5E502B305B50213950601D9 +:105D3000C98F63F8B700C69763E5170163F3B7002F +:105D4000C6978D8FB1BF370600014147E3E1C8F820 +:105D50006147B5BFB398680033D7C501B317650075 +:105D6000335EC50113D50801B376A702B39565006C +:105D7000336EBE0093950801C18113560E0133574F +:105D8000A702C206D18E3387E50263F8E600C69605 +:105D900063E5160163F3E600C6963386E640B37604 +:105DA000A602420E135E0E013356A602C2063386C9 +:105DB000C502B3E5C60163F8C500C69563E51501E4 +:105DC00063F3C500C695918D0DB7E3EED5EC416741 +:105DD00063F5E604930EF00F33B7DE000E0733D3FE +:105DE000E60097A801009388E84C9A9883CE0800B3 +:105DF000130E0002BA9E330EDE4163170E0263E4F7 +:105E0000B6006369C500B307C540958D3335F5000D +:105E10003388A5403E85C28579B5B7080001414762 +:105E2000E3EF16FB614765BF3357D601B396C60152 +:105E3000D98EB357D50133D7D501B395C501CD8FD1 +:105E400093D506013373B702139F0601135F0F0149 +:105E500093D807013316C6013315C5013357B7026E +:105E60004203B36813013308EF023A8363FC08016D +:105E7000B6981303F7FF63E7D80063F5080113032F +:105E8000E7FFB698B3880841B3FFB802B3D8B802A9 +:105E9000C20FB3051F03139F0701135F0F0133EFF9 +:105EA000EF014687637CBF00369F1387F8FF636767 +:105EB000DF006375BF001387E8FF369F4203C168A8 +:105EC0003363E3009387F8FF13580601330FBF4095 +:105ED000B375F30013530301F18F3387F502B30752 +:105EE000F302B385050333030303BE95135807017B +:105EF0002E986373F8004693C167FD179355080108 +:105F00003378F80042087D8F9A9542976366BF0008 +:105F1000631BBF006379E5003306C7403337C70012 +:105F2000958D998D32873307E5403335E500B3050C +:105F3000BF40898DB397D5013357C70133E5E700DB +:105F4000B3D5C5018DB3011122CC26CA2A84AE84F3 +:105F50004AC84EC652C456C206CEEFC06F8A1C4015 +:105F600003290401B7090001B3E59700930AC400AF +:105F70000CC08144FD19370A00021840638C2A03C3 +:105F8000032609004A85B3654701B37636019317A6 +:105F90005600758F0329490063C4070001E7F1BF6C +:105FA000E39DE6FC9317760063D30700D58CEFC022 +:105FB0005FF2E1B793C4F4FFF98C04C0EFC04FA9BE +:105FC0000840F2406244D2444249B249224A924ACD +:105FD000056182804111094506C622C4EFF02FA653 +:105FE00017F7FFFF1307670B814605469305400629 +:105FF00017A501001305C540EFD0BFC119C92A84F8 +:10600000EFC00F812A860147814685452285EFD062 +:10601000FFCCEFB0DFD7B24022440145410182807E +:02000004800278 +:100000009C47FEFF9A48FEFFA447FEFF9A48FEFF6A +:100010009A48FEFF9A48FEFF9A48FEFFBC47FEFF43 +:100020009A48FEFF9A48FEFFD647FEFFE247FEFFD2 +:100030009A48FEFFF447FEFF0048FEFF0048FEFF1F +:100040000048FEFF0048FEFF0048FEFF0048FEFF9C +:100050000048FEFF0048FEFF0048FEFF9A48FEFFF2 +:100060009A48FEFF9A48FEFF9A48FEFF9A48FEFF14 +:100070009A48FEFF9A48FEFF9A48FEFF9A48FEFF04 +:100080009A48FEFF9A48FEFF9A48FEFF9A48FEFFF4 +:100090009A48FEFF9A48FEFF9A48FEFF9A48FEFFE4 +:1000A0009A48FEFF9A48FEFF9A48FEFF9A48FEFFD4 +:1000B0009A48FEFF9A48FEFF9A48FEFF9A48FEFFC4 +:1000C0009A48FEFF9A48FEFF9A48FEFF9A48FEFFB4 +:1000D0009A48FEFF9A48FEFF9A48FEFF9A48FEFFA4 +:1000E0009A48FEFF9A48FEFF9A48FEFF9A48FEFF94 +:1000F0009A48FEFF9A48FEFF9A48FEFF9A48FEFF84 +:100100003448FEFF4A48FEFF9A48FEFF9A48FEFF29 +:100110009A48FEFF9A48FEFF9A48FEFF9A48FEFF63 +:100120009A48FEFF9048FEFF9A48FEFF9A48FEFF5D +:100130004246FEFFFA46FEFF9A48FEFF9A48FEFF3F +:100140003047FEFF9A48FEFF8847FEFF9A48FEFFB1 +:100150009A48FEFFB647FEFFA84BFEFFFC4BFEFF92 +:10016000B04BFEFFFC4BFEFFFC4BFEFFFC4BFEFFCB +:10017000FC4BFEFFA24AFEFFFC4BFEFFFC4BFEFFCA +:10018000BC4AFEFFC84AFEFFFC4BFEFFDA4AFEFFF8 +:10019000E64AFEFFE64AFEFFE64AFEFFE64AFEFFAB +:1001A000E64AFEFFE64AFEFFE64AFEFFE64AFEFF9B +:1001B000E64AFEFFFC4BFEFFFC4BFEFFFC4BFEFF46 +:1001C000FC4BFEFFFC4BFEFFFC4BFEFFFC4BFEFF1F +:1001D000FC4BFEFFFC4BFEFFFC4BFEFFFC4BFEFF0F +:1001E000FC4BFEFFFC4BFEFFFC4BFEFFFC4BFEFFFF +:1001F000FC4BFEFFFC4BFEFFFC4BFEFFFC4BFEFFEF +:10020000FC4BFEFFFC4BFEFFFC4BFEFFFC4BFEFFDE +:10021000FC4BFEFFFC4BFEFFFC4BFEFFFC4BFEFFCE +:10022000FC4BFEFFFC4BFEFFFC4BFEFFFC4BFEFFBE +:10023000FC4BFEFFFC4BFEFFFC4BFEFFFC4BFEFFAE +:10024000FC4BFEFFFC4BFEFFFC4BFEFFFC4BFEFF9E +:10025000FC4BFEFFFC4BFEFF1A4BFEFF484BFEFF24 +:10026000FC4BFEFFFC4BFEFFFC4BFEFFFC4BFEFF7E +:10027000FC4BFEFFFC4BFEFFFC4BFEFF9E4BFEFFCC +:10028000FC4BFEFFFC4BFEFF0049FEFFFA49FEFF60 +:10029000FC4BFEFFFC4BFEFF6C4AFEFFFC4BFEFFDF +:1002A000924AFEFFFC4BFEFFFC4BFEFFF64BFEFFAF +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020416C74426C636B51207461736B7320A9 +:1003E0000D0A00006D69616F750A00006D69616F2B +:1003F00075320A0068756E6772790A004368656332 +:100400006B54696D6572000051436F6E7342310029 +:100410005150726F644232005150726F6442330027 +:1004200051436F6E734234005150726F6442350015 +:0804300051436F6E7342360068 +:100438001000000000000000017A5200017C010158 +:100448001B0D02001000000018000000B650FEFF4F +:100458008A03000000000000100000002C000000CB +:100468002C54FEFF5E030000000000001000000096 +:10047800400000007657FEFF540300000000000013 +:08051000FC8F01000400000053 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/AltBlock_rv32i_O0.hex b/VexRiscv/src/test/resources/freertos/AltBlock_rv32i_O0.hex new file mode 100644 index 0000000..ca9f402 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/AltBlock_rv32i_O0.hex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diff --git a/VexRiscv/src/test/resources/freertos/AltBlock_rv32i_O3.hex b/VexRiscv/src/test/resources/freertos/AltBlock_rv32i_O3.hex new file mode 100644 index 0000000..fab2448 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/AltBlock_rv32i_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/AltBlock_rv32ic_O0.hex b/VexRiscv/src/test/resources/freertos/AltBlock_rv32ic_O0.hex new file mode 100644 index 0000000..b231e67 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/AltBlock_rv32ic_O0.hex @@ -0,0 +1,1572 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900021B6170502001305E536979503009385F1 +:1000A000256801461122170502001305A53397059F +:1000B00002009385A5330146C52817A50300130543 +:1000C000656697B503009385A56537B6ABAB130698 +:1000D000B6BAD92817B103001301C164EF40D01E8E +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2021B23A02200F3221034A3 +:1002400096DEEF006030170102000321A119024180 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E21523A0120023A2BD +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40E06E17010200032161 +:10033000610B0241F65273901234B722000093828F +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A20783A0C4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A202F923A0220086DEEF1099 +:100460003062170102000321E1F70241F652739056 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F0961730020309707BF +:1004C00002009387E7F29843FD576305F7007370C6 +:1004D000043001A07370043001A041112AC6B24754 +:1004E000739047300100410182804111F327403071 +:1004F0003EC673F04330B2473E85410182804111D0 +:100500002AC62EC432C2B247F1173EC62247B247AE +:1005100098C3B247938787FA3EC61247B24798C33B +:10052000B247A1173EC68E873E87B24798C3B247EF +:10053000D1173EC6170700001307A7F8B24798C3A4 +:10054000B2473E8541018280411106C6EF402045F9 +:10055000EF105036AA8799C3EF1090520100B240B5 +:100560004101828041112AC6B24713878700B247F2 +:10057000D8C3B2477D5798C7B24713878700B247A1 +:10058000D8C7B24713878700B24798CBB24723A09A +:10059000070001004101828041112AC6B24723A809 +:1005A000070001004101828001112AC62EC4B24712 +:1005B000DC433ECEA2477247D8C3F2479847A247D2 +:1005C00098C7F2479C472247D8C3F247224798C7AB +:1005D000A247324798CBB2479C4313871700B247D4 +:1005E00098C301000561828001112AC62EC4A2476A +:1005F0009C433ECC6247FD576316F700B2479C4BC5 +:100600003ECE31A8B247A1073ECE21A0F247DC433F +:100610003ECEF247DC439C436247E379F7FEF24764 +:10062000D843A247D8C3A247DC43224798C7A24772 +:10063000724798C7F2472247D8C3A247324798CBA0 +:10064000B2479C4313871700B24798C30100056166 +:10065000828001112AC6B2479C4B3ECEB247DC4392 +:100660003247184798C7B2479C4732475843D8C3C8 +:10067000F247DC4332476316F700B2479847F24728 +:10068000D8C3B24723A80700F2479C431387F7FF5C +:10069000F24798C3F2479C433E8505618280797199 +:1006A00006D622D42AC62EC4B2473ECEF24781E7F0 +:1006B0007370043001A0EF20A078F2478043F24726 +:1006C000D85FF247BC43BE853A85EF50E065AA8704 +:1006D0003307F400F247D8C3F24723AC0702F247CE +:1006E0009843F24798C7F2478043F247DC5F13878D +:1006F000F7FFF247BC43BE853A85EF50E062AA8718 +:100700003307F400F247D8C7F2477D57F8C3F247E2 +:100710007D57B8C7A24799EFF2479C4B8DC7F24768 +:10072000C1073E85EF1010622A878547631DF700D9 +:10073000F13911A8F247C1073E852D35F24793875D +:1007400047023E850535EF20807285473E85B250D1 +:10075000225445618280797106D62AC62EC4B2879A +:10076000A303F10002CCB24781E77370043001A00B +:10077000A24799E302CE01A8A2453245EF50C05AE4 +:10078000AA8785073ECEF247938747053E85EF301F +:10079000007E2ACAD24785CFA24789E7D24752476F +:1007A00098C331A0D24713874705D24798C3D24791 +:1007B0003247D8DFD2472247B8C385455245C535B1 +:1007C000D247034771002388E704D2473ECCE24773 +:1007D00081E77370043001A0E2473E85B250456165 +:1007E0008280797106D6AA87A307F1001305400518 +:1007F000EF30E0772ACEF247B9CFF24723A20700C5 +:10080000F24723A00700F24723A40700F24723A6DC +:100810000700F24723AC0702F2470547D8DFF2474B +:1008200023A00704F2477D57F8C3F2477D57B8C7A6 +:10083000F2470347F1002388E704F247C1073E85EA +:100840001533F247938747023E85293B814601468F +:10085000814572453122F2473E85B2504561828022 +:10086000797106D622D42AC6B2473ECCE24781E748 +:100870007370043001A0E247C043EF20803EAA8796 +:100880006313F402E247DC471387F7FFE247D8C758 +:10089000E247DC4791E78146014681456245C92030 +:1008A00085473ECE11A002CEF2473E85B25022547B +:1008B00045618280797106D622D42AC62EC4B247F9 +:1008C0003ECCE24781E77370043001A0E247C043A9 +:1008D000EF202039AA87631BF400E247DC47138727 +:1008E0001700E247D8C785473ECE0DA08146224675 +:1008F00081456245812B2ACE724785476318F700F0 +:10090000E247DC4713871700E247D8C7F2473E8526 +:10091000B250225445618280797106D62AC62EC40F +:10092000B24781E77370043001A02247B24763F5F4 +:10093000E7007370043001A0094681453245213D2E +:100940002ACEF24781C7F247224798DFF24781E774 +:100950007370043001A0F2473E85B2504561828039 +:10096000397106DE2AC62EC432C236C002D6B2475C +:100970003ED4A25781E77370043001A0A24781E7FB +:10098000A257BC4399E3854711A0814781E7737063 +:10099000043001A0024789476317F700A257D85FC8 +:1009A00085476314F700854711A0814781E773707D +:1009B000043001A0EF20402CAA8799E3924799E3E5 +:1009C000854711A0814781E77370043001A0EF20B3 +:1009D0002047A257985FA257DC5F6366F700024783 +:1009E0008947631EF7020246A2452255EF00007CAC +:1009F0002AD2A257DC5389CFA257938747023E855C +:100A0000EF1050342A8785476317F700013421A07F +:100A1000925791C3E53AEF20804585474DA0924714 +:100A200089E7EF20C044814759A8B25799E77C086D +:100A30003E85EF10D04885473ED6EF204043EF106B +:100A4000A03BEF20E03FA257F843FD576315F700A6 +:100A5000A25723A20704A257B847FD576315F70012 +:100A6000A25723A40704EF20804058007C08BA85D1 +:100A70003E85EF10D047AA878DEF2255EF00D012A8 +:100A8000AA8795C3A257C1071247BA853E85EF10C2 +:100A900050122255EF00B003EF10C037AA87E39839 +:100AA00007F2AD322DB72255EF007002EF108036FD +:100AB00039BF2255EF00B001EF10C03581473E85A8 +:100AC000F25021618280797106D62AC62EC432C2C4 +:100AD00036C002CEB2473ECCE24781E773700430A5 +:100AE00001A0A24781E7E247BC4399E3854711A0F3 +:100AF000814781E77370043001A0EF206034E24742 +:100B0000985FE247DC5F6379F7020246A2456245DF +:100B1000EF00C069E247DC5381CFE2479387470289 +:100B20003E85EF1030222A8785476313F700F93896 +:100B3000EF20E033854785A0924789E7EF202033F7 +:100B4000814791A8F24799E71C083E85EF1030379E +:100B500085473ECEEF20A031EF20802E58001C08A4 +:100B6000BA853E85EF10B038AA8799EF6245EF004D +:100B7000B003AA8791CFE247C1071247BA853E85E5 +:100B8000EF103003613029A0EF20602E814721A0B3 +:100B9000EF20E02D9DB73E85B250456182803971CE +:100BA00006DE2AC62EC432C236C002D6B2473ED4B2 +:100BB000A25781E77370043001A0A24781E7A257D2 +:100BC000BC4399E3854711A0814781E773700430E6 +:100BD00001A0EF20E026A2579C5FB5CBA257DC47CF +:100BE0003ED2A2452255792D824795EFA2579C5FB0 +:100BF0001387F7FFA25798DFA2579C4391E7EF2096 +:100C0000602E2A87A257D8C3A2579C4B8DCFA257DC +:100C1000C1073E85EF1010132A8785476315F70239 +:100C2000EFF0CFFE0DA0A2571257D8C7A257DC5342 +:100C300099CBA257938747023E85EF10B010AA8741 +:100C400099C3EFF0AFFCEF2080228547ADA8924713 +:100C500089E7EF20C0218147BDA0B25799E77C0802 +:100C60003E85EF10D02585473ED6EF204020EF206F +:100C7000201D58007C08BA853E85EF105027AA87B2 +:100C800085EF2255E92DAA8785CFA2579C4391EB8A +:100C9000EF20001BA257DC433E85EF20E000EF2051 +:100CA000001DA257938747021247BA853E85EF1071 +:100CB0004070EFF0AFF529A0EF20601B814721A025 +:100CC000EF20E01A39B73E85F250216182807971B8 +:100CD00006D62AC62EC432C236C0B2473ECCE24740 +:100CE00081E77370043001A0A24781E7E247BC436B +:100CF00099E3854711A0814781E77370043001A013 +:100D0000024789476317F700E247D85F85476314B6 +:100D1000F700854711A0814781E77370043001A077 +:100D2000EFF0AFFCAA873ECAE247985FE247DC5F7C +:100D30006366F700024789476315F7040246A24538 +:100D40006245AD21E247B847FD576313F702E2471A +:100D5000DC538DC7E247938747023E85EF10807EC4 +:100D6000AA8789CF924799CB9247054798C339A064 +:100D7000E247BC4713871700E247B8C785473ECE16 +:100D800011A002CED2473E85EFF02FF5F2473E8507 +:100D9000B25045618280797106D62AC62EC4B24708 +:100DA0003ECCE24781E77370043001A0E247BC43C8 +:100DB00081C77370043001A0E2479C4381E7E2479A +:100DC000DC4399E3854711A0814781E773700430C4 +:100DD00001A0EFF08FF1AA873ECAE247985FE24791 +:100DE000DC5F6377F704E2479C5F13871700E247F5 +:100DF00098DFE247B847FD576313F702E247DC5339 +:100E00008DC7E247938747023E85EF10A073AA87FC +:100E100089CFA24799CBA247054798C339A0E2479B +:100E2000BC4713871700E247B8C785473ECE11A0DD +:100E300002CED2473E85EFF04FEAF2473E85B250F0 +:100E400045618280397106DE2AC62EC432C236C0A0 +:100E500002D6B2473ED4A25781E77370043001A096 +:100E6000A24781E7A257BC4399E3854711A0814778 +:100E700081E77370043001A0EF101060AA8799E336 +:100E8000924799E3854711A0814781E77370043049 +:100E900001A0EF10F07AA2579C5FB5CBA257DC47B8 +:100EA0003ED2A2452255F92E824795EFA2579C5F6C +:100EB0001387F7FFA25798DFA2579C4391E7EF20D3 +:100EC00060022A87A257D8C3A2579C4B8DCFA25746 +:100ED000C1073E85EF1000672A8785476315F70233 +:100EE000EFF0CFD20DA0A2571257D8C7A257DC53AC +:100EF00099CBA257938747023E85EF10A064AA873B +:100F000099C3EFF0AFD0EF10907685475DA8924778 +:100F100089E7EF10D07581476DA0B25799E77C083B +:100F20003E85EF10C07985473ED6EF105074EF0034 +:100F3000B06CEF10F070A257F843FD576315F7003F +:100F4000A25723A20704A257B847FD576315F7001D +:100F5000A25723A40704EF10907158007C08BA85AB +:100F60003E85EF10C078AA87A1EB2255CD2EAA8727 +:100F70009DCFA2579C4391EBEF10906CA257DC439E +:100F80003E85EF107052EF10906EA2579387470284 +:100F90001247BA853E85EF10C04122550D2EEF0055 +:100FA0007067AA87E39707EEEFF04FC6DDB52255CD +:100FB000392EEF003066F1BD2255112EEF009065FD +:100FC00081473E85F25021618280797106D62AC61A +:100FD0002EC432C2B2473ECCE24781E77370043080 +:100FE00001A0A24781E7E247BC4399E3854711A0EE +:100FF000814781E77370043001A0EFF00FCFAA871B +:101000003ECAE2479C5FA1CBA2456245A52CE247C0 +:101010009C5F1387F7FFE24798DFE247F843FD57ED +:101020006312F702E2479C4B85C7E247C1073E8542 +:10103000EF104051AA8789CF924799CB9247054735 +:1010400098C339A0E247FC4313871700E247F8C36F +:1010500085473ECE11A002CED2473E85EFF0EFC7C6 +:10106000F2473E85B25045618280797106D62AC624 +:101070002EC4B2473ECCE24781E77370043001A032 +:10108000A24781E7E247BC4399E3854711A0814726 +:1010900081E77370043001A0E247BC4381E77370BD +:1010A000043001A0EFF06FC4AA873ECAE2479C5FFC +:1010B00089CFE247DC473EC8A2456245E122E247CC +:1010C0004247D8C785473ECE11A002CED2473E85C3 +:1010D000EFF0AFC0F2473E85B25045618280797132 +:1010E00006D62AC6B24781E77370043001A0EF101C +:1010F0003055B2479C5F3ECEEF107057F2473E85A9 +:10110000B25045618280797106D62AC6B2473ECE7A +:10111000F24781E77370043001A0EF107052F2477C +:10112000D85FF2479C5FB307F7403ECCEF103054D6 +:10113000E2473E85B2504561828001112AC6B2471E +:1011400081E77370043001A0B2479C5F3ECEF24746 +:101150003E8505618280797106D62AC6B2473ECEA9 +:10116000F24781E77370043001A07245E5247245AF +:10117000EF20F0720100B2504561828041112AC611 +:10118000B247FC473E854101828041112AC62EC4E8 +:10119000B2472247F8C701004101828041112AC6A7 +:1011A000B24783C707053E8541018280797106D623 +:1011B0002AC62EC432C202CEB247BC4391EFB24718 +:1011C0009C43D5E7B247DC433E85EF10903B2ACEE7 +:1011D000B24723A2070061A8924785EFB24798471C +:1011E000B247BC433E86A2453A85EF20F079B2472C +:1011F0009847B247BC433E97B24798C7B247984713 +:10120000B247DC436365F706B2479843B24798C7D5 +:10121000B9A8B247D847B247BC433E86A2453A85F3 +:10122000EF209076B247D847B247BC43B307F040AF +:101230003E97B247D8C7B247D847B2479C43637B73 +:10124000F700B247D843B247BC43B307F0403E97DC +:10125000B247D8C712478947631BF700B2479C5F64 +:1012600099C7B2479C5F1387F7FFB24798DFB24731 +:101270009C5F13871700B24798DFF2473E85B25054 +:1012800045618280011106CE2AC62EC4B247BC43F6 +:101290009DCBB247D847B247BC433E97B247D8C769 +:1012A000B247D847B247DC436366F700B24798437A +:1012B000B247D8C7B247D847B247BC433E86BA8583 +:1012C0002245EF20706C0100F2400561828001111F +:1012D00006CE2AC6EF10D03625A0B247DC5395C7FC +:1012E000B247938747023E85EF10C025AA8799C36E +:1012F000EF104049B247BC471387F7FFB247B8C762 +:10130000B247BC47E34BF0FC11A00100B2477D5748 +:10131000B8C7EF10D035EF10B0321DA0B2479C4BCC +:101320008DC7B247C1073E85EF10C021AA8799C378 +:10133000EF104045B247FC431387F7FFB247F8C3AD +:10134000B247FC43E34CF0FC11A00100B2477D57CB +:10135000F8C3EF10D0310100F2400561828079714D +:1013600006D62AC6EF10D02DB2479C5F81E785478D +:101370003ECE11A002CEEF10902FF2473E85B25024 +:101380004561828001112AC6B24781E7737004303B +:1013900001A0B2479C5F81E785473ECE11A002CEF7 +:1013A000F2473E8505618280797106D62AC6EF1024 +:1013B0003029B247985FB247DC5F6315F700854775 +:1013C0003ECE11A002CEEF10902AF2473E85B250D9 +:1013D0004561828001112AC6B24781E773700430EB +:1013E00001A0B247985FB247DC5F6315F7008547FD +:1013F0003ECE11A002CEF2473E85056182800111EA +:101400002AC62EC402CE81A0178703001307872D9A +:10141000F2478E07BA979C4385E7178703001307A7 +:10142000672CF2478E07BA97224798C317870300A5 +:101430001307472BF2478E07BA973247D8C301A844 +:10144000F24785073ECE72479D47E3FFE7FA01006A +:101450000561828001112AC602CE0DA817870300FC +:1014600013074728F2478E07BA97DC433247631CBD +:10147000F700178703001307E726F2478E07BA978E +:1014800023A0070001A8F24785073ECE72479D477B +:10149000E3F6E7FC010005618280797106D62AC671 +:1014A0002EC432C2B2473ECEEF109019F247F84335 +:1014B000FD576315F700F24723A20704F247B84728 +:1014C000FD576315F700F24723A40704EF10301A05 +:1014D000F2479C5F89EBF247938747021246A24589 +:1014E0003E85EF00907E7245DD330100B2504561CC +:1014F0008280397106DE2ACE2ECC36C83AC63EC46A +:1015000042C246C0B287231BF100F24781E77370E5 +:10151000043001A03247A54763F5E700737004303B +:1015200001A08357610192453E85EF1080512AD476 +:10153000A257638F0712A257985B83566101B707C2 +:101540000040FD17B6978A07BA973ED29257F19B93 +:101550003ED292578D8B81C77370043001A08357A0 +:1015600061013E8782463246E2452255EF10E02770 +:101570004246F2451255EFE09FF82A87A25798C3DA +:10158000A24781C7A247225798C3EF10700B97F765 +:101590000100938767029C431387170097F70100A8 +:1015A0009387870198C397F701009387A7E39C432C +:1015B00095E397F701009387E7E2225798C397F7DF +:1015C0000100938767FF98438547631AF702EF107E +:1015D000C02C35A097F701009387C7FE9C4385E395 +:1015E00097F70100938707E09C43DC573247636815 +:1015F000F70097F701009387E7DE225798C397F724 +:101600000100938727FD9C431387170097F701007C +:10161000938747FC98C397F701009387A7FB9843EC +:10162000A257B8C7A257D85797F70100938747F931 +:101630009C4363F9E700A257D85797F701009387B7 +:1016400027F898C3A257D857BA878A07BA978A0744 +:1016500017F701001307C7E23E97A2579107BE850F +:101660003A85EFE07FF485473ED6EF10500019A091 +:10167000FD573ED6325785476313F70297F70100AF +:10168000938747F49C4381CF97F70100938787D5D1 +:101690009C43DC57324763F4E700EFE03FD7B25793 +:1016A0003E85F25021618280797106D62AC6EF10FC +:1016B0002079B24799E797F701009387A7D29C4317 +:1016C00011A0B2473ECEF24791073E85EFE07FF88A +:1016D000F2479C5791C7F247E1073E85EFE07FF75D +:1016E000F2479107BE8517F50100130525EAEFE0E3 +:1016F000BFEB97F701009387A7EA9C431387170076 +:1017000097F701009387C7E998C397F7010093877C +:1017100067EC9C431387170097F70100938787EBCB +:1017200098C3EF10C07497F701009387A7E99C4313 +:101730009DCB97F701009387E7CA9C437247631ECE +:10174000F70097F70100938767E99C4381C773709F +:10175000043001A0EFE09FCB39A0EF10606EEF10D6 +:10176000A04BEF10C0700100B250456182807971CA +:1017700006D62AC62EC402CEB24781E77370043063 +:1017800001A0A24781E77370043001A097F7010020 +:101790009387C7E49C4381C77370043001A0A92DCF +:1017A00097F70100938787E19C433ECCB2479C4367 +:1017B0002247BA973ECAB2479C436247637EF7000E +:1017C000B2479C4352476374F7025247E24763F0C3 +:1017D000E70285473ECE21A8B2479C435247636645 +:1017E000F7005247E24763F4E70085473ECEB24731 +:1017F000524798C3F24789CF97F70100938787BE76 +:101800009C4391073E85EFE0DFE45245EF10001B5B +:1018100011252AC8C24799E3EFE05FBF0100B2502B +:1018200045618280797106D62AC602CEB247A9C325 +:1018300097F70100938787DA9C4381C77370043060 +:1018400001A05D2B97F70100938747D79C43324750 +:10185000BA973ECC97F701009387C7B89C4391078E +:101860003E85EFE01FDF6245EF10401565232ACE6D +:10187000F24799E3EFE09FB90100B25045618280E1 +:10188000797106D62AC6B2473ECCE24781E773702B +:10189000043001A097F701009387C7B49C436247C7 +:1018A0006314F70002CEBDA0EF108059E247DC4B75 +:1018B0003ECAEF10C05B97F70100938767CB9C434C +:1018C0005247630AF70097F701009387A7CA9C4322 +:1018D00052476315F70089473ECE2DA8524797F728 +:1018E0000100938727CC631BF700E2479C5781E7F1 +:1018F0008D473ECE05A089473ECE29A8524797F78F +:1019000001009387A7C86315F70091473ECE19A041 +:1019100085473ECEF2473E85B2504561828079715F +:1019200006D62AC6EF10C051B24799E797F70100D3 +:10193000938747AB9C4311A0B2473ECEF247DC579A +:101940003ECCEF10C052E2473E85B25045618280E6 +:10195000797106D62AC6EFE05FB9AA873ECEB247B4 +:1019600099E797F701009387E7A79C4311A0B24737 +:101970003ECCE247DC573ECAF2473E85EFE0FFB57A +:10198000D2473E85B25045618280797106D62AC61B +:101990002EC402CE2247A54763F5E70073700430DA +:1019A00001A02247A54763F4E700A5473EC4EF1016 +:1019B0002049B24799E797F701009387A7A29C4374 +:1019C00011A0B2473ECCE247BC4B3ECA5247A247A9 +:1019D000630AF70E2247D24763F6E70297F7010042 +:1019E000938747A09C4362476308F70297F701007B +:1019F0009387479F9C43DC572247636FF7008547D7 +:101A00003ECE19A897F701009387C79D9C43624774 +:101A10006314F70085473ECEE247DC573EC8E247F5 +:101A2000B84BE247DC576315F700E2472247D8D7A7 +:101A3000E2472247B8CBE2479C4F63C707002947DC +:101A4000A2471D8FE24798CFE247D44B4247BA875F +:101A50008A07BA978A0717F70100130767A2BA9790 +:101A60006394F600854711A08147B9C7E247910703 +:101A70003E85EFE01FBEE247D85797F701009387F6 +:101A800027B49C4363F9E700E247D85797F7010072 +:101A9000938707B398C3E247D857BA878A07BA979C +:101AA0008A0717F701001307A79D3E97E2479107A2 +:101AB000BE853A85EFE05FAF724785476314F70054 +:101AC000EFE0DF94EF10A03A0100B2504561828050 +:101AD000797106D62AC6EF10A036B24799E797F774 +:101AE0000100938727909C4311A0B2473ECEF24756 +:101AF00091073E85EFE0FFB5F2479C5791C7F2474B +:101B0000E1073E85EFE0FFB4F2479107BE8517F588 +:101B10000100130525A9EFE03FA9EF10403597F725 +:101B200001009387278C9C4372476319F70497F74A +:101B30000100938727A99C4389CF97F701009387DA +:101B4000E7A99C4381C77370043001A0EFE01F8CAC +:101B500091A097F701009387E7A4984397F70100B6 +:101B6000938787A59C436319F70097F70100938734 +:101B7000678723A0070039A8212729A897F7010024 +:101B8000938747A49C4399C7EF10802BEF10C008A0 +:101B9000EF10E02D0100B2504561828001112AC68C +:101BA00002CEB2473ECCB24781E77370043001A049 +:101BB000E247D84B97F701009387C79E6314F7005D +:101BC000854711A0814795C3E247985797F70100D1 +:101BD0009387879A630BF700E2479C5799E3854701 +:101BE00011A0814799C385473ECEF2473E850561E6 +:101BF0008280797106D62AC6B2473ECEB24781E7C7 +:101C00007370043001A0F247C9C797E701009387BA +:101C1000677D9C437247630EF706EF1060227245A2 +:101C2000B53F2A8785476314F706F24791073E853B +:101C3000EFE03FA2F247D85797F701009387479804 +:101C40009C4363F9E700F247D85797F70100938761 +:101C5000279798C3F247D857BA878A07BA978A074F +:101C600017F701001307C7813E97F2479107BE851A +:101C70003A85EFE07F93F247D85797E701009387C3 +:101C800067769C43DC576364F700EFE02FF8EF10B2 +:101C9000001E0100B25045618280797106D62AC6C5 +:101CA00002CEB2473ECCB24781E77370043001A048 +:101CB000EFE0BF83AA873ECA6245CD352A878547B4 +:101CC0006314F70897F70100938747919C43A5E7B2 +:101CD000E247D85797E701009387C7709C43DC57CA +:101CE0006364F70085473ECEE24791073E85EFE00B +:101CF0005F96E247D85797F701009387678C9C431C +:101D000063F9E700E247D85797F701009387478BBD +:101D100098C3E247D857BA878A07BA978A0717E75E +:101D200001001307E7753E97E2479107BE853A85A4 +:101D3000EFE09F8711A8E247E107BE8517F5010094 +:101D400013058583EFE05F86D2473E85EFE0EFF82D +:101D5000F2473E85B25045618280011106CE81482E +:101D600001488147014781461306004097E501007D +:101D70009385452917150000130585A5EFF06FF72A +:101D80002AC6324785476315F700EF10C06D2AC693 +:101D9000324785476319F7027370043097F70100E3 +:101DA000938787837D5798C397F70100938787812F +:101DB000054798C397F701009387478023A0070042 +:101DC000EFE02FCE31A0B24781E77370043001A05D +:101DD0000100F24005618280411106C67370043033 +:101DE00097E701009387077E23A00700EFE0AFD9B4 +:101DF0000100B2404101828097E701009387077E8E +:101E00009C431387170097E701009387277D98C3AA +:101E100001008280011106CE02C697E70100938778 +:101E2000E77B9C4381E77370043001A0EF10400111 +:101E300097E701009387877A9C431387F7FF97E71B +:101E400001009387A77998C397E7010093870779E3 +:101E50009C436390071097E701009387E7759C43C5 +:101E60006389070E59A097E701009387E770DC4765 +:101E7000DC473EC4A247E1073E85EFE08FFDA24765 +:101E800091073E85EFE0EFFCA247D85797E70100A6 +:101E9000938707739C4363F9E700A247D85797E7F6 +:101EA00001009387E77198C3A247D857BA878A077A +:101EB000BA978A0717E701001307875C3E97A24786 +:101EC0009107BE853A85EFE02FEEA247D85797E7F6 +:101ED0000100938727519C43DC576368F70097E71D +:101EE00001009387A76E054798C397E70100938782 +:101EF000A7689C43ADFB97E701009387E76C9C4381 +:101F00008DCF35A0452AAA8799C797E70100938707 +:101F1000E76B054798C397E701009387E76A9C43FF +:101F20001387F7FF97E701009387076A98C397E73E +:101F30000100938767699C43F1F797E70100938756 +:101F4000E768984385476316F70085473EC6EFE08C +:101F5000EFCBEF00D071B2473E85F2400561828041 +:101F6000011106CEEF00D06D97E70100938707655A +:101F70009C433EC6EF00B06FB2473E85F24005611C +:101F80008280011106CEEFE04FD6AA873EC697E7C2 +:101F900001009387A7629C433EC4B2473E85EFE0B1 +:101FA000CFD3A2473E85F2400561828097E70100CA +:101FB000938787609C433E858280797106D62AC6C6 +:101FC0002EC432C202CEA9473ECC3D3597E7010070 +:101FD0009387875E9C4322476368F70CE247FD17AF +:101FE0003ECCF24796073247B306F7006247BA87FE +:101FF0008A07BA978A0717E7010013076748BA9755 +:102000000546BE853685EF00902A2A87F247BA97A3 +:102010003ECEE247E1F7F247960732473E9797E711 +:1020200001009387E7549C430946BE853A85EF003B +:1020300010282A87F247BA973ECEF24796073247D2 +:102040003E9797E701009387E7529C430946BE8578 +:102050003A85EF00D0252A87F247BA973ECEF2475D +:1020600096073247BA97114697E5010093850552C6 +:102070003E85EF00D0232A87F247BA973ECEF2473B +:1020800096073247BA970D4697E50100938585512B +:102090003E85EF00D0212A87F247BA973ECE92477D +:1020A00081C7924723A00700B533F2473E85B2505F +:1020B00045618280797106D602CE97E70100938749 +:1020C000E7519C436395071897E701009387074FF3 +:1020D0009C431387170097E701009387274E98C307 +:1020E00097E701009387874D9C433ECCE247B9EFC9 +:1020F00097E701009387C7479C439C4381C7737050 +:10210000043001A097E70100938787469C433ECAAD +:1021100097E7010093870746984397E70100938765 +:10212000274598C397E701009387C744524798C350 +:1021300097E701009387C7499C431387170097E7E8 +:1021400001009387E74898C3EF00102D97E701003F +:10215000938787489C4362476365F70C97E70100C4 +:10216000938707419C439C4399E3854711A081478E +:1021700081CB97E70100938727467D5798C355A0E9 +:1021800097E701009387C73E9C43DC47DC473EC886 +:10219000C247DC433EC66247B2476379F70097E720 +:1021A000010093876743324798C3A5A8C2479107A8 +:1021B0003E85EFE00FCAC2479C5791C7C247E1076F +:1021C0003E85EFE00FC9C247D85797E701009387D4 +:1021D000273F9C4363F9E700C247D85797E70100C0 +:1021E0009387073E98C3C247D857BA878A07BA97DA +:1021F0008A0717E701001307A7283E97C2479107F0 +:10220000BE853A85EFE04FBAC247D85797E701003D +:102210009387471D9C43DC57E362F7F485473ECE26 +:1022200035BF97E701009387E71B9C43D85797E694 +:1022300001009386E624BA878A07BA978A07B69779 +:102240009843854763F1E70285473ECE29A897E783 +:102250000100938767379C431387170097E70100B6 +:102260009387873698C397E70100938727369C4367 +:1022700099C385473ECEF2473E85B2504561828084 +:10228000011106CE97E70100938747359C4381CB28 +:1022900097E7010093878733054798C339A297E7EB +:1022A00001009387A73223A0070097E701009387D7 +:1022B00067139C439C5B3EC6B7A7A5A59387575A57 +:1022C0003EC4B2479C4322476314F702B2479107CA +:1022D0009C432247631EF700B247A1079C43224755 +:1022E0006318F700B247B1079C4322476308F7041D +:1022F00097E701009387070F984397E701009387BB +:10230000670E9C4393874703BE853A85EF20100BE9 +:1023100035A097E701009387A72A9C4381E7737054 +:10232000043001A097E70100938787299C43138716 +:10233000F7FF97E701009387A72898C397E7010065 +:1023400093870728984397E6010093866613BA8718 +:102350008A07BA978A07B6979C43C5DF97E70100BB +:10236000938707269843BA878A07BA978A0717E799 +:1023700001001307E710BA973EC29247DC43D843E7 +:102380009247D8C39247D8439247A1076317F700F3 +:102390009247DC43D8439247D8C39247DC43D8479F +:1023A00097E701009387070498C30100F240056195 +:1023B0008280797106D62AC62EC4B24781E773702F +:1023C000043001A097E701009387C7019C43E10710 +:1023D000BE853245EFE04FA197E701009387870064 +:1023E0009C4391073E85EFE0CFA62247FD5763103F +:1023F000F70297E701009387E7FE9C439107BE85AC +:1024000017E501001305051AEFE00F9A19A897E7E1 +:1024100001009387A71A9C432247BA973ECE724584 +:10242000712B0100B25045618280797106D62AC6AF +:102430002EC432C2B24781E77370043001A097E71F +:1024400001009387A7199C4381E77370043001A0B2 +:1024500097E70100938707F99C43A246370700805E +:10246000558F98CF97E701009387C7F79C43E10703 +:10247000BE853245EFE04F9397E70100938787F6DB +:102480009C4391073E85EFE0CF9C1247FD576310B8 +:10249000F70297E701009387E7F49C439107BE8515 +:1024A00017E5010013050510EFE00F9019A897E755 +:1024B00001009387A7109C431247BA973ECE7245FE +:1024C000F5290100B25045618280797106D62AC68D +:1024D0002EC432C2B24781E77370043001A097E77F +:1024E0000100938727F09C43E107BE853245EFE06A +:1024F000AF8B97E701009387E7EE9C4391073E85FA +:10250000EFE02F95124785476310F70297E7010028 +:10251000938747ED9C439107BE8517E5010013059E +:102520006508EFE06F8819A897E70100938707090E +:102530009C432247BA973ECE724549210100B250D2 +:1025400045618280797106D62AC6B247DC47DC47EE +:102550003ECCE24781E77370043001A0E247E10717 +:102560003E85EFE00F8F97E70100938727079C4395 +:10257000A1EBE24791073E85EFE0AF8DE247D857E8 +:1025800097E701009387C7039C4363F9E700E2479D +:10259000D85797E701009387A70298C3E247D85717 +:1025A000BA878A07BA978A0717E70100130747ED2A +:1025B0003E97E2479107BE853A85EFD0FFFE11A80E +:1025C000E247E107BE8517E501001305E5FAEFD004 +:1025D000BFFDE247D85797E701009387A7E09C43E8 +:1025E000DC5763FBE70085473ECE97E70100938702 +:1025F000E7FD054798C311A002CEF2473E85B250D1 +:1026000045618280797106D62AC62EC497E70100FB +:102610009387C7FC9C4381E77370043001A0224775 +:10262000B70700805D8FB24798C3B247DC473ECC06 +:10263000E24781E77370043001A03245EFE06F811B +:10264000E24791073E85EFE0CF80E247D85797E712 +:1026500001009387E7F69C4363F9E700E247D85708 +:1026600097E701009387C7F598C3E247D857BA8721 +:102670008A07BA978A0717E70100130767E03E97B2 +:10268000E2479107BE853A85EFD01FF2E247D8575F +:1026900097E70100938707D59C43DC5763FBE7006E +:1026A00085473ECE97E70100938747F2054798C3D9 +:1026B00011A002CEF2473E85B250456182804111A1 +:1026C0002AC6B24781E77370043001A097E7010082 +:1026D000938707F09843B24798C397E70100938721 +:1026E000E7ED9843B247D8C3010041018280797178 +:1026F00006D62AC62EC4B24781E77370043001A003 +:10270000A24781E77370043001A01D2F97E70100F5 +:102710009387C7EA9C433ECCA2479843FD57631476 +:10272000F70002CE91A8B247984397E7010093873C +:1027300027EA9C43630AF700B247DC4362476365BC +:10274000F70085473ECE0DA8B247DC4362471D8F98 +:10275000A2479C436370F702A2479843B247D44311 +:10276000E247B387F6403E97A24798C33245813F80 +:1027700002CE19A085473ECEDD2DF2473E85B250F0 +:102780004561828097E70100938747E4054798C336 +:102790000100828001112AC6B24799C7B2473ECCD8 +:1027A000E247FC473ECE11A002CEF2473E850561CE +:1027B000828001112AC62EC4B24791C7B2473ECECD +:1027C000F2472247F8C7010005618280011106CE59 +:1027D0002AC6852297E70100938787CA98438547D1 +:1027E000E3F9E7FEEFD09FC2EDB7397106DE2ACEDE +:1027F0002ECC32CA36C8BA872317F10002D625A0DC +:102800006247B257BA9703C70700F246B257B69766 +:10281000238AE7026247B257BA9783C7070089CB7A +:10282000B25785073ED63257BD47E3FBE7FC11A000 +:102830000100F247A38107045247A54763F4E7006C +:10284000A5473ECAF2475247D8D7F2475247B8CBBE +:10285000F24723AA0704F24791073E85EFD0DFD362 +:10286000F247E1073E85EFD03FD3F247724798CB5E +:102870002947D2471D8FF24798CFF2477247D8D3E6 +:10288000F24723A20704F24723AC0704F24723AE22 +:1028900007040100F25021618280011106CE02C6B8 +:1028A0000DA03247BA878A07BA978A0717E701004F +:1028B000130707BDBA973E85EFD0DFCAB247850739 +:1028C0003EC63247A547E3FEE7FC17E501001305C6 +:1028D000A5C7EFD03FC917E50100130525C8EFD004 +:1028E0007FC817E50100130525C9EFD0BFC717E55D +:1028F00001001305A5C9EFD0FFC617E501001305B8 +:1029000065CAEFD03FC697E70100938767C617E710 +:102910000100130767C398C397E70100938787C532 +:1029200017E70100130787C398C30100F240056150 +:102930008280011106CE8DA8EFF00FCC97E7010041 +:102940009387C7C49C4393B7170093F7F70F3EC60E +:10295000EFF04FCCB247A9EBE52197E70100938751 +:10296000E7C2DC47DC473EC4A24791073E85EFD073 +:102970005FCE97E70100938727C49C431387F7FF37 +:1029800097E70100938747C398C397E701009387B0 +:1029900027C19C431387F7FF97E70100938747C040 +:1029A00098C3F1212245912C97E70100938747BFF7 +:1029B0009C43D9F30100F24005618280011106CEEB +:1029C0002AC697E701009387E7A19C433247D8C303 +:1029D00097E70100938787BE9C4332476372F702F3 +:1029E00097E70100938707B9984397E7010093871A +:1029F000679F9C439107BE853A85EFD0FFBE35A8FF +:102A000097E701009387C7B6984397E7010093873C +:102A1000679D9C439107BE853A85EFD0FFBC97E741 +:102A20000100938767BB9C4332476378F70097E7C1 +:102A30000100938767BA324798C30100F2400561ED +:102A40008280797106D6AA872EC42317F100A24787 +:102A500089EB8357E1008A073E85EF104051AA8732 +:102A600011A0A2473ECCE24785C313050006EF1034 +:102A700000502ACEF24789C7F247624798DB31A05F +:102A80006245EF10C06111A002CEF24781CFF2473C +:102A9000985B8357E1008A073E869305500A3A8582 +:102AA000EF104075F2473E85B25045618280397122 +:102AB00006DE22DC2AC62EC432C202D6A2479C43BE +:102AC000638D070EA2473ED4A257DC43D843A257DA +:102AD000D8C3A257D843A257A1076317F700A2573C +:102AE000DC43D843A257D8C3A257DC43DC473ED2CD +:102AF000A2473ED08257DC43D8438257D8C382577F +:102B0000D8438257A1076317F7008257DC43D843A5 +:102B10008257D8C38257DC43DC473ECEB257960774 +:102B20003247BA97724798C3B25796073247BA9757 +:102B3000724713074703D8C3B25796073247BA976D +:102B40007247384798C7B25796073247BA97124725 +:102B5000D8C7B25796073247BA977247585798CB9B +:102B600012478D47631BF700F2479C5799C7B2572E +:102B700096073247BA970947D8C7B25796073247E0 +:102B8000BA977247384BD8CBB25796073247BA97A5 +:102B900023AC0700F247945BB257960732473304E1 +:102BA000F70036850D20AA87231EF400B25785074B +:102BB0003ED672479257E31DF7F2B2573E85F25068 +:102BC00062542161828001112AC602CE39A0B24727 +:102BD00085073EC6F24785073ECEB24703C70700CA +:102BE0009307500AE305F7FEF24789833ECEF2478A +:102BF000C207C1833E8505618280011106CE2AC6C7 +:102C0000B2479C5B3E85EF1080493245EF1020496A +:102C10000100F24005618280411197E7010093872E +:102C200027959C439C4399E3854711A0814781CB1D +:102C300097E701009387479A7D5798C305A097E7C8 +:102C400001009387E7929C43DC47DC473EC6B247CE +:102C5000D84397E701009387279898C30100410163 +:102C60008280411197D701009387C7779C433EC666 +:102C7000B2473E8541018280411197E70100938769 +:102C800067949C4381E785473EC619A897E70100F2 +:102C90009387C7949C4381E789473EC611A002C62B +:102CA000B2473E8541018280797106D62AC6B24775 +:102CB0003ECEB247F9C3F247D85797D70100938762 +:102CC00067729C43DC57637AF70AF2479C4F63CCE8 +:102CD000070097D701009387E7709C43DC5729478B +:102CE0001D8FF24798CFF247D44BF247D857BA8797 +:102CF0008A07BA978A0717D7010013076778BA9728 +:102D00006394F600854711A08147A5C3F247910758 +:102D10003E85EFD01F9497D701009387A76C9C4303 +:102D2000D857F247D8D7F247D85797E7010093878B +:102D300027899C4363F9E700F247D85797E70100DA +:102D40009387078898C3F247D857BA878A07BA97F4 +:102D50008A0717D701001307A7723E97F24791071A +:102D6000BE853A85EFD05F8409A897D70100938785 +:102D700067679C43D857F247D8D70100B2504561E6 +:102D80008280797106D62AC6B2473ECC02CEB247BF +:102D9000DDC397D701009387E7649C4362476305CF +:102DA000F7007370043001A0E247FC4B81E77370B9 +:102DB000043001A0E247FC4B1387F7FFE247F8CB52 +:102DC000E247D857E247BC4B6307F706E247FC4BA4 +:102DD000BDE3E24791073E85EFD0BF87E247B84B9E +:102DE000E247D8D7E247DC5729471D8FE24798CF03 +:102DF000E247D85797D701009387877C9C4363F9B4 +:102E0000E700E247D85797D701009387677B98C3BD +:102E1000E247D857BA878A07BA978A0717D70100B7 +:102E2000130707663E97E2479107BE853A85EFD0C4 +:102E3000AFF785473ECEF2473E85B250456182806E +:102E40007370043097D701009387C7779C4391CF65 +:102E500097D70100938707599C43F8430507F8C3A8 +:102E600097D70100938707589C430100828097D72A +:102E70000100938727759C438DCB97D701009387DB +:102E800067569C43FC4395C397D7010093878755AA +:102E90009C43F8437D17F8C397D701009387875465 +:102EA0009C43FC4399E3736004300100828041112C +:102EB00097D70100938707539C439C4F3EC697D7F3 +:102EC0000100938727529C43D85797D701009387D7 +:102ED00067519C43A9463387E64098CFB2473E8569 +:102EE0004101828097D701009387C74F9C4389CBCC +:102EF00097D701009387074F9C43F84B0507F8CB02 +:102F000097D701009387074E9C433E858280797155 +:102F100006D62AC62EC42D3797D701009387874C33 +:102F20009C43BC4FADE397D701009387A74B9C43CD +:102F30000547F8CFA247A1CB97D701009387874ACF +:102F40009C4391073E85EFD0CFF02247FD57631099 +:102F5000F70297D701009387E7489C439107BE8506 +:102F600017D5010013050564EFD00FE419A897D712 +:102F700001009387A7649C432247BA973ECE7245CF +:102F8000353CEFD0AFC8E535653D97D70100938755 +:102F900067459C43BC4F3ECCE2479DC3B24789CBBB +:102FA00097D70100938707449C4323AC070409A8E3 +:102FB00097D70100938707439C43B84F7D17B8CF3D +:102FC00097D70100938707429C4323AE07044535FA +:102FD000E2473E85B25045618280797106D62AC6A5 +:102FE0002EC432C236C0A93D97D701009387873FD0 +:102FF0009C43F84F8947630DF70697D701009387E5 +:10300000673E9C43B44F32471347F7FF758FB8CFE5 +:1030100097D701009387073D9C430547F8CF824728 +:10302000A1CB97D701009387E73B9C4391073E854F +:10303000EFD02FE20247FD576310F70297D7010048 +:103040009387473A9C439107BE8517D50100130526 +:103050006555EFD06FD519A897D70100938707560C +:103060009C430247BA973ECC6245893AEFD00FBAEB +:10307000FD3BF933924789CB97D701009387873679 +:103080009C43B84F924798C397D7010093878735E1 +:103090009C43F84F85476314F70002CE31A897D7B9 +:1030A0000100938727349C43B44F22471347F7FF0F +:1030B000758FB8CF85473ECE97D70100938787326B +:1030C0009C4323AE07046533F2473E85B250456109 +:1030D0008280797106D62AC62EC432C236C0854790 +:1030E0003ECEB24781E77370043001A0B2473ECCB8 +:1030F000813B824789C7E247B84F824798C3E2477E +:10310000FC4F3ECAE2470947F8CF1247914763EEAA +:10311000E70492471397270097D70100938707EF9B +:10312000BA97984397D70100938747EEBA9782875B +:10313000E247B84FA2475D8FE247B8CF3DA0E247D4 +:10314000BC4F13871700E247B8CF05A0E2472247DC +:10315000B8CF21A8524789476306F700E2472247C4 +:10316000B8CF21A002CE11A0010052478547631AB3 +:10317000F706E24791073E85EFD0AFCDE247D8573B +:1031800097D701009387C7439C4363F9E700E24761 +:10319000D85797D701009387A74298C3E247D857DB +:1031A000BA878A07BA978A0717D701001307472DEE +:1031B0003E97E2479107BE853A85EFD0EFBEE247E2 +:1031C0009C5781C77370043001A0E247D85797D746 +:1031D0000100938727219C43DC5763F4E700EFD07D +:1031E000EFA27131F2473E85B2504561828039715C +:1031F00006DE2ACE2ECC32CA36C83AC685473ED61F +:10320000F24781E77370043001A0F2473ED4EFD05B +:10321000CFADAA873ED2C24789C7A257B84FC2478F +:1032200098C3A257FC4F3ED0A2570947F8CF524748 +:10323000914763EEE704D2471397270097D7010021 +:10324000938707DEBA97984397D70100938747DDA6 +:10325000BA978287A257B84FE2475D8FA257B8CF7F +:103260003DA0A257BC4F13871700A257B8CF05A0A7 +:10327000A2576247B8CF21A8025789476306F700D3 +:10328000A2576247B8CF21A002D611A00100025771 +:103290008547631DF708A2579C5781C77370043098 +:1032A00001A097D70100938767339C43A1EBA257F6 +:1032B00091073E85EFD0EFB9A257D85797D70100B5 +:1032C000938707309C4363F9E700A257D85797D7F5 +:1032D00001009387E72E98C3A257D857BA878A0769 +:1032E000BA978A0717D70100130787193E97A25785 +:1032F0009107BE853A85EFD02FAB11A8A257E10701 +:10330000BE8517D5010013052527EFD0EFA9A257D9 +:10331000D85797D701009387E70C9C43DC5763F796 +:10332000E700B24781C7B247054798C392573E8529 +:10333000EFD0AF9AB2573E85F25021618280797109 +:1033400006D62AC62EC4B24781E77370043001A0A6 +:10335000B2473ECEEFD06F99AA873ECCF247FC4FE2 +:103360003ECAF2470947F8CFF247BC4F1387170010 +:10337000F247B8CF52478547631DF708F2479C577D +:1033800081C77370043001A097D701009387072588 +:103390009C43A1EBF24791073E85EFD08FABF247FC +:1033A000D85797D701009387A7219C4363F9E7007B +:1033B000F247D85797D701009387872098C3F247E1 +:1033C000D857BA878A07BA978A0717D70100130711 +:1033D000270B3E97F2479107BE853A85EFD0CF9CE9 +:1033E00011A8F247E107BE8517D501001305C518DE +:1033F000EFD08F9BF247D85797D70100938787FE6E +:103400009C43DC5763F7E700A24781C7A247054703 +:1034100098C3E2473E85EFD04F8C0100B250456122 +:103420008280797106D62AC6B2473ECCE24799E738 +:1034300097D70100938707FB9C4311A0E2473ECC3E +:103440000134E247F84F89476318F700E24723AE9B +:10345000070485473ECE11A002CE113CF2473E85BF +:10346000B25045618280011106CE02C61D2B97D74E +:1034700001009387E7199C439DC381480148814718 +:10348000094781461306004097D50100938585BA08 +:10349000170500001305051BEFE0AF852AC6B247EC +:1034A00081E77370043001A0B2473E85F2400561A8 +:1034B0008280397106DE2ACE2ECC32CA36C83AC690 +:1034C000E24799E302D62DA81305C002EF00302A87 +:1034D0002AD6B25795C7F121B257724798C3B2574F +:1034E000624798CFB2575247D8CFB257424798D386 +:1034F000B2573247D8D3B25791073E85EFD0CF8924 +:10350000E24781E77370043001A0B2573E85F25064 +:1035100021618280397106DE2ACE2ECC32CA36C8AD +:103520003AC602D6F24781E77370043001A097D7FC +:1035300001009387E70D9C43B5CBE2473ED0D247CD +:103540003ED2F2473ED46247954763C4E704EFF0AA +:10355000AFF22A8789476310F70297D70100938754 +:10356000270B9C43181081463246BA853E85EFD022 +:103570002FBF2AD625A897D70100938767099C43B8 +:10358000181081460146BA853E85EFD06FBD2AD618 +:1035900031A897D701009387A7079C43181081464D +:1035A0004246BA853E85EFD08FF22AD6B2573E8585 +:1035B000F2502161828001112AC6B2473ECEB24745 +:1035C00081E77370043001A0F2479C433E8505619A +:1035D0008280797106D62AC62EC497D701009387B8 +:1035E000A7029C43DC47DC473ECEF24791073E856D +:1035F000EFD02F86F247D84F8547631BF702F2477B +:10360000984FB247BA97B2462246BE857245B92254 +:103610002A878547631EF700014781463246814568 +:103620007245CD3D2ACCE24781E77370043001A09A +:10363000F247DC53724582970100B25045618280A7 +:10364000797106D62AC63C083E8541282ACEE24733 +:10365000BE85724519206922FDB7797106D62AC642 +:103660002EC4EFE06FF93C083E8545282ACEE2479C +:10367000B9EFA24799EB3247F24763E8E700EFE082 +:103680006FF9F2453245B137A9A0A24781CF97D74C +:1036900001009387A7F79C439C4393B7170093F7C8 +:1036A000F70F3EC497D70100938787F694433247BC +:1036B000F247B307F7402246BE853685EFD0FFDDDF +:1036C000EFE04FF5AA8791E7EFC05FD419A0EFE0D4 +:1036D0006FF40100B2504561828001112AC697D76C +:1036E0000100938767F29C439C4393B7170093F7BD +:1036F000F70F3E87B24798C3B2479C4391EB97D7E9 +:103700000100938767F09C43DC479C433ECE11A0A9 +:1037100002CEF2473E8505618280797106D62AC6BF +:10372000EFE01F842ACE97D701009387A7EE9C4332 +:1037300072476377F700692AB247054798C321A00B +:10374000B24723A0070097D701009387A7EC7247E1 +:1037500098C3F2473E85B25045618280797106D6A2 +:103760002AC62EC432C236C002CEB2472247D8C3C0 +:10377000B247324798CB2247924763E8E7021247A5 +:1037800082471D8FB2479C4F6365F70085473ECE49 +:1037900099A097D70100938767E79843B2479107AD +:1037A000BE853A85EFC05FE43DA01247824763794A +:1037B000F700224782476365F70085473ECE21A880 +:1037C00097D70100938747E49843B2479107BE8596 +:1037D0003A85EFC07FE1F2473E85B2504561828075 +:1037E000797106D6D9A0A24763C0070CC2473ECE66 +:1037F000F247DC4B91C7F24791073E85EFC07FE56A +:103800005C003E85193F2ACCA2472547636FF70825 +:103810001397270097D7010093874782BA97984359 +:1038200097D7010093878781BA9782873247F247FB +:103830009C4FBA973247BA866246BE857245393F79 +:103840002A8785476313F706F247DC537245829750 +:10385000F247D84F8547631AF7043247F2479C4F27 +:10386000BA97014781463E868145724565312ACA2D +:10387000D24785EF7370043001A03247F24798CFEA +:10388000F2479C4F81E77370043001A0F247984FD4 +:10389000E247BA97E2466246BE857245C13531A01D +:1038A0007245EF00C07F11A0010097D701009387F8 +:1038B00027D69C43380081460146BA853E85EFD025 +:1038C0006FD8AA87E39107F20100B2504561828068 +:1038D000797106D671A097D701009387E7D29C43F0 +:1038E000DC479C433ECC97D701009387E7D19C43AC +:1038F000DC47DC473ECAD24791073E85EFC07FD503 +:10390000D247DC5352458297D247D84F8547631937 +:10391000F704D2479C4F6247BA973EC84247E247F6 +:1039200063F4E702D2474247D8C3D247524798CB05 +:1039300097D70100938747CD9843D2479107BE851B +:103940003A85EFC07FCA29A8014781466246814572 +:103950005245C9362AC6B24781E77370043001A0C8 +:1039600097D70100938747CA9C439C43ADF797D7ED +:103970000100938767C99C433ECE97D70100938788 +:10398000E7C8984397D70100938707C898C397D78C +:1039900001009387A7C7724798C30100B2504561E1 +:1039A0008280411106C6EFF0AFC997D70100938717 +:1039B00027C69C43C9E717D50100130565C2EFC0B0 +:1039C0007FBA17D501001305E5C2EFC0BFB997D77D +:1039D0000100938767C317D70100130767C098C317 +:1039E00097D70100938787C217D70100130787C0B5 +:1039F00098C30146B1451145EFC0FFD52A8797D737 +:103A000001009387E7C098C397D70100938747C009 +:103A10009C4381E77370043001A097D7010093871E +:103A200027BF9C4389CF97D70100938767BE9C43EC +:103A300097C50100938505633E85EFD05F9CEFF04D +:103A40000FC30100B24041018280797106D62AC6B7 +:103A5000B2473ECEB24781E77370043001A0EFF069 +:103A60002FBEF247DC4BB337F00093F7F70F3ECC95 +:103A7000EFF0EFBFE2473E85B25045618280797139 +:103A800006D62AC6B2473ECEB24781E773700430ED +:103A900001A0EFF0EFBAF2479C533ECCEFF02FBD00 +:103AA000E2473E85B25045618280797106D62AC6CA +:103AB0002EC4B2473ECEB24781E77370043001A0F6 +:103AC000EFF00FB8F247224798D3EFF04FBA01005A +:103AD000B25045618280011106CE714549292AC63E +:103AE000B24789CBB24723A00700B24791073E8572 +:103AF000EFC05FA7B2473E85F24005618280397111 +:103B000006DE2AC62EC432C236C0B2473ED402D226 +:103B10001247B70700FFF98F81C77370043001A007 +:103B2000924781E77370043001A0EFF0EF94AA8709 +:103B300099E3824799E3854711A0814781E7737034 +:103B4000043001A0EFE04FABA2579C433ED0A2450A +:103B5000324585240257A2475D8F9247F98F12475D +:103B60006310F7020257A247D98F3ED6A257984357 +:103B7000924793C7F7FF7D8FA25798C302C025A035 +:103B8000824799CFA257938647001247B70700058F +:103B9000D98F0246BE853685EFE03F8902D621A047 +:103BA000A2579C433ED6EFE0EFA62ACE8247B1CB88 +:103BB000F24799E3EFC09F85EFF06FAF2AD63257F7 +:103BC000B7070002F98F85EBEFF08FA7A2579C4350 +:103BD0003ED632579247F98F1247631AF700A25721 +:103BE0009843924793C7F7FF7D8FA25798C3EFF092 +:103BF0000FA885473ED23257B7070001FD17F98F4E +:103C00003ED6B2573E85F250216182805D7186C6F4 +:103C10002ACE2ECC32CA36C83AC6F2473EDA02DC89 +:103C200002D8F24781E77370043001A06247B707FA +:103C300000FFF98F81C77370043001A0E24781E76C +:103C40007370043001A0EFF02F83AA8799E3B24785 +:103C500099E3854711A0814781E77370043001A083 +:103C6000EFE08F99D2579C433ED64246E24532550B +:103C700075242AD4A25799CFB2573EDE02C6D24746 +:103C8000A9CBD2579843E24793C7F7FF7D8FD2570E +:103C900098C381A0B24781E7B2573EDE1DA8D24744 +:103CA00091C76257B7070001D98F3EDCC24791C761 +:103CB0006257B7070004D98F3EDCD257938647007E +:103CC0006247E257D98F3246BE853685EFE0EFF581 +:103CD00002DEEFE02F942AD2B247B9CB925799E394 +:103CE000EFC0CFF2EFF0AF9C2ADE7257B7070002A9 +:103CF000F98F8DEBEFF0CF94D2579C433EDE4246D6 +:103D0000E2457255212CAA8799CBD24789CBD2574D +:103D10009843E24793C7F7FF7D8FD25798C3EFF0E0 +:103D20000F9502D87257B7070001FD17F98F3EDED5 +:103D3000F2573E85B64061618280797106D62AC607 +:103D40002EC4B2473ECEB24781E77370043001A063 +:103D50002247B70700FFF98F81C77370043001A0B5 +:103D6000EFF00F8EF2479C433ECCF2479843A247B8 +:103D700093C7F7FF7D8FF24798C3EFF04F8FE2476D +:103D80003E85B25045618280797106D62AC6B24717 +:103D90003ECEEFC08FF5AA873ECCF2479C433ECA89 +:103DA000E2473E85EFC06FF3D2473E85B250456192 +:103DB00082805D7186C62AC62EC402DCB2473ED818 +:103DC00002DAB24781E77370043001A02247B707D7 +:103DD00000FFF98F81C77370043001A0C2579107AB +:103DE0003ED6B257A1073ED4EFE00F81B257DC4771 +:103DF0003EDEC2579843A2475D8FC25798C3BDA805 +:103E0000F257DC433ED2F2579C433ED002DA0257CF +:103E1000B70700FFF98F3ECE0257B7070001FD1725 +:103E2000F98F3ED07247B7070004F98F89EBC2576C +:103E300098438257F98F89CF85473EDA11A8C25738 +:103E400098438257F98F02576314F70085473EDA8B +:103E5000D2579DC37247B7070001F98F89C76257D0 +:103E60008257D98F3EDCC2579843B7070002D98FDB +:103E7000BE857255EFE00FF992573EDE7257A2579A +:103E8000E310F7F8C2579843E25793C7F7FF7D8FC7 +:103E9000C25798C3EFD01FF8C2579C433E85B64027 +:103EA00061618280797106D62AC6B2473ECEF2475A +:103EB00091073ECCEFD05FF40DA0E247D847E24730 +:103EC000A1076315F7007370043001A0E247DC47D7 +:103ED000B70500023E85EFE0EFF2E2479C43F1FFB9 +:103EE0007245752AEFD01FF30100B2504561828000 +:103EF000011106CE2AC62EC4A24532455D3D010001 +:103F0000F24005618280011106CE2AC62EC4A24568 +:103F1000324525350100F2400561828001112AC633 +:103F20002EC432C202CE924781EB3247A247F98FAC +:103F300081CF85473ECE09A83247A247F98F224755 +:103F40006314F70085473ECEF2473E8505618280C7 +:103F500001112AC6B2473ECCB24799E302CE21A056 +:103F6000E2479C4F3ECEF2473E8505618280397123 +:103F700006DE2AC602D2EFD03FE8975703009387A8 +:103F8000A76A9C4381EB5522975703009387C76923 +:103F9000054798C3B24789CFA1473E87B247BA9732 +:103FA0003EC6B2478D8B89C7B247F19B91073EC68B +:103FB000B247F9CB3247E567ED1763E7E70C975750 +:103FC0000300938767653ED4975703009387C764C0 +:103FD0009C433ED631A0B2573ED4B2579C433ED606 +:103FE000B257DC43324763F5E700B2579C43E5F72D +:103FF0003257975703009387A7626307F708A257C2 +:104000009C432147BA973ED2B2579843A25798C3D0 +:10401000B257D843B247B307F74021470607637842 +:10402000F7043257B247BA973ECEB257D843B24799 +:104030001D8FF247D8C3B2573247D8C3F247DC438B +:104040003ECC975703009387275D3ED021A082572F +:104050009C433ED082579C43DC436247E3E9E7FE42 +:1040600082579843F24798C38257724798C397C7BD +:104070000100938767389843B257DC431D8F97C779 +:1040800001009387673798C3EFD0DFD8925799E341 +:10409000EF00303292573E85F25021618280797173 +:1040A00006D62AC6B2473ECCB247BDC3A147B30726 +:1040B000F0406247BA973ECCE2473ECAEFD0DFD32A +:1040C000D247DC433EC8975703009387E7543ECE60 +:1040D00021A0F2479C433ECEF2479C43DC4342473B +:1040E000E3E9E7FEF2479843D24798C3F2475247C5 +:1040F00098C3D247D84397C701009387E72F9C43C3 +:104100003E9797C701009387272F98C3EFD09FD082 +:104110000100B2504561828097C701009387C72D87 +:104120009C433E85828001008280411197C7010037 +:104130009387C74EF19B3EC6975703009387C74DA1 +:10414000324798C3975703009387074D23A2070070 +:10415000975703009387C74C65677117D8C3975764 +:1041600003009387E74B23A00700B2473EC4A24752 +:1041700065677117D8C3A247175703001307474A4B +:1041800098C301004101828001112AC62EC432C2A7 +:10419000A2473ECEB2473ECC3247A247D98F8D8B45 +:1041A000A1E319A8F2479843E24798C3E247910771 +:1041B0003ECCF24791073ECE9247F5173247BA9769 +:1041C0006247E361F7FE29A87247930717003ECEC6 +:1041D000E2479386170036CC034707002380E700A9 +:1041E00032479247BA976247E360F7FEB2473E858F +:1041F0000561828001112AC62EC432C2324792471D +:10420000D98F8D8B9DEFA24793F7F70F3ECAD24708 +:10421000A2075247D98F3ECAD247C2075247D98F09 +:104220003ECAB2473ECE39A0F247138747003ACE86 +:10423000524798C332479247BA977247E366F7FEF0 +:104240001DA0B2473ECC11A8E247138717003ACC15 +:1042500022471377F70F2380E70032479247BA9738 +:104260006247E363F7FEB2473E8505618280011134 +:104270002AC6B2473ECE21A0F24785073ECEF2477E +:1042800083C70700F5FB7247B247B307F7403E8587 +:104290000561828001112AC62EC4B2471387170018 +:1042A0003AC683C70700A30FF100A2471387170080 +:1042B0003AC483C70700230FF1008347F10199C770 +:1042C0000347F1018347E101E309F7FC0347F101EB +:1042D0008347E101B307F7403E8505618280011104 +:1042E0002AC62EC4B2473ECE0100224793071700CC +:1042F0003EC4F2479386170036CE0347070023805B +:10430000E70083C70700F5F3B2473E850561828069 +:1043100001112AC602CE02CC21A0B24785073EC6B3 +:10432000B24703C7070093070002E308F7FEB2474E +:1043300003C707009307D0026309F700B24703C71A +:1043400007009307B0026311F704B24783C7070061 +:10435000938737FD93B7170093F7F70F3ECCB2471B +:1043600085073EC615A07247BA878A07BA9786079F +:104370003ECEB247138717003AC683C7070093871C +:1043800007FD7247BA973ECEB24783C70700E1FFE9 +:10439000E24789C7F247B307F04011A0F2473E85D4 +:1043A0000561828001112AC69757030093878729E8 +:1043B0009C4393861700175703001307A72814C3BD +:1043C00032471377F70F975603009386A62BB697BD +:1043D0002380E7003247A947630BF7009757030094 +:1043E000938747269843930700046315F70497576C +:1043F0000300938727293ECE05A8F24713871700AD +:104400003ACE03C70700B70710F0938707F098C3A9 +:1044100097570300938707239C431387F7FF97570A +:1044200003009387272298C3975703009387872118 +:104430009C43E1F781473E85056182804D712326CB +:104440001114232481142322911423202115232EB7 +:104450003113232C4113232A51132ACE2ECC32C8D8 +:1044600036CA3AC63EC442C223260112B2473E8A29 +:10447000814AC24752485286D6863E85C285EF1091 +:10448000A03AAA872E883E86C2868327C112138748 +:1044900017002326E11232878A07141AB69723AE33 +:1044A000E7EEB2473E848144D247268763E8E702BD +:1044B000D24726876396E700C247228763E0E70278 +:1044C000B2473E8981494A86CE864245D245EF00B1 +:1044D000F057AA872E883EC842CA49BF010029A0CA +:1044E000F247E24512458297A2471387F7FF3AC485 +:1044F0000327C112E346F7FE25A88327C1128A07C6 +:10450000181ABA9703A7C7EF8327C1128A07141A8C +:10451000B69783A6C7EFA54763F5D7009307700545 +:1045200019A093070003BA977247E2453E850297A8 +:104530008327C1121387F7FF2326E112E34FF0FA16 +:1045400001008320C114032481148324411403290E +:1045500001148329C113032A8113832A4113716132 +:10456000828041112AC62EC4A2460547635ED70049 +:10457000B2479C439D07E19B93868700324714C353 +:1045800003A847009C4335A0224719CB3247184364 +:1045900013064700B24690C21843BA87014811A8D3 +:1045A0003247184313064700B24690C21843BA87F1 +:1045B00001483E85C2854101828041112AC62EC430 +:1045C000A2460547635ED700B2479C439D07E19B27 +:1045D00093868700324714C303A847009C4305A86D +:1045E000224701CF3247184313064700B24690C214 +:1045F0001843BA877D873A8819A8324718431306AB +:104600004700B24690C21843BA877D873A883E85F4 +:10461000C28541018280397106DE22DC26DA2AC693 +:104620002EC432C236C011A86307042492478507FE +:104630003EC2B247A24522858297924783C70700B0 +:104640003E8493075002E311F4FE924785073EC271 +:1046500092473EC893070002A30BF100FD573ECEE0 +:10466000FD573ECC02D09247138717003AC283C74A +:1046700007003E849307D4FD130750056364F71EBB +:104680001397270097C701009387C79EBA9798434F +:1046900097C701009387079EBA9782879307D00236 +:1046A000A30BF100C9B793070003A30BF10065BF8B +:1046B00002CC6247BA878A07BA978607A297938780 +:1046C00007FD3ECC924783C707003E849307F00264 +:1046D00063D687029307900363C2870292478507D8 +:1046E0003EC2C1BF8247138747003AC09C433ECCBD +:1046F00039A0F247E3D907F602CEB5B70100F24779 +:10470000E3D307F6E2473ECEFD573ECCA9BF825722 +:1047100085073ED089BF8247138747003AC09C4334 +:104720003247A2453E850297B1A2824713874700D0 +:104730003AC0844389E497C4010093842493F247E8 +:104740006357F004034771019307D0026301F70434 +:10475000E247BE852685EF00302D2A87F247998FE4 +:104760003ECE11A8834771013247A2453E8502978C +:10477000F247FD173ECEF247E346F0FE09A8B247E6 +:10478000A245228582978504F247FD173ECE83C756 +:1047900004003E841DC0E247E3C307FEE247FD1765 +:1047A0003ECCE247E3DD07FC09A8B247A24513056A +:1047B00000028297F247FD173ECEF247E347F0FE34 +:1047C00055A88A8782553E85CD3BAA872E883ED440 +:1047D00042D6A2573258C28763D90702B247A245D0 +:1047E0001305D0028297A255325681470148B386FD +:1047F000B740368533B5A7003307C840B307A74095 +:104800003E87B6873A883ED442D6A9473ED21DA8F5 +:10481000A9473ED215A0A1473ED239A885473ED030 +:10482000B247A245130500038297B247A24513057C +:1048300080078297C1473ED28A8782553E851533CD +:104840002AD42ED61257834771013E88F24722564A +:10485000B256A2453245DD3631A8B247A24522857F +:10486000829709A8B247A245130550028297C24712 +:104870003EC20100D9B30100F2506254D25421610A +:10488000828041112AC6B70710F0938707F232479A +:1048900098C301A05D7106D62AC62EDA32DC36DE58 +:1048A000BAC0BEC2C2C4C6C69C0891173ECEF2476B +:1048B000BE8632468145170500001305E5AEA13BD3 +:1048C00081473E85B2506161828001112AC62EC4A3 +:1048D0001EC2A2473ECEF2479C4332471377F70FE2 +:1048E0002380E700F2479C4313871700F24798C3E1 +:1048F0000100056182801D7106DE2AC62EC4B2C485 +:10490000B6C6BAC8BECAC2CCC6CE9C103ED25C08DF +:104910004C0817060000130686FB7D779346F7FFC9 +:104920000565130505803388A5003378E800136812 +:10493000783B23A007013295698F13677733D8C37B +:1049400033F7D5009315470137870300130737392D +:104950004D8F98C73377D60093164701370703006A +:1049600013077706558FD8C70F100000B2473ED601 +:104970009C10A1173ED422575C083E857C00BA8665 +:104980002246BE854939B24723800700B2473E8799 +:10499000B257B307F7403E85F2502561828041113E +:1049A000370510F0130545F408412AC6370510F005 +:1049B000130505F408412AC4370510F0130545F422 +:1049C0000841B248E39EA8FC32452A83814313176D +:1049D000030081462245AA850146B3E7B600336845 +:1049E000C700370710F0130587F49305007D0146D3 +:1049F000B386B700B688B3B8F8003307C800B387EA +:104A0000E8003E87B6873A881CC1232205010100D1 +:104A100041018280411106C659379307000873A0EF +:104A200047300100B24041018280011106CE2AC602 +:104A300017B5010013058579EFF0DFE50100F240BD +:104A400005618280011106CE2AC617B50100130543 +:104A50006578EFF03FE40100F240056182807971F2 +:104A600006D62AC602CE29A00100F24785073ECE0F +:104A70007247856793877738E3D8E7FE17B501005B +:104A80001305C575EFF01FE1F1BF411106C62D2AD0 +:104A90000100B24041018280011106CE02C6EF0042 +:104AA000C0702A878547630CF70017B5010013050E +:104AB0006573EFF03FDEB24793E727003EC6B2478B +:104AC0003E85F24005618280011106CE02C6753F27 +:104AD0001707000013076704814605469305400643 +:104AE00017B501001305C571EFE0BF9C2AC6B24798 +:104AF00099CBEFD0EFC6AA87014781463E86854510 +:104B00003245EFE03FA102C4EFD02FA581473E859B +:104B1000F24005618280797106D62AC697C70100E6 +:104B20009387878C9C431387470697C70100938719 +:104B3000A78B98C397C701009387478B9C43138724 +:104B4000470697C701009387678A98C397C70100F4 +:104B50009387878998438567938777BB63D5E704F5 +:104B600097C70100938747889843FD7793878744C9 +:104B70003E9797C701009387278798C3313F2ACE76 +:104B8000F24799EB97C70100938747869843896757 +:104B90009387773263DBE700F2473E85EFF07FCE05 +:104BA000EFD08FA321A0010011A00100B2504561F8 +:104BB00082807370043001A00100828041112AC6F6 +:104BC0002EC47370043001A0411106C60146914500 +:104BD0001545EFB05FB82A8797570300938787AED4 +:104BE00098C3975703009387E7AD9C4397B501009F +:104BF0009385C5613E85EFC09F808148014881470C +:104C00000D4781461306004097B501009385056165 +:104C1000170500001305C503EFC0BF8D814801488B +:104C200097570300938747AA094781461306004018 +:104C300097B501009385055F1705000013058542B0 +:104C4000EFC03F8B0100B24041018280397106DE26 +:104C50002AC602D041A082572947B317F7003ED693 +:104C6000EFE00F9EEFD0CFAF2AD497570300938782 +:104C700067A59C43780881463256BA853E85EFB0D9 +:104C80001FF2AA8799C7975703009387A7A40547E0 +:104C900098C3EFD0EFAC2A87A257B307F7403ED2B4 +:104CA000EFE0EF9C1257B2576378F7009757030075 +:104CB000938747A2054798C3B257B107125763F8C5 +:104CC000E700975703009387E7A0054798C38257EB +:104CD00085073ED002579147E3DFE7F602D015A8DB +:104CE000975703009387079E9C43181081460146FF +:104CF000BA853E85EFB03FDD2A8785476308F70018 +:104D0000975703009387079D054798C382578507E8 +:104D10003ED002579147E3D5E7FC02D041A082572D +:104D20002947B317F7003ED6EFE08F91EFD04FA39E +:104D30002AD4975703009387E7989C43181081461D +:104D40003256BA853E85EFB01FD8AA8799C79757C4 +:104D5000030093872798054798C3EFD06FA02A8751 +:104D6000A257B307F7403ED2EFE06F901257B25709 +:104D70006378F700975703009387C795054798C353 +:104D8000B257B107125763F8E700975703009387AC +:104D90006794054798C3825785073ED002579147CD +:104DA000E3DFE7F6975703009387079323A00700F5 +:104DB00097570300938747919C433E85EFC07FE35D +:104DC00021A05145EFC01FA6975703009387C790B6 +:104DD000984393075005E316F7FE5145EFC09FA493 +:104DE000975703009387478F23A0070002D0C1A0E5 +:104DF000975703009387078D9C43780881460146A7 +:104E0000BA853E85EFB0BFD92A8785476308F7008A +:104E1000975703009387078C054798C3975703005C +:104E20009387478A9C43181081460146BA853E8580 +:104E3000EFB07FC92A8785476308F70097570300BB +:104E400093874789054798C3975703009387C78877 +:104E50009843930750056318F7009757030093870B +:104E60006787054798C3975703009387E7859C4357 +:104E700095453E85EFC07FB1975703009387C7855F +:104E80009843930750056318F700975703009387DB +:104E90006784054798C3975703009387E7829C432D +:104EA00089453E85EFC07FAE825785073ED00257C9 +:104EB0009147E3DFE7F221A05145EFC0BF96975736 +:104EC000030093876781984393075005E316F7FE25 +:104ED0005145EFC03F95974703009387E77F23A095 +:104EE000070002D015A8974703009387A77D9C432E +:104EF000780881460146BA853E85EFB05FCA2A87A9 +:104F000085476308F700974703009387A77C054709 +:104F100098C3825785073ED002579147E3D5E7FCF7 +:104F2000974703009387477A9C433E85EFC07FCC29 +:104F300021A05145EFC01F8F974703009387C77982 +:104F4000984393075005E316F7FE5145EFC09F8D38 +:104F5000974703009387477823A0070002D0C1A09A +:104F600097470300938707769C43181081460146B4 +:104F7000BA853E85EFB03FB52A8785476308F700BD +:104F80009747030093870775054798C39747030022 +:104F9000938747739C43780881460146BA853E85CE +:104FA000EFB0FFBF2A8785476308F70097470300E4 +:104FB00093874772054798C3974703009387C77144 +:104FC0009843930750056318F700974703009387AA +:104FD0006770054798C3974703009387E76E9C4324 +:104FE00095453E85EFC07F9A974703009387C76E2C +:104FF0009843930750056318F7009747030093877A +:10500000676D054798C3974703009387E76B9C43F9 +:1050100089453E85EFC07F97825785073ED002576E +:105020009147E3DFE7F221A05145EFC0AFFF97477B +:1050300003009387676A984393075005E316F7FECA +:105040005145EFC02FFE97470300938727689C4385 +:1050500013871700974703009387476798C3D5BE08 +:10506000797106D62AC60145EFC09FA6EFD05FDD55 +:10507000EFC01FEF2ACE02CA974703009387C76588 +:105080001307500598C3974703009387A7639C4372 +:10509000580881461306F00ABA853E85EFB0BFA2D4 +:1050A000AA8799C7974703009387C762054798C3A4 +:1050B000EFC01FEB2A87F247B307F7403ECCEFD093 +:1050C0001FDB62479307E00A63E8E70097470300A6 +:1050D00093874760054798C362479307B00B63F80F +:1050E000E700974703009387E75E054798C3974714 +:1050F00003009387675E1307500598C30145EFC00F +:105100003F9DEFD0FFD3EFC0BFE52ACE9747030006 +:105110009387875C1307500598C3974703009387CD +:10512000675A9C43580881461306F00ABA853E85A3 +:10513000EFB0FFA6AA8799C79747030093878759BF +:10514000054798C3EFC0DFE12A87F247B307F7406E +:105150003ECCEFD0DFD162479307E00A63E8E70077 +:105160009747030093870757054798C362479307FC +:10517000B00B63F8E700974703009387A7550547EF +:1051800098C397470300938727551307500598C383 +:10519000974703009387C7539C431387170097478C +:1051A00003009387E75298C37DBD411185473EC6F2 +:1051B0009747030093878751984397470300938746 +:1051C000E7519C436313F70002C697470300938798 +:1051D0002750984397470300938787509C43631356 +:1051E000F70002C6974703009387C74E9843854749 +:1051F0006313F70002C6974703009387674D9843F0 +:10520000974703009387C74D98C397470300938739 +:10521000E74B9843974703009387474C98C3B2479F +:105220003E85410182800000B305B500930705006B +:105230006386B70003C70700631607003385A740DE +:1052400067800000938717006FF09FFE130101FD38 +:1052500023229102232A51012326110223248102B1 +:1052600023202103232E3101232C41012328610116 +:105270002326710123248101232291012320A101EE +:10528000930A050093840500639E06381304060004 +:105290009309050017B90100130949F963F8C5120C +:1052A000B7070100138B05006378F6101307F00FA2 +:1052B0003337C70013173700B357E6003309F90037 +:1052C000834609003387E60093060002B386E64072 +:1052D000638C0600B394D40033D7EA003314D600AD +:1052E000336B9700B399DA00935A040193850A004F +:1052F00013050B00EF00902A1309050093850A009F +:10530000931B040113050B00EF00D02493DB0B016A +:10531000930405009305050013850B00EF00D020D2 +:105320001319090193D70901B367F900138A04001F +:1053300063FEA700B3878700138AF4FF63E8870042 +:1053400063F6A700138AE4FFB3878700B384A740FE +:1053500093850A0013850400EF005024130905000B +:1053600093850A0013850400EF00D01E939909016C +:1053700093040500930505001319090113850B001B +:1053800093D90901EF00501AB3693901138604005B +:1053900063FCA900B30934011386F4FF63E68900B6 +:1053A00063F4A9001386E4FF13140A013364C400F4 +:1053B000130A00006F000013B70700011307000174 +:1053C000E36CF6EE130780016FF01FEF138A0600FF +:1053D000631A06009305000013051000EF009017F4 +:1053E00013040500B7070100637EF4129307F00F62 +:1053F00063F48700130A8000B35744013309F900AE +:10540000034709009306000233074701B386E640CD +:1054100063940612B3848440130A1000135B0401E2 +:1054200093050B0013850400EF00501713090500C6 +:1054300093050B0013850400931B0401EF009011EA +:1054400093DB0B01930405009305050013850B0006 +:10545000EF00900D1319090193D70901B367F90003 +:10546000938A040063FEA700B3878700938AF4FF42 +:1054700063E8870063F6A700938AE4FFB387870099 +:10548000B384A74093050B0013850400EF001011AF +:105490001309050093050B0013850400EF00900B22 +:1054A0009399090193040500930505001319090157 +:1054B00013850B0093D90901EF001007B369390177 +:1054C0001386040063FCA900B30934011386F4FFBA +:1054D00063E6890063F4A9001386E4FF13940A01CC +:1054E0003364C4001305040093050A008320C1023D +:1054F0000324810283244102032901028329C1017B +:10550000032A8101832A4101032B0101832BC1005E +:10551000032C8100832C4100032D010013010103A2 +:1055200067800000B7070001130A0001E366F4EC8E +:10553000130A80016FF05FEC3314D40033DAE40017 +:10554000B399DA0033D7EA00935A0401B394D40034 +:1055500093850A0013050A00336B9700EF001004CF +:105560001309050093850A0013050A00931B040123 +:10557000EF00407E93DB0B019304050093050500CB +:1055800013850B00EF00407A1319090113570B0123 +:105590003367E900138A0400637EA700330787009E +:1055A000138AF4FF636887006376A700138AE4FF19 +:1055B00033078700B304A74093850A0013850400CE +:1055C000EF00C07D1309050093850A0013850400D0 +:1055D000EF004078930405009305050013850B0048 +:1055E000EF00807413170B011357070113190901FA +:1055F000B367E9001387040063FEA700B387870041 +:105600001387F4FF63E8870063F6A7001387E4FFBE +:10561000B3878700131A0A01B384A740336AEA00EC +:105620006FF0DFDF63ECD51EB707010063F4F6040B +:105630001307F00FB335D7009395350033D7B60075 +:1056400097B70100938787BEB387E70003C70700BA +:10565000130A00023307B700330AEA4063160A024E +:1056600013041000E3E096E833B6CA0013441600B2 +:105670006FF05FE7B707000193050001E3E0F6FC78 +:10568000930580016FF09FFBB35CE600B396460183 +:10569000B3ECDC0033D4E40093DB0C01B39744019A +:1056A00033D7EA0093850B0013050400336BF70032 +:1056B000B3194601EF00806E1309050093850B00B6 +:1056C00013050400139C0C01EF00C068135C0C016F +:1056D000930405009305050013050C00EF00C0645A +:1056E0001319090113570B013367E90013840400F0 +:1056F000637EA700330797011384F4FF6368970163 +:105700006376A7001384E4FF33079701B304A7402F +:1057100093850B0013850400EF0040681309050012 +:1057200093850B0013850400EF00C062930405000D +:105730009305050013050C00EF00005F93170B01A4 +:105740001319090193D70701B367F9001386040001 +:1057500063FEA700B38797011386F4FF63E8970100 +:1057600063F6A7001386E4FFB387970113140401BF +:10577000B70B01003364C4001389FBFF337D2401A0 +:1057800033F92901B384A7409305090013050D00DF +:10579000EF008059935C040193050900130B050089 +:1057A00013850C00EF00405893D90901130C050034 +:1057B0009385090013850C00EF00005713090500BD +:1057C0009385090013050D00EF0000563305850190 +:1057D00093570B013385A700637485013309790161 +:1057E00093570501B387270163E6F402E392F4BC03 +:1057F000B70701009387F7FF3375F500131505010F +:10580000337BFB0033964A0133056501130A000020 +:10581000E37AA6CC1304F4FF6FF09FB9130A0000DB +:10582000130400006FF01FCC130101FB232481043B +:1058300023229104232E31032322910323261104D2 +:1058400023202105232C4103232A5103232861030C +:1058500023267103232481032320A103232EB101D6 +:10586000930C05009389050013040500938405003B +:10587000639E062613090600138A060097BA0100E4 +:10588000938ACA9A63F4C514B70701006376F612C7 +:105890009307F00F63F4C700130A8000B357460163 +:1058A000B38AFA0003C70A00130500023307470151 +:1058B000330AE540630C0A00B395490133D7EC0085 +:1058C00033194601B364B70033944C01935A09016C +:1058D00093850A0013850400EF00404C93090500EE +:1058E00093850A00131B090113850400EF0080460D +:1058F000135B0B019305050013050B00EF00C0427D +:105900009399090193570401B3E7F90063FAA700DB +:10591000B387270163E6270163F4A700B387270154 +:10592000B384A74093850A0013850400EF00004765 +:105930009309050093850A0013850400EF00804158 +:1059400013140401930505009399090113050B0035 +:1059500013540401EF00403D33E48900637AA4004E +:1059600033042401636624016374A4003304240116 +:105970003304A44033554401930500008320C1043F +:105980000324810483244104032901048329C103DE +:10599000032A8103832A4103032B0103832BC102C2 +:1059A000032C8102832C4102032D0102832DC101AE +:1059B0001301010567800000B7070001130A000109 +:1059C000E36EF6EC130A80016FF05FED631A0600D8 +:1059D0009305000013051000EF00C0371309050000 +:1059E000B7070100637AF90E9307F00F63F42701FC +:1059F000130A8000B3574901B38AFA0003C70A00AB +:105A000013050002B384294133074701330AE540F7 +:105A1000E30E0AEA33194901B3DAE900B395490103 +:105A200033D7EC0093540901336BB70013850A0098 +:105A300093850400EF0080369309050093850400E8 +:105A4000931B090113850A00EF00C03093DB0B01A3 +:105A50009305050013850B00EF00002D93990901B4 +:105A600093570B01B3E7F90033944C0163FAA70095 +:105A7000B387270163E6270163F4A700B3872701F3 +:105A8000B38AA7409385040013850A00EF00003114 +:105A9000930905009385040013850A00EF00802B0D +:105AA0009305050013850B00EF00002893150B01EB +:105AB0009399090193D50501B3E5B90063FAA500EF +:105AC000B385250163E6250163F4A500B3852501AF +:105AD000B384A5406FF09FDFB7070001130A0001F0 +:105AE000E36AF9F0130A80016FF0DFF0E3E8D5E82C +:105AF000B707010063FCF604930BF00F33B5DB002E +:105B00001315350033D7A60097A7010093870772B6 +:105B1000B387E70083CB070093050002B38BAB008C +:105B2000338B7541631E0B0263E4360163EACC00DC +:105B30003384CC40B386D94033B58C00B384A640BF +:105B400013050400938504006FF05FE3B7070001BD +:105B500013050001E3E8F6FA130580016FF09FFAE0 +:105B6000B3966601335D7601336DDD0033D4790180 +:105B7000B395690133DC7C0193540D01336CBC0097 +:105B80001305040093850400B31A6601EF00002199 +:105B9000130A0500938504001305040033996C0172 +:105BA000931C0D01EF00001B93DC0C011304050096 +:105BB0009305050013850C00EF000017131A0A0166 +:105BC00013570C013367EA00130A0400637EA70031 +:105BD0003307A701130AF4FF6368A7016376A700E0 +:105BE000130AE4FF3307A701B309A7409385040014 +:105BF00013850900EF00801A938504001304050043 +:105C000013850900EF0000159305050093040500B6 +:105C100013850C00EF00401193150C0113140401BF +:105C200093D50501B365B4001387040063FEA50096 +:105C3000B385A5011387F4FF63E8A50163F6A5000A +:105C40001387E4FFB385A501131A0A01B70C0100FD +:105C5000336AEA001384FCFFB3778A0033F48A00C6 +:105C6000B384A54013850700930504002326F100A3 +:105C7000135A0A01EF00400B930905009305040035 +:105C800013050A00EF00400A13DC0A01930D05001A +:105C900093050C0013050A00EF0000098327C100DB +:105CA000130A050093050C0013850700EF00C007D9 +:105CB0003305B50113D709013307A7006374B70192 +:105CC000330A9A01B70701009387F7FF935507013D +:105CD0003377F70013170701B3F7F900B3854501D0 +:105CE000B307F70063E6B400639EB400637CF90079 +:105CF00033865741B3B7C700B385A541B385F54097 +:105D000093070600B307F9403339F900B385B4406F +:105D1000B385254133947501B3D767013365F4002A +:105D2000B3D565016FF09FC513060500130500008C +:105D300093F61500638406003305C50093D515005E +:105D400013161600E39605FE678000006340050603 +:105D500063C6050613860500930505001305F0FFCD +:105D6000630C060293061000637AB6006358C00005 +:105D70001316160093961600E36AB6FE130500008C +:105D800063E6C500B385C5403365D50093D61600DC +:105D900013561600E39606FE67800000938200000B +:105DA000EFF05FFB13850500678002003305A0401C +:105DB00063D80500B305B0406FF0DFF9B305B0401C +:105DC00093820000EFF01FF93305A04067800200C6 +:105DD0009382000063CA0500634C0500EFF09FF753 +:105DE0001385050067800200B305B040E35805FE47 +:105DF0003305A040EFF01FF63305B0406780020086 +:02000004800278 +:1000000049444C45000000006031FEFF2831FEFFEE +:100010003631FEFF4431FEFF4C31FEFF7032FEFFF1 +:100020003832FEFF4632FEFF5432FEFF5C32FEFFE6 +:10003000546D722053766300F437FEFFF437FEFFF1 +:10004000F437FEFF7238FEFF4238FEFF6838FEFFCD +:10005000F437FEFFF437FEFF7238FEFF4238FEFF32 +:10006000546D725100000000286E756C6C29000000 +:10007000F645FEFFF447FEFFEA47FEFFF447FEFFAA +:10008000F447FEFFF447FEFFF447FEFF7446FEFF11 +:10009000F447FEFFF447FEFF2C46FEFF8246FEFFBC +:1000A000F447FEFF3646FEFF4046FEFF4046FEFF99 +:1000B0004046FEFF4046FEFF4046FEFF4046FEFF34 +:1000C0004046FEFF4046FEFF4046FEFFF447FEFF6F +:1000D000F447FEFFF447FEFFF447FEFFF447FEFF40 +:1000E000F447FEFFF447FEFFF447FEFFF447FEFF30 +:1000F000F447FEFFF447FEFFF447FEFFF447FEFF20 +:10010000F447FEFFF447FEFFF447FEFFF447FEFF0F +:10011000F447FEFFF447FEFFF447FEFFF447FEFFFF +:10012000F447FEFFF447FEFFF447FEFFF447FEFFEF +:10013000F447FEFFF447FEFFF447FEFFF447FEFFDF +:10014000F447FEFFF447FEFFF447FEFFF447FEFFCF +:10015000F447FEFFF447FEFFF447FEFFF447FEFFBF +:10016000F447FEFFF447FEFFF447FEFFF447FEFFAF +:10017000A646FEFF5247FEFFF447FEFFF447FEFF90 +:10018000F447FEFFF447FEFFF447FEFFF447FEFF8F +:10019000F447FEFF9E46FEFFF447FEFFF447FEFFD6 +:1001A000A647FEFFAC47FEFFF447FEFFF447FEFF05 +:1001B000BA46FEFFF447FEFFA047FEFFF447FEFFEE +:1001C000F447FEFFC447FEFF6D69616F750A0000CA +:1001D0006D69616F75320A0068756E6772790A0021 +:1001E0004572726F7220696E20416C74426C6F634D +:1001F0006B207461736B73200D0A000043686563A4 +:100200006B54696D65720000416C74426C6F636B76 +:100210005175657565000000464254657374310080 +:100220004642546573743200000102020303030363 +:100230000404040404040404050505050505050576 +:100240000505050505050505060606060606060656 +:10025000060606060606060606060606060606063E +:100260000606060606060606070707070707070726 +:10027000070707070707070707070707070707070E +:1002800007070707070707070707070707070707FE +:1002900007070707070707070707070707070707EE +:1002A00007070707070707070808080808080808D6 +:1002B00008080808080808080808080808080808BE +:1002C00008080808080808080808080808080808AE +:1002D000080808080808080808080808080808089E +:1002E000080808080808080808080808080808088E +:1002F000080808080808080808080808080808087E +:10030000080808080808080808080808080808086D +:10031000080808080808080808080808080808085D +:08032000080808080808080895 +:100328001000000000000000017A5200017C010169 +:100338001B0D02004C00000018000000084FFEFFD3 +:10034800DC05000000440E307089039507810188A0 +:100358000292049305940696089709980A990B9AAD +:100368000C0370020AC144C844C944D244D344D4DB +:1003780044D544D644D744D844D944DA440E00443A +:100388000B00000050000000680000009454FEFFBD +:100398000005000000440E507488028903930599F3 +:1003A8000B810192049406950796089709980A9A72 +:1003B8000C9B0D0320010AC144C844C944D244D34C +:1003C80044D444D544D644D744D844D944DA44DB49 +:0803D800440E00440B0000007C +:1003EC00AAAAAAAA08000000FC8F010009000000BC +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/AltBlock_rv32ic_O3.hex b/VexRiscv/src/test/resources/freertos/AltBlock_rv32ic_O3.hex new file mode 100644 index 0000000..9ef9edf --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/AltBlock_rv32ic_O3.hex @@ -0,0 +1,1762 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900021D3170502001305E556979503009385B4 +:1000A000257E01461122170502001305E548970534 +:1000B00002009385A5500146C52817A50300130526 +:1000C000657C97B503009385A57B37B6ABAB13066C +:1000D000B6BAD92817B103001301C17AEF60E075F1 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2823323A02200F32210340B +:1002400096DEEF00002B170102000321213202414C +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E23523A0120023A29D +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40104D17010200032152 +:10033000E1230241F65273901234B72200009382F7 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A22783A0A4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2821123A0220086DEEF20F1 +:10046000405417010200032161100241F6527390BB +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF40702DEF10703001E58B +:10050000B24041018280B24041016F20804993078F +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30904678 +:1005B0008280411122C406C62A8426C2EF20103848 +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF20207E6DD5EF20101E3C44FD17A0 +:1005F0003CC43C44E344F0FEFD573CC4EF2050367D +:10060000EF20D0337C40635BF0021C4885CB930421 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF20E0796DD5EF20D019C1 +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20903129CD0111E2 +:1006500022CC2A8406CE26CA4AC84EC62E89EF204E +:10066000F02D24404C5C832904002685EF50907EB9 +:100670007D57232C0402B3079540CE974E9578C042 +:1006800048C0232434015CC438C4631109021C48E7 +:100690008DE7EF20F02CF2406244D2444249B24947 +:1006A0000545056182807370043001A013050401C3 +:1006B000B93D13054402A13DE9BF13050401EF2034 +:1006C00060708547E317F5FC9133E1B701E573707E +:1006D000043001A0011126CA4AC84EC606CE22CC5B +:1006E000AA842E89B289A5C9EF50D0761305550585 +:1006F000EF30101A2A8435C5930745051CC144DC28 +:1007000023202405EF20902324404C5C032904007F +:100710002685EF503074FD56232C0402B307954014 +:100720003307A900CA9774C058C05CC434C4130509 +:10073000040123242401E13B13054402C93BEF20BB +:100740003022230834052285F2406244D2444249D3 +:10075000B2490561828013054005EF3070132A8489 +:1007600001E57370043001A000C051BF01E5737052 +:10077000043001A06375B5007370043001A041110D +:1007800022C409462E84814506C6893711C500DD7D +:10079000B2402244410182807370043001A05D7137 +:1007A00086C6A2C4A6C2CAC04EDE52DC56DA5AD8E9 +:1007B0005ED632C6630A051C6382051C89476399AD +:1007C000F600585D85476305F7007370043001A09B +:1007D0003689AE842A84EF20B00111E5B24781C783 +:1007E0007370043001A0EF207015185C5C5C014B45 +:1007F000894BFD59130A0401930A44026365F70CFF +:1008000063077919B2476381072463150B00280831 +:10081000EF20606EEF20D014EF104075EF20101223 +:100820007C4063943701232204043C4463943701E1 +:1008300023240404EF20D0126C002808EF20C06DA0 +:10084000631E051EEF20900F185C5C5C630CF70ABA +:10085000EF201011EF20900E3C44634FF00039A8B8 +:100860005685EF20205619C1EF2000763C44FD1735 +:100870003CC43C446354F0005C50FDF32324340535 +:10088000EF20100EEF20900B7C40634FF00039A852 +:100890005285EF20205319C1EF2000737C40FD17D3 +:1008A0007CC07C406354F0001C48FDF323223405D7 +:1008B000EF20100BEF109010054BEF203008185C64 +:1008C0005C5CE37FF7F230406305060C631F09129E +:1008D0000844A685EF3010141C4434405840B697A5 +:1008E0001CC463EAE70A1C5C14405850850714C412 +:1008F0001CDC5DC713054402EF20C04C8547631123 +:10090000F50AC9A8EF20D005B2455285EF20402D49 +:10091000EF20D0023C44634FF00039A85685EF2009 +:10092000604A19C1EF20406A3C44FD173CC43C4476 +:100930006354F0005C50FDF323243405EF20500293 +:10094000EF20C07F7C40634FF00039A85285EF2034 +:10095000604719C1EF2040677C40FD177CC07C4098 +:100960006354F0001C48FDF323223405EF20407F40 +:10097000EF10D00431F1593C054B81B73C41E38F76 +:1009800007E27370043001A07370043001A030409E +:1009900021E61C4085C71C5C585085071CDC39FBD0 +:1009A000EF20007C0545B640264496440649F2599E +:1009B000625AD25A425BB25B616182804840EF204A +:1009C000406F1C5C58502322040085071CDCE31395 +:1009D00007F279D5253CE9B74844A685EF30900366 +:1009E0003C4058441440B307F0403E9758C4637CE1 +:1009F000D7025840BA975CC409475C506306E902C5 +:100A0000185C050718DCC9DFF5B54844A685EF304A +:100A100070003C4058441440B307F0403E9758C41F +:100A2000E37CD7FCF9B75C50185C79DB185C7D1768 +:100A300018DC185C050718DCA5D76DBD2285953E2E +:100A4000EF10C077014585B7EF2080710145A1BF48 +:100A5000411126C2AA841305400522C406C6EF3000 +:100A600020632A8415CD232C0502FD577CC1054740 +:100A7000410558DC3CC42322040023200400232425 +:100A80000400232604002320040423089404413492 +:100A900013054402AD3C8146014681452285013360 +:100AA0002285B24022449244410182800DC5411109 +:100AB00022C426C206C64AC0032945002A84EF2064 +:100AC00060528144630CA900B24022442685024949 +:100AD0009244410182807370043001A05C448544DB +:100AE000FD175CC4F5F381460146814522854539F1 +:100AF000E1BF397106DE22DC26DA4AD84ED652D45E +:100B000032C635CD8149DDC13689AE842A84130AC7 +:100B100005012DA0EF20C064EF2040626C00280882 +:100B2000EF20803F31E1EF206061185C5C5C630581 +:100B3000F702EF20E062EF20A0628549EF2000601D +:100B4000185C5C5C6360F704B24799CFE39409FCDE +:100B50002808EF20403A7DBFEF208060B2455285E3 +:100B6000EF2000086530C1BFEF20805FF250625473 +:100B7000D2544259B259225A014521618280737080 +:100B8000043001A0304015EE1C4095C71C5C585045 +:100B900085071CDC39E7EF20A05CF2506254D25488 +:100BA0004259B259225A0545216182803C41A9DF50 +:100BB0007370043001A04840EF20A04F23220400AE +:100BC000F1B7631909020844A685EF30A0641C44FC +:100BD00034405840B6971CC4E3EAE7FA1C401CC4F2 +:100BE00075B713054402EF20E01D8547E315F5FABC +:100BF000313855B74844A685EF30C0613C40584471 +:100C00001440B307F0403E9758C46375D70058406E +:100C1000BA975CC48947E31BF9F61C5CA5DB1C5C36 +:100C2000FD171CDCA5B7397106DE22DC26DA4AD8AE +:100C30004ED652D432C66301050E81446388050C3A +:100C4000B6892E892A84130A450231A0EF2040512B +:100C5000EF2000518544EF20604E1C5CBDE3B2479D +:100C600095CF8DC8EF20C04FEF20404D6C00280875 +:100C7000EF20802A05E5EF20604C1C5CE1FBEF20B3 +:100C8000204E1C409DC7B2455285EF107075EFF0A5 +:100C9000EFF77DBF2808EF200026E9B7EF20404C92 +:100CA000F2506254D2544259B259225A014521613C +:100CB0008280EF20A0484840EF208035EF20404A56 +:100CC000D9B73040444409CE5840B387C4005CC40F +:100CD00063E4E7001C405CC44C444A85EF30805319 +:100CE000639F09021C5C1840FD171CDC01E7EF2024 +:100CF000A04B48C01C489DC713050401EF20800C81 +:100D000085476311F502EFF06FF029A83C41E389B4 +:100D100007F27370043001A07370043001A05C50BE +:100D200044C481EFEF20C043F2506254D254425980 +:100D3000B259225A05452161828013054402EF20F1 +:100D400060086DD1C9B725C1B1C9011122CC26CA2D +:100D50004AC852C406CE4EC68947B68432892E8A06 +:100D60002A846399F600585D85476301F70673701E +:100D7000043001A0EFF06FF5185C5C5CAA89636732 +:100D8000F70281444E85EFF0EFF3F240624426858E +:100D90004249D244B249224A056182803C41D5D7BA +:100DA0007370043001A07370043001A0304049E238 +:100DB0001C40DDCB1C5C7D5785071CDC3C446388F4 +:100DC000E7083C44854485073CC46DBFEFF0EFEF76 +:100DD000185C5C5CAA89636DF702304071DA4844A4 +:100DE000D285EF3020433C4058441440B307F040D4 +:100DF0003E9758C46375D7005840BA975CC489477A +:100E0000E39AF4FA1C5CDDD71C5CFD171CDC5DB7B3 +:100E1000304059DE4844D285EF30C03F3C40584412 +:100E20001440B307F0403E9758C4E367D7FCD9BFDE +:100E3000DDF40844D285EF30E03D1C443440584096 +:100E4000B6971CC4E3E8E7F61C401CC4A5B75C5089 +:100E500085448DDB13054402EF10D07605D5E303FE +:100E600009F22320990039BF4840EF208024232233 +:100E7000040089B731CD3C4181C77370043001A0B3 +:100E80001C41B1CB011122CC26CA2A844AC84EC6C5 +:100E900006CE2E89EFF06FE3185C5C5CAA89814472 +:100EA000637DF7001C5C7D5785071CDC3C4463892F +:100EB000E7023C44854485073CC44E85EFF08FE053 +:100EC000F240624426854249D244B249056182809B +:100ED0007370043001A05C41D5D77370043001A059 +:100EE0005C5099E38544D1BF13054402EF10906D27 +:100EF00075D9E30909FE85472320F900E5B7397163 +:100F000006DE22DC26DA4AD84ED652D456D232C673 +:100F10006302051C638A051AB68AAE892A84EF200B +:100F2000200D11E5B24781C77370043001A0EF2096 +:100F3000E0201C5C63920714B247638E070A2808FE +:100F4000EF10707BFD5413094402130A0401EF20D3 +:100F50002021EF10A001EF20601E7C4063949700D9 +:100F6000232204043C446394970023240404EF20C8 +:100F7000201F6C002808EF10307A63130518EF204B +:100F8000E01B1C5CADCFEF20A01DEF20201B3C44DC +:100F9000634FF00039A84A85EF10D06219C1EF20E5 +:100FA000A0023C44FD173CC43C446354F0005C5038 +:100FB000FDF324C4EF20C01AEF2040187C40634F9B +:100FC000F00039A85285EF10F05F19C1EF10D07F03 +:100FD0007C40FD177CC07C406354F0001C48FDF34E +:100FE00064C0EF20E017EF10601DEF2020151C5C9F +:100FF000C1E7B247A9FFEF20A016014555A0EF2099 +:1010000020161C40DDC7B2454A85EF10703DEF2029 +:10101000E0123C44634FF00039A84A85EF10905A23 +:1010200019C1EF10707A3C44FD173CC43C44635432 +:10103000F0005C50FDF324C4EF208012EF2000107C +:101040007C40634FF00039A85285EF10B05719C1AA +:10105000EF1090777C40FD177CC07C406354F0001B +:101060001C48FDF364C0EF20A00FEF10201531C91C +:10107000EF20C00C1C5CB5DFCE8522854444EFF028 +:101080006FD1639C0A041C5C1840FD171CDC01E74F +:10109000EF20801148C01C48A1EFEF20600C0545EF +:1010A000F2506254D2544259B259225A925A216192 +:1010B0008280EF20A0084840EF109075EF20400A92 +:1010C00099B7EFF0AFB46DB73C41E38707E47370B5 +:1010D000043001A07370043001A05C5044C4D5DF1B +:1010E00013054402EF10104E4DD9EFF02FB275B733 +:1010F00013050401EF10104D8547E310F5FAF5B71D +:101100002285EFF00FCBEF10600B014551BF1DC9D9 +:10111000411122C44AC006C626C244412E892A84EF +:10112000EF10506C6383A40281464A868145228574 +:10113000F93385476300F502B240224492440249E4 +:10114000410182807370043001A05C44054585072D +:101150005CC4DDB75C4485075CC4F9BF15CDADC97F +:10116000011122CC26CA4AC84EC652C42A8406CED1 +:101170003289AE89EFF06FB51C5C2A8A814485E321 +:101180005285EFF02FB4F240624426854249D244A2 +:10119000B249224A056182807370043001A0304058 +:1011A00001CE4C445C40B2954CC463E4F5000C4065 +:1011B0004CC44E85EF3000061C5C7D57FD171CDCCF +:1011C0007C40638CE7007C40854485077CC04DBF34 +:1011D0003C41D9D77370043001A01C4899E3854481 +:1011E00045B713050401EF10F03D75D9E30909FE79 +:1011F00085472320F900E5B721C93C41A1CDA1CB0A +:10120000011122CC26CA4AC852C42A8406CE4EC630 +:101210002E8AEFF08FAB1C5C2A89814491CB832905 +:10122000C400D2852285EFF0EFB685442326340131 +:101230004A85EFF02FA9F240624426854249D24404 +:10124000B249224A056182807370043001A0737034 +:10125000043001A0EDDF7370043001A001E573706C +:10126000043001A0411122C42A8406C6EF10106D7B +:10127000005CEF10F06EB240228522444101828072 +:1012800001E57370043001A0411106C622C42A840E +:10129000EF10D06A1C5C405C1D8CEF10706C2285D6 +:1012A000B24022444101828019C1085D828073707E +:1012B000043001A01DCD978703009387A758D8431A +:1012C000630CE502D847630CE504D84B6307E504DB +:1012D000D84F6302E504D853630DE502D85763087D +:1012E000E502D85B6303E502D85F630EE5006F207B +:1012F000506C7370043001A001470E07BA9723A009 +:1013000007006F20106B1D47CDBF1947FDB715476C +:10131000EDB71147DDB70D47CDB70947F9BF054711 +:10132000E9BF684582806CC58280034505058280DF +:1013300009C5085D1335150082807370043001A063 +:1013400019C51C5D485D1D8D1335150082807370B5 +:10135000043001A0978703009387C74E984305C3C5 +:10136000984705C7984B05C7984F05C7985305C7B9 +:10137000985705C7985B15C7985F15C382800147CA +:101380000E07BA978CC3C8C382800547D5BF0947EB +:10139000C5BF0D47F5B71147E5B71547D5B71D4789 +:1013A000C5B71947F1BF978703009387A749D8436B +:1013B0006308E502D847630BE502D84B630AE502F0 +:1013C000D84F6309E502D8536308E502D85763078D +:1013D000E502D85B6308E502D85F6303A702828059 +:1013E00001470E07BA9723A0070082800547D5BFA3 +:1013F0000947C5BF0D47F5B71147E5B71547D5B73D +:101400001D47C5B71947F1BF411122C426C24AC0C2 +:101410002A8406C6AE843289EF1050527840FD57B8 +:101420006314F700232204043844FD576314F700C3 +:1014300023240404EF10D0521C5C99E74A86A68549 +:1014400013054402EF10D00CEF10504F3C44635B87 +:10145000F0025C5085CB9304440209A83C44FD177C +:101460003CC43C446350F0025C5089CF2685EF10A9 +:1014700070156DD5EF1050353C44FD173CC43C440D +:10148000E344F0FEFD573CC4EF10904DEF10104BBD +:101490007C40635BF0021C4885CB9304040109A8DF +:1014A0007C40FD177CC07C406350F0021C4889CF13 +:1014B0002685EF1030116DD5EF1010317C40FD17EF +:1014C0007CC07C40E344F0FEFD577CC02244B24027 +:1014D0009244024941016F10B0481DC179714ECE4E +:1014E00052CC06D63E8A22D426D24AD056CA5AC8F0 +:1014F0005EC6A547BA8963F8E7007370043001A09F +:101500007370043001A02A8BAE843289B68BC28AF4 +:101510006307082213050006EF2090372A84630B27 +:1015200005200A09232855034A869305500A568543 +:10153000EF20505C03C704001C587119230AE40211 +:1015400003C704003E991379C9FF79C783C7140004 +:10155000A30AF40283C71400E1C383C72400230B4A +:10156000F40283C72400CDCB83C73400A30BF4025D +:1015700083C73400D5C383C74400230CF40283C758 +:101580004400D9CB83C75400A30CF40283C7540092 +:10159000C1C783C76400230DF40283C76400ADCFC5 +:1015A00083C77400A30DF40283C77400B5C783C753 +:1015B0008400230EF40283C78400B9CF83C794004C +:1015C000A30EF40283C79400A1CB83C7A400230F0A +:1015D000F40283C7A400A9C383C7B400A30FF40215 +:1015E00083C7B40095CB83C7C4002300F40483C72A +:1015F000C4009DC383C7D400A300F40483C7D400F0 +:1016000081CF83C7E4002301F40483C7E40089C7C2 +:1016100083C7F400A301F404930A44005685A30190 +:1016200004042326340323283405232A0404EFE08A +:101630005FEF13058401EFE0DFEEA947B387374181 +:10164000232C040400C81CCC40D023220404232EE5 +:1016500004045E86DA854A85EFE0DFE708C06304AC +:101660000A0023208A007370043017F9010013095F +:1016700029EE8327090097F40100938424EF91C792 +:1016800098409C407C4385077CC317F701001307F3 +:10169000E7EC1C43850797F6010023A1F6EC9C407C +:1016A000C5CB8327090017FB0100130B6BFD89EBEA +:1016B0009C40DC5763E6F90097F7010023A887EA0E +:1016C00097F701009387C7E79C4317F701001307BB +:1016D00067E848541843850797F6010023A2F6E609 +:1016E0003CC46376A70097F7010023A5A7E6D14580 +:1016F000EF405076D6855A95EFE01FE38327090027 +:1017000081C79C40FC43B5E383270900054499C782 +:101710009C40DC5763F43701EFE05FCF2285B25085 +:10172000225492540259F249624AD24A424BB24B75 +:10173000456182805685EF20D0277D54C5B71315AB +:101740002600EF20F014AA8AE31605DC7D54F9B7D1 +:1017500097F7010023AC87E0184385476301F70240 +:1017600017FB0100130BCBF1A1BF984094407C43C1 +:10177000FD177CC3FC42C9FB7360043071B7014A9A +:1017800017FB0100130BCBEFA94B5285D145EF405E +:10179000706C050A5A95EFE09FD7E3187AFF97FB24 +:1017A0000100938B6BFA5E85EFE07FD617FA01009C +:1017B000130ACAFA5285EFE09FD517F50100130509 +:1017C00025FBEFE0DFD417F501001305A5FBEFE0E3 +:1017D0001FD417F50100130525FCEFE05FD397F741 +:1017E000010023A377D997F7010023AD47D7C9BDDF +:1017F000411122C406C626C24AC02A84737004302E +:1018000097F401009384C4D49C40F9E311E497F762 +:1018100001009387A7D58043130944004A85EFE070 +:101820003FD51C5489C713058401EFE07FD4CA85D6 +:1018300017F50100130505F5EFE01FCF97F701003D +:10184000938707D2984397F70100938767CF9C430C +:10185000050797F6010023A5E6D09840850797F67F +:10186000010023AFF6CC01CB97F70100938707D097 +:101870009843784349EF9C40B1C797F7010093879D +:10188000E7CE9843630F870873700430984039EBB4 +:1018900017F70100130747CD14439442A5E27D5783 +:1018A00097F6010023ACE6C8984009CF98437843E7 +:1018B00011CB984394437C43FD177CC3FC4299E3CE +:1018C00073600430B2402244924402494101828054 +:1018D00097F70100938787C998439C437C4385070A +:1018E0007CC32DB794439843F8420507F8C217F715 +:1018F0000100130767C714439442D5D218435847D1 +:101900005847584397F6010023AAE6C271BF98438F +:1019100094437C43FD177CC3FC42B1FF73600430E9 +:1019200099BF97F70100938727C19C4381C77370C4 +:10193000043001A02244B2409244024941016FE0C8 +:10194000FFAC41C517F70100130747C21443814795 +:10195000638BA606411106C622C426C273700430EA +:1019600097F601009386C6BE9C4291C710431C4364 +:101970007C4285077CC29C42AA84404981C71C43A3 +:10198000FC43B9E797F70100938707BE9C43638B3D +:10199000870497F701009387E7BC9C43638487041F +:1019A00097F70100938747DF6309F40297F7010077 +:1019B000938747DD6301F4028547B2402244924495 +:1019C0003E85410182803E8582807370043001A093 +:1019D000EF40105C45BF9147CDB79C5493B71700BB +:1019E0008907E1BF8947D1BF7370043097F70100C1 +:1019F000938707B6984309EF15C59C43485581CB9B +:101A000097F70100938787B69843784315E3828060 +:101A100017F70100130787B514431843F842050769 +:101A2000F8C261FD17F70100130747B40843F1B787 +:101A3000984394437C43FD177CC3FC42E9FB7360ED +:101A400004308280411122C42A8406C6EFE0FFA739 +:101A500011E497F70100938767B180434054EFE0AA +:101A60007FA6B2402285224441018280A54763F5CA +:101A7000B7007370043001A0011122CC06CE26CA33 +:101A80004AC84EC652C42A847370043017F9010044 +:101A9000130909AC83270900CDEF71C43C48638862 +:101AA000F50817F70100130767AC63FCB700144390 +:101AB000638C860C18434457B3B4950093C4140048 +:101AC00029A00443818C93B4140058546383E70A1B +:101AD0001C4C2CC863C60700A947B385B7400CCC83 +:101AE00093172700BA97584897F90100938949B985 +:101AF0008A07CE97631AF702130A44005285EFE073 +:101B00003FA797F701009387E7A448549C4363F6E7 +:101B1000A70097F7010023AFA7A2D145EF4090336C +:101B2000D2854E95EFE05FA099C0EFE03F8E83270E +:101B3000090081CB97F70100938747A39C43FC439F +:101B40009DEBF2406244D2444249B249224A0561C7 +:101B5000828097F70100938767A198439C437C4359 +:101B600085077CC305FC97F70100938727A0804376 +:101B700035B74CD4B1BF6244F240D2444249B24975 +:101B8000224A05616F40D040814481B725C10111CF +:101B900026CA97F401009384649D9C4006CE22CC13 +:101BA0004AC84EC6638DA7027370043017F901004E +:101BB0001309099A8327090091C798409C407C43E8 +:101BC00085077CC3584997F701009387E7BC6302F8 +:101BD000F7028327090081C79C40FC43A5EBF24034 +:101BE0006244D2444249B249056182807370043034 +:101BF00001A01C5517F70100130787B7E38BE7FC1B +:101C0000E9FB930945002A844E85EFE07F9697F71C +:101C100001009387279448549C4363F6A70097F7E5 +:101C2000010023A9A792D145EF40D02297F70100E8 +:101C3000938707A53E95CE85EFE01F8F9C405854B3 +:101C4000DC57E368F7F8EFE06FFC61B76244F240FD +:101C5000D2444249B24905616F40903315CD01111C +:101C600022CC26CA4EC62A8406CE4AC8EFE0FF859B +:101C7000584897F70100938727B2AA8981446300E7 +:101C8000F7024E85EFE01F84F24062442685424908 +:101C9000D244B249056182807370043001A01C54A3 +:101CA00017F501001305C5ACE38DA7FCF9FB97F709 +:101CB0000100938767889C43A9EB97F701009387FE +:101CC000E78A9C43445413094400DC574A85B3B463 +:101CD000F400EFE0FF8997F701009387A787485446 +:101CE0009C4393C4140063F6A70097F7010023A355 +:101CF000A786D145EF40101697F7010093874798C4 +:101D0000CA853E95EFE05F82ADBF93058401EFE0A9 +:101D1000BF8185BF0111056506CE22CC26CA4AC8FF +:101D20004EC652C456C25AC0EF208036630E051A02 +:101D3000AA8413050006EF20A0352A84630A051C37 +:101D400005669305500A04D92685EF20A05A045849 +:101D50008567F117BE94B7474C4593879744130A9C +:101D600044005CD85285230C0402A30104042326FA +:101D7000040223280404232A0404EFE08FFA130545 +:101D80008401EFE00FFAA947232C0404F19800C85E +:101D90001CCC40D023220404232E040401469715B2 +:101DA00000009385E5B12685EFE0CFF208C073709F +:101DB000043017E901001309A9798327090097E482 +:101DC00001009384A47A91C798409C407C43850786 +:101DD0007CC317E70100130767781C43850797E664 +:101DE000010023ADF6769C40C9C38327090097F90B +:101DF00001009389E98881EB9C40DC5789E797E7EC +:101E0000010023A5877697E70100938767739843BE +:101E100097E60100938606745C549442050717E622 +:101E20000100232FE67038C463F6F60017E70100BF +:101E30002322F772139527003E950A05D2854E9509 +:101E4000EFE08FEE8327090081C79C40FC43DDE76C +:101E50008327090091C39C40EF10F0498547630533 +:101E6000F50C59E17370043001A097E7010023AF2E +:101E7000876E1843854797F9010093896980E314B9 +:101E8000F7F8CE8A17FB0100130B0B8C5685D10A8D +:101E9000EFE0EFE7E31C5BFF17F501001305C58AD0 +:101EA000EFE0EFE697FA0100938A4A8B5685EFE060 +:101EB0000FE617F501001305A58BEFE04FE517F5C9 +:101EC00001001305258CEFE08FE417F501001305E1 +:101ED000A58CEFE0CFE397E7010023A7676997E7B9 +:101EE000010023A1576905B7F2406244D244424938 +:101EF000B249224A924A024B05618280984094403E +:101F00007C43FD177CC3FC42A1F77360043089B7A2 +:101F10006244F2404249B249224A924A024B268523 +:101F2000D24405616F20E02873700430FD5717E735 +:101F300001002325F760624497E7010023AAA76008 +:101F4000F240D2444249B249224A924A024B97E7B0 +:101F5000010023A3076005616FE0AFB47370043024 +:101F600097E7010023A6075E6FE0EFC117E70100C6 +:101F70001307875C1C4385071CC382807370043081 +:101F800097E701009387C75C984305E317E70100D3 +:101F90001307875C08439C4381CB97E70100938735 +:101FA000E75C9843784301EF828017E7010013074D +:101FB000E75B14431843F8420507F8C2C1BF9843D2 +:101FC00094437C43FD177CC3FC42F9FF73600430EB +:101FD0008280411106C622C4EFE02FCF97E70100AF +:101FE000938787578043EFE0EFCDB24022852244AC +:101FF0004101828097E7010093874756884382809A +:10200000797106D622D426D24AD04ECE52CC56CAA8 +:102010005AC85EC697E70100938707529C43B1EF09 +:1020200017E70100130747531C43850797E6010094 +:1020300023A4F652832A0700638F0A0697E701005C +:102040009387C74F9C43814463F1FA0897E90100E5 +:102050009389096317EA0100130A4A5183270A008A +:102060008546D85793172700BA978A07BE9983A742 +:10207000090063FFF600854421A897E701009387D4 +:10208000E74C9C438144850717E701002320F74C68 +:1020900097E701009387474B9C4391C38544B25017 +:1020A0002254268502599254F249624AD24A424B3E +:1020B000B24B4561828017E401001304E44A1C40DE +:1020C0009C43DDC37370043001A017E401001304C6 +:1020D000A44997E901009389A95A17EA0100130A54 +:1020E000EA4817EB0100130BEB461C409C43B5C3B9 +:1020F0001C40DC4703A9C70083274900930B490014 +:102100005E8563EEFA04EFE0AFC68327890213050C +:10211000890199C3EFE0CFC58327C90203270B00CC +:10212000DE85139527003E950A054E956376F700E8 +:1021300017E701002320F742EFE00FBF83270A00D3 +:102140000327C902DC57E362F7FA1C4085449C432D +:10215000C5F3FD5717E701002322F73E01B717E744 +:102160000100232DF73CDDBD17E701001307873F72 +:102170001C40184397E6010023A8E63E17E701003C +:102180002322F73E97E701009387C73B9C438507CF +:1021900017E701002328F73A1C409C4399E7FD57B5 +:1021A00017E70100232CF73851BD1C40DC47DC4702 +:1021B000DC4317E701002323F73849B597E701000F +:1021C00093878737984301E77370043001A00111AA +:1021D00006CE22CC26CA4AC84EC652C456C25AC0DF +:1021E0007370043097E4010093848436984061EB67 +:1021F00098437D1797E6010023A0E6349C43D9EB72 +:1022000097E70100938787359C43C9C797EA010088 +:10221000938A0A4717EA0100130ACA3317E9010033 +:102220001309C934854919A83385EA00EFE0CFAF17 +:10223000832709005854DC576379F70483A70A0FF2 +:10224000C1CF83A7CA0FC04713058401EFE04FB287 +:10225000130B44005A85EFE0AFB15C5483260A00AB +:10226000DA85139527003E950A052A875695E3FDE2 +:10227000F6FA17E70100232FF72CEFE0EFAA8327E8 +:1022800009005854DC57E36BF7FA97E7010023ADD8 +:10229000372B6DB701449C4081CB97E701009387B2 +:1022A000E72C9C43FC4395E72285F2406244D244EC +:1022B0004249B249224A924A024B0561828017E79D +:1022C00001001307A72A14431843F8420507F8C270 +:1022D00005B7EF40E04BC9BF17E401001304042722 +:1022E0001C4095C31C40054999CF193B09C597E788 +:1022F000010023AB27251C40FD1717E7010023270A +:10230000F7241C40FDF397E701009387E7239843E8 +:102310008547E311F7F8EFE06F8F0544ADBF11CDAE +:1023200081E57370043001A017E701001307C7208F +:102330001C4399C77370043001A07370043001A06E +:10234000411122C406C614431841850617E6010050 +:102350002324D61E97E60100938606209442330478 +:10236000B70000C163F7E602637FE40063FD860007 +:1023700097E701009387871F88431105EFE04F9F80 +:102380002285EF40A03A1D3D01C9B24022444101DF +:102390008280E36FE4FCD9BF2244B24041016FE088 +:1023A000EF8611C917E70100130707191C4391C7EE +:1023B0007370043001A06FE06F85411106C622C41E +:1023C0001843AA87050797E6010023A7E61697E6B4 +:1023D00001009386A61917E701001307E7171843B2 +:1023E00088423304F7001105EFE08F982285EF4013 +:1023F000E033E93311E52244B24041016FE00F813F +:10240000B240224441018280411122C406C697E7AE +:102410000100938767129C430144850717E7010079 +:10242000232CF71097E70100938747139C4363E041 +:10243000F51217EE0100130E6E3097E20100938241 +:10244000622301440147854F1303500A21A0311E26 +:10245000638DC20B83278EFFBA86F5DB8327CEFF01 +:10246000DC43232EFEFE638AC70F03AFC700DC43A5 +:102470002A97814E232EFEFE6385C70783A8C700D7 +:1024800093874803232017015CC383A7880423266E +:10249000F7011CC783A7C8021CCB83A70805232C00 +:1024A00007005CCB03A80803834708006390670418 +:1024B000C287850783C60700B3850741E38B66FEA5 +:1024C0008981C205C181231EB700850E130707024B +:1024D00063061F038327CEFFDC43232EFEFEE39F0C +:1024E000C7F983274E00232EFEFE49BF8145231ED8 +:1024F000B700850E13070702E31E1FFD769413171E +:102500005400311EBA86E397C2F597E7010093871E +:10251000A70503AE070083270E00639D070E97E70C +:1025200001009387270403AE070083270E00639200 +:10253000071817EE0100130EAE1483274E109DE30B +:1025400083278E116395072019C223200600BD3111 +:102550002285B24022444101828083274E00232EEF +:10256000FEFE21B783278E1017EF0100130F0F22F5 +:10257000DC4317E701002329F7206380E73383A2B8 +:10258000C700DC43AA96814E17E70100232EF71EF1 +:10259000914F1303500A6388E70783A8C700938706 +:1025A000480323A01601DCC283A7880423A6F601F2 +:1025B0009CC683A7C8029CCA83A7080523AC060053 +:1025C000DCCA03A80803834708006391672AC2870F +:1025D000850703C70700B3850741E30B67FE93D761 +:1025E0002500C207C183239EF600850E938606024E +:1025F0006386122983278E10DC4317E70100232509 +:10260000F718E39CE7F983270E1117E70100232D44 +:10261000F71661B783274E00130F8E00D443232291 +:10262000DE006388E629B307E500D84283A2C6002E +:10263000814E2322EE00894F1303500A6306EF06F2 +:102640008328C7001387480323A01701D8C303A713 +:10265000880423A6F70198C703A7C80298CB03A74D +:10266000080523AC0700D8CB03A8080303470800DC +:102670006318671C4287050783460700B3050741B7 +:10268000E38B66FE13D7250042074183239EE700B4 +:10269000850E93870702638D121B83264E00D84256 +:1026A0002322EE00E31EEFF80327CE002322EE00E4 +:1026B00041BF83274E00130F8E00DC432322FE0010 +:1026C0006384E71F83A2C700DC43AA96814E2322BE +:1026D000FE00894F1303500A6306FF0683A8C70054 +:1026E0009387480323A01601DCC283A7880423A68E +:1026F000F6019CC683A7C8029CCA83A7080523AC21 +:102700000600DCCA03A80803834708006396671025 +:10271000C287850703C70700B3850741E30B67FE40 +:1027200093D72500C207C183239EF600850E9386AA +:102730000602638B120F83274E00DC432322FE0028 +:10274000E31EFFF88327CE002322FE0041BF83272C +:10275000CE1197EE0100938EAE04DC4317E7010023 +:10276000232EF7026384D71383AFC700DC433307FC +:10277000D500014397E6010023A2F6020D4F8942DE +:102780009308500A6387D707C8479307450308C3D0 +:102790005CC33C452326E7011CC75C551CCB1C557C +:1027A00099C3232657003C49232C07005CCB032800 +:1027B00005038347080063951705C287850783C60D +:1027C0000700B3850741E38B16FF93D72500C207A7 +:1027D000C183231EF700050313070702638AAF02B4 +:1027E0008327CE11DC4397E6010023A9F6FAE39D87 +:1027F000D7F983274E1297E6010023A1F6FA69B7AD +:102800008147231EF700050313070702E39AAFFC75 +:102810001A94E31C06D225BB8147239EF600850E41 +:1028200093860602E39912F117EE0100130E4EE5AE +:1028300083274E10769493165400E38307D01DB37C +:102840000147239EE700850E93870702E39712E571 +:1028500097E70100938707D103AE0700769493169C +:10286000540083270E00E38607CCA1B58147239E41 +:10287000F600850E93860602E39E12D783278E11FB +:10288000769493165400E38107CCD1B583274E127A +:1028900017E701002324F7F0C1BD83270E1117E7C6 +:1028A00001002323F7EEE1B98327CE002322FE00A7 +:1028B00011BD8326CE002322DE00B5B3797126D266 +:1028C0004AD04ECE52CC56CA5AC85EC606D622D47C +:1028D00097E90100938949C617E90100130949DA0C +:1028E00017EA0100130ACAC697EA0100938A0AC8C8 +:1028F00097EB0100938B8BC697E40100938444C649 +:10290000054B21A883A7090003244910850717E771 +:1029100001002323F7C25D3011E89C40E5F78327CF +:102920000900E37CFBFEEFD07FAEC5BF73700430BF +:1029300083270A00B9E383270911C0471305440020 +:10294000EFD01FC383A70B00FD1717E70100232754 +:10295000F7C09C40FD1717E701002323F7C083272A +:102960000A0089C783A70A00FC4385E30858EF10D3 +:1029700050042285EF10F0034DB703A70A0083A788 +:102980000A007C4385077CC37DB703A70A0083A6A2 +:102990000A007C43FD177CC3FC42E9FB73600430F2 +:1029A000F1B797E70100938727B99C43DDE7411111 +:1029B00006C622C426C297E7010023A707B817E777 +:1029C00001001307A7BA1C43B7A6A5A59386565ABC +:1029D0009C5B8C43639BD500D0436318B60094473F +:1029E0006395C600DC476388D70008430C43938592 +:1029F0004503EF20C07317E701001307A7B5144381 +:102A000017E401001304C4C711A81C43A9CF1C4339 +:102A1000FD1797E6010023AFF6B214439397260003 +:102A2000B6978A07A2979C43EDD3084393172500D6 +:102A3000AA978A073307F4005443A107A297C44218 +:102A400044C36385F402DC44B240224417E701002A +:102A5000232EF7B0924441018280854717E7010099 +:102A60002324F7AE82807370043001A0C440D145A6 +:102A7000EF30503E229544C1F9B701114AC806CE45 +:102A800022CC26CA4EC62A897370043097E401000E +:102A9000938404AC9C4017E40100130424ADD9E7EF +:102AA0006314090003290400930949004E85EFD0FF +:102AB0003FAC8327890289C713058901EFD05FAB3B +:102AC000CE8517E50100130525CDEFD0FFA59C406D +:102AD00081C71C40FC43ADE31C40638B27079C402F +:102AE0009DCF737004309C40C1EB97E70100938742 +:102AF000A7A79843184325EBFD5717E70100232F9D +:102B0000F7A29C4089CF1C40FC4391CB1840144055 +:102B10007C43FD177CC3FC4299E373600430F240B0 +:102B20006244D2444249B2490561828018401C4047 +:102B30007C4385077CC3ADB7184014407C43FD1728 +:102B40007CC3FC42D1FB736004301C40E39927F93D +:102B50009C40A1C397E701009387079E9C43ADCBA0 +:102B60007370043001A09C43DC47DC47DC4317E76B +:102B700001002325F79C71B718401C407C43850752 +:102B80007CC397E701009387279E984318432DD76E +:102B9000D9BF97E701009387679C17E701001307E8 +:102BA00027AE9C4303278711631DF700F240624460 +:102BB00097E7010023AC079AD2444249B249056124 +:102BC00082806244F240D2444249B2490561D1BB9D +:102BD0006244F240D2444249B24905616FD01F833A +:102BE0003DC9411126C297E40100938424984AC04C +:102BF0002E898C4006C622C4E105EFD07F94884020 +:102C00001105EFD0FF96FD57630AF90497E701001D +:102C100093878794804398409C434A9440C3636C55 +:102C2000F40497E701009387279488438C4091052B +:102C3000EFD01F9197E70100938747909C436376FD +:102C4000F40097E7010023AB878EB2402244924400 +:102C50000249410182807370043001A08C402244FB +:102C6000B2409244024917E501001305E5B291050F +:102C700041016FD07F8B97E701009387A78E884330 +:102C800022448C40B24092440249910541016FD0E8 +:102C90003F8B11C997E701009387078A9C4399E707 +:102CA0007370043001A07370043001A0411126C27A +:102CB00097E401009384848B9840AE878C40B706DC +:102CC0000080D58F06C622C41CCFE1053284EFD028 +:102CD000BF8588401105EFD0BF89FD57630EF40012 +:102CE00097E70100938747878843B2409244229533 +:102CF000224441016F3090238C402244B2409244E0 +:102D000017E50100130545A9910541016FD0DF8149 +:102D100025CD411126C297E40100938424854AC041 +:102D20002E898C4006C622C4E1053284EFD0CFFF45 +:102D300088401105EFD0DF838547630AF40497E7E5 +:102D4000010093876781804398409C434A9440C325 +:102D5000636CF40497E701009387078188438C40F4 +:102D60009105EFD0EFFD97D701009387277D9C4316 +:102D70006376F40097D7010023A2877CB2402244F7 +:102D800092440249410182807370043001A08C405A +:102D90002244B2409244024917E501001305C59F41 +:102DA000910541016FD04FF897D701009387877B3A +:102DB000884322448C40B24092440249910541012B +:102DC0006FD00FF8411106C622C426C25C45C04729 +:102DD00035CC930484012685EFD08FF997D7010075 +:102DE000938787759C43B5E7930444002685EFD00D +:102DF0002FF897D701009387E77558549C4363F6E3 +:102E0000E70097D7010023A7E77493172700BA9725 +:102E10008A0717E501001305A586A6853E95EFD024 +:102E2000AFF097D70100938767749C4358540145CE +:102E3000DC5763F8E700854717D701002326F770B2 +:102E40000545B240224492444101828073700430AF +:102E500001A0A68517E5010013058591EFD0CFEC01 +:102E6000C9B797D701009387276D9C4381E773709B +:102E7000043001A0411106C622C426C2B707008053 +:102E80004045CD8F1CC12DC4EFD08FEE930444007C +:102E90002685EFD0EFED97D701009387A76B5854A5 +:102EA0009C4363F6E70097D7010023A5E76A9317D1 +:102EB0002700BA978A0717D501001305657C3E9550 +:102EC000A685EFD06FE697D701009387276A9C43CA +:102ED00058540145DC5763F8E700854717D70100D0 +:102EE0002324F7660545B240224492444101828082 +:102EF0007370043001A011CD97D7010093878764C8 +:102F0000984397D70100938727659C4318C15CC1FC +:102F100082807370043001A055C1D1CD411106C625 +:102F200022C42A877370043097D8010093884862BE +:102F300083A7080091CB97D7010093872763944319 +:102F40009C43FC428507FCC217D301001303C360F6 +:102F50009C4103260300FD560144638FD70217DE10 +:102F60000100130E2E5E03250E00032807005443B4 +:102F70006305A80005446371D6023308D6400544B2 +:102F8000637CF80003250E00918F03260300B6979B +:102F90009CC108C350C3014483A7080081CB97D7C5 +:102FA00001009387A75C9C43FC4381EF2285B240DC +:102FB0002244410182807370043001A07370043098 +:102FC00001A0EF30E07CDDB7854717D70100232D46 +:102FD000F756828019C1684582800145828011C1FF +:102FE0006CC5828097D701009387475888438280B9 +:102FF00097D701009387C7559C43054589CB97D741 +:1030000001009387675388431335150006058280B6 +:1030100031CD011126CA97D40100938424559C40D8 +:1030200006CE22CC4AC84EC65855DC576379F70203 +:103030001C4D63C707009C40D457A947958F1CCDF2 +:1030400093172700BA97584917D901001309496304 +:103050008A07CA97630DF7009C40DC575CD5F240A5 +:103060006244D2444249B249056182808280930918 +:1030700045002A844E85EFD0AFCF9C4017D7010082 +:103080001307474D1843DC575CD46376F70017D716 +:1030900001002321F74C139527003E9562440A0551 +:1030A000F240D244CE854A95B249424905616FD07B +:1030B000AFC719CD411106C622C426C297D7010059 +:1030C0009387C74A80436307A4007370043001A04C +:1030D000014582807C4881E77370043001A054541C +:1030E0003848FD177CC86383E60099C70145B240A4 +:1030F0002244924441018280930444002685EFD00B +:103100002FC7284897D701009387C7449843A947FA +:10311000898F48D41CCC6376A70097D7010023ABD6 +:10312000A742D145EF30005397D701009387475509 +:103130003E95A685EFD04FBF054555BF737004304F +:1031400097D701009387C7409C4391CB97D7010045 +:103150009387C74198439C437C4385077CC3828007 +:1031600097D701009387C73E9C438DC397D7010033 +:103170009387C73F9843784311CB984394437C434C +:10318000FD177CC3FC4299E373600430828097D7BB +:1031900001009387A73D904394439843A947D45691 +:1031A000084E958F1CCF828097D701009387073CEC +:1031B000984309C79443F84A0507F8CA88438280B0 +:1031C00079714AD006D622D426D24ECE2A8973707F +:1031D000043097D401009384A4379C4017D4010095 +:1031E0001304C438BDE31C40BC4F89E71C400547AD +:1031F000F8CFD1E19C4081C71C40FC43F1EB7370D8 +:1032000004309C408DEF1C40A84F11C56304090297 +:103210001C4023AC07041C4023AE07049C4081C71C +:103220001C40FC438DEBB250225492540259F24997 +:103230004561828018403C4FFD173CCFE9BF1840E4 +:103240001C407C4385077CC37DBF18401C407C43E9 +:1032500085077CC349BF184014407C43FD177CC3DD +:10326000FC42F1F373600430B2502254925402597C +:10327000F2494561828008402EC61105EFD04FAF5C +:10328000B245FD576380F50697D701009387C72C99 +:1032900083A9070018409C43AE992322370163ECB1 +:1032A000F90497D701009387272C88430C40910598 +:1032B000EFD00FA997D70100938747289C4363F667 +:1032C000F90097D7010023AB3727EFD02F941DB714 +:1032D000184014407C43FD177CC3FC428DF373609F +:1032E000043031BF0C4017D501001305E54A9105A4 +:1032F000EFD08FA3D9BF97D701009387A726884324 +:103300000C409105EFD0CFA3C9B779714AD04ECE0A +:1033100006D622D426D252CC2E89B28973700430BC +:1033200097D401009384C4229C4017D40100130455 +:10333000E423F9EF18408947784F630CF7001C40ED +:103340001345F5FFB84F798DA8CF1C400547F8CF3E +:10335000ADE69C4081C71C40FC43F5E3737004302C +:103360009C40B1E7638609001C40BC4F23A0F900D4 +:10337000184085470145784F630BF7001C401349FF +:10338000F9FF0545B84F3379E90023AC27051C4008 +:1033900023AE07049C4081C71C40FC43C1E3B250EC +:1033A000225492540259F249624A4561828018407F +:1033B0001C407C4385077CC375B7084036C61105A1 +:1033C000EFD00F9BB246FD576385F60897D70100F3 +:1033D0009387871803AA070018409C43369A232234 +:1033E00047016363FA0897D701009387E71788437B +:1033F0000C409105EFD0CF9497D701009387071425 +:103400009C436370FA0697D7010023A9471391A83C +:1034100018401C407C4385077CC329BF18401440DA +:103420007C43FD177CC3FC42BDFB73600430B2508B +:10343000225492540259F249624A456182801840EE +:1034400014407C43FD177CC3FC4289FB736004304D +:1034500031B70C4017D50100130505349105EFD0A5 +:10346000AF8CEFC0BFFAF5B597D701009387870FF0 +:1034700088430C409105EFD0AF8CE5B751C14111A5 +:1034800006C622C426C24AC07370043097D4010015 +:103490009384040C9C40B9EB99C23C4D9CC2B2870A +:1034A0008946704D74CD2E872A846386D70663FCC7 +:1034B000F6048D456387B70091456395B7006300B7 +:1034C000D60C38CC8547630FF60405449C4081CB6D +:1034D00097D70100938787099C43FC43A9E3228582 +:1034E000B2402244924402494101828097D70100B0 +:1034F0009387C70798439C437C4385077CC369BF78 +:103500007370043001A08546E39ED7FA3C4D33E743 +:10351000B70038CD45BF3C4D85073CCD65B7EF3092 +:10352000202775BF130944004A85EFD06F8497D7D1 +:1035300001009387270248549C4363F6A70097D75E +:10354000010023A9A700D145EF30C01097D7010093 +:10355000938707133E95CA85EFC01FFD1C5481C792 +:103560007370043001A097D70100938727009C4314 +:103570005854DC57E3FBE7F4EFC05FE9B9B7014407 +:10358000B1B739CD011122CC26CA4AC84EC652C4A1 +:1035900056C206CEBA89368AB2842E892A84EFC0F2 +:1035A000DFF2AA8A63050A003C4C2320FA00784C1B +:1035B00089477CCC6389F40263F4970C8D4663875A +:1035C000D40091466396D400630AF70A232C24059D +:1035D0008547631EF7061C5499CB7370043001A015 +:1035E0007370043001A03C4C85073CCCD5B797D70D +:1035F0000100938767F49C43ADEB93044400268558 +:10360000EFC01FF797D701009387C7F448549C4336 +:1036100063F6A70097D7010023AEA7F2D145EF309C +:10362000600397D701009387A705A6853E95EFC055 +:10363000BFEF97D70100938767F39C435854DC573B +:1036400063F7E70063850900854723A0F900054477 +:103650005685EFC03FE72285F2406244D24442499A +:10366000B249224A924A056182809305840117D5A6 +:1036700001001305E50FEFC03FEB65BF0144C9BF73 +:103680008547E397F4F43C4C33E92701232C2405C8 +:1036900081B74DC1011122CC26CA2A844EC606CE5E +:1036A0004AC8AE84EFC07FE28947744C7CCC3C4C66 +:1036B0000547AA8985073CCC6395E6061C5481C75B +:1036C0007370043001A097D701009387E7E69C430D +:1036D000ADE7130944004A85EFC09FE997D7010081 +:1036E000938747E748549C4363F6A70097D70100A8 +:1036F00023A2A7E6D145EF20F07597D70100938765 +:1037000027F8CA853E95EFC03FE297D7010093871F +:10371000E7E59C435854DC5763F5E70099C08547BB +:103720009CC06244F240D24442494E85B249056190 +:103730006FC05FD97370043001A09305840117D561 +:1037400001001305E502EFC03FDEC1B7AA8729C516 +:103750007370043017D70100130787DF14439DE20D +:10376000F04F894601456315D60023AE0704054591 +:103770001C4381CB97D70100938747DF9843784359 +:1037800015E3828097D60100938646DE90429442EC +:103790007442850674C2E9B797D70100938707DDA5 +:1037A0009C437DB7984394437C43FD177CC3FC4204 +:1037B000E9FB7360043082805D71A6C2CAC052DC2E +:1037C00056DA5AD85ED686C6A2C44EDE62D466D217 +:1037D0006AD017D90100130969DA17DB0100130B4E +:1037E0002BD997D401009384E4D897DA0100938A07 +:1037F000AAD8054AA54B83270900984363010712FD +:10380000DC4783A90700EFE06FF6EFE02FF783278F +:103810000B002A8C6362F50A97D7010023AAA7D46C +:1038200001466370351B8840B3858941EFD0DFBD09 +:10383000EFE0DF98630105128840814601462C00C5 +:10384000EFD0EFEB4DD9A247E3C807FEC24983A7EB +:10385000490189C713854900EFC09FD1EFE00FF2FE +:1038600083270B002A8C636DF508A24717D7010048 +:10387000232087D1E3E2FBFCB317FA0013F70721FB +:10388000631A071613F7770C6318071E93F70702DE +:10389000C5D74E85EF00F01145B7DC47C04783AC74 +:1038A0000700930944004E85EFC09FCC5C502285F1 +:1038B00082975C4C6384470B83270900984371FF10 +:1038C00003A70A0097D6010023A486CB97D6010050 +:1038D00023A4F6CA97D7010023A2E7CAEFE01F8E00 +:1038E000A1BFDC47C04703AD0700930C44006685C9 +:1038F000EFC01FC85C50228582975C4C63864709E5 +:1039000083270900984371FF03A70A0097D6010097 +:1039100023A4F6C697D7010023A2E7C6B9B7EFE004 +:10392000EFE4EFE0AFE583270B002A8CE366F5F8C0 +:1039300083A70A00884081499043B385894117D7FE +:103940000100232787C313361600EFD0FFABEFE04B +:10395000FF86E31305EEEFC07FABF9BD1C4CCE85AF +:10396000E69763E9FC049C4089CF02CA66CC22CE6C +:10397000EFF00FE88840814601464C08EFC03FE277 +:1039800005FD7370043001A01C4CE685EA97636C5A +:10399000FD049C4089CF02CA6ACC22CEEFF04FE5ED +:1039A0008840814601464C08EFC07FDF31F97370D3 +:1039B000043001A0032509005CC000C8EFC05FB857 +:1039C000E5BDEFE0AFFF83270900DC47C047930C5C +:1039D00044006685EFC0DFB95C4C638F47035C50E1 +:1039E0002285829791BD032509005CC000C8EFC005 +:1039F0003FB539B7B24723ACF900A5CFE29723A270 +:103A0000F90023A8390193854900636FFC0403A5DD +:103A10000A00EFC0FFB20DB51C4C00C83387F90097 +:103A200058C0636DEC02330C3C416363FC029C4064 +:103A300089CF02CA4ECC22CEEFF08FDB8840814680 +:103A400001464C08EFC0BFD559F97370043001A08E +:103A500003A50A00E685EFC0BFAE51B703250900F4 +:103A6000E685EFC0FFADA5BF03250900EFC05FAD40 +:103A7000E1B37370043001A0B24783A6890123A883 +:103A800039013387D70023A2E9006364EC04B3074C +:103A9000FC4063EBD70483A749024E85829783A736 +:103AA000C901E39B47D983A68901B2479840B697DD +:103AB00011CF02CA3ECC4ECEEFF08FD38840814664 +:103AC00001464C08EFC0BFCDE31805D67370043033 +:103AD00001A06374FC00E370F7FC032509009385E3 +:103AE0004900EFC0FFA589BB03A50A0093854900E3 +:103AF000EFC01FA591B3411122C406C626C24AC019 +:103B000017D40100130404A7EFF04FE31C4095CB3A +:103B1000EFF00FE51C409DC38148014881470947EC +:103B200081461306004097D5010093852589170526 +:103B300000001305A5C8EFD05F9A39E9737004300F +:103B400001A017D90100130969C64A85EFC03F9C3F +:103B500097D401009384C4C62685EFC05F9B0146BD +:103B6000B145114597D7010023AA27A197D7010096 +:103B700023A497A0EFC09FB517D70100232CA79EC1 +:103B800011CD97D501009385E582EFD0AFFC49B701 +:103B9000B240224492440249410182807370043051 +:103BA00001A0A5C9797156CAAA8A1305C00222D4F8 +:103BB00026D24AD04ECE52CC06D65AC85EC63A89D4 +:103BC000B689328AAE84E9212A8405C9EFF00FD77D +:103BD00097D701009387079A9C439DCFEFF04FD86A +:103BE000130544002320540104CC232E4401232038 +:103BF000340323222403EFC0DF922285B2502254E3 +:103C000092540259F249624AD24A424BB24B456140 +:103C100082807370043001A097DB0100938B0BB995 +:103C20005E85EFC0DF8E17DB0100130B6BB95A8581 +:103C3000EFC0FF8D0146B145114597D7010023AF75 +:103C4000779397D7010023A96793EFC03FA817D7B1 +:103C500001002321A79201C997C5010093858575AD +:103C6000EFD04FEFA5BF7370043001A039C501112B +:103C700022CC06CE26CA17D401001304A48F8328B1 +:103C800004002A88014563850802BA842EC236875B +:103C900032C442C6954663C5B602EFF06FB5894798 +:103CA000630BF5020840814601464C00EFC03FAF70 +:103CB000F2406244D244056182807370043001A0F6 +:103CC0004C0081463A864685EFD0EF87F240624449 +:103CD000D244056182800840814626864C00EFC0B0 +:103CE0001FACF9B719C1084182807370043001A07C +:103CF00001E57370043001A0411106C622C42A8474 +:103D0000EFF0CFC348483334A000EFF06FC52285F1 +:103D1000B24022444101828001E57370043001A069 +:103D2000411122C42A8406C6EFF04FC10050EFF0C3 +:103D30002FC3B240228522444101828001E5737085 +:103D4000043001A0411122C426C22E84AA8406C6D2 +:103D5000EFF0CFBE80D02244B240924441016FF0D8 +:103D60002FC04111714522C406C61D262A8411C5E3 +:103D7000232005001105EFC08FF92285B2402244AF +:103D80004101828001CDB70700FFED8F81C77370BD +:103D9000043001A099E57370043001A07370043001 +:103DA00001A0011122CC4AC84EC652C456C206CE4A +:103DB00026CABA893689B28A2E842A8AEFF04FA39E +:103DC00011E5638509007370043001A0EFE00F9ADC +:103DD00083240A00B37794006316090295C76387AA +:103DE0000A009347F4FFE58F2320FA00EFE00FBDB0 +:103DF000F240624426854249D244B249224A924A5C +:103E000005618280E30DF4FCE38209FEB3355001C5 +:103E1000E20563050900B7070004DD8D4E86C18DFC +:103E200013054A00EFE0FFE6EFE04FB919E1EFC0FC +:103E3000EFDDEFF0CFB593176500AA8963D80700CF +:103E4000B7040001FD14B3F499005DB7EFF00FAFB4 +:103E500083290A00B3773401631E090089CB638884 +:103E60000A009347F4FFB3F737012320FA00EFF07D +:103E70002FAFF9B7E31DF4FEDDB701C9B70700FFA7 +:103E8000ED8F99C77370043001A07370043001A0E6 +:103E9000411122C42A8406C626C24AC02E89EFF0E8 +:103EA000EFA904409345F9FFB3F795001CC0EFF06C +:103EB0002FABB240224426850249924441018280C0 +:103EC000411122C42A8406C6EFC02FE00040EFC093 +:103ED0006FDFB240228522444101828009C9370741 +:103EE00000FFB3F7E50099C77370043001A0737049 +:103EF000043001A06F20900BB70700FFF18F81C73E +:103F00007370043001A001E67370043001A0011148 +:103F100022CC4AC84EC652C406CE26CA3689AE89BD +:103F20002A8A3284EFF0CF8C21E163050900737097 +:103F3000043001A0EFE08F8383240A00CE855285F0 +:103F4000B3E49900613FB37794006307F4068324D8 +:103F50000A00EFE0AFA6F240624426854249D2440F +:103F6000B249224A05618280EFE04F8083240A0033 +:103F7000CE855285B3E434019537B3F78400638D61 +:103F80008702E30609FCB70500054A86C18D1305C3 +:103F90004A00EFE01FD0EFE06FA219E1EFC00FC7BA +:103FA000EFF0EF9E93176500AA8463DF0700B70761 +:103FB0000001FD17FD8C45B783270A001344F4FF69 +:103FC000E18F2320FA0071B7EFF04F9783240A00A6 +:103FD000B3779400639787009347F4FFE58F23201E +:103FE000FA00EFF0EF97E1B7411122C406C62A8428 +:103FF00026C2EFD0BFF75C4085C308489304C400D5 +:104000006316950015A0084863009502B7050002E5 +:10401000EFE03FE55C40E5FB2285612A2244B240A7 +:10402000924441016FE08F997370043001A009C977 +:10403000370700FFB3F7E50099C77370043001A09C +:104040007370043001A06F20607601C9B70700FFCC +:10405000ED8F99C77370043001A07370043001A014 +:10406000411122C426C206C62A84AE84EFF00F8D09 +:10407000184093C7F4FFF98F1CC02244B240924409 +:1040800041016FF0EF8D19C1084D8280014582809A +:10409000411122C406C62A84EFD05FED97C7010004 +:1040A0009387074E9C43A1EBE56717C701001307F1 +:1040B000A772F11797C6010023AAE64C17C70100A3 +:1040C0002324F74C17C70100232AF77097C7010074 +:1040D0009387474B17C701002320F770854717C701 +:1040E00001002327074A17C70100232D074817C7D8 +:1040F00001002327F74819E8EFE04F8CEF10A002EA +:1041000001442285B240224441018280130584008B +:1041100093773500C1EFE5671307F5FFE917E3ED86 +:10412000E7FC97C60100938666469C4229A0984307 +:1041300011C7BE86BA87D843E36BA7FE17C7010035 +:1041400013074744E38AE7FA9843414613848700FC +:1041500098C2D843B306A7406378D6023388A70035 +:104160002322D800C8C38325480097C60100938640 +:10417000E64111A0BA8698425043E36DB6FE232073 +:10418000E80023A00601D84397C70100938747435F +:104190009C43998F17C701002324F742EFE00F8259 +:1041A00031DC2285B240224441018280719911059F +:1041B0009DB729C9411122C406C62A84EFD01FDB4E +:1041C000930584FF0326C4FF17C701001307073CAC +:1041D00011A03E871C43D443E3EDC6FE232CF4FE1E +:1041E0000CC397C701009387A73D98438327C4FF5B +:1041F0002244B240BA9717C701002323F73C41017C +:104200006FD0DFFB828097C701009387673B8843AD +:10421000828082803367B5000D8BAA871DE79308E3 +:10422000D6FFAA982A87AE866371150303A80600F5 +:1042300011079106232E07FFE36A17FF9347F5FF47 +:10424000C697F19B9107BE95AA972A9663F3C70A72 +:104250009386450013884700B3B6D70033B80501ED +:1042600033E7F50093C61600134818000D8BB3E62C +:10427000060113371700758F330EF640938617002B +:1042800029CF1337AE0031EB13532E002E88BE8694 +:104290000147832808000507110823A0160191068D +:1042A000E36967FE1377CEFFBA95BA976302EE040F +:1042B00083C60500138717002380D700637AC702DF +:1042C00083C6150013872700A380D7006372C70237 +:1042D00003C725002381E7008280B307F640AE972D +:1042E000850503C7F5FF8506238FE6FEE39AF5FEF5 +:1042F00082808280B367C5008D8BB308C500E9C397 +:104300006371150FB307A0408D8B13873700954657 +:1043100093F5F50F130815003383A8407D166373DA +:10432000D70015476363E60CD5CF2300B5000547DA +:10433000638DE700A300B5000D47130825006396C1 +:10434000E700130835002301B500139685004D8E54 +:1043500013970501518F3303F34093968501D98E4E +:1043600013562300AA97014794C305079107E36DED +:10437000C7FE1377C3FFB307E8006307E306238094 +:10438000B70013871700637C1703A380B700138758 +:104390002700637617032381B70013873700637004 +:1043A0001703A381B70013874700637A170123829D +:1043B000B7001387570063741701A382B700828088 +:1043C00093F5F50F13978500D98D139705014D8F40 +:1043D000E37715FFAA87910723AEE7FEE3ED17FF0A +:1043E000828082802A8895B78280AA8749BF8347C6 +:1043F00005002A8799C7050583470500EDFF198D3C +:1044000082800145828005058347F5FF850503C746 +:10441000F5FF91C7E389E7FE3385E7408280814756 +:10442000E5BFAA87850503C7F5FF8507A38FE7FECC +:1044300075FB828083460500930700026397F600B0 +:10444000050583460500E38DF6FE938756FD93F739 +:10445000D70F85C7AA87814585CE01458507138675 +:1044600006FD1317250083C607003A950605329509 +:10447000F5F699C13305A0408280938536FD8346C9 +:10448000150093B5150093071500E1FA0145D5B75E +:10449000014582809C412380A7009C4185079CC1E7 +:1044A000828097C601009386E60E9C421757030050 +:1044B0001307473513861700BA972380A70097C5BF +:1044C000010023A9C50CA9476308F5009307000460 +:1044D0006305F6000145828075DE370610F005079A +:1044E0008347F7FF2320F6F09C42FD1797C5010094 +:1044F00023A2F50AEDF7014582804D712322911424 +:1045000023202115232A5113232481132326111438 +:1045100023248114232E3113232C411323286113C8 +:1045200023267113232291132320A113232EB111CB +:10453000AA842E8932C6130C5002A54A834709006B +:1045400063808703B9CB804011A0A1CB2300F40086 +:1045500080400509050480C083470900E39787FF71 +:104560000346190093081900C686130D0002FD5971 +:104570007D5A0148130550059307D6FD93F7F70FB1 +:10458000138916006363F52A17C70100130787A76D +:104590008A07BA979C43BA9782878320C114032461 +:1045A000811483244114032901148329C113032A8C +:1045B0008113832A4113032B0113832BC112032C74 +:1045C0008112832C4112032D0112832DC1117161BF +:1045D0008280A14C02C4854763D50715B24780404D +:1045E000938B770093F68BFF03AB060083A94600FD +:1045F000938786003EC6668681465A85CE85EF1033 +:1046000070352AC8814763853723930D4101854B57 +:10461000668681465A85CE85EF10005681466686AD +:104620002A8BAE89EF101033A24723A0AD009386EA +:104630001B00910D63843701B68BD9BFE37E9BFFCE +:1046400063DD4601A2872380A70180407D1A93077E +:1046500014009CC03E84E3C846FF93962B001C08C0 +:10466000BE9631A8938777052300F4008040FD1B98 +:1046700093871B00050480C0F116E351F0EC9C42C7 +:10468000E3E2FAFE93870703C5B79C409306000355 +:1046900032472380D7009C4093064700C14C1386C5 +:1046A000170090C013068007A380C700804002C493 +:1046B000BA87050480C003AB0700814936C625BF11 +:1046C000B24783AC0700938B470063840C1C635F85 +:1046D00040199307D0026319FD1683C70C0085C3E8 +:1046E00063DC09189440850C7D1A2380F600804015 +:1046F000050480C083C70C00E5F7635D400180407E +:10470000930700022300F40080407D1A050480C056 +:10471000E31A0AFE5EC61DB502C48547A94CE3CF65 +:1047200007EBB24780409386470071B703C6160077 +:10473000CA8699B59C40930650022380D7008040DA +:10474000050480C0E5BBC14C02C471B5B24703C6C5 +:104750001600CA8683A9070091073EC6E35E0AE0F9 +:104760004E8AFD5911BD03C61600130DD002CA862C +:1047700021B59347FAFFFD8703C61600337AFA0086 +:10478000CA86DDBB03C61600130D0003CA86EDB34F +:1047900083C51600930906FDCA86938705FD2E86FC +:1047A000E3EEFAFA93972900BE9985068609AE9939 +:1047B00083C50600938909FD938705FD2E86E3F3E3 +:1047C000FAFE69BF32479C40144311073AC6238062 +:1047D000D7008040050480C095B3854763D3070B9D +:1047E000B24793867700E19A938786003EC683A9F5 +:1047F00046009C4263DC090A94401306D002B307CA +:10480000F0402380C6008040B336F000B309304149 +:10481000050480C03E8BB389D940A94C02C4E1BBDA +:1048200003C616000508CA8681BB9C40468921B78D +:10483000E37D9BDD8547814B8546E3C547E131BD7F +:1048400097CC0100938C0CB8CE856685EF00105B89 +:10485000330AAA40635C400180402300A4018040E9 +:104860007D1A050480C0E31A0AFE014A83C70C00C2 +:10487000E38207EAE3C809E6FD197D57E394E9E618 +:10488000ADBDB247938647009C4336C693D9F741E6 +:1048900095B7635640019307D002E313FDFA97CC16 +:1048A0000100938C2CB2930780021DBD3E8BA94C56 +:1048B00002C4804089B34D71232E3113B7090040E3 +:1048C0009387F9FF2324811423229114232C41136D +:1048D000232A511323261114232021152328611381 +:1048E0002326711323248113232291132320A11340 +:1048F000232EB1112A8A2EC417C40100130484C9BF +:10490000975A0300938A0AF097C4010093840485A0 +:104910003EC693055002294513080004B70610F05F +:1049200083470A006386B704C1C71840050A130607 +:104930001700569797C8010023AEC8C42300F7009C +:104940006382A706E31E06FD17570300130787EBD4 +:1049500005078347F7FF23A0F6F01C40FD1717C695 +:1049600001002329F6C2EDF783470A00E39EB7FA58 +:1049700083461A0013051A002A87930B00027D5BF9 +:104980007D5C014893055005A5489387D6FD93F7B4 +:10499000F70F130A170063E7F5348A07A6979C43BD +:1049A000A6978287175703001307C7E555F28DBFF7 +:1049B0008320C11403248114832441140329011486 +:1049C0008329C113032A8113832A4113032B011363 +:1049D000832BC112032C8112832C4112032D01124F +:1049E000832DC11171618280A14C014D854763DB2C +:1049F0000719A2479D07E19B83AD070003AB470062 +:104A0000138787003AC4668681466E85DA85EF1083 +:104A100060742AC863066D351309410185496686AD +:104A200081466E85DA85EF10201566868146AA8D4F +:104A30002E8BEF1020722320A90085091109E310A5 +:104A40006DFFE3FE9DFD13060004B70610F063D171 +:104A500089031C4013871700D69797C5010023AB25 +:104A6000E5B223807701630CC7047D1CE3C389FF93 +:104A7000B2476800A548B386F9008A061C08BE96AE +:104A8000294813030004370610F0E384A6E89C428B +:104A90009305000363F4F800930570051840AE9782 +:104AA00093051700569717CE01002325BEAE2300AD +:104AB000F7006380072B638C6526F116F9B717574B +:104AC0000300130727D405078347F7FF23A0F6F059 +:104AD0001C40FD1797C5010023AEF5AAEDF77D1C1C +:104AE00071B71C40130600041387170097C5010017 +:104AF00023A2E5AAB386FA00930500032380B6003B +:104B00006300C73E890797C6010023A5F6A85697FC +:104B1000930680072300D70017570300130787CE9B +:104B2000B70610F0639EC70005078347F7FF23A071 +:104B3000F6F01C40FD1717C60100232DF6A4EDF773 +:104B4000A247C14C014D91072247014B832D07001D +:104B50003EC455BDA24783AC070013894700638F4D +:104B60000C3A635480219307D002639EFB2E03C747 +:104B70000C00BA856310072025AC8547A94C014D70 +:104B8000E3C907E7A2479107C1B7A24783461700C9 +:104B9000528703AB070091073EC4E3580CDE5A8CE2 +:104BA0007D5BE5B383461700930BD0025287F1BBC0 +:104BB0009347FCFFFD8783461700337CFC00528738 +:104BC000E9B383461700930B000352877DBB03466E +:104BD0001700138B06FD5287930706FDB286E3EE9E +:104BE000F8FA93172B00DA9705078607B297034662 +:104BF0000700138B07FD930706FDB286E3F3F8FE6B +:104C000069BFA2451C402946984193861700D69754 +:104C100017C501002320D5982380E700138945009C +:104C20006301C72A930700046387F6204AC4D5B1FD +:104C3000854763DF0729A24713877700619B9307A6 +:104C400087003EC4032B47001C43635B0B301840B6 +:104C5000130600049306170097C5010023ACD592F4 +:104C600056979305D0022300B7006388C62CB3077C +:104C7000F0403337F000330B6041BE8D330BEB4017 +:104C8000A94C014D49B38346170005085287F5B971 +:104C9000834617005287D5B91C409306000413873A +:104CA000170017C601002327E68ED6971306500279 +:104CB0002380C700E31FD7C417570300130787B427 +:104CC000B70610F005078347F7FF23A0F6F01C4056 +:104CD000FD1717C60100232FF68AEDF71DB9C14C49 +:104CE000014D29B31C40930600042A8A138717003C +:104CF00017C601002320E68AD697130650022380A8 +:104D0000C700E318D7C0175703001307A7AFB706AC +:104D100010F005078347F7FF23A0F6F01C40FD17AE +:104D200017C601002328F686EDF72A8ADDB6175745 +:104D30000300130727AD05078347F7FF2320F6F08D +:104D40001C40FD1797C5010023A6F584EDF7F11669 +:104D50002DBB175703001307E7AAF1FDF11635B372 +:104D6000E3FC9DCB8549C5B1014C03C70C00BA8556 +:104D7000E30E07EAFD58294513080004B70610F0B2 +:104D800063570B0A1C4013861700D69717C3010000 +:104D90002322C3802380E7006384A50663040609F9 +:104DA000850C03C70C007D1CBA8579FBE35080E9B4 +:104DB0001C409305000213060004B70610F021A062 +:104DC0007D1CE3050CE613871700D6972380B700F8 +:104DD00017B501002320E57CBA87E313C7FE1757F8 +:104DE0000300130727A205078347F7FF23A0F6F068 +:104DF0001C40FD1717B50100232EF578EDF7C9B754 +:104E000017570300130707A041DE05078347F7FF85 +:104E100023A0F6F01C40FD1717B60100232CF676F0 +:104E2000EDF7BDBF175703001307C79DF9BF7D1BE3 +:104E3000E31A1BF5A5BF175703001307A79CB70676 +:104E400010F005078347F7FF23A0F6F01C40FD177D +:104E500017B601002320F674EDF74AC45DBC97BC79 +:104E60000100938C2C56DA856685EF002079330C8F +:104E7000AC40E35C80EF1C4013060004B70610F062 +:104E800021A07D1CE3020CEE13871700D697238028 +:104E9000770197B5010023AFE56EBA87E313C7FE2C +:104EA000175703001307079605078347F7FF23A04B +:104EB000F6F01C40FD1797B5010023ADF56CEDF73A +:104EC000C9B7175703001307E793B5FA4AC491B45B +:104ED000A247138747009C433AC413DBF741B5B39D +:104EE0001757030013070792B70610F0050783470B +:104EF000F7FF23A0F6F01C40FD1717B60100232B87 +:104F0000F668EDF7854717B701002325F768930783 +:104F10008007175703002307F78E1DB163568001E2 +:104F20009307D002E39DFBF297BC0100938C8C4960 +:104F3000130780029305800235BD975603009386C0 +:104F4000668C370610F0850603C7F6FF2320E6F0CF +:104F500018407D1797B5010023AEE5626DF701BBE0 +:104F6000BE8DA94C014D45B4B70710F023A0A7F2A0 +:104F700001A03971130341022ED29A8506CE32D494 +:104F800036D63AD83EDA42DC46DE1AC62D32F24038 +:104F90000145216182805D711303810322D42AC6F9 +:104FA00032DC2A841A86680006D6BEC236DEBAC053 +:104FB000C2C4C6C61ACEEFF04FD4B2472380070052 +:104FC0003245B250018D225461618280B70710F0E2 +:104FD00083A647F403A607F403A747F4E31AD7FE12 +:104FE0009306067D33B6C600B305E60023A4D7F4C6 +:104FF00023A6B7F48280B70710F003A747F403A6EF +:1050000007F483A647F4E31AD7FE9306067D33B66A +:10501000C60023A4D7F4B305E60023A6B7F493078C +:10502000000873A047308280411122C406C697B79A +:1050300001009387A75617B401001304E455984361 +:105040001C40930647069387470617B601002325A1 +:10505000F654856717B601002322D654938777BB91 +:1050600063C6D700B240224441018280FD77938716 +:10507000C74A3E9797B7010023A2E7520523854709 +:105080006310F50218408967938777320145E3DBA7 +:10509000E7FCEFF07FED2244B24041016FC01FEC0E +:1050A00017B5010013058532EFF0BFEC0945EFF0AD +:1050B000BFEB2244B24041016FC05FEA17B5010067 +:1050C000130585326FF0FFEA17B50100130545326D +:1050D0006FF03FEA411122C426C206C6856417B4A8 +:1050E000010013046431938784380100FD17F5FF34 +:1050F0002285EFF01FE8C5BF15A9411106C6792921 +:10510000054781476316E500B2403E854101828034 +:1051100017B501001305852BEFF0BFE5B2408947B5 +:105120003E85410182807370043001A082807370DB +:10513000043001A0797126D24AD04ECE52CC56CA44 +:1051400006D622D417BA0100130A4A4797BA0100BB +:10515000938A0A46930450050549B1490145EFD0A9 +:10516000DF91EFD0BFFDEFC07FE12A8403250A0065 +:105170006C0081461306F00A02C697B7010023A50A +:105180009742EFB01F9709C597B7010023A02743A7 +:10519000EFC0DFDE33048540130414F5EFD05FFC6D +:1051A000014563F6890097B7010023A1274197B70E +:1051B000010023AB973EEFD05F8CEFD03FF8EFC0FC +:1051C000FFDB2A8403250A0081461306F00A6C00DF +:1051D00097B7010023AA973CEFB0FFA409C597B782 +:1051E000010023A5273DEFC07FD933048540130478 +:1051F00014F5EFD0FFF683A70A0063F6890017B70E +:1052000001002325273B850717B70100232E973878 +:1052100017B70100232EF73891B7397126DA4AD82B +:105220004ED652D456D25AD05ECE62CC66CA06DE74 +:1052300022DC6AC817BA0100130A4A3817B90100FC +:105240001309893697BB0100938B0B3797BC01007C +:10525000938C4C36294C054B914A85499304500553 +:1052600002C401463314CC00EFD05FEDEFC01FD174 +:105270002A8D03250A00814622866C00EFB0BF9A72 +:1052800009C597B7010023A33733EFC03FCF330DD4 +:10529000A541EFD0FFEC9307C40063768D0017B7EC +:1052A00001002325373163F1A727224697B7010074 +:1052B00023AE372F050632C4E3D6CAFA02C403254B +:1052C0000A00814601462C00EFB0BF82630A6525C3 +:1052D00097B7010023AC372DA24785073EC4E3D022 +:1052E000FAFE02C401463314CC00EFD03FE5EFC014 +:1052F000FFC82A8D03250A00814622862C00EFB0C4 +:105300004FFF09C597B7010023A2372BEFC01FC776 +:10531000330DA541EFD0DFE49307C40063768D0021 +:1053200017B701002324372963FAA71D224697B730 +:10533000010023AD3727050632C4E3D6CAFA03A518 +:105340000B0097B7010023A10726EFC03F848327F6 +:105350000900638997005145EFD0AF848327090086 +:10536000E39B97FE5145EFD0CF8397B7010023AD64 +:10537000072202C403250A00814601466C00EFB0F3 +:105380009F8A814601462C006306650197B701009C +:1053900023AE372103250A00EFB0AFF583270900BC +:1053A00095456306650117B701002321372103A541 +:1053B0000B006396970097B7010023A9371FEFC032 +:1053C000EFEA83270900894503A50B006396970040 +:1053D00097B7010023AC371DEFC04FE9A2478507FF +:1053E0003EC4E3D9FAF88327090051456389970041 +:1053F000EFC03FFB832709005145E39B97FEEFC0B9 +:105400005FFA97B7010023A1071A02C403250A0017 +:10541000814601466C00EFB01F81630D650F97B7A1 +:10542000010023A53719A24785073EC4E3D0FAFE41 +:1054300003A50B00EFC08FF5832709006389970050 +:105440005145EFC01FF683270900E39B97FE5145A6 +:10545000EFC03FF597B7010023A8071402C4032546 +:105460000A00814601462C00EFB0AFE881460146B4 +:105470006C006306650197B7010023A93713032564 +:105480000A00EFB04FFA83270900954563066501CE +:1054900017B70100232C371103A50B006396970063 +:1054A00097B7010023A43711EFC04FDC8327090011 +:1054B000894503A50B006396970097B7010023A7C2 +:1054C000370FEFC0AFDAA24785073EC4E3D9FAF839 +:1054D00083270900514563899700EFC09FEC83271C +:1054E00009005145E39B97FEEFC0BFEB83A70C007B +:1054F000850717B70100232FF70A9DB3224605063B +:1055000032C4E3D2CADE25BD2246050632C4E3DB3F +:10551000CAD46DB3A24785073EC4E3D9FAEE09BFEA +:10552000A24785073EC4E3DCFAD865BB41110146BA +:105530009145154506C6EFB06F9997B50100938563 +:10554000E5EC97B7010023ABA706EFB0BFE08148B9 +:10555000014881470D4781461306004097B5010079 +:105560009385C5EB17050000130565CBEFB0FFF67B +:10557000B2408148014897B701009387E703094784 +:1055800081461306004097B501009385A5E91705EC +:105590000000130565BA41016FB03FF497B70100F1 +:1055A00093870701984397B70100938767FF90435C +:1055B00097B7010093870700944397B7010093873B +:1055C00067FE9C4301456306C700B387F640333549 +:1055D000F00097B70100938767FD9C4317B6010061 +:1055E0002320E6FC17B70100232ED7FAFD17B337A7 +:1055F000F000B307F0407D8D82800000B305B50058 +:10560000930705006386B70003C70700631607000A +:105610003385A74067800000938717006FF09FFED7 +:10562000130101FB23229104232C41032322910324 +:10563000232611042324810423202105232E310352 +:10564000232A510323286103232671032324810382 +:105650002320A103232EB101930C0500138A05001A +:105660009304000063DE05003305A0403337A0003B +:10567000B305B040930C0500338AE5409304F0FF76 +:1056800063DA06003306C040B337C000B306D0402B +:10569000B386F640930A06009389060013840C0033 +:1056A00013090A006396062817BB0100130B8BC071 +:1056B0006370CA16B70701006372F6149307F00F00 +:1056C00063F4C70093098000B3573601330BFB0026 +:1056D00083470B0013050002B3873701B309F54078 +:1056E000638C0900B3153A01B3D7FC00B31A360135 +:1056F00033E9B70033943C0113DB0A0193050B0037 +:1057000013050900EF00507C130A050093050B00F8 +:10571000939B0A0113050900EF00907693DB0B01C0 +:105720009305050013850B00EF00D072131A0A01D0 +:1057300093570401B367FA0063FAA700B3875701D0 +:1057400063E6570163F4A700B38757013389A74085 +:1057500093050B0013050900EF001077130A0500ED +:1057600093050B0013050900EF0090711314040159 +:1057700093050500131A0A0113850B001354040145 +:10578000EF00506D33648A00637AA400330454013F +:10579000636654016374A400330454013304A440C9 +:1057A0003354340193050000638A040033048040BD +:1057B000B3378000B305B040B385F540130504004E +:1057C0008320C104032481048324410403290104A8 +:1057D0008329C103032A8103832A4103032B010385 +:1057E000832BC102032C8102832C4102032D010271 +:1057F000832DC1011301010567800000B707000177 +:1058000093090001E362F6EC930980016FF0DFEB8E +:10581000631A06009305000013051000EF005066A0 +:10582000930A0500B707010063FAFA0E9307F00F19 +:1058300063F4570193098000B3D73A01330BFB009F +:1058400083470B001305000233095A41B387370120 +:10585000B309F540E38209EAB39A3A01335BFA00EF +:10586000B3153A01B3D7FC0093DB0A0133E9B70063 +:1058700013050B0093850B00EF001065130A05005C +:1058800093850B00139C0A0113050B00EF00505F7A +:10589000135C0C019305050013050C00EF00905BF1 +:1058A000131A0A0193570901B367FA0033943C01B4 +:1058B00063FAA700B387570163E6570163F4A700B3 +:1058C000B3875701338BA74093850B0013050B005B +:1058D000EF00905F130A050093850B0013050B0082 +:1058E000EF00105A9305050013050C00EF009056C9 +:1058F00093160901131A0A0193D60601B366DA005A +:1059000063FAA600B386560163E6560163F4A60067 +:10591000B38656013389A6406FF01FDEB70700013A +:1059200093090001E3EAFAF0930980016FF0DFF0D8 +:105930006376DA0093050A006FF01FE7B7070100EE +:1059400063FAF604930BF00F33B5DB001315350043 +:1059500033D7A60097B701009387C795B387E700B1 +:1059600083CB070093050002B38BAB00338B7541EB +:10597000631C0B0263E4460163EACC003384CC4031 +:10598000B306DA4033B98C00338926419305090008 +:105990006FF09FE1B707000113050001E3EAF6FA93 +:1059A000130580016FF0DFFAB3966601335D76016F +:1059B000336DDD00B35D7A01B3156A0133DC7C0120 +:1059C00013540D01336CBC0013850D0093050400C6 +:1059D000B3196601EF00504F930A050093050400C8 +:1059E00013850D0033996C01931C0D01EF00504994 +:1059F00093DC0C01130A05009305050013850C00C8 +:105A0000EF005045939A0A0113570C0133E7EA005F +:105A1000930D0A00637EA7003307A701930DFAFFD9 +:105A20006368A7016376A700930DEAFF3307A70118 +:105A3000330AA7409305040013050A00EF00D0487D +:105A400093050400930A050013050A00EF00504374 +:105A5000930505001304050013850C00EF00903F2B +:105A600093150C01939A0A0193D50501B3E5BA0089 +:105A70001307040063FEA500B385A5011307F4FF17 +:105A800063E8A50163F6A5001307E4FFB385A5014C +:105A9000939D0D01B70C0100B3EDED001384FCFFE5 +:105AA000B3F78D0033F48900338AA54013850700CE +:105AB000930504002326F10093DD0D01EF009039DA +:105AC00093050400930A050013850D00EF0090383C +:105AD00013DC090193050C002324A10013850D009C +:105AE000EF0050378327C10013040C009305040016 +:105AF000130C050013850700EF00D03583268100C5 +:105B000013D70A013305D5003307A7006374D70004 +:105B1000330C9C01B70701009387F7FF93550701EA +:105B20003377F70013170701B3F7FA00B385850140 +:105B3000B307F7006366BA00631EBA00637CF9001E +:105B400033863741B3B7C700B385A541B385F54068 +:105B500093070600B307F9403339F900B305BA409B +:105B6000B385254133947501B3D767013364F400DD +:105B7000B3D565016FF05FC3130101FD23229102CC +:105B8000232A5101232611022324810223202103E9 +:105B9000232E3101232C4101232861012326710189 +:105BA00023248101232291012320A101930A0500CE +:105BB00093840500639E06381304060093090500CC +:105BC00017A901001309096F63F8C512B70701008F +:105BD000138B05006378F6101307F00F3337C700F7 +:105BE00013173700B357E6003309F900834609005D +:105BF0003387E60093060002B386E640638C060016 +:105C0000B394D40033D7EA003314D600336B970033 +:105C1000B399DA00935A040193850A0013050B0027 +:105C2000EF00902A1309050093850A00931B0401D5 +:105C300013050B00EF00D02493DB0B019304050048 +:105C40009305050013850B00EF00D02013190901FF +:105C500093D70901B367F900138A040063FEA70014 +:105C6000B3878700138AF4FF63E8870063F6A70011 +:105C7000138AE4FFB3878700B384A74093850A00A3 +:105C800013850400EF0050241309050093850A00D2 +:105C900013850400EF00D01E9399090193040500B9 +:105CA000930505001319090113850B0093D9090108 +:105CB000EF00501AB36939011386040063FCA90090 +:105CC000B30934011386F4FF63E6890063F4A90085 +:105CD0001386E4FF13140A013364C400130A00009E +:105CE0006F000013B707000113070001E36CF6EE25 +:105CF000130780016FF01FEF138A0600631A060076 +:105D00009305000013051000EF0090171304050021 +:105D1000B7070100637EF4129307F00F63F4870066 +:105D2000130A8000B35744013309F90003470900FF +:105D30009306000233074701B386E64063940612D8 +:105D4000B3848440130A1000135B040193050B0015 +:105D500013850400EF0050171309050093050B008D +:105D600013850400931B0401EF00901193DB0B01DA +:105D7000930405009305050013850B00EF00900DBB +:105D80001319090193D70901B367F900938A040035 +:105D900063FEA700B3878700938AF4FF63E8870058 +:105DA00063F6A700938AE4FFB3878700B384A74014 +:105DB00093050B0013850400EF0010111309050073 +:105DC00093050B0013850400EF00900B93990901D4 +:105DD00093040500930505001319090113850B00B1 +:105DE00093D90901EF001007B36939011386040044 +:105DF00063FCA900B30934011386F4FF63E689004C +:105E000063F4A9001386E4FF13940A013364C40009 +:105E10001305040093050A008320C10203248102B4 +:105E200083244102032901028329C101032A81013C +:105E3000832A4101032B0101832BC100032C810024 +:105E4000832C4100032D0100130101036780000032 +:105E5000B7070001130A0001E366F4EC130A80019E +:105E60006FF05FEC3314D40033DAE400B399DA0056 +:105E700033D7EA00935A0401B394D40093850A00FF +:105E800013050A00336B9700EF0010041309050097 +:105E900093850A0013050A00931B0401EF00407E5E +:105EA00093DB0B01930405009305050013850B009C +:105EB000EF00407A1319090113570B013367E9000A +:105EC000138A0400637EA70033078700138AF4FF58 +:105ED000636887006376A700138AE4FF33078700AF +:105EE000B304A74093850A0013850400EF00C07D2A +:105EF0001309050093850A0013850400EF0040781C +:105F0000930405009305050013850B00EF008074D2 +:105F100013170B011357070113190901B367E900A0 +:105F20001387040063FEA700B38787001387F4FF7D +:105F300063E8870063F6A7001387E4FFB387870051 +:105F4000131A0A01B384A740336AEA006FF0DFDF57 +:105F500063ECD51EB707010063F4F6041307F00FD6 +:105F6000B335D7009395350033D7B60097A7010016 +:105F700093874734B387E70003C70700130A00027B +:105F80003307B700330AEA4063160A02130410000D +:105F9000E3E096E833B6CA00134416006FF05FE7FB +:105FA000B707000193050001E3E0F6FC93058001CB +:105FB0006FF09FFBB35CE600B3964601B3ECDC00E8 +:105FC00033D4E40093DB0C01B397440133D7EA00E8 +:105FD00093850B0013050400336BF700B3194601DA +:105FE000EF00806E1309050093850B001305040074 +:105FF000139C0C01EF00C068135C0C0193040500B6 +:106000009305050013050C00EF00C0641319090186 +:1060100013570B013367E90013840400637EA70064 +:10602000330797011384F4FF636897016376A70031 +:106030001384E4FF33079701B304A74093850B0053 +:1060400013850400EF0040681309050093850B00D9 +:1060500013850400EF00C06293040500930505005A +:1060600013050C00EF00005F93170B0113190901D2 +:1060700093D70701B367F9001386040063FEA700F6 +:10608000B38797011386F4FF63E8970163F6A700CF +:106090001386E4FFB387970113140401B70B0100C3 +:1060A0003364C4001389FBFF337D240133F92901D4 +:1060B000B384A7409305090013050D00EF00805934 +:1060C000935C040193050900130B050013850C0074 +:1060D000EF00405893D90901130C0500938509007E +:1060E00013850C00EF000057130905009385090084 +:1060F00013050D00EF0000563305850193570B0182 +:106100003385A7006374850133097901935705012D +:10611000B387270163E6F402E392F4BCB7070100FA +:106120009387F7FF3375F50013150501337BFB00EB +:1061300033964A0133056501130A0000E37AA6CCC1 +:106140001304F4FF6FF09FB9130A0000130400005A +:106150006FF01FCC130101FB23248104232291043F +:10616000232E31032322910323261104232021050A +:10617000232C4103232A510323286103232671037F +:10618000232481032320A103232EB101930C0500B6 +:10619000938905001304050093840500639E062679 +:1061A00013090600138A060097AA0100938A8A1031 +:1061B00063F4C514B70701006376F6129307F00F76 +:1061C00063F4C700130A8000B3574601B38AFA008C +:1061D00003C70A001305000233074701330AE540ED +:1061E000630C0A00B395490133D7EC00331946011B +:1061F000B364B70033944C01935A090193850A00A4 +:1062000013850400EF00404C9309050093850A00B4 +:10621000131B090113850400EF008046135B0B017B +:106220009305050013050B00EF00C0429399090187 +:1062300093570401B3E7F90063FAA700B387270176 +:1062400063E6270163F4A700B3872701B384A7405F +:1062500093850A0013850400EF00004793090500A9 +:1062600093850A0013850400EF0080411314040194 +:10627000930505009399090113050B0013540401BC +:10628000EF00403D33E48900637AA4003304240125 +:10629000636624016374A400330424013304A4401E +:1062A00033554401930500008320C1040324810475 +:1062B00083244104032901048329C103032A8103A0 +:1062C000832A4103032B0103832BC102032C810288 +:1062D000832C4102032D0102832DC101130101050D +:1062E00067800000B7070001130A0001E36EF6ECB7 +:1062F000130A80016FF05FED631A0600930500003A +:1063000013051000EF00C03713090500B70701009F +:10631000637AF90E9307F00F63F42701130A8000E4 +:10632000B3574901B38AFA0003C70A0013050002F4 +:10633000B384294133074701330AE540E30E0AEAF3 +:1063400033194901B3DAE900B395490133D7EC00B9 +:1063500093540901336BB70013850A009385040039 +:10636000EF0080369309050093850400931B090113 +:1063700013850A00EF00C03093DB0B019305050085 +:1063800013850B00EF00002D9399090193570B0122 +:10639000B3E7F90033944C0163FAA700B3872701F0 +:1063A00063E6270163F4A700B3872701B38AA740F8 +:1063B0009385040013850A00EF000031930905005E +:1063C0009385040013850A00EF00802B93050500D8 +:1063D00013850B00EF00002893150B019399090119 +:1063E00093D50501B3E5B90063FAA500B38525018E +:1063F00063E6250163F4A500B3852501B384A540B8 +:106400006FF09FDFB7070001130A0001E36AF9F09C +:10641000130A80016FF0DFF0E3E8D5E8B707010069 +:1064200063FCF604930BF00F33B5DB001315350056 +:1064300033D7A60097A701009387C7E7B387E70084 +:1064400083CB070093050002B38BAB00338B754100 +:10645000631E0B0263E4360163EACC003384CC4054 +:10646000B386D94033B58C00B384A640130504002D +:10647000938504006FF05FE3B70700011305000187 +:10648000E3E8F6FA130580016FF09FFAB396660110 +:10649000335D7601336DDD0033D47901B395690145 +:1064A00033DC7C0193540D01336CBC0013050400F4 +:1064B00093850400B31A6601EF000021130A05005A +:1064C000938504001305040033996C01931C0D019E +:1064D000EF00001B93DC0C0113040500930505007D +:1064E00013850C00EF000017131A0A0113570C0153 +:1064F0003367EA00130A0400637EA7003307A7018D +:10650000130AF4FF6368A7016376A700130AE4FF88 +:106510003307A701B309A740938504001385090039 +:10652000EF00801A93850400130405001385090009 +:10653000EF000015930505009304050013850C007A +:10654000EF00401193150C011314040193D50501BC +:10655000B365B4001387040063FEA500B385A501ED +:106560001387F4FF63E8A50163F6A5001387E4FF32 +:10657000B385A501131A0A01B70C0100336AEA00BA +:106580001384FCFFB3778A0033F48A00B384A540F8 +:1065900013850700930504002326F100135A0A010E +:1065A000EF00400B930905009305040013050A0052 +:1065B000EF00400A13DC0A01930D050093050C005F +:1065C00013050A00EF0000098327C100130A050024 +:1065D00093050C0013850700EF00C0073305B501D4 +:1065E00013D709013307A7006374B701330A9A016F +:1065F000B70701009387F7FF935507013377F7003B +:1066000013170701B3F7F900B3854501B307F70086 +:1066100063E6B400639EB400637CF900338657419F +:10662000B3B7C700B385A541B385F540930706000E +:10663000B307F9403339F900B385B440B385254138 +:1066400033947501B3D767013365F400B3D56501A1 +:106650006FF09FC5130605001305000093F61500A3 +:10666000638406003305C50093D515001316160084 +:10667000E39605FE678000006340050663C60506D5 +:1066800013860500930505001305F0FF630C060251 +:1066900093061000637AB6006358C0001316160004 +:1066A00093961600E36AB6FE1305000063E6C50084 +:1066B000B385C5403365D50093D616001356160032 +:1066C000E39606FE6780000093820000EFF05FFB18 +:1066D00013850500678002003305A04063D80500DC +:1066E000B305B0406FF0DFF9B305B040938200000E +:1066F000EFF01FF93305A04067800200938200008D +:1067000063CA0500634C0500EFF09FF71385050091 +:1067100067800200B305B040E35805FE3305A04092 +:10672000EFF01FF63305B0406780020097A7010025 +:106730009387C7E3944317A701001307E7E11843C2 +:10674000C8C2637BE50017A701001307A7E1084350 +:106750008C4391056F90DFDE411122C406C617A756 +:106760000100130767E02A8408438C439105EF90EA +:106770003FDD97A70100938767DC9C436376F400B5 +:1067800097A7010023AC87DAB240224441018280FE +:1067900097A70100938787DD984394437C43FD17B7 +:1067A0007CC3FC4299E3736004308280011122CCE7 +:1067B00026CA2A84AE844AC84EC652C456C206CEE1 +:1067C000EFB0CFFA1C4003290401B7090001B3E57B +:1067D0009700930AC4000CC08144FD19370A0002D7 +:1067E0001840638C2A03032609004A85B3654701D4 +:1067F000B376360193175600758F0329490063C499 +:10680000070001E7F1BFE39DE6FC9317760063D331 +:106810000700D58CEFC0EFE4E1B793C4F4FFF98C27 +:1068200004C0EFB0BF990840F2406244D2444249EC +:10683000B249224A924A05618280411106C622C4A9 +:10684000EFE0DFCE17E7FFFF1307477E81460546DF +:106850009305400617A50100130585BAEFD06FB464 +:1068600019C92A84EFB08FF12A86014781468545F0 +:106870002285EFD0AFBFEFB0EFC9B240224401454F +:0468800041018280D0 +:02000004800278 +:100000002C47FEFF2A48FEFF3447FEFF2A48FEFF2A +:100010002A48FEFF2A48FEFF2A48FEFF4C47FEFF03 +:100020002A48FEFF2A48FEFF6647FEFF7247FEFF92 +:100030002A48FEFF8447FEFF9047FEFF9047FEFFE1 +:100040009047FEFF9047FEFF9047FEFF9047FEFF60 +:100050009047FEFF9047FEFF9047FEFF2A48FEFFB5 +:100060002A48FEFF2A48FEFF2A48FEFF2A48FEFFD4 +:100070002A48FEFF2A48FEFF2A48FEFF2A48FEFFC4 +:100080002A48FEFF2A48FEFF2A48FEFF2A48FEFFB4 +:100090002A48FEFF2A48FEFF2A48FEFF2A48FEFFA4 +:1000A0002A48FEFF2A48FEFF2A48FEFF2A48FEFF94 +:1000B0002A48FEFF2A48FEFF2A48FEFF2A48FEFF84 +:1000C0002A48FEFF2A48FEFF2A48FEFF2A48FEFF74 +:1000D0002A48FEFF2A48FEFF2A48FEFF2A48FEFF64 +:1000E0002A48FEFF2A48FEFF2A48FEFF2A48FEFF54 +:1000F0002A48FEFF2A48FEFF2A48FEFF2A48FEFF44 +:10010000C447FEFFDA47FEFF2A48FEFF2A48FEFFEB +:100110002A48FEFF2A48FEFF2A48FEFF2A48FEFF23 +:100120002A48FEFF2048FEFF2A48FEFF2A48FEFF1D +:10013000D245FEFF8A46FEFF2A48FEFF2A48FEFF00 +:10014000C046FEFF2A48FEFF1847FEFF2A48FEFF72 +:100150002A48FEFF4647FEFF384BFEFF8C4BFEFF52 +:10016000404BFEFF8C4BFEFF8C4BFEFF8C4BFEFF8B +:100170008C4BFEFF324AFEFF8C4BFEFF8C4BFEFF8A +:100180004C4AFEFF584AFEFF8C4BFEFF6A4AFEFFB8 +:10019000764AFEFF764AFEFF764AFEFF764AFEFF6B +:1001A000764AFEFF764AFEFF764AFEFF764AFEFF5B +:1001B000764AFEFF8C4BFEFF8C4BFEFF8C4BFEFF06 +:1001C0008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFFDF +:1001D0008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFFCF +:1001E0008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFFBF +:1001F0008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFFAF +:100200008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFF9E +:100210008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFF8E +:100220008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFF7E +:100230008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFF6E +:100240008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFF5E +:100250008C4BFEFF8C4BFEFFAA4AFEFFD84AFEFFE6 +:100260008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFF3E +:100270008C4BFEFF8C4BFEFF8C4BFEFF2E4BFEFF8C +:100280008C4BFEFF8C4BFEFF9048FEFF8A49FEFF21 +:100290008C4BFEFF8C4BFEFFFC49FEFF8C4BFEFFA0 +:1002A000224AFEFF8C4BFEFF8C4BFEFF864BFEFF6F +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020416C74426C6F636B207461736B73208B +:1003E0000D0A00006D69616F750A00006D69616F2B +:1003F00075320A0068756E6772790A004368656332 +:100400006B54696D65720000416C74426C6F636B74 +:10041000517565756500000046425465737431007E +:0804200046425465737432007A +:100428001000000000000000017A5200017C010168 +:100438001B0D02005000000018000000DC51FEFFF8 +:100448005805000000440E507489039406990B81E6 +:1004580001880292049305950796089709980A9AC5 +:100468000C9B0D036C010AC144C844C944D244D34F +:1004780044D444D544D644D744D844D944DA44DB98 +:10048800440E00440B0000004C0000006C0000000B +:10049800E056FEFFDC05000000440E30708903952D +:1004A8000781018802920493059406960897099893 +:1004B8000A990B9A0C0370020AC144C844C944D271 +:1004C80044D344D444D544D644D744D844D944DA50 +:1004D800440E00440B00000050000000BC00000067 +:1004E8006C5CFEFF0005000000440E507488028911 +:1004F800039305990B810192049406950796089732 +:1005080009980A9A0C9B0D0320010AC144C844C9E2 +:1005180044D244D344D444D544D644D744D844D907 +:0C05280044DA44DB440E00440B000000E9 +:0805BC00FC8F010009000000A2 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/AltBlock_rv32im_O3.hex b/VexRiscv/src/test/resources/freertos/AltBlock_rv32im_O3.hex new file mode 100644 index 0000000..0cfe686 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/AltBlock_rv32im_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/AltBlock_rv32imac_O3.hex b/VexRiscv/src/test/resources/freertos/AltBlock_rv32imac_O3.hex new file mode 100644 index 0000000..c8cdc68 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/AltBlock_rv32imac_O3.hex @@ -0,0 +1,1638 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900061C7170502001305E54A9795030093858C +:1000A000257201461122170502001305253D97050B +:1000B00002009385E5440146C52817A503001305F2 +:1000C000657097B503009385A56F37B6ABAB130684 +:1000D000B6BAD92817B103001301C16EEF606006EC +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2C22723A02200F3221034D7 +:1002400096DEEF00002B1701020003216126024118 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E22923A0120023A2A9 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40704B170102000321F4 +:1003300021180241F65273901234B72200009382C2 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A21B83A0B0 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2C20523A0220086DEEF20BD +:100460002053170102000321A1040241F6527390A8 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF40D02BEF10502F01E54E +:10050000B24041018280B24041016F2060489307B0 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30F0441A +:1005B0008280411122C406C62A8426C2EF207036EA +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF20807C6DD5EF20701C3C44FD17E4 +:1005F0003CC43C44E344F0FEFD573CC4EF20B0341F +:10060000EF2030327C40635BF0021C4885CB9304C2 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2040786DD5EF20301803 +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20F02F29C541114C +:1006500022C426C22A8406C6AE84EF20902C3440E1 +:100660005C5C1840232C0402B387F6027D5670C0F0 +:1006700018C430C4B386D740BA9736975CC058C404 +:1006800091EC1C489DE3EF20102CB2402244924490 +:100690000545410182807370043001A013050401F7 +:1006A000BD3513054402A535F9BF13050401EF203C +:1006B000C06F8547E319F5FC913BF1B701E5737015 +:1006C000043001A0011126CA4AC84EC606CE22CC6B +:1006D000B2892E89AA84A5C53305B502130555052F +:1006E000EF3070192A8435C1930745051CC144DCDD +:1006F00023202405EF20F02234405C5C18407D5616 +:10070000B387F602232C040270C018C430C413054A +:100710000401B386D740BA9736975CC058C4C53B2E +:1007200013054402ED33EF201022230834052285FF +:10073000F2406244D2444249B249056182801305C5 +:100740004005EF3050132A8401E57370043001A096 +:1007500000C071BF01E57370043001A06375B5007E +:100760007370043001A0411122C409462E848145D2 +:1007700006C6A93711C500DDB2402244410182807E +:100780007370043001A05D7186C6A2C4A6C2CAC03F +:100790004EDE52DC56DA5AD85ED632C6630A051CE3 +:1007A0006382051C89476399F600585D8547630598 +:1007B000F7007370043001A03689AE842A84EF20DC +:1007C000900111E5B24781C77370043001A0EF209A +:1007D0005015185C5C5C014B894BFD59130A0401F0 +:1007E000930A44026365F70C63077919B247638182 +:1007F000072463150B002808EF20406EEF20B0148B +:10080000EF10A075EF20F0117C4063943701232294 +:1008100004043C446394370123240404EF20B01201 +:100820006C002808EF20A06D631E051EEF20700FDE +:10083000185C5C5C630CF70AEF20F010EF20700E80 +:100840003C44634FF00039A85685EF20005619C18B +:10085000EF20E0753C44FD173CC43C446354F00079 +:100860005C50FDF323243405EF20F00DEF20700BD6 +:100870007C40634FF00039A85285EF20005319C126 +:10088000EF20E0727C40FD177CC07C406354F00098 +:100890001C48FDF323223405EF20F00AEF10F0107E +:1008A000054BEF201008185C5C5CE37FF7F23040EA +:1008B0006305060C631F09120844A685EF30F01388 +:1008C0001C4434405840B6971CC463EAE70A1C5CD9 +:1008D00014405850850714C41CDC5DC7130544023E +:1008E000EF20A04C85476311F50AC9A8EF20B00599 +:1008F000B2455285EF20202DEF20B0023C44634FDB +:10090000F00039A85685EF20404A19C1EF20206A2F +:100910003C44FD173CC43C446354F0005C50FDF380 +:1009200023243405EF203002EF20A07F7C40634F6A +:10093000F00039A85285EF20404719C1EF20206709 +:100940007C40FD177CC07C406354F0001C48FDF3E4 +:1009500023223405EF20207FEF10300531F17D3464 +:10096000054B81B73C41E38F07E27370043001A06F +:100970007370043001A0304021E61C4085C71C5C28 +:10098000585085071CDC39FBEF20E07B0545B6405D +:10099000264496440649F259625AD25A425BB25BE7 +:1009A000616182804840EF20206F1C5C58502322F8 +:1009B000040085071CDCE31307F279D5813CE9B715 +:1009C0004844A685EF3070033C4058441440B307B8 +:1009D000F0403E9758C4637CD7025840BA975CC435 +:1009E00009475C506306E902185C050718DCC9DF9B +:1009F000F5B54844A685EF3050003C4058441440BB +:100A0000B307F0403E9758C4E37CD7FCF9B75C507D +:100A1000185C79DB185C7D1718DC185C050718DC9E +:100A2000A5D76DBD22857136EF102078014585B7B9 +:100A3000EF2060710145A1BF411126C2AA841305B0 +:100A4000400522C406C6EF3000632A8415CD232C4E +:100A50000502FD577CC10547410558DC3CC42322F3 +:100A60000400232004002324040023260400232060 +:100A7000040423089404613C13054402493C814664 +:100A800001468145228501332285B24022449244A9 +:100A9000410182800DC5411122C426C206C64AC04A +:100AA000032945002A84EF2040528144630CA900A9 +:100AB000B2402244268502499244410182807370EB +:100AC000043001A05C448544FD175CC4F5F3814605 +:100AD0000146814522854539E1BF397106DE22DCB8 +:100AE00026DA4AD84ED652D432C635CD8149DDC138 +:100AF0003689AE842A84130A05012DA0EF20A06454 +:100B0000EF2020626C002808EF20603F31E1EF20E9 +:100B10004061185C5C5C6305F702EF20C062EF2067 +:100B200080628549EF20E05F185C5C5C6360F704DD +:100B3000B24799CFE39409FC2808EF20203A7DBF03 +:100B4000EF206060B2455285EF20E007C130C1BFA1 +:100B5000EF20605FF2506254D2544259B259225A87 +:100B60000145216182807370043001A0304015EE90 +:100B70001C4095C71C5C585085071CDC39E7EF20EA +:100B8000805CF2506254D2544259B259225A0545FF +:100B9000216182803C41A9DF7370043001A048408C +:100BA000EF20804F23220400F1B7631909020844A3 +:100BB000A685EF3080641C4434405840B6971CC46E +:100BC000E3EAE7FA1C401CC475B713054402EF20A2 +:100BD000C01D8547E315F5FA153855B74844A68575 +:100BE000EF30A0613C4058441440B307F0403E97BA +:100BF00058C46375D7005840BA975CC48947E31B53 +:100C0000F9F61C5CA5DB1C5CFD171CDCA5B7397173 +:100C100006DE22DC26DA4AD84ED652D432C663012A +:100C2000050E81446388050CB6892E892A84130A2F +:100C3000450231A0EF202051EF20E0508544EF2005 +:100C4000404E1C5CBDE3B24795CF8DC8EF20A04F4E +:100C5000EF20204D6C002808EF20602A05E5EF20EA +:100C6000404C1C5CE1FBEF20004E1C409DC7B24590 +:100C70005285EF105075EFF06FF97DBF2808EF2017 +:100C8000E025E9B7EF20204CF2506254D25442598B +:100C9000B259225A014521618280EF2080484840A4 +:100CA000EF206035EF20204AD9B73040444409CEC8 +:100CB0005840B387C4005CC463E4E7001C405CC4D4 +:100CC0004C444A85EF306053639F09021C5C184016 +:100CD000FD171CDC01E7EF20804B48C01C489DC776 +:100CE00013050401EF20600C85476311F502EFF056 +:100CF000EFF129A83C41E38907F27370043001A0A9 +:100D00007370043001A05C5044C481EFEF20A04315 +:100D1000F2506254D2544259B259225A05452161C7 +:100D2000828013054402EF2040086DD1C9B725C168 +:100D3000B1C9011122CC26CA4AC852C406CE4EC639 +:100D40008947B68432892E8A2A846399F600585DD1 +:100D500085476301F7067370043001A0EFF0EFF6EA +:100D6000185C5C5CAA896367F70281444E85EFF0EA +:100D70006FF5F240624426854249D244B249224A84 +:100D8000056182803C41D5D77370043001A0737037 +:100D9000043001A0304049E21C40DDCB1C5C7D5793 +:100DA00085071CDC3C446388E7083C448544850790 +:100DB0003CC46DBFEFF06FF1185C5C5CAA89636D99 +:100DC000F702304071DA4844D285EF3000433C40AE +:100DD00058441440B307F0403E9758C46375D70099 +:100DE0005840BA975CC48947E39AF4FA1C5CDDD793 +:100DF0001C5CFD171CDC5DB7304059DE4844D285D1 +:100E0000EF30A03F3C4058441440B307F0403E97B9 +:100E100058C4E367D7FCD9BFDDF40844D285EF306E +:100E2000C03D1C4434405840B6971CC4E3E8E7F684 +:100E30001C401CC4A5B75C5085448DDB13054402DF +:100E4000EF10B07605D5E30309F22320990039BFEE +:100E50004840EF2060242322040089B731CD3C4173 +:100E600081C77370043001A01C41B1CB011122CCA9 +:100E700026CA2A844AC84EC606CE2E89EFF0EFE471 +:100E8000185C5C5CAA898144637DF7001C5C7D571B +:100E900085071CDC3C446389E7023C4485448507A4 +:100EA0003CC44E85EFF00FE2F24062442685424991 +:100EB000D244B249056182807370043001A05C4164 +:100EC000D5D77370043001A05C5099E38544D1BF3D +:100ED00013054402EF10706D75D9E30909FE8547CB +:100EE0002320F900E5B7397106DE22DC26DA4AD87C +:100EF0004ED652D456D232C66302051C638A051AF6 +:100F0000B68AAE892A84EF20000D11E5B24781C769 +:100F10007370043001A0EF20C0201C5C63920714A2 +:100F2000B247638E070A2808EF10507BFD5413095F +:100F30004402130A0401EF200021EF100002EF2009 +:100F4000401E7C4063949700232204043C44639435 +:100F5000970023240404EF20001F6C002808EF10E2 +:100F6000107A63130518EF20C01B1C5CADCFEF2077 +:100F7000801DEF20001B3C44634FF00039A84A85D8 +:100F8000EF10B06219C1EF2080023C44FD173CC451 +:100F90003C446354F0005C50FDF324C4EF20A01ADD +:100FA000EF2020187C40634FF00039A85285EF10E5 +:100FB000D05F19C1EF10B07F7C40FD177CC07C4032 +:100FC0006354F0001C48FDF364C0EF20C017EF101D +:100FD000C01DEF2000151C5CC1E7B247A9FFEF2040 +:100FE0008016014555A0EF2000161C40DDC7B24514 +:100FF0004A85EF10503DEF20C0123C44634FF00093 +:1010000039A84A85EF10705A19C1EF10507A3C4444 +:10101000FD173CC43C446354F0005C50FDF324C411 +:10102000EF206012EF20E00F7C40634FF00039A802 +:101030005285EF10905719C1EF1070777C40FD1763 +:101040007CC07C406354F0001C48FDF364C0EF207A +:10105000800FEF10801531C9EF20A00C1C5CB5DFAC +:10106000CE8522854444EFF0EFD2639C0A041C5CD9 +:101070001840FD171CDC01E7EF20601148C01C4838 +:10108000A1EFEF20400C0545F2506254D254425972 +:10109000B259225A925A21618280EF20800848403A +:1010A000EF107075EF20200A99B7EFF02FB66DB7EB +:1010B0003C41E38707E47370043001A0737004308F +:1010C00001A05C5044C4D5DF13054402EF10F04D7D +:1010D0004DD9EFF0AFB375B713050401EF10F04C25 +:1010E0008547E310F5FAF5B72285EFF08FCCEF10C6 +:1010F000C00B014551BF1DC9411122C44AC006C6DB +:1011000026C244412E892A84EF10306C6383A402E6 +:1011100081464A8681452285F93385476300F50279 +:10112000B2402244924402494101828073700430EB +:1011300001A05C44054585075CC4DDB75C448507B8 +:101140005CC4F9BF15CDADC9011122CC26CA4AC86D +:101150004EC652C42A8406CE3289AE89EFF0EFB66D +:101160001C5C2A8A814485E35285EFF0AFB5F240DA +:10117000624426854249D244B249224A05618280AE +:101180007370043001A0304001CE4C445C40B295F5 +:101190004CC463E4F5000C404CC44E85EF30E005D0 +:1011A0001C5C7D57FD171CDC7C40638CE7007C4099 +:1011B000854485077CC04DBF3C41D9D7737004304E +:1011C00001A01C4899E3854445B713050401EF10BD +:1011D000D03D75D9E30909FE85472320F900E5B71D +:1011E00021C93C41A1CDA1CB011122CC26CA4AC8BC +:1011F00052C42A8406CE4EC62E8AEFF00FAD1C5C78 +:101200002A89814491CB8329C400D2852285EFF0BD +:101210006FB88544232634014A85EFF0AFAAF24027 +:10122000624426854249D244B249224A05618280FD +:101230007370043001A07370043001A0EDDF73708F +:10124000043001A001E57370043001A0411122C4F3 +:101250002A8406C6EF10F06C005CEF10D06EB2402E +:10126000228522444101828001E57370043001A08F +:10127000411106C622C42A84EF10B06A1C5C405C8F +:101280001D8CEF10506C2285B240224441018280B7 +:1012900019C1085D82807370043001A01DCD97874D +:1012A00003009387274ED843630CE502D847630CAD +:1012B000E504D84B6307E504D84F6302E504D8532F +:1012C000630DE502D8576308E502D85B6303E502C6 +:1012D000D85F630EE5006F20306C7370043001A09E +:1012E00001470E07BA9723A007006F20F06A1D4739 +:1012F000CDBF1947FDB71547EDB71147DDB70D470E +:10130000CDB70947F9BF0547E9BF684582806CC57D +:10131000828003450505828009C5085D13351500E7 +:1013200082807370043001A019C51C5D485D1D8D5D +:101330001335150082807370043001A09787030075 +:1013400093874744984305C3984705C7984B05C7FB +:10135000984F05C7985305C7985705C7985B15C799 +:10136000985F15C3828001470E07BA978CC3C8C324 +:1013700082800547D5BF0947C5BF0D47F5B711475F +:10138000E5B71547D5B71D47C5B71947F1BF9787CB +:1013900003009387273FD8436308E502D847630BD0 +:1013A000E502D84B630AE502D84F6309E502D8533A +:1013B0006308E502D8576307E502D85B6308E502D6 +:1013C000D85F6303A702828001470E07BA9723A064 +:1013D000070082800547D5BF0947C5BF0D47F5B750 +:1013E0001147E5B71547D5B71D47C5B71947F1BF31 +:1013F000411122C426C24AC02A8406C6AE8432895C +:10140000EF1030527840FD576314F7002322040494 +:101410003844FD576314F70023240404EF10B0523E +:101420001C5C99E74A86A68513054402EF10B00CB0 +:10143000EF10304F3C44635BF0025C5085CB93046B +:10144000440209A83C44FD173CC43C446350F002EC +:101450005C5089CF2685EF1050156DD5EF103035D3 +:101460003C44FD173CC43C44E344F0FEFD573CC4FF +:10147000EF10704DEF10F04A7C40635BF0021C48A7 +:1014800085CB9304040109A87C40FD177CC07C40F7 +:101490006350F0021C4889CF2685EF1010116DD5DE +:1014A000EF10F0307C40FD177CC07C40E344F0FE40 +:1014B000FD577CC02244B2409244024941016F1062 +:1014C000904805C579714ECE52CC06D63E8A22D4BC +:1014D00026D24AD056CA5AC85EC662C4A547BA893F +:1014E00063F8E7007370043001A07370043001A04A +:1014F000AA8AAE843289B68B428B6309082213050F +:101500000006EF2050372A84630D05200A0923289E +:1015100065034A869305500A5A85EF20105C03C77D +:1015200004001C587119230AE40203C704003E9901 +:101530001379C9FF79C783C71400A30AF40283C7CC +:101540001400E1C383C72400230BF40283C72400E3 +:10155000CDCB83C73400A30BF40283C73400D5C3BB +:1015600083C74400230CF40283C74400D9CB83C74C +:101570005400A30CF40283C75400C1C783C764009E +:10158000230DF40283C76400ADCF83C77400A30D9D +:10159000F40283C77400B5C783C78400230EF40226 +:1015A00083C78400B9CF83C79400A30EF40283C716 +:1015B0009400A1CB83C7A400230FF40283C7A40027 +:1015C000A9C383C7B400A30FF40283C7B40095CBAB +:1015D00083C7C4002300F40483C7C4009DC383C72A +:1015E000D400A300F40483C7D40081CF83C7E400F0 +:1015F0002301F40483C7E40089C783C7F400A3016F +:10160000F404130B44005A85A30104042326340375 +:1016100023283405232A0404EFE0BFF013058401D6 +:10162000EFE03FF0A947B3873741232C040400C8FB +:101630001CCC40D023220404232E04045E86D685CD +:101640004A85EFE03FE908C063040A0023208A00CE +:101650007370043017F901001309C9E383270900E7 +:1016600097F401009384C4E491C798409C407C4364 +:1016700085077CC317F70100130787E21C43850722 +:1016800097F6010023AEF6E09C40D5CB83270900F6 +:1016900017FA0100130ACAF289EB9C40DC5763E693 +:1016A000F90097F7010023A587E097F701009387DA +:1016B00067DD984397F60100938606DE5C549442FA +:1016C000050717F60100232FE6DA38C463F6F600A3 +:1016D00017F701002322F7DC5147B387E702DA85C9 +:1016E0003305FA00EFE05FE48327090081C79C40DF +:1016F000FC43BDE383270900054499C79C40DC57A0 +:1017000063F43701EFE09FD02285B2502254925407 +:101710000259F249624AD24A424BB24B224C4561CD +:1017200082805A85EF2050277D54F9BF131526007B +:10173000EF2070142A8BE31405DC7D54F1B797F782 +:10174000010023A787D6184385476301F70217FADC +:101750000100130AEAE691BF984094407C43FD17CC +:101760007CC3FC42C1FB7360043069B7814A17FA3D +:101770000100130AEAE4514CA94B33858A03850A18 +:101780005295EFE0DFD8E39A7AFF97FB0100938B45 +:10179000ABEF5E85EFE0BFD797FA0100938A0AF0BE +:1017A0005685EFE0DFD617F50100130565F0EFE091 +:1017B0001FD617F501001305E5F0EFE05FD517F52B +:1017C0000100130565F1EFE09FD497F7010023AF07 +:1017D00077CD97F7010023A957CDC1BD411122C490 +:1017E00006C626C24AC02A847370043097F40100EA +:1017F000938444CA9C40F9E311E497F7010093876E +:1018000027CB8043130944004A85EFE07FD61C5460 +:1018100089C713058401EFE0BFD5CA8517F501001C +:10182000130545EAEFE05FD097F70100938787C77C +:10183000984397F701009387E7C49C43050797F601 +:10184000010023A1E6C69840850797F6010023AB67 +:10185000F6C201CB97F70100938787C59843784379 +:1018600049EF9C40B1C797F70100938767C498433D +:10187000630F870873700430984039EB17F7010045 +:101880001307C7C214439442A5E27D5797F601009F +:1018900023A8E6BE984009CF9843784311CB9843DC +:1018A00094437C43FD177CC3FC4299E3736004308E +:1018B000B2402244924402494101828097F70100DC +:1018C000938707BF98439C437C4385077CC32DB710 +:1018D00094439843F8420507F8C217F7010013072D +:1018E000E7BC14439442D5D218435847584758434D +:1018F00097F6010023A6E6B871BF984394437C4352 +:10190000FD177CC3FC42B1FF7360043099BF97F7A9 +:1019100001009387A7B69C4381C77370043001A070 +:101920002244B2409244024941016FE03FAE41C5BA +:1019300017F701001307C7B714438147638BA60647 +:10194000411106C622C426C27370043097F6010006 +:10195000938646B49C4291C710431C437C42850742 +:101960007CC29C42AA84404981C71C43FC43B9E71E +:1019700097F70100938787B39C43638B870497F79E +:101980000100938767B29C436384870497F7010043 +:10199000938787D46309F40297F70100938787D26E +:1019A0006301F4028547B240224492443E854101DE +:1019B00082803E8582807370043001A0EF40C06D4C +:1019C00045BF9147CDB79C5493B717008907E1BF36 +:1019D0008947D1BF7370043097F70100938787ABB5 +:1019E000984309EF15C59C43485581CB97F70100F3 +:1019F000938707AC9843784315E3828017F701007B +:101A0000130707AB14431843F8420507F8C261FDFA +:101A100017F701001307C7A90843F1B79843944388 +:101A20007C43FD177CC3FC42E9FB73600430828079 +:101A3000411122C42A8406C6EFE03FA911E497F7BA +:101A400001009387E7A680434054EFE0BFA7B24070 +:101A50002285224441018280A54763F5B700737057 +:101A6000043001A0011122CC06CE26CA4AC84EC6B7 +:101A700052C42A847370043017F90100130989A134 +:101A800083270900D5EF79C43C486389F50817F727 +:101A900001001307E7A163FCB7001443638D860CB4 +:101AA00018434457B3B4950093C4140029A00443C9 +:101AB000818C93B4140058546384E70A1C4C2CC8DE +:101AC00063C60700A947B385B7400CCC931727001E +:101AD000BA97584897F90100938989AE8A07CE973B +:101AE000631BF702130A44005285EFE07FA817F743 +:101AF00001001307679A5C5418436376F70017F7E1 +:101B00000100232BF7985147B387E702D28533852D +:101B1000F900EFE07FA199C0EFE05F8F8327090014 +:101B200081CB97F701009387A7989C43FC439DEBDB +:101B3000F2406244D2444249B249224A056182805D +:101B400097F701009387C79698439C437C4385078A +:101B50007CC31DF897F701009387879580432DB7C5 +:101B60004CD4A9BF6244F240D2444249B249224A0D +:101B700005616F40605281443DBF25C1011126CAF5 +:101B800097F401009384C4929C4006CE22CC4AC8AC +:101B90004EC6638DA7027370043017F90100130954 +:101BA000698F8327090091C798409C407C43850733 +:101BB0007CC3584997F70100938707B26302F70285 +:101BC0008327090081C79C40FC43A5EBF240624497 +:101BD000D2444249B249056182807370043001A049 +:101BE0001C5517F701001307A7ACE38BE7FCE9FBD3 +:101BF000930945002A844E85EFE09F9717F701006F +:101C0000130787895C5418436376F70017F70100C0 +:101C10002324F7885147B387E70217F5010013051E +:101C2000259ACE853E95EFE03F909C405854DC5776 +:101C3000E368F7F8EFE08FFD61B76244F240D24409 +:101C40004249B24905616F40204515CD011122CCB2 +:101C500026CA4AC82A8406CE4EC6EFE01F875848D7 +:101C600097F70100938747A72A8981446300F70209 +:101C70004A85EFE03F85F240624426854249D244DE +:101C8000B249056182807370043001A01C5417F5BD +:101C900001001305E5A1E38DA7FCF9FB97E701001F +:101CA0009387C77D9C43A9EB97F7010093874780F3 +:101CB0009C43445493094400DC574E85B3B4F4006C +:101CC000EFE01F8B17E701001307077D5C541843F3 +:101CD00093C414006376F70017E70100232EF77A08 +:101CE0005147B387E70217F501001305658DCE85CF +:101CF0003E95EFE07F83ADBF93058401EFE0DF8287 +:101D000085BF0111056506CE22CC26CA4AC84EC63B +:101D100052C456C25AC0EF200036630E051AAA8478 +:101D200013050006EF2020352A84630A051C05668A +:101D30009305500A04D92685EF20205A0458856758 +:101D4000F117BE94B7474C4593879744130A440054 +:101D50005CD85285230C0402A30104042326040248 +:101D600023280404232A0404EFE0AFFB13058401B5 +:101D7000EFE02FFBA947232C0404F19800C81CCCEA +:101D800040D023220404232E0404014697150000AA +:101D90009385E5B12685EFE0EFF308C0737004305A +:101DA00017E901001309096F8327090097E401006F +:101DB0009384047091C798409C407C4385077CC302 +:101DC00017E701001307C76D1C43850797E601005D +:101DD00023A8F66C9C40C9C38327090097E901003A +:101DE0009389097E81EB9C40DC5789E797E70100E6 +:101DF00023A0876C97E701009387C768984397E60D +:101E00000100938666695C549442050717E6010059 +:101E1000232AE66638C463F6F60017E70100232D8F +:101E2000F766139527003E950A05D2854E95EFE09B +:101E3000AFEF8327090081C79C40FC43DDE7832780 +:101E4000090091C39C40EF10704985476305F50C6C +:101E500059E17370043001A097E7010023AA876459 +:101E60001843854797E9010093898975E314F7F8CA +:101E7000CE8A17FB0100130B2B815685D10AEFE0A8 +:101E80000FE9E31C5BFF17E501001305E57FEFE0B9 +:101E90000FE897FA0100938A6A805685EFE02FE7F2 +:101EA00017F501001305C580EFE06FE617F5010097 +:101EB00013054581EFE0AFE517F501001305C58176 +:101EC000EFE0EFE497E7010023A2675F97E70100E7 +:101ED00023AC575D05B7F2406244D2444249B2494F +:101EE000224A924A024B05618280984094407C438A +:101EF000FD177CC3FC42A1F77360043089B76244CC +:101F0000F2404249B249224A924A024B2685D244C3 +:101F100005616F20602873700430FD5717E70100DA +:101F20002320F756624497E7010023A5A756F24005 +:101F3000D2444249B249224A924A024B97E70100F1 +:101F400023AE075405616FE0CFB57370043097E797 +:101F5000010023A107546FE00FC317E70100130727 +:101F6000E7511C4385071CC382807370043097E7D8 +:101F7000010093872752984305E317E701001307F1 +:101F8000E75108439C4381CB97E701009387475271 +:101F90009843784301EF828017E701001307475108 +:101FA00014431843F8420507F8C2C1BF984394434D +:101FB0007C43FD177CC3FC42F9FF736004308280D0 +:101FC000411106C622C4EFE04FD097E70100938786 +:101FD000E74C8043EFE00FCFB2402285224441011D +:101FE000828097E701009387A74B884382807971AD +:101FF00006D622D426D24AD04ECE52CC56CA5AC881 +:102000005EC697E70100938767479C43B1EF17E7E8 +:1020100001001307A7481C43850797E6010023AF7B +:10202000F646832A0700638F0A0697E70100938725 +:1020300027459C43814463F1FA0897E9010093899D +:10204000295817EA0100130AAA4683270A00854681 +:10205000D85793172700BA978A07BE9983A7090014 +:1020600063FFF600854421A897E701009387474264 +:102070009C438144850717E70100232BF74097E72E +:1020800001009387A7409C4391C38544B2502254DA +:10209000268502599254F249624AD24A424BB24BC7 +:1020A0004561828017E40100130444401C409C43B6 +:1020B000DDC37370043001A017E401001304043F72 +:1020C00097E901009389C94F17EA0100130A4A3EB4 +:1020D00017EB0100130B4B3C1C409C43B5C31C4049 +:1020E000DC4703A9C70083274900930B49005E859D +:1020F00063EEFA04EFE0CFC7832789021305890155 +:1021000099C3EFE0EFC68327C90203270B00DE85E2 +:10211000139527003E950A054E956376F70017E75D +:102120000100232BF736EFE02FC083270A00032797 +:10213000C902DC57E362F7FA1C4085449C43C5F3AF +:10214000FD5717E70100232DF73201B717E701000C +:102150002328F732DDBD17E701001307E7341C40E1 +:10216000184397E6010023A3E63417E70100232D67 +:10217000F73297E70100938727319C43850717E7DC +:1021800001002323F7301C409C4399E7FD5717E7D4 +:1021900001002327F72E51BD1C40DC47DC47DC4300 +:1021A00017E70100232EF72C49B597E70100938725 +:1021B000E72C984301E77370043001A0011106CEAB +:1021C00022CC26CA4AC84EC652C456C25AC07370E0 +:1021D000043097E401009384E42B984061EB98432A +:1021E0007D1797E6010023ABE6289C43D9EB97E7E0 +:1021F00001009387E72A9C43C9C797EA0100938AA5 +:102200002A3C17EA0100130A2A2917E901001309D9 +:10221000292A854919A83385EA00EFE0EFB0832722 +:1022200009005854DC576379F70483A70A0FC1CF1C +:1022300083A7CA0FC04713058401EFE06FB3130BE8 +:1022400044005A85EFE0CFB25C5483260A00DA8559 +:10225000139527003E950A052A875695E3FDF6FA61 +:1022600017E70100232AF722EFE00FAC83270900CC +:102270005854DC57E36BF7FA97E7010023A837219E +:102280006DB701449C4081CB97E7010093874722BB +:102290009C43FC4395E72285F2406244D244424984 +:1022A000B249224A924A024B0561828017E7010037 +:1022B0001307072014431843F8420507F8C205B76F +:1022C000EF30905DC9BF17E401001304641C1C408B +:1022D00095C31C40054999CF193B09C597E70100F3 +:1022E00023A6271B1C40FD1717E701002322F71A1E +:1022F0001C40FDF397E701009387471998438547F2 +:10230000E311F7F8EFE08F900544ADBF11CD81E503 +:102310007370043001A017E70100130727161C4350 +:1023200099C77370043001A07370043001A041118B +:1023300022C406C614431841850617E60100232F60 +:10234000D61297E601009386661594423304B700CF +:1023500000C163F7E602637FE40063FD860097E750 +:1023600001009387E71488431105EFE06FA02285F1 +:10237000EF30504C1D3D01C9B240224441018280E2 +:10238000E36FE4FCD9BF2244B24041016FE00F8803 +:1023900011C917E701001307670E1C4391C773703B +:1023A000043001A06FE08F86411106C622C4184395 +:1023B000AA87050797E6010023A2E60C97E601002D +:1023C0009386060F17E701001307470D184388424D +:1023D0003304F7001105EFE0AF992285EF30904507 +:1023E000E93311E52244B24041016FE02F82B2404F +:1023F000224441018280411122C406C697E70100B0 +:102400009387C7079C430144850717E701002327EB +:10241000F70697E701009387A7089C4363E0F5124E +:1024200017EE0100130E8E2597E2010093828218A9 +:1024300001440147854F1303500A21A0311E638DCB +:10244000C20B83278EFFBA86F5DB8327CEFFDC43E2 +:10245000232EFEFE638AC70F03AFC700DC432A9713 +:10246000814E232EFEFE6385C70783A8C70093878E +:102470004803232017015CC383A788042326F701A0 +:102480001CC783A7C8021CCB83A70805232C070001 +:102490005CCB03A808038347080063906704C287E6 +:1024A000850783C60700B3850741E38B66FE8981F4 +:1024B000C205C181231EB700850E130707026306FC +:1024C0001F038327CEFFDC43232EFEFEE39FC7F9C5 +:1024D00083274E00232EFEFE49BF8145231EB700F1 +:1024E000850E13070702E31E1FFD76941317540091 +:1024F000311EBA86E397C2F597E70100938707FB81 +:1025000003AE070083270E00639D070E97E70100C7 +:10251000938787F903AE070083270E00639207189D +:1025200017EE0100130ECE0983274E109DE383277B +:102530008E116395072019C223200600BD31228524 +:10254000B24022444101828083274E00232EFEFEAA +:1025500021B783278E1017EF0100130F2F17DC43CD +:1025600017E701002322F7166380E73383A2C70031 +:10257000DC43AA96814E17E701002327F714914FF9 +:102580001303500A6388E70783A8C70093874803AB +:1025900023A01601DCC283A7880423A6F6019CC6EB +:1025A00083A7C8029CCA83A7080523AC0600DCCA1F +:1025B00003A80803834708006391672AC287850739 +:1025C00003C70700B3850741E30B67FE93D72500D8 +:1025D000C207C183239EF600850E9386060263869A +:1025E000122983278E10DC4317E70100232EF70CF6 +:1025F000E39CE7F983270E1117E701002326F70C68 +:1026000061B783274E00130F8E00D4432322DE00D0 +:102610006388E629B307E500D84283A2C600814E4D +:102620002322EE00894F1303500A6306EF06832826 +:10263000C7001387480323A01701D8C303A7880442 +:1026400023A6F70198C703A7C80298CB03A70805DC +:1026500023AC0700D8CB03A808030347080063187E +:10266000671C4287050783460700B3050741E38BD4 +:1026700066FE13D7250042074183239EE700850E9F +:1026800093870702638D121B83264E00D8422322B4 +:10269000EE00E31EEFF80327CE002322EE0041BF39 +:1026A00083274E00130F8E00DC432322FE00638439 +:1026B000E71F83A2C700DC43AA96814E2322FE00B7 +:1026C000894F1303500A6306FF0683A8C700938748 +:1026D000480323A01601DCC283A7880423A6F601C1 +:1026E0009CC683A7C8029CCA83A7080523AC060022 +:1026F000DCCA03A808038347080063966710C287F3 +:10270000850703C70700B3850741E30B67FE93D72F +:102710002500C207C183239EF600850E938606021C +:10272000638B120F83274E00DC432322FE00E31E3F +:10273000FFF88327CE002322FE0041BF8327CE115E +:1027400097EE0100938ECEF9DC4317E701002327B3 +:10275000F7F86384D71383AFC700DC433307D50092 +:10276000014397E6010023ABF6F60D4F894293082B +:10277000500A6387D707C8479307450308C35CC35C +:102780003C452326E7011CC75C551CCB1C5599C34F +:10279000232657003C49232C07005CCB0328050364 +:1027A0008347080063951705C287850783C607001E +:1027B000B3850741E38B16FF93D72500C207C1837A +:1027C000231EF700050313070702638AAF0283275E +:1027D000CE11DC4397E6010023A2F6F0E39DD7F982 +:1027E00083274E1297E6010023AAF6EE69B78147C8 +:1027F000231EF700050313070702E39AAFFC1A94A0 +:10280000E31C06D225BB8147239EF600850E9386E6 +:102810000602E39912F117EE0100130E6EDA832718 +:102820004E10769493165400E38307D01DB30147EE +:10283000239EE700850E93870702E39712E597E74B +:102840000100938767C603AE070076949316540081 +:1028500083270E00E38607CCA1B58147239EF600AF +:10286000850E93860602E39E12D783278E117694F7 +:1028700093165400E38107CCD1B583274E1217E796 +:102880000100232DF7E4C1BD83270E1117E70100D6 +:10289000232CF7E2E1B98327CE002322FE0011BDED +:1028A0008326CE002322DE00B5B3797126D24AD02A +:1028B0004ECE52CC56CA5AC85EC606D622D497E926 +:1028C00001009389A9BB17E90100130969CF17EA31 +:1028D0000100130A2ABC97EA0100938A6ABD97EBAC +:1028E0000100938BEBBB97E401009384A4BB054BE1 +:1028F00021A883A7090003244910850717E70100D1 +:10290000232EF7B65D3011E89C40E5F783270900D8 +:10291000E37CFBFEEFD09FAFC5BF7370043083270D +:102920000A00B9E383270911C04713054400EFD01B +:102930003FC483A70B00FD1717E701002322F7B65A +:102940009C40FD1717E70100232EF7B483270A00E8 +:1029500089C783A70A00FC4385E30858EF10D0031A +:102960002285EF1070034DB703A70A0083A70A0062 +:102970007C4385077CC37DB703A70A0083A60A00B2 +:102980007C43FD177CC3FC42E9FB73600430F1B764 +:1029900097E70100938787AE9C43DDE3411106C6AC +:1029A00097E7010023A407AE17E70100130747B01C +:1029B0001C43B7A6A5A59386565A9C5B8C43639B84 +:1029C000D500D0436318B60094476395C600DC4732 +:1029D0006388D70008430C4393854503EF20807339 +:1029E00097E60100938646AB904217E70100130774 +:1029F00027BD11A89C42B9CB9C42FD1717E60100E8 +:102A0000232CF6A8904293172600B2978A07BA970C +:102A10009C43EDD3904293172600B2978A07B305E3 +:102A2000F700D441A107BA97D442D4C16383F60218 +:102A3000DC46B24017E70100232CF7A64101828053 +:102A4000854717E701002323F7A4828073700430C1 +:102A500001A0D1473306F602D442329754C3C9BF0E +:102A600001114AC806CE22CC26CA4EC62A897370E6 +:102A7000043097E401009384E4A19C4017E4010032 +:102A8000130404A3D9E7631409000329040093097C +:102A900049004E85EFD0DFAD8327890289C7130532 +:102AA0008901EFD0FFACCE8517E501001305C5C243 +:102AB000EFD09FA79C4081C71C40FC43ADE31C4066 +:102AC000638B27079C409DCF737004309C40C1EB03 +:102AD00097E701009387879D9843184325EBFD579F +:102AE00017E70100232EF7989C4089CF1C40FC4338 +:102AF00091CB184014407C43FD177CC3FC4299E302 +:102B000073600430F2406244D2444249B2490561E4 +:102B1000828018401C407C4385077CC3ADB71840B9 +:102B200014407C43FD177CC3FC42D1FB736004302E +:102B30001C40E39927F99C40A1C397E701009387C4 +:102B4000E7939C43ADCB7370043001A09C43DC47FA +:102B5000DC47DC4317E701002324F79271B71840E4 +:102B60001C407C4385077CC397E70100938707944B +:102B7000984318432DD7D9BF97E701009387479211 +:102B800017E701001307C7A39C4303278711631DA1 +:102B9000F700F240624497E7010023AB0790D2446C +:102BA0004249B249056182806244F240D2444249BE +:102BB000B2490561F1BB6244F240D2444249B24994 +:102BC00005616FD0BF843DC9411126C297E4010061 +:102BD0009384048E4AC02E898C4006C622C4E10527 +:102BE000EFD01F9688401105EFD09F98FD57630ADC +:102BF000F90497E701009387678A804398409C43D4 +:102C00004A9440C3636CF40497E701009387078AF2 +:102C100088438C409105EFD0BF9297E701009387DE +:102C200027869C436376F40097E7010023AA8784F4 +:102C3000B2402244924402494101828073700430C0 +:102C400001A08C402244B2409244024917E50100A1 +:102C5000130585A8910541016FD01F8D97E70100ED +:102C600093878784884322448C40B240924402492F +:102C7000910541016FD0DF8C11C997D7010093876F +:102C8000E77F9C4399E77370043001A073700430B0 +:102C900001A0411126C297E4010093846481984009 +:102CA000AE878C40B7060080D58F06C622C41CCFE5 +:102CB000E1053284EFD05F8788401105EFD05F8B4C +:102CC000FD57630EF40097D701009387277D884353 +:102CD000B24092442295224441016F30A0358C408D +:102CE0002244B240924417E501001305E59E910588 +:102CF00041016FD07F8325CD411126C297D40100B9 +:102D00009384047B4AC02E898C4006C622C4E10508 +:102D10003284EFD07F8188401105EFD07F858547D1 +:102D2000630AF40497D7010093874777804398405C +:102D30009C434A9440C3636CF40497D70100938783 +:102D4000E77688438C409105EFD08FFF97D701003D +:102D5000938707739C436376F40097D7010023A100 +:102D60008772B240224492440249410182807370CA +:102D7000043001A08C402244B2409244024917E53D +:102D8000010013056595910541016FD0EFF997D7C3 +:102D9000010093876771884322448C40B24092447B +:102DA0000249910541016FD0AFF9411106C622C415 +:102DB00026C25C45C04735CC930484012685EFD0FC +:102DC0002FFB97D701009387676B9C43B5E793046C +:102DD00044002685EFD0CFF997D701009387C76BC2 +:102DE00058549C4363F6E70097D7010023A6E76A8F +:102DF00093172700BA978A0717D501001305457C5A +:102E0000A6853E95EFD04FF297D701009387476A8A +:102E10009C4358540145DC5763F8E700854717D7B2 +:102E200001002325F7660545B24022449244410142 +:102E300082807370043001A0A68517E50100130598 +:102E40002587EFD06FEEC9B797D701009387076347 +:102E50009C4381E77370043001A0411106C622C46F +:102E600026C2B70700804045CD8F1CC12DC4EFD0CE +:102E70002FF0930444002685EFD08FEF97D7010001 +:102E80009387876158549C4363F6E70097D7010006 +:102E900023A4E76093172700BA978A0717D5010084 +:102EA000130505723E95A685EFD00FE897D7010070 +:102EB000938707609C4358540145DC5763F8E7004B +:102EC000854717D701002323F75C0545B24022440C +:102ED0009244410182807370043001A011CD97D7D4 +:102EE00001009387675A984397D701009387075B40 +:102EF0009C4318C15CC182807370043001A055C12D +:102F0000D1CD411106C622C42A877370043097D8E8 +:102F100001009388285883A7080091CB97D7010018 +:102F20009387075994439C43FC428507FCC217D3FF +:102F300001001303A3569C4103260300FD560144E0 +:102F4000638FD70217DE0100130E0E5403250E0007 +:102F50000328070054436305A80005446371D602A3 +:102F60003308D6400544637CF80003250E00918F9A +:102F700003260300B6979CC108C350C3014483A72E +:102F8000080081CB97D70100938787529C43FC436D +:102F900081EF2285B2402244410182807370043067 +:102FA00001A07370043001A0EF30000FDDB785473A +:102FB00017D70100232CF74C828019C16845828005 +:102FC0000145828011C16CC5828097D7010093872B +:102FD000274E8843828097D701009387A74B9C4355 +:102FE000054589CB97D70100938747498843133517 +:102FF00015000605828031CD011126CA97D4010043 +:103000009384044B9C4006CE22CC4AC84EC65855E9 +:10301000DC576379F7021C4D63C707009C40D45707 +:10302000A947958F1CCD93172700BA97584917D9F0 +:1030300001001309E9588A07CA97630DF7009C40FD +:10304000DC575CD5F2406244D2444249B249056142 +:1030500082808280930945002A844E85EFD04FD12B +:103060009C4017D70100130727431843DC575CD453 +:103070006376F70017D701002320F7421395270046 +:103080003E9562440A05F240D244CE854A95B24943 +:10309000424905616FD04FC919CD411106C622C4FE +:1030A00026C297D701009387A74080436307A400F7 +:1030B0007370043001A0014582807C4881E7737001 +:1030C000043001A054543848FD177CC86383E600DF +:1030D00099C70145B2402244924441018280930441 +:1030E00044002685EFD0CFC83C4817D7010013070E +:1030F000A73A144329471D8F5CD418CC63F6F60019 +:1031000017D70100232AF7385147B387E70217D5AD +:1031100001001305E54AA6853E95EFD0EFC00545B1 +:1031200055BF7370043097D701009387A7369C432F +:1031300091CB97D701009387A73798439C437C4353 +:1031400085077CC3828097D701009387A7349C436F +:103150008DC397D701009387A7359843784311CB48 +:10316000984394437C43FD177CC3FC4299E373600E +:103170000430828097D7010093878733904394432C +:103180009843A947D456084E958F1CCF828097D775 +:1031900001009387E731984309C79443F84A05072C +:1031A000F8CA8843828079714AD006D622D426D2C2 +:1031B0004ECE2A897370043097D401009384842DF5 +:1031C0009C4017D401001304A42EBDE31C40BC4F47 +:1031D00089E71C400547F8CFD1E19C4081C71C40DE +:1031E000FC43F1EB737004309C408DEF1C40A84F02 +:1031F00011C5630409021C4023AC07041C4023AE24 +:1032000007049C4081C71C40FC438DEBB250225404 +:1032100092540259F2494561828018403C4FFD1793 +:103220003CCFE9BF18401C407C4385077CC37DBF71 +:1032300018401C407C4385077CC349BF184014409C +:103240007C43FD177CC3FC42F1F373600430B25041 +:10325000225492540259F2494561828008402EC698 +:103260001105EFD0EFB0B245FD576380F50697D753 +:1032700001009387A72283A9070018409C43AE99B9 +:103280002322370163ECF90497D7010093870722C3 +:1032900088430C409105EFD0AFAA97D701009387E0 +:1032A000271E9C4363F6F90097D7010023AA371D18 +:1032B000EFD0CF951DB7184014407C43FD177CC359 +:1032C000FC428DF37360043031BF0C4017D5010010 +:1032D000130585409105EFD02FA5D9BF97D70100E1 +:1032E0009387871C88430C409105EFD06FA5C9B721 +:1032F00079714AD04ECE06D622D426D252CC2E890F +:10330000B2897370043097D401009384A4189C4050 +:1033100017D401001304C419F9EF18408947784FF6 +:10332000630CF7001C401345F5FFB84F798DA8CF0B +:103330001C400547F8CFADE69C4081C71C40FC43CC +:10334000F5E3737004309C40B1E7638609001C40CC +:10335000BC4F23A0F900184085470145784F630B07 +:10336000F7001C401349F9FF0545B84F3379E900D0 +:1033700023AC27051C4023AE07049C4081C71C409A +:10338000FC43C1E3B250225492540259F249624ABA +:103390004561828018401C407C4385077CC375B71B +:1033A000084036C61105EFD0AF9CB246FD57638585 +:1033B000F60897D701009387670E03AA0700184005 +:1033C0009C43369A232247016363FA0897D701008A +:1033D0009387C70D88430C409105EFD06F9697D720 +:1033E00001009387E7099C436370FA0697D70100B1 +:1033F00023A8470991A818401C407C4385077CC33B +:1034000029BF184014407C43FD177CC3FC42BDFB20 +:1034100073600430B250225492540259F249624A05 +:1034200045618280184014407C43FD177CC3FC42F8 +:1034300089FB7360043031B70C4017D501001305C8 +:10344000A5299105EFD04F8EEFC05FFCF5B597D75A +:1034500001009387670588430C409105EFD04F8E9C +:10346000E5B751C1411106C622C426C24AC07370D5 +:10347000043097D401009384E4019C40B9EB99C2D5 +:103480003C4D9CC2B2878946704D74CD2E872A84EC +:103490006386D70663FCF6048D456387B7009145C4 +:1034A0006395B7006300D60C38CC8547630FF604EC +:1034B00005449C4081CB97D70100938767FF9C43CD +:1034C000FC43A9E32285B2402244924402494101CF +:1034D000828097D701009387A7FD98439C437C4344 +:1034E00085077CC369BF7370043001A08546E39EE5 +:1034F000D7FA3C4D33E7B70038CD45BF3C4D850783 +:103500003CCD65B7EF20503975BF130944004A859B +:10351000EFD00F8617D70100130707F85C54184344 +:103520006376F70017D701002328F7F65147B387D2 +:10353000E70217D501001305A508CA853E95EFC01F +:10354000BFFE1C5481C77370043001A097D70100DF +:10355000938707F69C435854DC57E3FBE7F4EFC02E +:10356000FFEAB9B70144B1B739CD011122CC26CA5F +:103570004AC84EC652C456C206CEBA89368AB284EA +:103580002E892A84EFC07FF4AA8A63050A003C4C86 +:103590002320FA00784C89477CCC6389F40263F4D9 +:1035A000970C8D466387D40091466396D400630AD6 +:1035B000F70A232C24058547631EF7061C5499CB74 +:1035C0007370043001A07370043001A03C4C850777 +:1035D0003CCCD5B797D70100938747EA9C43ADEB26 +:1035E000930444002685EFC0BFF817D701001307E6 +:1035F000A7EA5C5418436376F70017D70100232D20 +:10360000F7E85147B387E70217D50100130545FBDB +:10361000A6853E95EFC05FF197D70100938747E9F4 +:103620009C435854DC5763F7E700638509008547DE +:1036300023A0F90005445685EFC0DFE82285F2405B +:103640006244D2444249B249224A924A0561828088 +:103650009305840117D5010013058505EFC0DFEC44 +:1036600065BF0144C9BF8547E397F4F43C4C33E997 +:103670002701232C240581B74DC1011122CC26CA74 +:103680002A844AC806CE4EC6AE84EFC01FE48947DE +:10369000744C7CCC3C4C05472A8985073CCC63950F +:1036A000E6061C5481C77370043001A097D701004F +:1036B0009387C7DC9C43ADE7930944004E85EFC078 +:1036C0003FEB17D70100130727DD5C5418436376DF +:1036D000F70017D701002321F7DC5147B387E70232 +:1036E00017D501001305C5EDCE853E95EFC0DFE38C +:1036F00097D701009387C7DB9C435854DC5763F589 +:10370000E70099C085479CC06244F240D244B24968 +:103710004A85424905616FC0FFDA7370043001A029 +:103720009305840117D50100130585F8EFC0DFDF8D +:10373000C1B7AA8729C57370043017D701001307D2 +:1037400067D514439DE2F04F894601456315D600C5 +:1037500023AE070405451C4381CB97D7010093870F +:1037600027D59843784315E3828097D60100938646 +:1037700026D4904294427442850674C2E9B797D722 +:1037800001009387E7D29C437DB7984394437C43E1 +:10379000FD177CC3FC42E9FB7360043082805D71DD +:1037A000A6C2CAC052DC56DA5AD85ED686C6A2C4B1 +:1037B0004EDE62D466D26AD017D90100130949D00F +:1037C00017DB0100130B0BCF97D401009384C4CEF9 +:1037D00097DA0100938A8ACE054AA54B8327090010 +:1037E000984363010712DC4783A90700EFE0EFF677 +:1037F000EFE0AFF783270B002A8C6362F50A97D7B7 +:10380000010023A9A7CA01466370351B8840B38510 +:103810008941EFD0FFBDEFE05F9963010512884059 +:10382000814601462C00EFD00FEC4DD9A247E3C8EA +:1038300007FEC24983A7490189C713854900EFC024 +:103840003FD3EFE08FF283270B002A8C636DF508DE +:10385000A24717D70100232F87C5E3E2FBFCB3176C +:10386000FA0013F70721631A071613F7770C63188A +:10387000071E93F70702C5D74E85EF00F01145B735 +:10388000DC47C04783AC0700930944004E85EFC076 +:103890003FCE5C50228582975C4C6384470B832724 +:1038A0000900984371FF03A70A0097D6010023A3DC +:1038B00086C197D6010023A3F6C097D7010023A1A4 +:1038C000E7C0EFE09F8EA1BFDC47C04703AD070014 +:1038D000930C44006685EFC0BFC95C502285829777 +:1038E0005C4C6386470983270900984371FF03A74F +:1038F0000A0097D6010023A3F6BC97D7010023A1A5 +:10390000E7BCB9B7EFE06FE5EFE02FE683270B00E8 +:103910002A8CE366F5F883A70A0088408149904322 +:10392000B385894117D70100232687B913361600BE +:10393000EFD01FACEFE07F87E31305EEEFC01FADC4 +:10394000F9BD1C4CCE85E69763E9FC049C4089CF09 +:1039500002CA66CC22CEEFF00FE8884081460146CD +:103960004C08EFC05FE205FD7370043001A01C4CF1 +:10397000E685EA97636CFD049C4089CF02CA6ACC55 +:1039800022CEEFF04FE58840814601464C08EFC05B +:103990009FDF31F97370043001A0032509005CC07A +:1039A00000C8EFC0FFB9E5BDEFE03F808327090005 +:1039B000DC47C047930C44006685EFC07FBB5C4C7E +:1039C000638F47035C502285829791BD03250900D0 +:1039D0005CC000C8EFC0DFB639B7B24723ACF9000E +:1039E000A5CFE29723A2F90023A8390193854900C6 +:1039F000636FFC0403A50A00EFC09FB40DB51C4C17 +:103A000000C83387F90058C0636DEC02330C3C41A9 +:103A10006363FC029C4089CF02CA4ECC22CEEFF0F9 +:103A20008FDB8840814601464C08EFC0DFD559F94D +:103A30007370043001A003A50A00E685EFC05FB0F3 +:103A400051B703250900E685EFC09FAFA5BF032549 +:103A50000900EFC0FFAEE1B37370043001A0B247BC +:103A600083A6890123A839013387D70023A2E9005F +:103A70006364EC04B307FC4063EBD70483A74902FB +:103A80004E85829783A7C901E39B47D983A6890105 +:103A9000B2479840B69711CF02CA3ECC4ECEEFF057 +:103AA0008FD38840814601464C08EFC0DFCDE31834 +:103AB00005D67370043001A06374FC00E370F7FC5A +:103AC0000325090093854900EFC09FA789BB03A583 +:103AD0000A0093854900EFC0BFA691B3411122C4EB +:103AE00006C626C24AC017D401001304E49CEFF0B6 +:103AF0004FE31C4095CBEFF00FE51C409DC3814880 +:103B000001488147094781461306004097D50100C7 +:103B10009385C58A170500001305A5C8EFD07F9AC5 +:103B200039E97370043001A017D90100130909BCE9 +:103B30004A85EFC0DF9D97D40100938464BC26853D +:103B4000EFC0FF9C0146B145114597D7010023A95D +:103B5000279797D7010023A39796EFC03FB617D7B3 +:103B60000100232BA79411CD97D50100938585845F +:103B7000EFD0CFFC49B7B240224492440249410100 +:103B800082807370043001A0A5C9797156CAAA8ACF +:103B90001305C00222D426D24AD04ECE52CC06D62D +:103BA0005AC85EC63A89B689328AAE84E9212A8427 +:103BB00005C9EFF00FD797D701009387E78F9C4394 +:103BC0009DCFEFF04FD8130544002320540104CCBF +:103BD000232E44012320340323222403EFC07F94A7 +:103BE0002285B250225492540259F249624AD24A72 +:103BF000424BB24B456182807370043001A097DB69 +:103C00000100938BABAE5E85EFC07F9017DB0100A8 +:103C1000130B0BAF5A85EFC09F8F0146B14511457D +:103C200097D7010023AE778997D7010023A867892A +:103C3000EFC0DFA817D701002320A78801C997C5C7 +:103C4000010093852577EFD06FEFA5BF7370043027 +:103C500001A039C5011122CC06CE26CA17D4010015 +:103C600013048485832804002A880145638508029B +:103C7000BA842EC2368732C442C6954663C5B602A0 +:103C8000EFF06FB58947630BF502084081460146A6 +:103C90004C00EFC05FAFF2406244D24405618280C5 +:103CA0007370043001A04C0081463A864685EFD0FF +:103CB0000F88F2406244D244056182800840814608 +:103CC00026864C00EFC03FACF9B719C1084182808D +:103CD0007370043001A001E57370043001A041113C +:103CE00006C622C42A84EFF0CFC348483334A0006C +:103CF000EFF06FC52285B24022444101828001E588 +:103D00007370043001A0411122C42A8406C6EFF06A +:103D10004FC10050EFF02FC3B24022852244410131 +:103D2000828001E57370043001A0411122C426C2D3 +:103D30002E84AA8406C6EFF0CFBE80D02244B240C3 +:103D4000924441016FF02FC04111714522C406C653 +:103D50001D262A8411C5232005001105EFC02FFB65 +:103D60002285B24022444101828001CDB70700FF85 +:103D7000ED8F81C77370043001A099E57370043032 +:103D800001A07370043001A0011122CC4AC84EC6B4 +:103D900052C456C206CE26CABA893689B28A2E8441 +:103DA0002A8AEFF04FA311E5638509007370043090 +:103DB00001A0EFE08F9A83240A00B3779400631682 +:103DC000090295C763870A009347F4FFE58F232014 +:103DD000FA00EFE08FBDF240624426854249D244AA +:103DE000B249224A924A05618280E30DF4FCE382E3 +:103DF00009FEB3355001E20563050900B707000469 +:103E0000DD8D4E86C18D13054A00EFE0FFE6EFE041 +:103E1000CFB919E1EFC08FDFEFF0CFB59317650091 +:103E2000AA8963D80700B7040001FD14B3F4990010 +:103E30005DB7EFF00FAF83290A00B3773401631E3B +:103E4000090089CB63880A009347F4FFB3F7370171 +:103E50002320FA00EFF02FAFF9B7E31DF4FEDDB732 +:103E600001C9B70700FFED8F99C77370043001A037 +:103E70007370043001A0411122C42A8406C626C2F0 +:103E80004AC02E89EFF0EFA904409345F9FFB3F73C +:103E900095001CC0EFF02FABB240224426850249AA +:103EA000924441018280411122C42A8406C6EFC097 +:103EB000CFE10040EFC00FE1B24022852244410132 +:103EC000828009C9370700FFB3F7E50099C773700F +:103ED000043001A07370043001A06F20A01DB7074B +:103EE00000FFF18F81C77370043001A001E6737089 +:103EF000043001A0011122CC4AC84EC652C406CEDD +:103F000026CA3689AE892A8A3284EFF0CF8C21E125 +:103F1000630509007370043001A0EFE00F8483246F +:103F20000A00CE855285B3E49900613FB3779400CF +:103F30006307F40683240A00EFE02FA7F2406244EF +:103F400026854249D244B249224A05618280EFE087 +:103F5000CF8083240A00CE855285B3E4340195379F +:103F6000B3F78400638D8702E30609FCB7050005FB +:103F70004A86C18D13054A00EFE01FD0EFE0EFA2A3 +:103F800019E1EFC0AFC8EFF0EF9E93176500AA8468 +:103F900063DF0700B7070001FD17FD8C45B78327D6 +:103FA0000A001344F4FFE18F2320FA0071B7EFF009 +:103FB0004F9783240A00B377940063978700934751 +:103FC000F4FFE58F2320FA00EFF0EF97E1B74111FE +:103FD00022C406C62A8426C2EFD03FF85C4085C3BF +:103FE00008489304C4006316950015A008486300B0 +:103FF0009502B7050002EFE03FE55C40E5FB228556 +:10400000612A2244B240924441016FE00F9A7370DA +:10401000043001A009C9370700FFB3F7E50099C7CD +:104020007370043001A07370043001A06F20800809 +:1040300001C9B70700FFED8F99C77370043001A065 +:104040007370043001A0411122C426C206C62A841E +:10405000AE84EFF00F8D184093C7F4FFF98F1CC0AA +:104060002244B240924441016FF0EF8D19C1084DD6 +:10407000828001458280411122C406C62A84EFD085 +:10408000DFED97C701009387E7439C43A1EBE5670A +:1040900017C7010013074768F11797C6010023A946 +:1040A000E64217C701002323F74217C70100232761 +:1040B000F76697C701009387274117C70100232D93 +:1040C000F764854717C701002326074017C701007B +:1040D000232C073E17C701002326F73E19E8EFE01F +:1040E000CF8CEF10A00201442285B240224441014E +:1040F00082801305840093773500C1EFE5671307CD +:10410000F5FFE917E3EDE7FC97C601009386463C0F +:104110009C4229A0984311C7BE86BA87D843E36B57 +:10412000A7FE17C701001307273AE38AE7FA984367 +:1041300041461384870098C2D843B306A7406378EA +:10414000D6023388A7002322D800C8C3832548009D +:1041500097C601009386C63711A0BA86984250438D +:10416000E36DB6FE2320E80023A00601D84397C7DD +:104170000100938727399C43998F17C70100232398 +:10418000F738EFE08F8231DC2285B24022444101D2 +:104190008280719911059DB729C9411122C406C6B3 +:1041A0002A84EFD09FDB930584FF0326C4FF17C743 +:1041B00001001307E73111A03E871C43D443E3ED10 +:1041C000C6FE232CF4FE0CC397C7010093878733E8 +:1041D00098438327C4FF2244B240BA9717C701000F +:1041E0002322F73241016FD05FFC828097C7010024 +:1041F000938747318843828082803367B5000D8B77 +:10420000AA871DE79308D6FFAA982A87AE8663710E +:10421000150303A8060011079106232E07FFE36A82 +:1042200017FF9347F5FFC697F19B9107BE95AA9795 +:104230002A9663F3C70A9386450013884700B3B6EE +:10424000D70033B8050133E7F50093C616001348CD +:1042500018000D8BB3E6060113371700758F330E68 +:10426000F6409386170029CF1337AE0031EB135376 +:104270002E002E88BE8601478328080005071108F6 +:1042800023A016019106E36967FE1377CEFFBA9566 +:10429000BA976302EE0483C60500138717002380D4 +:1042A000D700637AC70283C6150013872700A3804F +:1042B000D7006372C70203C725002381E70082800D +:1042C000B307F640AE97850503C7F5FF8506238F34 +:1042D000E6FEE39AF5FE82808280B367C5008D8B8F +:1042E000B308C500E9C36371150FB307A0408D8BF8 +:1042F00013873700954693F5F50F130815003383A0 +:10430000A8407D166373D70015476363E60CD5CFCD +:104310002300B5000547638DE700A300B5000D47F6 +:10432000130825006396E700130835002301B50044 +:10433000139685004D8E13970501518F3303F3407B +:1043400093968501D98E13562300AA97014794C3EB +:1043500005079107E36DC7FE1377C3FFB307E800B6 +:104360006307E3062380B70013871700637C1703F6 +:10437000A380B70013872700637617032381B70054 +:104380001387370063701703A381B70013874700B3 +:10439000637A17012382B7001387570063741701EC +:1043A000A382B700828093F5F50F13978500D98D0E +:1043B000139705014D8FE37715FFAA87910723AE69 +:1043C000E7FEE3ED17FF828082802A8895B782801E +:1043D000AA8749BF834705002A8799C705058347F0 +:1043E0000500EDFF198D8280014582800505834718 +:1043F000F5FF850503C7F5FF91C7E389E7FE338520 +:10440000E74082808147E5BFAA87850503C7F5FF9E +:104410008507A38FE7FE75FB82808346050093071F +:1044200000026397F600050583460500E38DF6FE5E +:10443000938756FD93F7D70F85C7AA87814585CE09 +:1044400001458507138606FD1317250083C607005F +:104450003A9506053295F5F699C13305A04082805C +:10446000938536FD8346150093B515009307150017 +:10447000E1FA0145D5B7014582809C412380A70020 +:104480009C4185079CC1828097C601009386C60423 +:104490009C42175703001307E72A13861700BA97A1 +:1044A0002380A70097C5010023A8C502A947630878 +:1044B000F500930700046305F6000145828075DE70 +:1044C000370610F005078347F7FF2320F6F09C42DC +:1044D000FD1797C5010023A1F500EDF70145828086 +:1044E0004D712322911423202115232A51132324B3 +:1044F00081132326111423248114232E3113232CFA +:10450000411323286113232671132322911323209F +:10451000A113232EB111AA842E8932C6130C500286 +:10452000A54A8347090063808703B9CB804011A067 +:10453000A1CB2300F40080400509050480C0834717 +:104540000900E39787FF0346190093081900C68600 +:10455000130D0002FD597D5A0148130550059307BC +:10456000D6FD93F7F70F138916006363F52A17C773 +:104570000100130727A98A07BA979C43BA97828735 +:104580008320C114032481148324411403290114BA +:104590008329C113032A8113832A4113032B011397 +:1045A000832BC112032C8112832C4112032D011283 +:1045B000832DC11171618280A14C02C4854763D5EE +:1045C0000715B2478040938B770093F68BFF03ABC0 +:1045D000060083A94600938786003EC6668681460C +:1045E0005A85CE85EF10C06F2AC88147638537236F +:1045F000930D4101854B668681465A85CE85EF1025 +:104600004038814666862A8BAE89EF10606DA247DE +:1046100023A0AD0093861B00910D63843701B68BF8 +:10462000D9BFE37E9BFF63DD4601A2872380A701FC +:1046300080407D1A930714009CC03E84E3C846FF67 +:1046400093962B001C08BE9631A89387770523000C +:10465000F4008040FD1B93871B00050480C0F11609 +:10466000E351F0EC9C42E3E2FAFE93870703C5B7FF +:104670009C409306000332472380D7009C4093065A +:104680004700C14C1386170090C013068007A38013 +:10469000C700804002C4BA87050480C003AB07008E +:1046A000814936C625BFB24783AC0700938B4700CC +:1046B00063840C1C635F40199307D0026319FD16D5 +:1046C00083C70C0085C363DC09189440850C7D1AF0 +:1046D0002380F6008040050480C083C70C00E5F706 +:1046E000635D40018040930700022300F400804096 +:1046F0007D1A050480C0E31A0AFE5EC61DB502C419 +:104700008547A94CE3CF07EBB2478040938647002B +:1047100071B703C61600CA8699B59C40930650022D +:104720002380D7008040050480C0E5BBC14C02C493 +:1047300071B5B24703C61600CA8683A90700910760 +:104740003EC6E35E0AE04E8AFD5911BD03C616005F +:10475000130DD002CA8621B59347FAFFFD8703C621 +:104760001600337AFA00CA86DDBB03C61600130DA5 +:104770000003CA86EDB383C51600930906FDCA86F9 +:10478000938705FD2E86E3EEFAFA93972900BE99EA +:1047900085068609AE9983C50600938909FD93872E +:1047A00005FD2E86E3F3FAFE69BF32479C401443B1 +:1047B00011073AC62380D7008040050480C095B316 +:1047C000854763D3070BB24793867700E19A9387B7 +:1047D00086003EC683A946009C4263DC090A9440D9 +:1047E0001306D002B307F0402380C6008040B336E2 +:1047F000F000B3093041050480C03E8BB389D94035 +:10480000A94C02C4E1BB03C616000508CA8681BBD9 +:104810009C40468921B7E37D9BDD8547814B8546DA +:10482000E3C547E131BD97CC0100938CACB9CE858F +:104830006685EF00F05A330AAA40635C400180406D +:104840002300A40180407D1A050480C0E31A0AFEFB +:10485000014A83C70C00E38207EAE3C809E6FD19B1 +:104860007D57E394E9E6ADBDB247938647009C438C +:1048700036C693D9F74195B7635640019307D002E6 +:10488000E313FDFA97CC0100938CCCB3930780021D +:104890001DBD3E8BA94C02C4804089B34D71232EAF +:1048A0003113B70900409387F9FF23248114232291 +:1048B0009114232C4113232A51132326111423204E +:1048C0002115232861132326711323248113232206 +:1048D00091132320A113232EB1112A8A2EC417C4A9 +:1048E0000100130464BF975A0300938AAAE597C492 +:1048F00001009384A4863EC69305500229451308FF +:104900000004B70610F083470A006386B704C1C7E6 +:104910001840050A13061700569797C8010023ADE3 +:10492000C8BA2300F7006382A706E31E06FD1757E7 +:104930000300130727E105078347F7FF23A0F6F0DD +:104940001C40FD1717C601002328F6B8EDF7834772 +:104950000A00E39EB7FA83461A0013051A002A8755 +:10496000930B00027D5B7D5C014893055005A548D3 +:104970009387D6FD93F7F70F130A170063E7F53413 +:104980008A07A6979C43A6978287175703001307A9 +:1049900067DB55F28DBF8320C11403248114832467 +:1049A0004114032901148329C113032A8113832A83 +:1049B0004113032B0113832BC112032C8112832C6F +:1049C0004112032D0112832DC11171618280A14C0E +:1049D000014D854763DB0719A2479D07E19B83AD26 +:1049E000070003AB4700138787003AC466868146F9 +:1049F0006E85DA85EF10C02E2AC863066D3513095F +:104A000041018549668681466E85DA85EF007077BB +:104A100066868146AA8D2E8BEF10802C2320A9005C +:104A200085091109E3106DFFE3FE9DFD13060004E7 +:104A3000B70610F063D189031C4013871700D6977F +:104A400097C5010023AAE5A823807701630CC7045A +:104A50007D1CE3C389FFB2476800A548B386F9000F +:104A60008A061C08BE96294813030004370610F076 +:104A7000E384A6E89C429305000363F4F8009305E1 +:104A800070051840AE9793051700569717CE010092 +:104A90002324BEA42300F7006380072B638C6526C4 +:104AA000F116F9B7175703001307C7C9050783475E +:104AB000F7FF23A0F6F01C40FD1797C5010023ADBA +:104AC000F5A0EDF77D1C71B71C4013060004138799 +:104AD000170097C5010023A1E5A0B386FA0093054E +:104AE00000032380B6006300C73E890797C6010014 +:104AF00023A4F69E5697930680072300D7001757E6 +:104B00000300130727C4B70610F0639EC70005070C +:104B10008347F7FF23A0F6F01C40FD1717C60100DE +:104B2000232CF69AEDF7A247C14C014D910722477D +:104B3000014B832D07003EC455BDA24783AC07003F +:104B400013894700638F0C3A635480219307D00286 +:104B5000639EFB2E03C70C00BA856310072025ACAB +:104B60008547A94C014DE3C907E7A2479107C1B7A3 +:104B7000A24783461700528703AB070091073EC444 +:104B8000E3580CDE5A8C7D5BE5B383461700930B2C +:104B9000D0025287F1BB9347FCFFFD878346170085 +:104BA000337CFC005287E9B383461700930B000364 +:104BB00052877DBB03461700138B06FD5287930770 +:104BC00006FDB286E3EEF8FA93172B00DA97050795 +:104BD0008607B29703460700138B07FD930706FD70 +:104BE000B286E3F3F8FE69BFA2451C40294698410E +:104BF00093861700D69717C50100232FD58C2380E5 +:104C0000E700138945006301C72A930700046387FF +:104C1000F6204AC4D5B1854763DF0729A247138729 +:104C20007700619B930787003EC4032B47001C431A +:104C3000635B0B301840130600049306170097C5FA +:104C4000010023ABD58856979305D0022300B70007 +:104C50006388C62CB307F0403337F000330B604154 +:104C6000BE8D330BEB40A94C014D49B38346170071 +:104C700005085287F5B9834617005287D5B91C40FD +:104C8000930600041387170017C601002326E68445 +:104C9000D697130650022380C700E31FD7C41757C7 +:104CA0000300130727AAB70610F005078347F7FF8D +:104CB00023A0F6F01C40FD1717C60100232EF68036 +:104CC000EDF71DB9C14C014D29B31C4093060004FA +:104CD0002A8A1387170017B60100232FE67ED6977E +:104CE000130650022380C700E318D7C017570300EC +:104CF000130747A5B70610F005078347F7FF23A062 +:104D0000F6F01C40FD1717B601002327F67CEDF7DF +:104D10002A8ADDB6175703001307C7A20507834782 +:104D2000F7FF2320F6F01C40FD1797B5010023A5DF +:104D3000F57AEDF7F1162DBB17570300130787A07F +:104D4000F1FDF11635B3E3FC9DCB8549C5B1014CAE +:104D500003C70C00BA85E30E07EAFD58294513087E +:104D60000004B70610F063570B0A1C4013861700A7 +:104D7000D69717B301002321C3762380E70063840D +:104D8000A50663040609850C03C70C007D1CBA85C3 +:104D900079FBE35080E91C409305000213060004F0 +:104DA000B70610F021A07D1CE3050CE61387170061 +:104DB000D6972380B70017B50100232FE570BA8777 +:104DC000E313C7FE175703001307C7970507834769 +:104DD000F7FF23A0F6F01C40FD1717B50100232DA7 +:104DE000F56EEDF7C9B7175703001307A79541DE16 +:104DF00005078347F7FF23A0F6F01C40FD1717B601 +:104E00000100232BF66CEDF7BDBF17570300130706 +:104E10006793F9BF7D1BE31A1BF5A5BF1757030066 +:104E200013074792B70610F005078347F7FF23A043 +:104E3000F6F01C40FD1717B60100232FF668EDF7BA +:104E40004AC45DBC97BC0100938CCC57DA8566855B +:104E5000EF000079330CAC40E35C80EF1C4013069C +:104E60000004B70610F021A07D1CE3020CEE1387AE +:104E70001700D6972380770197B5010023AEE5642C +:104E8000BA87E313C7FE175703001307A78B05075D +:104E90008347F7FF23A0F6F01C40FD1797B50100EC +:104EA00023ACF562EDF7C9B71757030013078789DD +:104EB000B5FA4AC491B4A247138747009C433AC449 +:104EC00013DBF741B5B3175703001307A787B706DE +:104ED00010F005078347F7FF23A0F6F01C40FD17ED +:104EE00017B60100232AF65EEDF7854717B70100D4 +:104EF0002324F75E93078007175703002304F784E2 +:104F00001DB1635680019307D002E39DFBF297BC6D +:104F10000100938C2C4B130780029305800235BD52 +:104F20009756030093860682370610F0850603C75E +:104F3000F6FF2320E6F018407D1797B5010023AD5A +:104F4000E5586DF701BBBE8DA94C014D45B4B707BF +:104F500010F023A0A7F201A03971130341022ED251 +:104F60009A8506CE32D436D63AD83EDA42DC46DED0 +:104F70001AC62D32F2400145216182805D71130312 +:104F8000810322D42AC632DC2A841A86680006D617 +:104F9000BEC236DEBAC0C2C4C6C61ACEEFF04FD407 +:104FA000B247238007003245B250018D225461611F +:104FB0008280B70710F083A647F403A607F403A77F +:104FC00047F4E31AD7FE9306067D33B6C600B30551 +:104FD000E60023A4D7F423A6B7F48280B70710F025 +:104FE00003A747F403A607F483A647F4E31AD7FE02 +:104FF0009306067D33B6C60023A4D7F4B305E600B6 +:1050000023A6B7F49307000873A0473082804111AC +:1050100022C406C697B701009387874C17B40100D6 +:105020001304C44B98431C409306470693874706D6 +:1050300017B601002324F64A856717B6010023211D +:10504000D64A938777BB63C6D700B240224441015A +:105050008280FD779387C74A3E9797B7010023A1C7 +:10506000E748052385476310F50218408967938751 +:1050700077320145E3DBE7FCEFF07FED2244B240FD +:1050800041016FC09FEC17B5010013052534EFF007 +:10509000BFEC0945EFF0BFEB2244B24041016FC0C5 +:1050A000DFEA17B50100130525346FF0FFEA17B5E5 +:1050B00001001305E5336FF03FEA411122C426C217 +:1050C00006C6856417B4010013040433938784383B +:1050D0000100FD17F5FF2285EFF01FE8C5BF15A9F8 +:1050E000411106C67929054781476316E500B2409C +:1050F0003E854101828017B501001305252DEFF093 +:10510000BFE5B24089473E8541018280737004301B +:1051100001A082807370043001A0797126D24AD038 +:105120004ECE52CC56CA06D622D417BA0100130A64 +:105130002A3D97BA0100938AEA3B9304500505493A +:10514000B1490145EFD0DF91EFD0BFFDEFC0FFE1E6 +:105150002A8403250A006C0081461306F00A02C661 +:1051600097B7010023A49738EFB03F9709C597B7C9 +:10517000010023AF2737EFC05FDF330485401304FE +:1051800014F5EFD05FFC014563F6890097B7010085 +:1051900023A0273797B7010023AA9734EFD05F8C5D +:1051A000EFD03FF8EFC07FDC2A8403250A00814658 +:1051B0001306F00A6C0097B7010023A99732EFB0ED +:1051C0001FA509C597B7010023A42733EFC0FFD956 +:1051D00033048540130414F5EFD0FFF683A70A00CB +:1051E00063F6890017B7010023242731850717B715 +:1051F0000100232D972E17B70100232DF72E91B70D +:10520000397126DA4AD84ED652D456D25AD05ECE0A +:1052100062CC66CA06DE22DC6AC817BA0100130A2D +:105220002A2E17B901001309692C97BB0100938B33 +:10523000EB2C97BC0100938C2C2C294C054B914AEC +:1052400085499304500502C401463314CC00EFD0C5 +:105250005FEDEFC09FD12A8D03250A00814622868B +:105260006C00EFB0DF9A09C597B7010023A2372978 +:10527000EFC0BFCF330DA541EFD0FFEC9307C400C3 +:1052800063768D0017B701002324372763F1A72722 +:10529000224697B7010023AD3725050632C4E3D671 +:1052A000CAFA02C403250A00814601462C00EFB069 +:1052B000DF82630A652597B7010023AB3723A24736 +:1052C00085073EC4E3D0FAFE02C401463314CC0085 +:1052D000EFD03FE5EFC07FC92A8D03250A00814644 +:1052E00022862C00EFB06FFF09C597B7010023A1FC +:1052F0003721EFC09FC7330DA541EFD0DFE49307FF +:10530000C40063768D0017B701002323371F63FAAB +:10531000A71D224697B7010023AC371D050632C4EE +:10532000E3D6CAFA03A50B0097B7010023A0071C18 +:10533000EFC0BF8483270900638997005145EFD0F0 +:105340002F8583270900E39B97FE5145EFD04F84BB +:1053500097B7010023AC071802C403250A00814651 +:1053600001466C00EFB0BF8A814601462C006306FF +:10537000650197B7010023AD371703250A00EFB089 +:10538000CFF58327090095456306650117B701002E +:105390002320371703A50B006396970097B70100EA +:1053A00023A83715EFC04FEB83270900894503A5D4 +:1053B0000B006396970097B7010023AB3713EFC03C +:1053C000AFE9A24785073EC4E3D9FAF8832709006D +:1053D000514563899700EFC0BFFB83270900514502 +:1053E000E39B97FEEFC0DFFA97B7010023A00710F9 +:1053F00002C403250A00814601466C00EFB03F81DC +:10540000630D650F97B7010023A4370FA2478507E7 +:105410003EC4E3D0FAFE03A50B00EFC00FF68327CE +:105420000900638997005145EFC09FF68327090063 +:10543000E39B97FE5145EFC0BFF597B7010023A747 +:10544000070A02C403250A00814601462C00EFB07A +:10545000CFE8814601466C006306650197B70100FD +:1054600023A8370903250A00EFB06FFA8327090044 +:1054700095456306650117B70100232B370703A580 +:105480000B006396970097B7010023A33707EFC07F +:10549000AFDC83270900894503A50B0063969700BD +:1054A00097B7010023A63705EFC00FDBA24785079A +:1054B0003EC4E3D9FAF88327090051456389970070 +:1054C000EFC01FED832709005145E39B97FEEFC016 +:1054D0003FEC83A70C00850717B70100232EF700C8 +:1054E0009DB32246050632C4E3D2CADE25BD22465C +:1054F000050632C4E3DBCAD46DB3A24785073EC4B8 +:10550000E3D9FAEE09BFA24785073EC4E3DCFAD827 +:1055100065BB411101469145154506C6EFB00F9A8E +:1055200097B50100938585EE97B7010023AAA7FCE4 +:10553000EFB0DFE08148014881470D4781461306FF +:10554000004097B50100938565ED17050000130530 +:1055500065CBEFB01FF7B2408148014897B7010013 +:105560009387C7F9094781461306004097B50100A4 +:10557000938545EB17050000130565BA41016FB02F +:105580005FF497B701009387E7F6984397B7010058 +:10559000938747F5904397B701009387E7F59443C6 +:1055A00097B70100938747F49C4301456306C70002 +:1055B000B387F6403335F00097B70100938747F380 +:1055C0009C4317B60100232FE6F017B70100232DE7 +:1055D000D7F0FD17B337F000B307F0407D8D828020 +:1055E000AA95AA876385B70003C7070001E733853B +:1055F000A74082808507FDB7814863DA050033053F +:10560000A040B337A000B305B0409D8DFD5863D9CD +:1056100006003306C040B337C000B306D0409D8EAD +:1056200032883683AA872E87639F061C97B60100AF +:10563000938646C863F1C50C416E6377C60B130EA3 +:10564000F00F6373CE002143335E6600F29603CE03 +:1056500006001A9E130300023303C341630C0300C8 +:10566000B3956500335EC501331866003367BE002D +:10567000B3176500135E0801B355C703131508017E +:10568000418193D607013376C703B305B5024206BD +:10569000D18E63F8B600C29663E5060163F3B600E7 +:1056A000C2968D8E33F7C603C207C183B3D6C60335 +:1056B000B306D50213150701C98F63F8D700C29747 +:1056C00063E5070163F3D700C297958F33D5670071 +:1056D0008145638A0800B307A0403337F000B30563 +:1056E000B0403E85998D8280370E00014143E36DC5 +:1056F000C6F5614391BF01E605483358680241672A +:105700006373E8081307F00F6373070121433357EE +:105710006800BA9603C606001A9613030002330304 +:10572000C34063190306B385054193560801131559 +:105730000801418113D6070133F7D502B3D5D5024D +:105740004207518FB305B5026378B700429763658E +:1057500007016373B7004297B305B74033F7D5022B +:10576000C207C183B3D5D502B305B502131507012E +:10577000C98F63F8B700C29763E5070163F3B70009 +:10578000C2978D8FA1B7370700014143E361E8F865 +:105790006143B5BF3318680033DEC500935E08016E +:1057A0003356C500B31765003355DE03B395650066 +:1057B0004D8E93150801C181935606013377DE03A0 +:1057C0003385A5024207558F6378A700429763652A +:1057D00007016373A7004297B306A74033F7D603C8 +:1057E00042064182B3D6D6034207B386D502B365DB +:1057F000C70063F8D500C29563E5050163F3D500E2 +:10580000C295958D1DB7E3E6D5EC416863F50605B5 +:10581000930EF00F33B8DE000E0833DE060117B327 +:105820000100130323A97293834E0300130E000299 +:10583000C29E330EDE4163170E0263E4B600636955 +:10584000C500B307C540958D3335F5003387A540B6 +:105850003E85BA85BDBD370300014148E3EF66FAD6 +:10586000614865BF3357D601B396C601D98EB35789 +:10587000D50133D7D501B395C501CD8F93D5060199 +:105880003373B702139F0601135F0F0113D807018B +:105890003316C6013315C5013357B70242033368C7 +:1058A0000301B30FEF023A83637CF80136981303C8 +:1058B000F7FF6367D8006375F8011303E7FF3698B5 +:1058C0003308F841B37FB8023358B802C20FB305AA +:1058D0000F03139F0701135F0F0133EFEF0142879F +:1058E000637CBF00369F1307F8FF6367DF006375B3 +:1058F000BF001307E8FF369F4203C16F3363E30025 +:105900009387FFFF13580601330FBF40B375F300B1 +:1059100013530301F18F3387F502B307F302B38505 +:10592000050333030303BE95135807012E986373D1 +:10593000F8007E93C167FD17935508013378F8008E +:1059400042087D8F9A9542976366BF00631BBF0034 +:105950006379E5003306C7403337C700958D998DCD +:1059600032873307E5403335E500B305BF40898D05 +:10597000B397D5013357C70133E5E700B3D5C50168 +:1059800089BBAE87328836872A836396062097B80C +:1059900001009388289263FEC50A41676374E60A92 +:1059A0001307F00F3337C7000E07B356E600B6985B +:1059B00083C60800369793060002998E99CAB3975A +:1059C000D7003357E5003318D600B365F70033131B +:1059D000D50013550801B3D7A5021316080141825B +:1059E0009356030133F7A502B305F6024207D98E99 +:1059F0003E8763FCB600C2961387F7FF63E7060194 +:105A000063F5B6001387E7FFC2968D8EB3F7A60243 +:105A1000420313530301B3D6A602C20733E3670060 +:105A2000B305D6023685637BB30042931385F6FF38 +:105A3000636603016374B3001385E6FF4207498F71 +:105A400081454DA8B70600014147E360D6F661479E +:105A5000A9BF01E6854633D8C602C166637ED80871 +:105A60009306F00F63F306012147B356E800B6989A +:105A700083C60800BA9613070002158F49E7B3875B +:105A800007418545135608019318080193D808016A +:105A90009356030133F7C702B3D7C7024207D98E23 +:105AA0003385F8023E8763FCA600C2961387F7FF92 +:105AB00063E7060163F5A6001387E7FFC296898EA8 +:105AC000B3F7C602420313530301B3D6C602C2079B +:105AD00033E36700B388D8023685637B13014293B2 +:105AE0001385F6FF63660301637413011385E6FFF4 +:105AF0004207498F3A858280B70600014147E36635 +:105B0000D8F661479DB73318E800B3D5D7003313F3 +:105B1000E500B356D50013550801B397E70033F7F6 +:105B2000A502B3E8F60093170801C18313D6080154 +:105B3000B3D5A5024207518FB386B7022E86637C88 +:105B4000D70042971386F5FF636707016375D70097 +:105B50001386E5FF4297B306D74033F7A602C20883 +:105B600093D80801B3D6A6024207B385D702B3671C +:105B70001701368763FCB700C2971387F6FF63E708 +:105B8000070163F5B7001387E6FFC2978D8F931562 +:105B90000601D98DC5BD63E2D514416763FEE602F7 +:105BA0001308F00F3337D8000E0733D8E60097A557 +:105BB000010093852570C29503C805009305000276 +:105BC0003A98B385054185E10547E3E5F6F23335BB +:105BD000C5001347150039BFB70500014147E3E68B +:105BE000B6FC6147D9B733570601B396B600D98ED4 +:105BF00093DE060133D70701B378D703B397B70015 +:105C0000335805013363F80093970601C183135895 +:105C100003013316B6003357D703C20833E808012F +:105C2000338FE7023A8E637CE8013698130EF7FF54 +:105C30006367D8006375E801130EE7FF36983308F1 +:105C4000E841B378D8033358D803C208B38E0703AA +:105C500093170301C183B3E7F800428763FCD701C0 +:105C6000B6971307F8FF63E7D70063F5D70113076B +:105C7000E8FFB697420EB387D741C16E3367EE0097 +:105C80001388FEFF33730701935807013378060129 +:105C90004182330E03033388080393560E01330306 +:105CA000C30242939A96B388C80263F30601F6983A +:105CB00013D60601B29863E01703E39317D9C167BF +:105CC000FD17FD8EC206337EFE003315B500F29639 +:105CD0008145E371D5E27D17A5B38145014719BD23 +:105CE000B2883687AA872E886398061C97A601007B +:105CF0009386465C63F8C50A4163637E66081303B6 +:105D0000F00F6373C30021473353E6009A9603CE26 +:105D10000600130300023A9E3303C341630C0300E1 +:105D2000B3956500335EC501B31866003368BE00E5 +:105D3000B317650013D608013377C802139508011D +:105D4000418193D607013358C8024207D98E3308E0 +:105D5000050363F80601C69663E5160163F30601C1 +:105D6000C696B386064133F7C602C207C183B3D6CF +:105D7000C602B306D50213150701C98F63F8D70011 +:105D8000C69763E5170163F3D700C697958F33D5A0 +:105D9000670081458280370300014147E36666F66C +:105DA00061479DB701E60546B3581603416663F3A4 +:105DB000C8081306F00F63731601214733D6E800B5 +:105DC000B29603CE0600130300023A9E3303C3418A +:105DD00063190306B385154113D70801139508010C +:105DE000418113D60701B3F6E502B3D5E502C20639 +:105DF000D18EB305B50263F8B600C69663E5160109 +:105E000063F3B600C696B385B640B3F6E502C207A3 +:105E1000C183B3D5E502B305B50213950601C98F59 +:105E200063F8B700C69763E5170163F3B700C69739 +:105E30008D8FB1BF370600014147E3E1C8F86147E4 +:105E4000B5BFB398680033D7C501B3176500335E9B +:105E5000C50113D50801B376A702B3956500336E6B +:105E6000BE0093950801C18113560E013357A70256 +:105E7000C206D18E3387E50263F8E600C69663E575 +:105E8000160163F3E600C6963386E640B376A602B3 +:105E9000420E135E0E013356A602C2063386C502B9 +:105EA000B3E5C60163F8C500C69563E5150163F364 +:105EB000C500C695918D0DB7E3EED5EC416763F54E +:105EC000E604930EF00F33B7DE000E0733D3E6007F +:105ED00097A801009388083E9A9883CE0800130E75 +:105EE0000002BA9E330EDE4163170E0263E4B60071 +:105EF0006369C500B307C540958D3335F500338818 +:105F0000A5403E85C28579B5B70800014147E3EF5A +:105F100016FB614765BF3357D601B396C601D98ECC +:105F2000B357D50133D7D501B395C501CD8F93D5DF +:105F300006013373B702139F0601135F0F0193D855 +:105F400007013316C6013315C5013357B7024203A3 +:105F5000B36813013308EF023A8363FC0801B69873 +:105F60001303F7FF63E7D80063F508011303E7FFA6 +:105F7000B698B3880841B3FFB802B3D8B802C20FCD +:105F8000B3051F03139F0701135F0F0133EFEF01E9 +:105F90004687637CBF00369F1387F8FF6367DF0087 +:105FA0006375BF001387E8FF369F4203C168336300 +:105FB000E3009387F8FF13580601330FBF40B37512 +:105FC000F30013530301F18F3387F502B307F30294 +:105FD000B385050333030303BE95135807012E98B9 +:105FE0006373F8004693C167FD1793550801337832 +:105FF000F80042087D8F9A9542976366BF00631B45 +:10600000BF006379E5003306C7403337C700958D7D +:10601000998D32873307E5403335E500B305BF403E +:10602000898DB397D5013357C70133E5E700B3D561 +:10603000C5018DB397A7010093878747944317A79E +:1060400001001307A7451843C8C2637BE50017A7E3 +:1060500001001307674508438C4391056FA04FCE9D +:10606000411122C406C617A70100130727442A843A +:1060700008438C439105EFA0AFCC97A7010093870D +:1060800027409C436376F40097A7010023AA873E2C +:10609000B24022444101828097A701009387474183 +:1060A000984394437C43FD177CC3FC4299E373609F +:1060B00004308280011122CC26CA2A84AE844AC8C8 +:1060C0004EC652C456C206CEEFB03FE91C4003296B +:1060D0000401B7090001B3E59700930AC4000CC09E +:1060E0008144FD19370A00021840638C2A030326F5 +:1060F00009004A85B3654701B37636019317560008 +:10610000758F0329490063C4070001E7F1BFE39DD0 +:10611000E6FC9317760063D30700D58CEFC0DFD27F +:10612000E1B793C4F4FFF98C04C0EFC00F880840B6 +:10613000F2406244D2444249B249224A924A05613D +:106140008280411106C622C4EFF0AFBC17F7FFFFF3 +:10615000130727EC814605469305400617A5010065 +:106160001305052AEFD05FA219C92A84EFB0FFDF1B +:106170002A860147814685452285EFD09FADEFB045 +:0C6180005FB8B24022440145410182801A +:02000004800278 +:100000001247FEFF1048FEFF1A47FEFF1048FEFF92 +:100010001048FEFF1048FEFF1048FEFF3247FEFF6B +:100020001048FEFF1048FEFF4C47FEFF5847FEFFFA +:100030001048FEFF6A47FEFF7647FEFF7647FEFF49 +:100040007647FEFF7647FEFF7647FEFF7647FEFFC8 +:100050007647FEFF7647FEFF7647FEFF1048FEFF1D +:100060001048FEFF1048FEFF1048FEFF1048FEFF3C +:100070001048FEFF1048FEFF1048FEFF1048FEFF2C +:100080001048FEFF1048FEFF1048FEFF1048FEFF1C +:100090001048FEFF1048FEFF1048FEFF1048FEFF0C +:1000A0001048FEFF1048FEFF1048FEFF1048FEFFFC +:1000B0001048FEFF1048FEFF1048FEFF1048FEFFEC +:1000C0001048FEFF1048FEFF1048FEFF1048FEFFDC +:1000D0001048FEFF1048FEFF1048FEFF1048FEFFCC +:1000E0001048FEFF1048FEFF1048FEFF1048FEFFBC +:1000F0001048FEFF1048FEFF1048FEFF1048FEFFAC +:10010000AA47FEFFC047FEFF1048FEFF1048FEFF53 +:100110001048FEFF1048FEFF1048FEFF1048FEFF8B +:100120001048FEFF0648FEFF1048FEFF1048FEFF85 +:10013000B845FEFF7046FEFF1048FEFF1048FEFF68 +:10014000A646FEFF1048FEFFFE46FEFF1048FEFFDB +:100150001048FEFF2C47FEFF1E4BFEFF724BFEFFBA +:10016000264BFEFF724BFEFF724BFEFF724BFEFFF3 +:10017000724BFEFF184AFEFF724BFEFF724BFEFFF2 +:10018000324AFEFF3E4AFEFF724BFEFF504AFEFF20 +:100190005C4AFEFF5C4AFEFF5C4AFEFF5C4AFEFFD3 +:1001A0005C4AFEFF5C4AFEFF5C4AFEFF5C4AFEFFC3 +:1001B0005C4AFEFF724BFEFF724BFEFF724BFEFF6E +:1001C000724BFEFF724BFEFF724BFEFF724BFEFF47 +:1001D000724BFEFF724BFEFF724BFEFF724BFEFF37 +:1001E000724BFEFF724BFEFF724BFEFF724BFEFF27 +:1001F000724BFEFF724BFEFF724BFEFF724BFEFF17 +:10020000724BFEFF724BFEFF724BFEFF724BFEFF06 +:10021000724BFEFF724BFEFF724BFEFF724BFEFFF6 +:10022000724BFEFF724BFEFF724BFEFF724BFEFFE6 +:10023000724BFEFF724BFEFF724BFEFF724BFEFFD6 +:10024000724BFEFF724BFEFF724BFEFF724BFEFFC6 +:10025000724BFEFF724BFEFF904AFEFFBE4AFEFF4E +:10026000724BFEFF724BFEFF724BFEFF724BFEFFA6 +:10027000724BFEFF724BFEFF724BFEFF144BFEFFF4 +:10028000724BFEFF724BFEFF7648FEFF7049FEFF89 +:10029000724BFEFF724BFEFFE249FEFF724BFEFF08 +:1002A000084AFEFF724BFEFF724BFEFF6C4BFEFFD7 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020416C74426C6F636B207461736B73208B +:1003E0000D0A00006D69616F750A00006D69616F2B +:1003F00075320A0068756E6772790A004368656332 +:100400006B54696D65720000416C74426C6F636B74 +:10041000517565756500000046425465737431007E +:0804200046425465737432007A +:100428001000000000000000017A5200017C010168 +:100438001B0D02001000000018000000B451FEFF60 +:100448008A03000000000000100000002C000000DB +:100458002A55FEFF5E0300000000000010000000A7 +:10046800400000007458FEFF540300000000000024 +:08050000FC8F0100090000005E +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/AltPollQ_rv32i_O0.hex b/VexRiscv/src/test/resources/freertos/AltPollQ_rv32i_O0.hex new file mode 100644 index 0000000..f1c95bf --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/AltPollQ_rv32i_O0.hex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diff --git a/VexRiscv/src/test/resources/freertos/AltPollQ_rv32i_O3.hex b/VexRiscv/src/test/resources/freertos/AltPollQ_rv32i_O3.hex new file mode 100644 index 0000000..76c0ba0 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/AltPollQ_rv32i_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/AltPollQ_rv32ic_O0.hex b/VexRiscv/src/test/resources/freertos/AltPollQ_rv32ic_O0.hex new file mode 100644 index 0000000..720489a --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/AltPollQ_rv32ic_O0.hex @@ -0,0 +1,1499 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900021B6170502001305E536979503009385F1 +:1000A000E56601461122170502001305A5339705E1 +:1000B00002009385A5330146C52817A50300130543 +:1000C000256597B503009385656437B6ABAB13061A +:1000D000B6BAD92817B1030013018163EF40D01ECF +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2021B23A02200F3221034A3 +:1002400096DEEF006030170102000321A119024180 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E21523A0120023A2BD +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40E06E17010200032161 +:10033000610B0241F65273901234B722000093828F +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A20783A0C4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A202F923A0220086DEEF1099 +:100460003062170102000321E1F70241F652739056 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F0961730020309707BF +:1004C00002009387E7F29843FD576305F7007370C6 +:1004D000043001A07370043001A041112AC6B24754 +:1004E000739047300100410182804111F327403071 +:1004F0003EC673F04330B2473E85410182804111D0 +:100500002AC62EC432C2B247F1173EC62247B247AE +:1005100098C3B247938787FA3EC61247B24798C33B +:10052000B247A1173EC68E873E87B24798C3B247EF +:10053000D1173EC6170700001307A7F8B24798C3A4 +:10054000B2473E8541018280411106C6EF40004519 +:10055000EF105036AA8799C3EF1090520100B240B5 +:100560004101828041112AC6B24713878700B247F2 +:10057000D8C3B2477D5798C7B24713878700B247A1 +:10058000D8C7B24713878700B24798CBB24723A09A +:10059000070001004101828041112AC6B24723A809 +:1005A000070001004101828001112AC62EC4B24712 +:1005B000DC433ECEA2477247D8C3F2479847A247D2 +:1005C00098C7F2479C472247D8C3F247224798C7AB +:1005D000A247324798CBB2479C4313871700B247D4 +:1005E00098C301000561828001112AC62EC4A2476A +:1005F0009C433ECC6247FD576316F700B2479C4BC5 +:100600003ECE31A8B247A1073ECE21A0F247DC433F +:100610003ECEF247DC439C436247E379F7FEF24764 +:10062000D843A247D8C3A247DC43224798C7A24772 +:10063000724798C7F2472247D8C3A247324798CBA0 +:10064000B2479C4313871700B24798C30100056166 +:10065000828001112AC6B2479C4B3ECEB247DC4392 +:100660003247184798C7B2479C4732475843D8C3C8 +:10067000F247DC4332476316F700B2479847F24728 +:10068000D8C3B24723A80700F2479C431387F7FF5C +:10069000F24798C3F2479C433E8505618280797199 +:1006A00006D622D42AC62EC4B2473ECEF24781E7F0 +:1006B0007370043001A0EF20A078F2478043F24726 +:1006C000D85FF247BC43BE853A85EF50E01CAA874D +:1006D0003307F400F247D8C3F24723AC0702F247CE +:1006E0009843F24798C7F2478043F247DC5F13878D +:1006F000F7FFF247BC43BE853A85EF50E019AA8761 +:100700003307F400F247D8C7F2477D57F8C3F247E2 +:100710007D57B8C7A24799EFF2479C4B8DC7F24768 +:10072000C1073E85EF1010622A878547631DF700D9 +:10073000F13911A8F247C1073E852D35F24793875D +:1007400047023E850535EF20807285473E85B250D1 +:10075000225445618280797106D62AC62EC4B2879A +:10076000A303F10002CCB24781E77370043001A00B +:10077000A24799E302CE01A8A2453245EF50C0112D +:10078000AA8785073ECEF247938747053E85EF301F +:10079000007E2ACAD24785CFA24789E7D24752476F +:1007A00098C331A0D24713874705D24798C3D24791 +:1007B0003247D8DFD2472247B8C385455245C535B1 +:1007C000D247034771002388E704D2473ECCE24773 +:1007D00081E77370043001A0E2473E85B250456165 +:1007E0008280797106D6AA87A307F1001305400518 +:1007F000EF30E0772ACEF247B9CFF24723A20700C5 +:10080000F24723A00700F24723A40700F24723A6DC +:100810000700F24723AC0702F2470547D8DFF2474B +:1008200023A00704F2477D57F8C3F2477D57B8C7A6 +:10083000F2470347F1002388E704F247C1073E85EA +:100840001533F247938747023E85293B814601468F +:10085000814572453122F2473E85B2504561828022 +:10086000797106D622D42AC6B2473ECCE24781E748 +:100870007370043001A0E247C043EF20803EAA8796 +:100880006313F402E247DC471387F7FFE247D8C758 +:10089000E247DC4791E78146014681456245C92030 +:1008A00085473ECE11A002CEF2473E85B25022547B +:1008B00045618280797106D622D42AC62EC4B247F9 +:1008C0003ECCE24781E77370043001A0E247C043A9 +:1008D000EF202039AA87631BF400E247DC47138727 +:1008E0001700E247D8C785473ECE0DA08146224675 +:1008F00081456245812B2ACE724785476318F700F0 +:10090000E247DC4713871700E247D8C7F2473E8526 +:10091000B250225445618280797106D62AC62EC40F +:10092000B24781E77370043001A02247B24763F5F4 +:10093000E7007370043001A0094681453245213D2E +:100940002ACEF24781C7F247224798DFF24781E774 +:100950007370043001A0F2473E85B2504561828039 +:10096000397106DE2AC62EC432C236C002D6B2475C +:100970003ED4A25781E77370043001A0A24781E7FB +:10098000A257BC4399E3854711A0814781E7737063 +:10099000043001A0024789476317F700A257D85FC8 +:1009A00085476314F700854711A0814781E773707D +:1009B000043001A0EF20402CAA8799E3924799E3E5 +:1009C000854711A0814781E77370043001A0EF20B3 +:1009D0002047A257985FA257DC5F6366F700024783 +:1009E0008947631EF7020246A2452255EF00007CAC +:1009F0002AD2A257DC5389CFA257938747023E855C +:100A0000EF1050342A8785476317F700013421A07F +:100A1000925791C3E53AEF20804585474DA0924714 +:100A200089E7EF20C044814759A8B25799E77C086D +:100A30003E85EF10D04885473ED6EF204043EF106B +:100A4000A03BEF20E03FA257F843FD576315F700A6 +:100A5000A25723A20704A257B847FD576315F70012 +:100A6000A25723A40704EF20804058007C08BA85D1 +:100A70003E85EF10D047AA878DEF2255EF00D012A8 +:100A8000AA8795C3A257C1071247BA853E85EF10C2 +:100A900050122255EF00B003EF10C037AA87E39839 +:100AA00007F2AD322DB72255EF007002EF108036FD +:100AB00039BF2255EF00B001EF10C03581473E85A8 +:100AC000F25021618280797106D62AC62EC432C2C4 +:100AD00036C002CEB2473ECCE24781E773700430A5 +:100AE00001A0A24781E7E247BC4399E3854711A0F3 +:100AF000814781E77370043001A0EF206034E24742 +:100B0000985FE247DC5F6379F7020246A2456245DF +:100B1000EF00C069E247DC5381CFE2479387470289 +:100B20003E85EF1030222A8785476313F700F93896 +:100B3000EF20E033854785A0924789E7EF202033F7 +:100B4000814791A8F24799E71C083E85EF1030379E +:100B500085473ECEEF20A031EF20802E58001C08A4 +:100B6000BA853E85EF10B038AA8799EF6245EF004D +:100B7000B003AA8791CFE247C1071247BA853E85E5 +:100B8000EF103003613029A0EF20602E814721A0B3 +:100B9000EF20E02D9DB73E85B250456182803971CE +:100BA00006DE2AC62EC432C236C002D6B2473ED4B2 +:100BB000A25781E77370043001A0A24781E7A257D2 +:100BC000BC4399E3854711A0814781E773700430E6 +:100BD00001A0EF20E026A2579C5FB5CBA257DC47CF +:100BE0003ED2A2452255792D824795EFA2579C5FB0 +:100BF0001387F7FFA25798DFA2579C4391E7EF2096 +:100C0000602E2A87A257D8C3A2579C4B8DCFA257DC +:100C1000C1073E85EF1010132A8785476315F70239 +:100C2000EFF0CFFE0DA0A2571257D8C7A257DC5342 +:100C300099CBA257938747023E85EF10B010AA8741 +:100C400099C3EFF0AFFCEF2080228547ADA8924713 +:100C500089E7EF20C0218147BDA0B25799E77C0802 +:100C60003E85EF10D02585473ED6EF204020EF206F +:100C7000201D58007C08BA853E85EF105027AA87B2 +:100C800085EF2255E92DAA8785CFA2579C4391EB8A +:100C9000EF20001BA257DC433E85EF20E000EF2051 +:100CA000001DA257938747021247BA853E85EF1071 +:100CB0004070EFF0AFF529A0EF20601B814721A025 +:100CC000EF20E01A39B73E85F250216182807971B8 +:100CD00006D62AC62EC432C236C0B2473ECCE24740 +:100CE00081E77370043001A0A24781E7E247BC436B +:100CF00099E3854711A0814781E77370043001A013 +:100D0000024789476317F700E247D85F85476314B6 +:100D1000F700854711A0814781E77370043001A077 +:100D2000EFF0AFFCAA873ECAE247985FE247DC5F7C +:100D30006366F700024789476315F7040246A24538 +:100D40006245AD21E247B847FD576313F702E2471A +:100D5000DC538DC7E247938747023E85EF10807EC4 +:100D6000AA8789CF924799CB9247054798C339A064 +:100D7000E247BC4713871700E247B8C785473ECE16 +:100D800011A002CED2473E85EFF02FF5F2473E8507 +:100D9000B25045618280797106D62AC62EC4B24708 +:100DA0003ECCE24781E77370043001A0E247BC43C8 +:100DB00081C77370043001A0E2479C4381E7E2479A +:100DC000DC4399E3854711A0814781E773700430C4 +:100DD00001A0EFF08FF1AA873ECAE247985FE24791 +:100DE000DC5F6377F704E2479C5F13871700E247F5 +:100DF00098DFE247B847FD576313F702E247DC5339 +:100E00008DC7E247938747023E85EF10A073AA87FC +:100E100089CFA24799CBA247054798C339A0E2479B +:100E2000BC4713871700E247B8C785473ECE11A0DD +:100E300002CED2473E85EFF04FEAF2473E85B250F0 +:100E400045618280397106DE2AC62EC432C236C0A0 +:100E500002D6B2473ED4A25781E77370043001A096 +:100E6000A24781E7A257BC4399E3854711A0814778 +:100E700081E77370043001A0EF101060AA8799E336 +:100E8000924799E3854711A0814781E77370043049 +:100E900001A0EF10F07AA2579C5FB5CBA257DC47B8 +:100EA0003ED2A2452255F92E824795EFA2579C5F6C +:100EB0001387F7FFA25798DFA2579C4391E7EF20D3 +:100EC00060022A87A257D8C3A2579C4B8DCFA25746 +:100ED000C1073E85EF1000672A8785476315F70233 +:100EE000EFF0CFD20DA0A2571257D8C7A257DC53AC +:100EF00099CBA257938747023E85EF10A064AA873B +:100F000099C3EFF0AFD0EF10907685475DA8924778 +:100F100089E7EF10D07581476DA0B25799E77C083B +:100F20003E85EF10C07985473ED6EF105074EF0034 +:100F3000B06CEF10F070A257F843FD576315F7003F +:100F4000A25723A20704A257B847FD576315F7001D +:100F5000A25723A40704EF10907158007C08BA85AB +:100F60003E85EF10C078AA87A1EB2255CD2EAA8727 +:100F70009DCFA2579C4391EBEF10906CA257DC439E +:100F80003E85EF107052EF10906EA2579387470284 +:100F90001247BA853E85EF10C04122550D2EEF0055 +:100FA0007067AA87E39707EEEFF04FC6DDB52255CD +:100FB000392EEF003066F1BD2255112EEF009065FD +:100FC00081473E85F25021618280797106D62AC61A +:100FD0002EC432C2B2473ECCE24781E77370043080 +:100FE00001A0A24781E7E247BC4399E3854711A0EE +:100FF000814781E77370043001A0EFF00FCFAA871B +:101000003ECAE2479C5FA1CBA2456245A52CE247C0 +:101010009C5F1387F7FFE24798DFE247F843FD57ED +:101020006312F702E2479C4B85C7E247C1073E8542 +:10103000EF104051AA8789CF924799CB9247054735 +:1010400098C339A0E247FC4313871700E247F8C36F +:1010500085473ECE11A002CED2473E85EFF0EFC7C6 +:10106000F2473E85B25045618280797106D62AC624 +:101070002EC4B2473ECCE24781E77370043001A032 +:10108000A24781E7E247BC4399E3854711A0814726 +:1010900081E77370043001A0E247BC4381E77370BD +:1010A000043001A0EFF06FC4AA873ECAE2479C5FFC +:1010B00089CFE247DC473EC8A2456245E122E247CC +:1010C0004247D8C785473ECE11A002CED2473E85C3 +:1010D000EFF0AFC0F2473E85B25045618280797132 +:1010E00006D62AC6B24781E77370043001A0EF101C +:1010F0003055B2479C5F3ECEEF107057F2473E85A9 +:10110000B25045618280797106D62AC6B2473ECE7A +:10111000F24781E77370043001A0EF107052F2477C +:10112000D85FF2479C5FB307F7403ECCEF103054D6 +:10113000E2473E85B2504561828001112AC6B2471E +:1011400081E77370043001A0B2479C5F3ECEF24746 +:101150003E8505618280797106D62AC6B2473ECEA9 +:10116000F24781E77370043001A07245E5247245AF +:10117000EF20F0720100B2504561828041112AC611 +:10118000B247FC473E854101828041112AC62EC4E8 +:10119000B2472247F8C701004101828041112AC6A7 +:1011A000B24783C707053E8541018280797106D623 +:1011B0002AC62EC432C202CEB247BC4391EFB24718 +:1011C0009C43D5E7B247DC433E85EF10903B2ACEE7 +:1011D000B24723A2070061A8924785EFB24798471C +:1011E000B247BC433E86A2453A85EF20F079B2472C +:1011F0009847B247BC433E97B24798C7B247984713 +:10120000B247DC436365F706B2479843B24798C7D5 +:10121000B9A8B247D847B247BC433E86A2453A85F3 +:10122000EF209076B247D847B247BC43B307F040AF +:101230003E97B247D8C7B247D847B2479C43637B73 +:10124000F700B247D843B247BC43B307F0403E97DC +:10125000B247D8C712478947631BF700B2479C5F64 +:1012600099C7B2479C5F1387F7FFB24798DFB24731 +:101270009C5F13871700B24798DFF2473E85B25054 +:1012800045618280011106CE2AC62EC4B247BC43F6 +:101290009DCBB247D847B247BC433E97B247D8C769 +:1012A000B247D847B247DC436366F700B24798437A +:1012B000B247D8C7B247D847B247BC433E86BA8583 +:1012C0002245EF20706C0100F2400561828001111F +:1012D00006CE2AC6EF10D03625A0B247DC5395C7FC +:1012E000B247938747023E85EF10C025AA8799C36E +:1012F000EF104049B247BC471387F7FFB247B8C762 +:10130000B247BC47E34BF0FC11A00100B2477D5748 +:10131000B8C7EF10D035EF10B0321DA0B2479C4BCC +:101320008DC7B247C1073E85EF10C021AA8799C378 +:10133000EF104045B247FC431387F7FFB247F8C3AD +:10134000B247FC43E34CF0FC11A00100B2477D57CB +:10135000F8C3EF10D0310100F2400561828079714D +:1013600006D62AC6EF10D02DB2479C5F81E785478D +:101370003ECE11A002CEEF10902FF2473E85B25024 +:101380004561828001112AC6B24781E7737004303B +:1013900001A0B2479C5F81E785473ECE11A002CEF7 +:1013A000F2473E8505618280797106D62AC6EF1024 +:1013B0003029B247985FB247DC5F6315F700854775 +:1013C0003ECE11A002CEEF10902AF2473E85B250D9 +:1013D0004561828001112AC6B24781E773700430EB +:1013E00001A0B247985FB247DC5F6315F7008547FD +:1013F0003ECE11A002CEF2473E85056182800111EA +:101400002AC62EC402CE81A0178703001307472CDB +:10141000F2478E07BA979C4385E7178703001307A7 +:10142000272BF2478E07BA97224798C317870300E6 +:101430001307072AF2478E07BA973247D8C301A885 +:10144000F24785073ECE72479D47E3FFE7FA01006A +:101450000561828001112AC602CE0DA817870300FC +:1014600013070727F2478E07BA97DC433247631CFE +:10147000F700178703001307A725F2478E07BA97CF +:1014800023A0070001A8F24785073ECE72479D477B +:10149000E3F6E7FC010005618280797106D62AC671 +:1014A0002EC432C2B2473ECEEF109019F247F84335 +:1014B000FD576315F700F24723A20704F247B84728 +:1014C000FD576315F700F24723A40704EF10301A05 +:1014D000F2479C5F89EBF247938747021246A24589 +:1014E0003E85EF00907E7245DD330100B2504561CC +:1014F0008280397106DE2ACE2ECC36C83AC63EC46A +:1015000042C246C0B287231BF100F24781E77370E5 +:10151000043001A03247A54763F5E700737004303B +:1015200001A08357610192453E85EF1080512AD476 +:10153000A257638F0712A257985B83566101B707C2 +:101540000040FD17B6978A07BA973ED29257F19B93 +:101550003ED292578D8B81C77370043001A08357A0 +:1015600061013E8782463246E2452255EF10E02770 +:101570004246F2451255EFE09FF82A87A25798C3DA +:10158000A24781C7A247225798C3EF10700B97F765 +:101590000100938767029C431387170097F70100A8 +:1015A0009387870198C397F701009387A7E39C432C +:1015B00095E397F701009387E7E2225798C397F7DF +:1015C0000100938767FF98438547631AF702EF107E +:1015D000C02C35A097F701009387C7FE9C4385E395 +:1015E00097F70100938707E09C43DC573247636815 +:1015F000F70097F701009387E7DE225798C397F724 +:101600000100938727FD9C431387170097F701007C +:10161000938747FC98C397F701009387A7FB9843EC +:10162000A257B8C7A257D85797F70100938747F931 +:101630009C4363F9E700A257D85797F701009387B7 +:1016400027F898C3A257D857BA878A07BA978A0744 +:1016500017F701001307C7E23E97A2579107BE850F +:101660003A85EFE07FF485473ED6EF10500019A091 +:10167000FD573ED6325785476313F70297F70100AF +:10168000938747F49C4381CF97F70100938787D5D1 +:101690009C43DC57324763F4E700EFE03FD7B25793 +:1016A0003E85F25021618280797106D62AC6EF10FC +:1016B0002079B24799E797F701009387A7D29C4317 +:1016C00011A0B2473ECEF24791073E85EFE07FF88A +:1016D000F2479C5791C7F247E1073E85EFE07FF75D +:1016E000F2479107BE8517F50100130525EAEFE0E3 +:1016F000BFEB97F701009387A7EA9C431387170076 +:1017000097F701009387C7E998C397F7010093877C +:1017100067EC9C431387170097F70100938787EBCB +:1017200098C3EF10C07497F701009387A7E99C4313 +:101730009DCB97F701009387E7CA9C437247631ECE +:10174000F70097F70100938767E99C4381C773709F +:10175000043001A0EFE09FCB39A0EF10606EEF10D6 +:10176000A04BEF10C0700100B250456182807971CA +:1017700006D62AC62EC402CEB24781E77370043063 +:1017800001A0A24781E77370043001A097F7010020 +:101790009387C7E49C4381C77370043001A0A92DCF +:1017A00097F70100938787E19C433ECCB2479C4367 +:1017B0002247BA973ECAB2479C436247637EF7000E +:1017C000B2479C4352476374F7025247E24763F0C3 +:1017D000E70285473ECE21A8B2479C435247636645 +:1017E000F7005247E24763F4E70085473ECEB24731 +:1017F000524798C3F24789CF97F70100938787BE76 +:101800009C4391073E85EFE0DFE45245EF10001B5B +:1018100011252AC8C24799E3EFE05FBF0100B2502B +:1018200045618280797106D62AC602CEB247A9C325 +:1018300097F70100938787DA9C4381C77370043060 +:1018400001A05D2B97F70100938747D79C43324750 +:10185000BA973ECC97F701009387C7B89C4391078E +:101860003E85EFE01FDF6245EF10401565232ACE6D +:10187000F24799E3EFE09FB90100B25045618280E1 +:10188000797106D62AC6B2473ECCE24781E773702B +:10189000043001A097F701009387C7B49C436247C7 +:1018A0006314F70002CEBDA0EF108059E247DC4B75 +:1018B0003ECAEF10C05B97F70100938767CB9C434C +:1018C0005247630AF70097F701009387A7CA9C4322 +:1018D00052476315F70089473ECE2DA8524797F728 +:1018E0000100938727CC631BF700E2479C5781E7F1 +:1018F0008D473ECE05A089473ECE29A8524797F78F +:1019000001009387A7C86315F70091473ECE19A041 +:1019100085473ECEF2473E85B2504561828079715F +:1019200006D62AC6EF10C051B24799E797F70100D3 +:10193000938747AB9C4311A0B2473ECEF247DC579A +:101940003ECCEF10C052E2473E85B25045618280E6 +:10195000797106D62AC6EFE05FB9AA873ECEB247B4 +:1019600099E797F701009387E7A79C4311A0B24737 +:101970003ECCE247DC573ECAF2473E85EFE0FFB57A +:10198000D2473E85B25045618280797106D62AC61B +:101990002EC402CE2247A54763F5E70073700430DA +:1019A00001A02247A54763F4E700A5473EC4EF1016 +:1019B0002049B24799E797F701009387A7A29C4374 +:1019C00011A0B2473ECCE247BC4B3ECA5247A247A9 +:1019D000630AF70E2247D24763F6E70297F7010042 +:1019E000938747A09C4362476308F70297F701007B +:1019F0009387479F9C43DC572247636FF7008547D7 +:101A00003ECE19A897F701009387C79D9C43624774 +:101A10006314F70085473ECEE247DC573EC8E247F5 +:101A2000B84BE247DC576315F700E2472247D8D7A7 +:101A3000E2472247B8CBE2479C4F63C707002947DC +:101A4000A2471D8FE24798CFE247D44B4247BA875F +:101A50008A07BA978A0717F70100130767A2BA9790 +:101A60006394F600854711A08147B9C7E247910703 +:101A70003E85EFE01FBEE247D85797F701009387F6 +:101A800027B49C4363F9E700E247D85797F7010072 +:101A9000938707B398C3E247D857BA878A07BA979C +:101AA0008A0717F701001307A79D3E97E2479107A2 +:101AB000BE853A85EFE05FAF724785476314F70054 +:101AC000EFE0DF94EF10A03A0100B2504561828050 +:101AD000797106D62AC6EF10A036B24799E797F774 +:101AE0000100938727909C4311A0B2473ECEF24756 +:101AF00091073E85EFE0FFB5F2479C5791C7F2474B +:101B0000E1073E85EFE0FFB4F2479107BE8517F588 +:101B10000100130525A9EFE03FA9EF10403597F725 +:101B200001009387278C9C4372476319F70497F74A +:101B30000100938727A99C4389CF97F701009387DA +:101B4000E7A99C4381C77370043001A0EFE01F8CAC +:101B500091A097F701009387E7A4984397F70100B6 +:101B6000938787A59C436319F70097F70100938734 +:101B7000678723A0070039A8212729A897F7010024 +:101B8000938747A49C4399C7EF10802BEF10C008A0 +:101B9000EF10E02D0100B2504561828001112AC68C +:101BA00002CEB2473ECCB24781E77370043001A049 +:101BB000E247D84B97F701009387C79E6314F7005D +:101BC000854711A0814795C3E247985797F70100D1 +:101BD0009387879A630BF700E2479C5799E3854701 +:101BE00011A0814799C385473ECEF2473E850561E6 +:101BF0008280797106D62AC6B2473ECEB24781E7C7 +:101C00007370043001A0F247C9C797E701009387BA +:101C1000677D9C437247630EF706EF1060227245A2 +:101C2000B53F2A8785476314F706F24791073E853B +:101C3000EFE03FA2F247D85797F701009387479804 +:101C40009C4363F9E700F247D85797F70100938761 +:101C5000279798C3F247D857BA878A07BA978A074F +:101C600017F701001307C7813E97F2479107BE851A +:101C70003A85EFE07F93F247D85797E701009387C3 +:101C800067769C43DC576364F700EFE02FF8EF10B2 +:101C9000001E0100B25045618280797106D62AC6C5 +:101CA00002CEB2473ECCB24781E77370043001A048 +:101CB000EFE0BF83AA873ECA6245CD352A878547B4 +:101CC0006314F70897F70100938747919C43A5E7B2 +:101CD000E247D85797E701009387C7709C43DC57CA +:101CE0006364F70085473ECEE24791073E85EFE00B +:101CF0005F96E247D85797F701009387678C9C431C +:101D000063F9E700E247D85797F701009387478BBD +:101D100098C3E247D857BA878A07BA978A0717E75E +:101D200001001307E7753E97E2479107BE853A85A4 +:101D3000EFE09F8711A8E247E107BE8517F5010094 +:101D400013058583EFE05F86D2473E85EFE0EFF82D +:101D5000F2473E85B25045618280011106CE81482E +:101D600001488147014781461306004097E501007D +:101D70009385452917150000130585A5EFF06FF72A +:101D80002AC6324785476315F700EF10C06D2AC693 +:101D9000324785476319F7027370043097F70100E3 +:101DA000938787837D5798C397F70100938787812F +:101DB000054798C397F701009387478023A0070042 +:101DC000EFE02FCE31A0B24781E77370043001A05D +:101DD0000100F24005618280411106C67370043033 +:101DE00097E701009387077E23A00700EFE0AFD9B4 +:101DF0000100B2404101828097E701009387077E8E +:101E00009C431387170097E701009387277D98C3AA +:101E100001008280011106CE02C697E70100938778 +:101E2000E77B9C4381E77370043001A0EF10400111 +:101E300097E701009387877A9C431387F7FF97E71B +:101E400001009387A77998C397E7010093870779E3 +:101E50009C436390071097E701009387E7759C43C5 +:101E60006389070E59A097E701009387E770DC4765 +:101E7000DC473EC4A247E1073E85EFE08FFDA24765 +:101E800091073E85EFE0EFFCA247D85797E70100A6 +:101E9000938707739C4363F9E700A247D85797E7F6 +:101EA00001009387E77198C3A247D857BA878A077A +:101EB000BA978A0717E701001307875C3E97A24786 +:101EC0009107BE853A85EFE02FEEA247D85797E7F6 +:101ED0000100938727519C43DC576368F70097E71D +:101EE00001009387A76E054798C397E70100938782 +:101EF000A7689C43ADFB97E701009387E76C9C4381 +:101F00008DCF35A0452AAA8799C797E70100938707 +:101F1000E76B054798C397E701009387E76A9C43FF +:101F20001387F7FF97E701009387076A98C397E73E +:101F30000100938767699C43F1F797E70100938756 +:101F4000E768984385476316F70085473EC6EFE08C +:101F5000EFCBEF00D071B2473E85F2400561828041 +:101F6000011106CEEF00D06D97E70100938707655A +:101F70009C433EC6EF00B06FB2473E85F24005611C +:101F80008280011106CEEFE04FD6AA873EC697E7C2 +:101F900001009387A7629C433EC4B2473E85EFE0B1 +:101FA000CFD3A2473E85F2400561828097E70100CA +:101FB000938787609C433E858280797106D62AC6C6 +:101FC0002EC432C202CEA9473ECC3D3597E7010070 +:101FD0009387875E9C4322476368F70CE247FD17AF +:101FE0003ECCF24796073247B306F7006247BA87FE +:101FF0008A07BA978A0717E7010013076748BA9755 +:102000000546BE853685EF00902A2A87F247BA97A3 +:102010003ECEE247E1F7F247960732473E9797E711 +:1020200001009387E7549C430946BE853A85EF003B +:1020300010282A87F247BA973ECEF24796073247D2 +:102040003E9797E701009387E7529C430946BE8578 +:102050003A85EF00D0252A87F247BA973ECEF2475D +:1020600096073247BA97114697E5010093850552C6 +:102070003E85EF00D0232A87F247BA973ECEF2473B +:1020800096073247BA970D4697E50100938585512B +:102090003E85EF00D0212A87F247BA973ECE92477D +:1020A00081C7924723A00700B533F2473E85B2505F +:1020B00045618280797106D602CE97E70100938749 +:1020C000E7519C436395071897E701009387074FF3 +:1020D0009C431387170097E701009387274E98C307 +:1020E00097E701009387874D9C433ECCE247B9EFC9 +:1020F00097E701009387C7479C439C4381C7737050 +:10210000043001A097E70100938787469C433ECAAD +:1021100097E7010093870746984397E70100938765 +:10212000274598C397E701009387C744524798C350 +:1021300097E701009387C7499C431387170097E7E8 +:1021400001009387E74898C3EF00102D97E701003F +:10215000938787489C4362476365F70C97E70100C4 +:10216000938707419C439C4399E3854711A081478E +:1021700081CB97E70100938727467D5798C355A0E9 +:1021800097E701009387C73E9C43DC47DC473EC886 +:10219000C247DC433EC66247B2476379F70097E720 +:1021A000010093876743324798C3A5A8C2479107A8 +:1021B0003E85EFE00FCAC2479C5791C7C247E1076F +:1021C0003E85EFE00FC9C247D85797E701009387D4 +:1021D000273F9C4363F9E700C247D85797E70100C0 +:1021E0009387073E98C3C247D857BA878A07BA97DA +:1021F0008A0717E701001307A7283E97C2479107F0 +:10220000BE853A85EFE04FBAC247D85797E701003D +:102210009387471D9C43DC57E362F7F485473ECE26 +:1022200035BF97E701009387E71B9C43D85797E694 +:1022300001009386E624BA878A07BA978A07B69779 +:102240009843854763F1E70285473ECE29A897E783 +:102250000100938767379C431387170097E70100B6 +:102260009387873698C397E70100938727369C4367 +:1022700099C385473ECEF2473E85B2504561828084 +:10228000011106CE97E70100938747359C4381CB28 +:1022900097E7010093878733054798C339A297E7EB +:1022A00001009387A73223A0070097E701009387D7 +:1022B00067139C439C5B3EC6B7A7A5A59387575A57 +:1022C0003EC4B2479C4322476314F702B2479107CA +:1022D0009C432247631EF700B247A1079C43224755 +:1022E0006318F700B247B1079C4322476308F7041D +:1022F00097E701009387070F984397E701009387BB +:10230000670E9C4393874703BE853A85EF20B00A4A +:1023100035A097E701009387A72A9C4381E7737054 +:10232000043001A097E70100938787299C43138716 +:10233000F7FF97E701009387A72898C397E7010065 +:1023400093870728984397E6010093866613BA8718 +:102350008A07BA978A07B6979C43C5DF97E70100BB +:10236000938707269843BA878A07BA978A0717E799 +:1023700001001307E710BA973EC29247DC43D843E7 +:102380009247D8C39247D8439247A1076317F700F3 +:102390009247DC43D8439247D8C39247DC43D8479F +:1023A00097E701009387070498C30100F240056195 +:1023B0008280797106D62AC62EC4B24781E773702F +:1023C000043001A097E701009387C7019C43E10710 +:1023D000BE853245EFE04FA197E701009387870064 +:1023E0009C4391073E85EFE0CFA62247FD5763103F +:1023F000F70297E701009387E7FE9C439107BE85AC +:1024000017E501001305051AEFE00F9A19A897E7E1 +:1024100001009387A71A9C432247BA973ECE724584 +:10242000712B0100B25045618280797106D62AC6AF +:102430002EC432C2B24781E77370043001A097E71F +:1024400001009387A7199C4381E77370043001A0B2 +:1024500097E70100938707F99C43A246370700805E +:10246000558F98CF97E701009387C7F79C43E10703 +:10247000BE853245EFE04F9397E70100938787F6DB +:102480009C4391073E85EFE0CF9C1247FD576310B8 +:10249000F70297E701009387E7F49C439107BE8515 +:1024A00017E5010013050510EFE00F9019A897E755 +:1024B00001009387A7109C431247BA973ECE7245FE +:1024C000F5290100B25045618280797106D62AC68D +:1024D0002EC432C2B24781E77370043001A097E77F +:1024E0000100938727F09C43E107BE853245EFE06A +:1024F000AF8B97E701009387E7EE9C4391073E85FA +:10250000EFE02F95124785476310F70297E7010028 +:10251000938747ED9C439107BE8517E5010013059E +:102520006508EFE06F8819A897E70100938707090E +:102530009C432247BA973ECE724549210100B250D2 +:1025400045618280797106D62AC6B247DC47DC47EE +:102550003ECCE24781E77370043001A0E247E10717 +:102560003E85EFE00F8F97E70100938727079C4395 +:10257000A1EBE24791073E85EFE0AF8DE247D857E8 +:1025800097E701009387C7039C4363F9E700E2479D +:10259000D85797E701009387A70298C3E247D85717 +:1025A000BA878A07BA978A0717E70100130747ED2A +:1025B0003E97E2479107BE853A85EFD0FFFE11A80E +:1025C000E247E107BE8517E501001305E5FAEFD004 +:1025D000BFFDE247D85797E701009387A7E09C43E8 +:1025E000DC5763FBE70085473ECE97E70100938702 +:1025F000E7FD054798C311A002CEF2473E85B250D1 +:1026000045618280797106D62AC62EC497E70100FB +:102610009387C7FC9C4381E77370043001A0224775 +:10262000B70700805D8FB24798C3B247DC473ECC06 +:10263000E24781E77370043001A03245EFE06F811B +:10264000E24791073E85EFE0CF80E247D85797E712 +:1026500001009387E7F69C4363F9E700E247D85708 +:1026600097E701009387C7F598C3E247D857BA8721 +:102670008A07BA978A0717E70100130767E03E97B2 +:10268000E2479107BE853A85EFD01FF2E247D8575F +:1026900097E70100938707D59C43DC5763FBE7006E +:1026A00085473ECE97E70100938747F2054798C3D9 +:1026B00011A002CEF2473E85B250456182804111A1 +:1026C0002AC6B24781E77370043001A097E7010082 +:1026D000938707F09843B24798C397E70100938721 +:1026E000E7ED9843B247D8C3010041018280797178 +:1026F00006D62AC62EC4B24781E77370043001A003 +:10270000A24781E77370043001A01D2F97E70100F5 +:102710009387C7EA9C433ECCA2479843FD57631476 +:10272000F70002CE91A8B247984397E7010093873C +:1027300027EA9C43630AF700B247DC4362476365BC +:10274000F70085473ECE0DA8B247DC4362471D8F98 +:10275000A2479C436370F702A2479843B247D44311 +:10276000E247B387F6403E97A24798C33245813F80 +:1027700002CE19A085473ECEDD2DF2473E85B250F0 +:102780004561828097E70100938747E4054798C336 +:102790000100828001112AC6B24799C7B2473ECCD8 +:1027A000E247FC473ECE11A002CEF2473E850561CE +:1027B000828001112AC62EC4B24791C7B2473ECECD +:1027C000F2472247F8C7010005618280011106CE59 +:1027D0002AC6852297E70100938787CA98438547D1 +:1027E000E3F9E7FEEFD09FC2EDB7397106DE2ACEDE +:1027F0002ECC32CA36C8BA872317F10002D625A0DC +:102800006247B257BA9703C70700F246B257B69766 +:10281000238AE7026247B257BA9783C7070089CB7A +:10282000B25785073ED63257BD47E3FBE7FC11A000 +:102830000100F247A38107045247A54763F4E7006C +:10284000A5473ECAF2475247D8D7F2475247B8CBBE +:10285000F24723AA0704F24791073E85EFD0DFD362 +:10286000F247E1073E85EFD03FD3F247724798CB5E +:102870002947D2471D8FF24798CFF2477247D8D3E6 +:10288000F24723A20704F24723AC0704F24723AE22 +:1028900007040100F25021618280011106CE02C6B8 +:1028A0000DA03247BA878A07BA978A0717E701004F +:1028B000130707BDBA973E85EFD0DFCAB247850739 +:1028C0003EC63247A547E3FEE7FC17E501001305C6 +:1028D000A5C7EFD03FC917E50100130525C8EFD004 +:1028E0007FC817E50100130525C9EFD0BFC717E55D +:1028F00001001305A5C9EFD0FFC617E501001305B8 +:1029000065CAEFD03FC697E70100938767C617E710 +:102910000100130767C398C397E70100938787C532 +:1029200017E70100130787C398C30100F240056150 +:102930008280011106CE8DA8EFF00FCC97E7010041 +:102940009387C7C49C4393B7170093F7F70F3EC60E +:10295000EFF04FCCB247A9EBE52197E70100938751 +:10296000E7C2DC47DC473EC4A24791073E85EFD073 +:102970005FCE97E70100938727C49C431387F7FF37 +:1029800097E70100938747C398C397E701009387B0 +:1029900027C19C431387F7FF97E70100938747C040 +:1029A00098C3F1212245912C97E70100938747BFF7 +:1029B0009C43D9F30100F24005618280011106CEEB +:1029C0002AC697E701009387E7A19C433247D8C303 +:1029D00097E70100938787BE9C4332476372F702F3 +:1029E00097E70100938707B9984397E7010093871A +:1029F000679F9C439107BE853A85EFD0FFBE35A8FF +:102A000097E701009387C7B6984397E7010093873C +:102A1000679D9C439107BE853A85EFD0FFBC97E741 +:102A20000100938767BB9C4332476378F70097E7C1 +:102A30000100938767BA324798C30100F2400561ED +:102A40008280797106D6AA872EC42317F100A24787 +:102A500089EB8357E1008A073E85EF104051AA8732 +:102A600011A0A2473ECCE24785C313050006EF1034 +:102A700000502ACEF24789C7F247624798DB31A05F +:102A80006245EF10C06111A002CEF24781CFF2473C +:102A9000985B8357E1008A073E869305500A3A8582 +:102AA000EF104075F2473E85B25045618280397122 +:102AB00006DE22DC2AC62EC432C202D6A2479C43BE +:102AC000638D070EA2473ED4A257DC43D843A257DA +:102AD000D8C3A257D843A257A1076317F700A2573C +:102AE000DC43D843A257D8C3A257DC43DC473ED2CD +:102AF000A2473ED08257DC43D8438257D8C382577F +:102B0000D8438257A1076317F7008257DC43D843A5 +:102B10008257D8C38257DC43DC473ECEB257960774 +:102B20003247BA97724798C3B25796073247BA9757 +:102B3000724713074703D8C3B25796073247BA976D +:102B40007247384798C7B25796073247BA97124725 +:102B5000D8C7B25796073247BA977247585798CB9B +:102B600012478D47631BF700F2479C5799C7B2572E +:102B700096073247BA970947D8C7B25796073247E0 +:102B8000BA977247384BD8CBB25796073247BA97A5 +:102B900023AC0700F247945BB257960732473304E1 +:102BA000F70036850D20AA87231EF400B25785074B +:102BB0003ED672479257E31DF7F2B2573E85F25068 +:102BC00062542161828001112AC602CE39A0B24727 +:102BD00085073EC6F24785073ECEB24703C70700CA +:102BE0009307500AE305F7FEF24789833ECEF2478A +:102BF000C207C1833E8505618280011106CE2AC6C7 +:102C0000B2479C5B3E85EF1080493245EF1020496A +:102C10000100F24005618280411197E7010093872E +:102C200027959C439C4399E3854711A0814781CB1D +:102C300097E701009387479A7D5798C305A097E7C8 +:102C400001009387E7929C43DC47DC473EC6B247CE +:102C5000D84397E701009387279898C30100410163 +:102C60008280411197D701009387C7779C433EC666 +:102C7000B2473E8541018280411197E70100938769 +:102C800067949C4381E785473EC619A897E70100F2 +:102C90009387C7949C4381E789473EC611A002C62B +:102CA000B2473E8541018280797106D62AC6B24775 +:102CB0003ECEB247F9C3F247D85797D70100938762 +:102CC00067729C43DC57637AF70AF2479C4F63CCE8 +:102CD000070097D701009387E7709C43DC5729478B +:102CE0001D8FF24798CFF247D44BF247D857BA8797 +:102CF0008A07BA978A0717D7010013076778BA9728 +:102D00006394F600854711A08147A5C3F247910758 +:102D10003E85EFD01F9497D701009387A76C9C4303 +:102D2000D857F247D8D7F247D85797E7010093878B +:102D300027899C4363F9E700F247D85797E70100DA +:102D40009387078898C3F247D857BA878A07BA97F4 +:102D50008A0717D701001307A7723E97F24791071A +:102D6000BE853A85EFD05F8409A897D70100938785 +:102D700067679C43D857F247D8D70100B2504561E6 +:102D80008280797106D62AC6B2473ECC02CEB247BF +:102D9000DDC397D701009387E7649C4362476305CF +:102DA000F7007370043001A0E247FC4B81E77370B9 +:102DB000043001A0E247FC4B1387F7FFE247F8CB52 +:102DC000E247D857E247BC4B6307F706E247FC4BA4 +:102DD000BDE3E24791073E85EFD0BF87E247B84B9E +:102DE000E247D8D7E247DC5729471D8FE24798CF03 +:102DF000E247D85797D701009387877C9C4363F9B4 +:102E0000E700E247D85797D701009387677B98C3BD +:102E1000E247D857BA878A07BA978A0717D70100B7 +:102E2000130707663E97E2479107BE853A85EFD0C4 +:102E3000AFF785473ECEF2473E85B250456182806E +:102E40007370043097D701009387C7779C4391CF65 +:102E500097D70100938707599C43F8430507F8C3A8 +:102E600097D70100938707589C430100828097D72A +:102E70000100938727759C438DCB97D701009387DB +:102E800067569C43FC4395C397D7010093878755AA +:102E90009C43F8437D17F8C397D701009387875465 +:102EA0009C43FC4399E3736004300100828041112C +:102EB00097D70100938707539C439C4F3EC697D7F3 +:102EC0000100938727529C43D85797D701009387D7 +:102ED00067519C43A9463387E64098CFB2473E8569 +:102EE0004101828097D701009387C74F9C4389CBCC +:102EF00097D701009387074F9C43F84B0507F8CB02 +:102F000097D701009387074E9C433E858280797155 +:102F100006D62AC62EC42D3797D701009387874C33 +:102F20009C43BC4FADE397D701009387A74B9C43CD +:102F30000547F8CFA247A1CB97D701009387874ACF +:102F40009C4391073E85EFD0CFF02247FD57631099 +:102F5000F70297D701009387E7489C439107BE8506 +:102F600017D5010013050564EFD00FE419A897D712 +:102F700001009387A7649C432247BA973ECE7245CF +:102F8000353CEFD0AFC8E535653D97D70100938755 +:102F900067459C43BC4F3ECCE2479DC3B24789CBBB +:102FA00097D70100938707449C4323AC070409A8E3 +:102FB00097D70100938707439C43B84F7D17B8CF3D +:102FC00097D70100938707429C4323AE07044535FA +:102FD000E2473E85B25045618280797106D62AC6A5 +:102FE0002EC432C236C0A93D97D701009387873FD0 +:102FF0009C43F84F8947630DF70697D701009387E5 +:10300000673E9C43B44F32471347F7FF758FB8CFE5 +:1030100097D701009387073D9C430547F8CF824728 +:10302000A1CB97D701009387E73B9C4391073E854F +:10303000EFD02FE20247FD576310F70297D7010048 +:103040009387473A9C439107BE8517D50100130526 +:103050006555EFD06FD519A897D70100938707560C +:103060009C430247BA973ECC6245893AEFD00FBAEB +:10307000FD3BF933924789CB97D701009387873679 +:103080009C43B84F924798C397D7010093878735E1 +:103090009C43F84F85476314F70002CE31A897D7B9 +:1030A0000100938727349C43B44F22471347F7FF0F +:1030B000758FB8CF85473ECE97D70100938787326B +:1030C0009C4323AE07046533F2473E85B250456109 +:1030D0008280797106D62AC62EC432C236C0854790 +:1030E0003ECEB24781E77370043001A0B2473ECCB8 +:1030F000813B824789C7E247B84F824798C3E2477E +:10310000FC4F3ECAE2470947F8CF1247914763EEAA +:10311000E70492471397270097D70100938707EF9B +:10312000BA97984397D70100938747EEBA9782875B +:10313000E247B84FA2475D8FE247B8CF3DA0E247D4 +:10314000BC4F13871700E247B8CF05A0E2472247DC +:10315000B8CF21A8524789476306F700E2472247C4 +:10316000B8CF21A002CE11A0010052478547631AB3 +:10317000F706E24791073E85EFD0AFCDE247D8573B +:1031800097D701009387C7439C4363F9E700E24761 +:10319000D85797D701009387A74298C3E247D857DB +:1031A000BA878A07BA978A0717D701001307472DEE +:1031B0003E97E2479107BE853A85EFD0EFBEE247E2 +:1031C0009C5781C77370043001A0E247D85797D746 +:1031D0000100938727219C43DC5763F4E700EFD07D +:1031E000EFA27131F2473E85B2504561828039715C +:1031F00006DE2ACE2ECC32CA36C83AC685473ED61F +:10320000F24781E77370043001A0F2473ED4EFD05B +:10321000CFADAA873ED2C24789C7A257B84FC2478F +:1032200098C3A257FC4F3ED0A2570947F8CF524748 +:10323000914763EEE704D2471397270097D7010021 +:10324000938707DEBA97984397D70100938747DDA6 +:10325000BA978287A257B84FE2475D8FA257B8CF7F +:103260003DA0A257BC4F13871700A257B8CF05A0A7 +:10327000A2576247B8CF21A8025789476306F700D3 +:10328000A2576247B8CF21A002D611A00100025771 +:103290008547631DF708A2579C5781C77370043098 +:1032A00001A097D70100938767339C43A1EBA257F6 +:1032B00091073E85EFD0EFB9A257D85797D70100B5 +:1032C000938707309C4363F9E700A257D85797D7F5 +:1032D00001009387E72E98C3A257D857BA878A0769 +:1032E000BA978A0717D70100130787193E97A25785 +:1032F0009107BE853A85EFD02FAB11A8A257E10701 +:10330000BE8517D5010013052527EFD0EFA9A257D9 +:10331000D85797D701009387E70C9C43DC5763F796 +:10332000E700B24781C7B247054798C392573E8529 +:10333000EFD0AF9AB2573E85F25021618280797109 +:1033400006D62AC62EC4B24781E77370043001A0A6 +:10335000B2473ECEEFD06F99AA873ECCF247FC4FE2 +:103360003ECAF2470947F8CFF247BC4F1387170010 +:10337000F247B8CF52478547631DF708F2479C577D +:1033800081C77370043001A097D701009387072588 +:103390009C43A1EBF24791073E85EFD08FABF247FC +:1033A000D85797D701009387A7219C4363F9E7007B +:1033B000F247D85797D701009387872098C3F247E1 +:1033C000D857BA878A07BA978A0717D70100130711 +:1033D000270B3E97F2479107BE853A85EFD0CF9CE9 +:1033E00011A8F247E107BE8517D501001305C518DE +:1033F000EFD08F9BF247D85797D70100938787FE6E +:103400009C43DC5763F7E700A24781C7A247054703 +:1034100098C3E2473E85EFD04F8C0100B250456122 +:103420008280797106D62AC6B2473ECCE24799E738 +:1034300097D70100938707FB9C4311A0E2473ECC3E +:103440000134E247F84F89476318F700E24723AE9B +:10345000070485473ECE11A002CE113CF2473E85BF +:10346000B25045618280011106CE02C61D2B97D74E +:1034700001009387E7199C439DC381480148814718 +:10348000094781461306004097D50100938585BA08 +:10349000170500001305051BEFE0AF852AC6B247EC +:1034A00081E77370043001A0B2473E85F2400561A8 +:1034B0008280397106DE2ACE2ECC32CA36C83AC690 +:1034C000E24799E302D62DA81305C002EF00302A87 +:1034D0002AD6B25795C7F121B257724798C3B2574F +:1034E000624798CFB2575247D8CFB257424798D386 +:1034F000B2573247D8D3B25791073E85EFD0CF8924 +:10350000E24781E77370043001A0B2573E85F25064 +:1035100021618280397106DE2ACE2ECC32CA36C8AD +:103520003AC602D6F24781E77370043001A097D7FC +:1035300001009387E70D9C43B5CBE2473ED0D247CD +:103540003ED2F2473ED46247954763C4E704EFF0AA +:10355000AFF22A8789476310F70297D70100938754 +:10356000270B9C43181081463246BA853E85EFD022 +:103570002FBF2AD625A897D70100938767099C43B8 +:10358000181081460146BA853E85EFD06FBD2AD618 +:1035900031A897D701009387A7079C43181081464D +:1035A0004246BA853E85EFD08FF22AD6B2573E8585 +:1035B000F2502161828001112AC6B2473ECEB24745 +:1035C00081E77370043001A0F2479C433E8505619A +:1035D0008280797106D62AC62EC497D701009387B8 +:1035E000A7029C43DC47DC473ECEF24791073E856D +:1035F000EFD02F86F247D84F8547631BF702F2477B +:10360000984FB247BA97B2462246BE857245B92254 +:103610002A878547631EF700014781463246814568 +:103620007245CD3D2ACCE24781E77370043001A09A +:10363000F247DC53724582970100B25045618280A7 +:10364000797106D62AC63C083E8541282ACEE24733 +:10365000BE85724519206922FDB7797106D62AC642 +:103660002EC4EFE06FF93C083E8545282ACEE2479C +:10367000B9EFA24799EB3247F24763E8E700EFE082 +:103680006FF9F2453245B137A9A0A24781CF97D74C +:1036900001009387A7F79C439C4393B7170093F7C8 +:1036A000F70F3EC497D70100938787F694433247BC +:1036B000F247B307F7402246BE853685EFD0FFDDDF +:1036C000EFE04FF5AA8791E7EFC05FD419A0EFE0D4 +:1036D0006FF40100B2504561828001112AC697D76C +:1036E0000100938767F29C439C4393B7170093F7BD +:1036F000F70F3E87B24798C3B2479C4391EB97D7E9 +:103700000100938767F09C43DC479C433ECE11A0A9 +:1037100002CEF2473E8505618280797106D62AC6BF +:10372000EFE01F842ACE97D701009387A7EE9C4332 +:1037300072476377F700692AB247054798C321A00B +:10374000B24723A0070097D701009387A7EC7247E1 +:1037500098C3F2473E85B25045618280797106D6A2 +:103760002AC62EC432C236C002CEB2472247D8C3C0 +:10377000B247324798CB2247924763E8E7021247A5 +:1037800082471D8FB2479C4F6365F70085473ECE49 +:1037900099A097D70100938767E79843B2479107AD +:1037A000BE853A85EFC05FE43DA01247824763794A +:1037B000F700224782476365F70085473ECE21A880 +:1037C00097D70100938747E49843B2479107BE8596 +:1037D0003A85EFC07FE1F2473E85B2504561828075 +:1037E000797106D6D9A0A24763C0070CC2473ECE66 +:1037F000F247DC4B91C7F24791073E85EFC07FE56A +:103800005C003E85193F2ACCA2472547636FF70825 +:103810001397270097D7010093874782BA97984359 +:1038200097D7010093878781BA9782873247F247FB +:103830009C4FBA973247BA866246BE857245393F79 +:103840002A8785476313F706F247DC537245829750 +:10385000F247D84F8547631AF7043247F2479C4F27 +:10386000BA97014781463E868145724565312ACA2D +:10387000D24785EF7370043001A03247F24798CFEA +:10388000F2479C4F81E77370043001A0F247984FD4 +:10389000E247BA97E2466246BE857245C13531A01D +:1038A0007245EF00C07F11A0010097D701009387F8 +:1038B00027D69C43380081460146BA853E85EFD025 +:1038C0006FD8AA87E39107F20100B2504561828068 +:1038D000797106D671A097D701009387E7D29C43F0 +:1038E000DC479C433ECC97D701009387E7D19C43AC +:1038F000DC47DC473ECAD24791073E85EFC07FD503 +:10390000D247DC5352458297D247D84F8547631937 +:10391000F704D2479C4F6247BA973EC84247E247F6 +:1039200063F4E702D2474247D8C3D247524798CB05 +:1039300097D70100938747CD9843D2479107BE851B +:103940003A85EFC07FCA29A8014781466246814572 +:103950005245C9362AC6B24781E77370043001A0C8 +:1039600097D70100938747CA9C439C43ADF797D7ED +:103970000100938767C99C433ECE97D70100938788 +:10398000E7C8984397D70100938707C898C397D78C +:1039900001009387A7C7724798C30100B2504561E1 +:1039A0008280411106C6EFF0AFC997D70100938717 +:1039B00027C69C43C9E717D50100130565C2EFC0B0 +:1039C0007FBA17D501001305E5C2EFC0BFB997D77D +:1039D0000100938767C317D70100130767C098C317 +:1039E00097D70100938787C217D70100130787C0B5 +:1039F00098C30146B1451145EFC0FFD52A8797D737 +:103A000001009387E7C098C397D70100938747C009 +:103A10009C4381E77370043001A097D7010093871E +:103A200027BF9C4389CF97D70100938767BE9C43EC +:103A300097C50100938505633E85EFD05F9CEFF04D +:103A40000FC30100B24041018280797106D62AC6B7 +:103A5000B2473ECEB24781E77370043001A0EFF069 +:103A60002FBEF247DC4BB337F00093F7F70F3ECC95 +:103A7000EFF0EFBFE2473E85B25045618280797139 +:103A800006D62AC6B2473ECEB24781E773700430ED +:103A900001A0EFF0EFBAF2479C533ECCEFF02FBD00 +:103AA000E2473E85B25045618280797106D62AC6CA +:103AB0002EC4B2473ECEB24781E77370043001A0F6 +:103AC000EFF00FB8F247224798D3EFF04FBA01005A +:103AD000B25045618280011106CE714549292AC63E +:103AE000B24789CBB24723A00700B24791073E8572 +:103AF000EFC05FA7B2473E85F24005618280397111 +:103B000006DE2AC62EC432C236C0B2473ED402D226 +:103B10001247B70700FFF98F81C77370043001A007 +:103B2000924781E77370043001A0EFF0EF94AA8709 +:103B300099E3824799E3854711A0814781E7737034 +:103B4000043001A0EFE04FABA2579C433ED0A2450A +:103B5000324585240257A2475D8F9247F98F12475D +:103B60006310F7020257A247D98F3ED6A257984357 +:103B7000924793C7F7FF7D8FA25798C302C025A035 +:103B8000824799CFA257938647001247B70700058F +:103B9000D98F0246BE853685EFE03F8902D621A047 +:103BA000A2579C433ED6EFE0EFA62ACE8247B1CB88 +:103BB000F24799E3EFC09F85EFF06FAF2AD63257F7 +:103BC000B7070002F98F85EBEFF08FA7A2579C4350 +:103BD0003ED632579247F98F1247631AF700A25721 +:103BE0009843924793C7F7FF7D8FA25798C3EFF092 +:103BF0000FA885473ED23257B7070001FD17F98F4E +:103C00003ED6B2573E85F250216182805D7186C6F4 +:103C10002ACE2ECC32CA36C83AC6F2473EDA02DC89 +:103C200002D8F24781E77370043001A06247B707FA +:103C300000FFF98F81C77370043001A0E24781E76C +:103C40007370043001A0EFF02F83AA8799E3B24785 +:103C500099E3854711A0814781E77370043001A083 +:103C6000EFE08F99D2579C433ED64246E24532550B +:103C700075242AD4A25799CFB2573EDE02C6D24746 +:103C8000A9CBD2579843E24793C7F7FF7D8FD2570E +:103C900098C381A0B24781E7B2573EDE1DA8D24744 +:103CA00091C76257B7070001D98F3EDCC24791C761 +:103CB0006257B7070004D98F3EDCD257938647007E +:103CC0006247E257D98F3246BE853685EFE0EFF581 +:103CD00002DEEFE02F942AD2B247B9CB925799E394 +:103CE000EFC0CFF2EFF0AF9C2ADE7257B7070002A9 +:103CF000F98F8DEBEFF0CF94D2579C433EDE4246D6 +:103D0000E2457255212CAA8799CBD24789CBD2574D +:103D10009843E24793C7F7FF7D8FD25798C3EFF0E0 +:103D20000F9502D87257B7070001FD17F98F3EDED5 +:103D3000F2573E85B64061618280797106D62AC607 +:103D40002EC4B2473ECEB24781E77370043001A063 +:103D50002247B70700FFF98F81C77370043001A0B5 +:103D6000EFF00F8EF2479C433ECCF2479843A247B8 +:103D700093C7F7FF7D8FF24798C3EFF04F8FE2476D +:103D80003E85B25045618280797106D62AC6B24717 +:103D90003ECEEFC08FF5AA873ECCF2479C433ECA89 +:103DA000E2473E85EFC06FF3D2473E85B250456192 +:103DB00082805D7186C62AC62EC402DCB2473ED818 +:103DC00002DAB24781E77370043001A02247B707D7 +:103DD00000FFF98F81C77370043001A0C2579107AB +:103DE0003ED6B257A1073ED4EFE00F81B257DC4771 +:103DF0003EDEC2579843A2475D8FC25798C3BDA805 +:103E0000F257DC433ED2F2579C433ED002DA0257CF +:103E1000B70700FFF98F3ECE0257B7070001FD1725 +:103E2000F98F3ED07247B7070004F98F89EBC2576C +:103E300098438257F98F89CF85473EDA11A8C25738 +:103E400098438257F98F02576314F70085473EDA8B +:103E5000D2579DC37247B7070001F98F89C76257D0 +:103E60008257D98F3EDCC2579843B7070002D98FDB +:103E7000BE857255EFE00FF992573EDE7257A2579A +:103E8000E310F7F8C2579843E25793C7F7FF7D8FC7 +:103E9000C25798C3EFD01FF8C2579C433E85B64027 +:103EA00061618280797106D62AC6B2473ECEF2475A +:103EB00091073ECCEFD05FF40DA0E247D847E24730 +:103EC000A1076315F7007370043001A0E247DC47D7 +:103ED000B70500023E85EFE0EFF2E2479C43F1FFB9 +:103EE0007245752AEFD01FF30100B2504561828000 +:103EF000011106CE2AC62EC4A24532455D3D010001 +:103F0000F24005618280011106CE2AC62EC4A24568 +:103F1000324525350100F2400561828001112AC633 +:103F20002EC432C202CE924781EB3247A247F98FAC +:103F300081CF85473ECE09A83247A247F98F224755 +:103F40006314F70085473ECEF2473E8505618280C7 +:103F500001112AC6B2473ECCB24799E302CE21A056 +:103F6000E2479C4F3ECEF2473E8505618280397123 +:103F700006DE2AC602D2EFD03FE8975703009387A8 +:103F8000A76A9C4381EB5522975703009387C76923 +:103F9000054798C3B24789CFA1473E87B247BA9732 +:103FA0003EC6B2478D8B89C7B247F19B91073EC68B +:103FB000B247F9CB3247E567ED1763E7E70C975750 +:103FC0000300938767653ED4975703009387C764C0 +:103FD0009C433ED631A0B2573ED4B2579C433ED606 +:103FE000B257DC43324763F5E700B2579C43E5F72D +:103FF0003257975703009387A7626307F708A257C2 +:104000009C432147BA973ED2B2579843A25798C3D0 +:10401000B257D843B247B307F74021470607637842 +:10402000F7043257B247BA973ECEB257D843B24799 +:104030001D8FF247D8C3B2573247D8C3F247DC438B +:104040003ECC975703009387275D3ED021A082572F +:104050009C433ED082579C43DC436247E3E9E7FE42 +:1040600082579843F24798C38257724798C397C7BD +:104070000100938767389843B257DC431D8F97C779 +:1040800001009387673798C3EFD0DFD8925799E341 +:10409000EF00D03192573E85F250216182807971D4 +:1040A00006D62AC6B2473ECCB247BDC3A147B30726 +:1040B000F0406247BA973ECCE2473ECAEFD0DFD32A +:1040C000D247DC433EC8975703009387E7543ECE60 +:1040D00021A0F2479C433ECEF2479C43DC4342473B +:1040E000E3E9E7FEF2479843D24798C3F2475247C5 +:1040F00098C3D247D84397C701009387E72F9C43C3 +:104100003E9797C701009387272F98C3EFD09FD082 +:104110000100B2504561828097C701009387C72D87 +:104120009C433E85828001008280411197C7010037 +:104130009387C74EF19B3EC6975703009387C74DA1 +:10414000324798C3975703009387074D23A2070070 +:10415000975703009387C74C65677117D8C3975764 +:1041600003009387E74B23A00700B2473EC4A24752 +:1041700065677117D8C3A247175703001307474A4B +:1041800098C301004101828001112AC62EC432C2A7 +:10419000A2473ECEB2473ECC3247A247D98F8D8B45 +:1041A000A1E319A8F2479843E24798C3E247910771 +:1041B0003ECCF24791073ECE9247F5173247BA9769 +:1041C0006247E361F7FE29A87247930717003ECEC6 +:1041D000E2479386170036CC034707002380E700A9 +:1041E00032479247BA976247E360F7FEB2473E858F +:1041F0000561828001112AC62EC432C2324792471D +:10420000D98F8D8B9DEFA24793F7F70F3ECAD24708 +:10421000A2075247D98F3ECAD247C2075247D98F09 +:104220003ECAB2473ECE39A0F247138747003ACE86 +:10423000524798C332479247BA977247E366F7FEF0 +:104240001DA0B2473ECC11A8E247138717003ACC15 +:1042500022471377F70F2380E70032479247BA9738 +:104260006247E363F7FEB2473E8505618280011134 +:104270002AC6B2473ECE21A0F24785073ECEF2477E +:1042800083C70700F5FB7247B247B307F7403E8587 +:104290000561828001112AC62EC4B2471387170018 +:1042A0003AC683C70700A30FF100A2471387170080 +:1042B0003AC483C70700230FF1008347F10199C770 +:1042C0000347F1018347E101E309F7FC0347F101EB +:1042D0008347E101B307F7403E8505618280011104 +:1042E0002AC62EC4B2473ECE0100224793071700CC +:1042F0003EC4F2479386170036CE0347070023805B +:10430000E70083C70700F5F3B2473E850561828069 +:1043100001112AC602CE02CC21A0B24785073EC6B3 +:10432000B24703C7070093070002E308F7FEB2474E +:1043300003C707009307D0026309F700B24703C71A +:1043400007009307B0026311F704B24783C7070061 +:10435000938737FD93B7170093F7F70F3ECCB2471B +:1043600085073EC615A07247BA878A07BA9786079F +:104370003ECEB247138717003AC683C7070093871C +:1043800007FD7247BA973ECEB24783C70700E1FFE9 +:10439000E24789C7F247B307F04011A0F2473E85D4 +:1043A0000561828001112AC69757030093878729E8 +:1043B0009C4393861700175703001307A72814C3BD +:1043C00032471377F70F975603009386A62BB697BD +:1043D0002380E7003247A947630BF7009757030094 +:1043E000938747269843930700046315F70497576C +:1043F0000300938727293ECE05A8F24713871700AD +:104400003ACE03C70700B70710F0938707F098C3A9 +:1044100097570300938707239C431387F7FF97570A +:1044200003009387272298C3975703009387872118 +:104430009C43E1F781473E85056182804D712326CB +:104440001114232481142322911423202115232EB7 +:104450003113232C4113232A51132ACE2ECC32C8D8 +:1044600036CA3AC63EC442C223260112B2473E8A29 +:10447000814AC24752485286D6863E85C285EF00A1 +:10448000B071AA872E883E86C2868327C112138701 +:1044900017002326E11232878A07141AB69723AE33 +:1044A000E7EEB2473E848144D247268763E8E702BD +:1044B000D24726876396E700C247228763E0E70278 +:1044C000B2473E8981494A86CE864245D245EF00B1 +:1044D000F00EAA872E883EC842CA49BF010029A013 +:1044E000F247E24512458297A2471387F7FF3AC485 +:1044F0000327C112E346F7FE25A88327C1128A07C6 +:10450000181ABA9703A7C7EF8327C1128A07141A8C +:10451000B69783A6C7EFA54763F5D7009307700545 +:1045200019A093070003BA977247E2453E850297A8 +:104530008327C1121387F7FF2326E112E34FF0FA16 +:1045400001008320C114032481148324411403290E +:1045500001148329C113032A8113832A4113716132 +:10456000828041112AC62EC4A2460547635ED70049 +:10457000B2479C439D07E19B93868700324714C353 +:1045800003A847009C4335A0224719CB3247184364 +:1045900013064700B24690C21843BA87014811A8D3 +:1045A0003247184313064700B24690C21843BA87F1 +:1045B00001483E85C2854101828041112AC62EC430 +:1045C000A2460547635ED700B2479C439D07E19B27 +:1045D00093868700324714C303A847009C4305A86D +:1045E000224701CF3247184313064700B24690C214 +:1045F0001843BA877D873A8819A8324718431306AB +:104600004700B24690C21843BA877D873A883E85F4 +:10461000C28541018280397106DE22DC26DA2AC693 +:104620002EC432C236C011A86306042492478507FF +:104630003EC2B247A24522858297924783C70700B0 +:104640003E8493075002E311F4FE924785073EC271 +:1046500092473EC893070002A30BF100FD573ECEE0 +:10466000FD573ECC02D09247138717003AC283C74A +:1046700007003E849307D4FD130750056363F71EBC +:104680001397270097C701009387C79EBA9798434F +:1046900097C701009387079EBA9782879307D00236 +:1046A000A30BF100C9B793070003A30BF10065BF8B +:1046B00002CC6247BA878A07BA978607A297938780 +:1046C00007FD3ECC924783C707003E849307F00264 +:1046D00063D687029307900363C2870292478507D8 +:1046E0003EC2C1BF8247138747003AC09C433ECCBD +:1046F00039A0F247E3D907F602CEB5B70100F24779 +:10470000E3D307F6E2473ECEFD573ECCA9BF825722 +:1047100085073ED089BF8247138747003AC09C4334 +:104720003247A2453E850297A9A2824713874700D8 +:104730003AC0844389E497C4010093842493F247E8 +:104740006356F004034771019307D0026300F70436 +:10475000E247BE85268589252A87F247998F3ECE76 +:1047600011A8834771013247A2453E850297F2475F +:10477000FD173ECEF247E346F0FE09A8B247A24538 +:10478000228582978504F247FD173ECE83C7040039 +:104790003E841DC0E247E3C307FEE247FD173ECC5F +:1047A000E247E3DD07FC09A8B247A2451305000272 +:1047B0008297F247FD173ECEF247E347F0FE55A839 +:1047C0008A8782553E85D53BAA872E883ED442D61D +:1047D000A2573258C28763D90702B247A2451305D0 +:1047E000D0028297A255325681470148B386B7401E +:1047F000368533B5A7003307C840B307A7403E87C7 +:10480000B6873A883ED442D6A9473ED21DA8A947CA +:104810003ED215A0A1473ED239A885473ED0B24727 +:10482000A245130500038297B247A24513058007EE +:104830008297C1473ED28A8782553E851D332AD44E +:104840002ED61257834771013E88F2472256B25640 +:10485000A2453245E53631A8B247A2452285829766 +:1048600009A8B247A245130550028297C2473EC22B +:104870000100E1B30100F2506254D2542161828000 +:1048800041112AC6B70710F0938707F2324798C341 +:1048900001A05D7106D62AC62EDA32DC36DEBAC039 +:1048A000BEC2C2C4C6C69C0891173ECEF247BE86A1 +:1048B0003246814517050000130505AFA93B814726 +:1048C0003E85B2506161828001112AC62EC41EC28B +:1048D000A2473ECEF2479C4332471377F70F23801F +:1048E000E700F2479C4313871700F24798C3010083 +:1048F000056182801D7106DE2AC62EC4B2C4B6C60A +:10490000BAC8BECAC2CCC6CE9C103ED25C084C0807 +:1049100017060000130686FB7D779346F7FF0565B3 +:10492000130505803388A5003378E8001368783BC9 +:1049300023A007013295698F13677733D8C333F704 +:10494000D5009315470137870300130737394D8F7B +:1049500098C73377D600931647013707030013072C +:104960007706558FD8C70F100000B2473ED69C106F +:10497000A1173ED422575C083E857C00BA862246A9 +:10498000BE855139B24723800700B2473E87B257F0 +:10499000B307F7403E85F25025618280411137050B +:1049A00010F0130545F408412AC6370510F0130529 +:1049B00005F408412AC4370510F0130545F40841F1 +:1049C000B248E39EA8FC32452A83814313170300B3 +:1049D00081462245AA850146B3E7B6003368C70081 +:1049E000370710F0130587F48565938505FA0146AE +:1049F000B386B700B688B3B8F8003307C800B387EA +:104A0000E8003E87B6873A881CC1232205010100D1 +:104A100041018280411106C651379307000873A0F7 +:104A200047300100B24041018280011106CE2AC602 +:104A300017B5010013058579EFF0BFE50100F240DD +:104A400005618280011106CE2AC617B50100130543 +:104A50006578EFF01FE40100F24005618280797112 +:104A600006D62AC602CE29A00100F24785073ECE0F +:104A70007247856793877738E3D8E7FE17B501005B +:104A80001305C575EFF0FFE0F1BF411106C6014502 +:104A90000D2A0100B24041018280011106CE02C6FA +:104AA000552C2A878547630CF70017B501001305BD +:104AB0006573EFF01FDEB24793E727003EC6B247AB +:104AC0003E85F24005618280011106CE02C6753F27 +:104AD0001707000013076704814605469305400643 +:104AE00017B501001305C571EFE0BF9C2AC6B24798 +:104AF00099CBEFD0EFC6AA87014781463E86854510 +:104B00003245EFE03FA102C4EFD02FA581473E859B +:104B1000F24005618280797106D62AC697C70100E6 +:104B20009387878C9C431387470697C70100938719 +:104B3000A78B98C397C701009387478B9C43138724 +:104B4000470697C701009387678A98C397C70100F4 +:104B50009387878998439307F07C63D3E70497C7CB +:104B60000100938767889C431387078397C70100D9 +:104B70009387878798C315372ACEF24799EB97C753 +:104B800001009387A786984385679387F77663DB51 +:104B9000E700F2473E85EFF0BFCEEFD0EFA321A0B4 +:104BA000010011A00100B250456182807370043091 +:104BB00001A00100828041112AC62EC47370043006 +:104BC00001A0011106CE2AC6014689452945EFB04C +:104BD0009FB82A8797570300938747AF98C3975783 +:104BE00003009387A7AE9C4397B5010093850562A8 +:104BF0003E85EFC0DF8081480148814732479756A4 +:104C000003009386A6AC1306004097B50100938578 +:104C1000E560170500001305850BEFC09F8D8148E7 +:104C200001488147324797560300938626AA130608 +:104C3000004097B501009385E55E17050000130558 +:104C40004501EFC01F8B0100F24005618280797140 +:104C500006D62AC6231B010002CE02CCB1A8B24759 +:104C60009C431307610181460146BA853E85EFB03A +:104C70009FE52A8785476305F70085473ECE15A83F +:104C8000F2478DE3EFE0CF9B975703009387C7A3CD +:104C90009C4313871700975703009387E7A298C395 +:104CA000EFE0EF9C835761018507C207C183231B97 +:104CB000F100E24785073ECC62478947E3D1E7FA36 +:104CC0001305800CEFC01FB649BF797106D62AC6FE +:104CD000231F010002CC9DA0B2479C431307610132 +:104CE00081460146BA853E85EFB07FEB2A8785472E +:104CF0006316F704835761010357E1016309F70065 +:104D000085473ECC83576101231FF1001DA0E24778 +:104D10008DE3EFE0EF92975703009387A79A9C43A8 +:104D200013871700975703009387C79998C3EFE03D +:104D30000F948357E1018507231FF100B2479C437D +:104D40003E85EFC0CFB9AA87C1FB1305400BEFC06A +:104D50007FADEDB74111975703009387A7969C430A +:104D600099C797570300938727969C4399E302C6F8 +:104D700019A085473EC6975703009387A79423A0A1 +:104D80000700975703009387279423A00700B24793 +:104D90003E85410182800000B305B5009307050000 +:104DA0006386B70003C70700631607003385A74073 +:104DB00067800000938717006FF09FFE130101FDCD +:104DC00023229102232A5101232611022324810246 +:104DD00023202103232E3101232C410123286101AB +:104DE0002326710123248101232291012320A10183 +:104DF000930A050093840500639E06381304060099 +:104E00009309050017B901001309494263F8C51257 +:104E1000B7070100138B05006378F6101307F00F36 +:104E20003337C70013173700B357E6003309F900CB +:104E3000834609003387E60093060002B386E64006 +:104E4000638C0600B394D40033D7EA003314D60041 +:104E5000336B9700B399DA00935A040193850A00E3 +:104E600013050B00EF00902A1309050093850A0033 +:104E7000931B040113050B00EF00D02493DB0B01FF +:104E8000930405009305050013850B00EF00D02067 +:104E90001319090193D70901B367F900138A0400B4 +:104EA00063FEA700B3878700138AF4FF63E88700D7 +:104EB00063F6A700138AE4FFB3878700B384A74093 +:104EC00093850A0013850400EF00502413090500A0 +:104ED00093850A0013850400EF00D01E9399090101 +:104EE00093040500930505001319090113850B00B0 +:104EF00093D90901EF00501AB369390113860400F0 +:104F000063FCA900B30934011386F4FF63E689004A +:104F100063F4A9001386E4FF13140A013364C40088 +:104F2000130A00006F000013B70700011307000108 +:104F3000E36CF6EE130780016FF01FEF138A060093 +:104F4000631A06009305000013051000EF00901788 +:104F500013040500B7070100637EF4129307F00FF6 +:104F600063F48700130A8000B35744013309F90042 +:104F7000034709009306000233074701B386E64062 +:104F800063940612B3848440130A1000135B040177 +:104F900093050B0013850400EF005017130905005B +:104FA00093050B0013850400931B0401EF0090117F +:104FB00093DB0B01930405009305050013850B009B +:104FC000EF00900D1319090193D70901B367F90098 +:104FD000938A040063FEA700B3878700938AF4FFD7 +:104FE00063E8870063F6A700938AE4FFB38787002E +:104FF000B384A74093050B0013850400EF00101144 +:105000001309050093050B0013850400EF00900BB6 +:1050100093990901930405009305050013190901EB +:1050200013850B0093D90901EF001007B36939010B +:105030001386040063FCA900B30934011386F4FF4E +:1050400063E6890063F4A9001386E4FF13940A0160 +:105050003364C4001305040093050A008320C102D1 +:105060000324810283244102032901028329C1010F +:10507000032A8101832A4101032B0101832BC100F3 +:10508000032C8100832C4100032D01001301010337 +:1050900067800000B7070001130A0001E366F4EC23 +:1050A000130A80016FF05FEC3314D40033DAE400AC +:1050B000B399DA0033D7EA00935A0401B394D400C9 +:1050C00093850A0013050A00336B9700EF00100464 +:1050D0001309050093850A0013050A00931B0401B8 +:1050E000EF00407E93DB0B01930405009305050060 +:1050F00013850B00EF00407A1319090113570B01B8 +:105100003367E900138A0400637EA7003307870032 +:10511000138AF4FF636887006376A700138AE4FFAD +:1051200033078700B304A74093850A001385040062 +:10513000EF00C07D1309050093850A001385040064 +:10514000EF004078930405009305050013850B00DC +:10515000EF00807413170B0113570701131909018E +:10516000B367E9001387040063FEA700B3878700D5 +:105170001387F4FF63E8870063F6A7001387E4FF53 +:10518000B3878700131A0A01B384A740336AEA0081 +:105190006FF0DFDF63ECD51EB707010063F4F604A0 +:1051A0001307F00FB335D7009395350033D7B6000A +:1051B00097B7010093878707B387E70003C7070006 +:1051C000130A00023307B700330AEA4063160A02E3 +:1051D00013041000E3E096E833B6CA001344160047 +:1051E0006FF05FE7B707000193050001E3E0F6FC0D +:1051F000930580016FF09FFBB35CE600B396460118 +:10520000B3ECDC0033D4E40093DB0C01B39744012E +:1052100033D7EA0093850B0013050400336BF700C6 +:10522000B3194601EF00806E1309050093850B004A +:1052300013050400139C0C01EF00C068135C0C0103 +:10524000930405009305050013050C00EF00C064EE +:105250001319090113570B013367E9001384040084 +:10526000637EA700330797011384F4FF63689701F7 +:105270006376A7001384E4FF33079701B304A740C4 +:1052800093850B0013850400EF00406813090500A7 +:1052900093850B0013850400EF00C06293040500A2 +:1052A0009305050013050C00EF00005F93170B0139 +:1052B0001319090193D70701B367F9001386040096 +:1052C00063FEA700B38797011386F4FF63E8970195 +:1052D00063F6A7001386E4FFB38797011314040154 +:1052E000B70B01003364C4001389FBFF337D240135 +:1052F00033F92901B384A7409305090013050D0074 +:10530000EF008059935C040193050900130B05001D +:1053100013850C00EF00405893D90901130C0500C8 +:105320009385090013850C00EF0000571309050051 +:105330009385090013050D00EF0000563305850124 +:1053400093570B013385A7006374850133097901F5 +:1053500093570501B387270163E6F402E392F4BC97 +:10536000B70701009387F7FF3375F50013150501A3 +:10537000337BFB0033964A0133056501130A0000B5 +:10538000E37AA6CC1304F4FF6FF09FB9130A000070 +:10539000130400006FF01FCC130101FB23248104D0 +:1053A00023229104232E3103232291032326110467 +:1053B00023202105232C4103232A510323286103A1 +:1053C00023267103232481032320A103232EB1016B +:1053D000930C0500938905001304050093840500D0 +:1053E000639E062613090600138A060097BA010079 +:1053F000938ACAE363F4C514B70701006376F61213 +:105400009307F00F63F4C700130A8000B3574601F7 +:10541000B38AFA0003C70A001305000233074701E5 +:10542000330AE540630C0A00B395490133D7EC0019 +:1054300033194601B364B70033944C01935A090100 +:1054400093850A0013850400EF00404C9309050082 +:1054500093850A00131B090113850400EF008046A1 +:10546000135B0B019305050013050B00EF00C04211 +:105470009399090193570401B3E7F90063FAA70070 +:10548000B387270163E6270163F4A700B3872701E9 +:10549000B384A74093850A0013850400EF000047FA +:1054A0009309050093850A0013850400EF008041ED +:1054B00013140401930505009399090113050B00CA +:1054C00013540401EF00403D33E48900637AA400E3 +:1054D00033042401636624016374A40033042401AB +:1054E0003304A44033554401930500008320C104D4 +:1054F0000324810483244104032901048329C10373 +:10550000032A8103832A4103032B0103832BC10256 +:10551000032C8102832C4102032D0102832DC10142 +:105520001301010567800000B7070001130A00019D +:10553000E36EF6EC130A80016FF05FED631A06006C +:105540009305000013051000EF00C0371309050094 +:10555000B7070100637AF90E9307F00F63F4270190 +:10556000130A8000B3574901B38AFA0003C70A003F +:1055700013050002B384294133074701330AE5408C +:10558000E30E0AEA33194901B3DAE900B395490198 +:1055900033D7EC0093540901336BB70013850A002D +:1055A00093850400EF00803693090500938504007D +:1055B000931B090113850A00EF00C03093DB0B0138 +:1055C0009305050013850B00EF00002D9399090149 +:1055D00093570B01B3E7F90033944C0163FAA7002A +:1055E000B387270163E6270163F4A700B387270188 +:1055F000B38AA7409385040013850A00EF000031A9 +:10560000930905009385040013850A00EF00802BA1 +:105610009305050013850B00EF00002893150B017F +:105620009399090193D50501B3E5B90063FAA50083 +:10563000B385250163E6250163F4A500B385250143 +:10564000B384A5406FF09FDFB7070001130A000184 +:10565000E36AF9F0130A80016FF0DFF0E3E8D5E8C0 +:10566000B707010063FCF604930BF00F33B5DB00C2 +:105670001315350033D7A60097B70100938707BBF2 +:10568000B387E70083CB070093050002B38BAB0021 +:10569000338B7541631E0B0263E4360163EACC0071 +:1056A0003384CC40B386D94033B58C00B384A64054 +:1056B00013050400938504006FF05FE3B707000152 +:1056C00013050001E3E8F6FA130580016FF09FFA75 +:1056D000B3966601335D7601336DDD0033D4790115 +:1056E000B395690133DC7C0193540D01336CBC002C +:1056F0001305040093850400B31A6601EF0000212E +:10570000130A0500938504001305040033996C0106 +:10571000931C0D01EF00001B93DC0C01130405002A +:105720009305050013850C00EF000017131A0A01FA +:1057300013570C013367EA00130A0400637EA700C5 +:105740003307A701130AF4FF6368A7016376A70074 +:10575000130AE4FF3307A701B309A74093850400A8 +:1057600013850900EF00801A9385040013040500D7 +:1057700013850900EF00001593050500930405004B +:1057800013850C00EF00401193150C011314040154 +:1057900093D50501B365B4001387040063FEA5002B +:1057A000B385A5011387F4FF63E8A50163F6A5009F +:1057B0001387E4FFB385A501131A0A01B70C010092 +:1057C000336AEA001384FCFFB3778A0033F48A005B +:1057D000B384A54013850700930504002326F10038 +:1057E000135A0A01EF00400B9309050093050400CA +:1057F00013050A00EF00400A13DC0A01930D0500AF +:1058000093050C0013050A00EF0000098327C1006F +:10581000130A050093050C0013850700EF00C0076D +:105820003305B50113D709013307A7006374B70126 +:10583000330A9A01B70701009387F7FF93550701D1 +:105840003377F70013170701B3F7F900B385450164 +:10585000B307F70063E6B400639EB400637CF9000D +:1058600033865741B3B7C700B385A541B385F5402B +:1058700093070600B307F9403339F900B385B44004 +:10588000B385254133947501B3D767013365F400BF +:10589000B3D565016FF09FC5130605001305000021 +:1058A00093F61500638406003305C50093D51500F3 +:1058B00013161600E39605FE678000006340050698 +:1058C00063C6050613860500930505001305F0FF62 +:1058D000630C060293061000637AB6006358C0009A +:1058E0001316160093961600E36AB6FE1305000021 +:1058F00063E6C500B385C5403365D50093D6160071 +:1059000013561600E39606FE67800000938200009F +:10591000EFF05FFB13850500678002003305A040B0 +:1059200063D80500B305B0406FF0DFF9B305B040B0 +:1059300093820000EFF01FF93305A040678002005A +:105940009382000063CA0500634C0500EFF09FF7E7 +:105950001385050067800200B305B040E35805FEDB +:105960003305A040EFF01FF63305B040678002001A +:02000004800278 +:1000000049444C45000000006031FEFF2831FEFFEE +:100010003631FEFF4431FEFF4C31FEFF7032FEFFF1 +:100020003832FEFF4632FEFF5432FEFF5C32FEFFE6 +:10003000546D722053766300F437FEFFF437FEFFF1 +:10004000F437FEFF7238FEFF4238FEFF6838FEFFCD +:10005000F437FEFFF437FEFF7238FEFF4238FEFF32 +:10006000546D725100000000286E756C6C29000000 +:10007000F645FEFFF247FEFFE847FEFFF247FEFFB0 +:10008000F247FEFFF247FEFFF247FEFF7446FEFF17 +:10009000F247FEFFF247FEFF2C46FEFF8246FEFFC0 +:1000A000F247FEFF3646FEFF4046FEFF4046FEFF9B +:1000B0004046FEFF4046FEFF4046FEFF4046FEFF34 +:1000C0004046FEFF4046FEFF4046FEFFF247FEFF71 +:1000D000F247FEFFF247FEFFF247FEFFF247FEFF48 +:1000E000F247FEFFF247FEFFF247FEFFF247FEFF38 +:1000F000F247FEFFF247FEFFF247FEFFF247FEFF28 +:10010000F247FEFFF247FEFFF247FEFFF247FEFF17 +:10011000F247FEFFF247FEFFF247FEFFF247FEFF07 +:10012000F247FEFFF247FEFFF247FEFFF247FEFFF7 +:10013000F247FEFFF247FEFFF247FEFFF247FEFFE7 +:10014000F247FEFFF247FEFFF247FEFFF247FEFFD7 +:10015000F247FEFFF247FEFFF247FEFFF247FEFFC7 +:10016000F247FEFFF247FEFFF247FEFFF247FEFFB7 +:10017000A646FEFF5047FEFFF247FEFFF247FEFF96 +:10018000F247FEFFF247FEFFF247FEFFF247FEFF97 +:10019000F247FEFF9E46FEFFF247FEFFF247FEFFDC +:1001A000A447FEFFAA47FEFFF247FEFFF247FEFF0D +:1001B000BA46FEFFF247FEFF9E47FEFFF247FEFFF4 +:1001C000F247FEFFC247FEFF6D69616F750A0000CE +:1001D0006D69616F75320A0068756E6772790A0021 +:1001E0004572726F7220696E20416C74506F6C6C36 +:1001F00051207461736B73200D0A000043686563BE +:100200006B54696D65720000416C74506F6C6C5179 +:10021000756575650000000051436F6E734E4200B6 +:100220005150726F644E4200000102020303030347 +:100230000404040404040404050505050505050576 +:100240000505050505050505060606060606060656 +:10025000060606060606060606060606060606063E +:100260000606060606060606070707070707070726 +:10027000070707070707070707070707070707070E +:1002800007070707070707070707070707070707FE +:1002900007070707070707070707070707070707EE +:1002A00007070707070707070808080808080808D6 +:1002B00008080808080808080808080808080808BE +:1002C00008080808080808080808080808080808AE +:1002D000080808080808080808080808080808089E +:1002E000080808080808080808080808080808088E +:1002F000080808080808080808080808080808087E +:10030000080808080808080808080808080808086D +:10031000080808080808080808080808080808085D +:08032000080808080808080895 +:100328001000000000000000017A5200017C010169 +:100338001B0D02004C00000018000000784AFEFF68 +:10034800DC05000000440E307089039507810188A0 +:100358000292049305940696089709980A990B9AAD +:100368000C0370020AC144C844C944D244D344D4DB +:1003780044D544D644D744D844D944DA440E00443A +:100388000B00000050000000680000000450FEFF51 +:100398000005000000440E507488028903930599F3 +:1003A8000B810192049406950796089709980A9A72 +:1003B8000C9B0D0320010AC144C844C944D244D34C +:1003C80044D444D544D644D744D844D944DA44DB49 +:0803D800440E00440B0000007C +:1003EC00AAAAAAAA08000000FC8F010009000000BC +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/AltPollQ_rv32ic_O3.hex b/VexRiscv/src/test/resources/freertos/AltPollQ_rv32ic_O3.hex new file mode 100644 index 0000000..dd7499b --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/AltPollQ_rv32ic_O3.hex @@ -0,0 +1,1711 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000E1D1170502001305E552979503009385FA +:1000A000257A01461122170502001305E548970538 +:1000B00002009385654F0146C52817A50300130567 +:1000C000657897B503009385A57737B6ABAB130674 +:1000D000B6BAD92817B103001301C176EF60E04228 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2823323A02200F32210340B +:1002400096DEEF00002B170102000321213202414C +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E23123A0120023A2A1 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40304D17010200032132 +:10033000E1230241F65273901234B72200009382F7 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A22383A0A8 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2821123A0220086DEEF20F1 +:10046000405417010200032161100241F6527390BB +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF40502DEF10703001E5AB +:10050000B24041018280B24041016F20804993078F +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30904678 +:1005B0008280411122C406C62A8426C2EF20103848 +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF20207E6DD5EF20101E3C44FD17A0 +:1005F0003CC43C44E344F0FEFD573CC4EF2050367D +:10060000EF20D0337C40635BF0021C4885CB930421 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF20E0796DD5EF20D019C1 +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20903129CD0111E2 +:1006500022CC2A8406CE26CA4AC84EC62E89EF204E +:10066000F02D24404C5C832904002685EF50904BEC +:100670007D57232C0402B3079540CE974E9578C042 +:1006800048C0232434015CC438C4631109021C48E7 +:100690008DE7EF20F02CF2406244D2444249B24947 +:1006A0000545056182807370043001A013050401C3 +:1006B000B93D13054402A13DE9BF13050401EF2034 +:1006C00060708547E317F5FC9133E1B701E573707E +:1006D000043001A0011126CA4AC84EC606CE22CC5B +:1006E000AA842E89B289A5C9EF50D04313055505B8 +:1006F000EF30101A2A8435C5930745051CC144DC28 +:1007000023202405EF20902324404C5C032904007F +:100710002685EF503041FD56232C0402B307954047 +:100720003307A900CA9774C058C05CC434C4130509 +:10073000040123242401E13B13054402C93BEF20BB +:100740003022230834052285F2406244D2444249D3 +:10075000B2490561828013054005EF3070132A8489 +:1007600001E57370043001A000C051BF01E5737052 +:10077000043001A06375B5007370043001A041110D +:1007800022C409462E84814506C6893711C500DD7D +:10079000B2402244410182807370043001A05D7137 +:1007A00086C6A2C4A6C2CAC04EDE52DC56DA5AD8E9 +:1007B0005ED632C6630A051C6382051C89476399AD +:1007C000F600585D85476305F7007370043001A09B +:1007D0003689AE842A84EF20B00111E5B24781C783 +:1007E0007370043001A0EF207015185C5C5C014B45 +:1007F000894BFD59130A0401930A44026365F70CFF +:1008000063077919B2476381072463150B00280831 +:10081000EF20606EEF20D014EF104075EF20101223 +:100820007C4063943701232204043C4463943701E1 +:1008300023240404EF20D0126C002808EF20C06DA0 +:10084000631E051EEF20900F185C5C5C630CF70ABA +:10085000EF201011EF20900E3C44634FF00039A8B8 +:100860005685EF20205619C1EF2000763C44FD1735 +:100870003CC43C446354F0005C50FDF32324340535 +:10088000EF20100EEF20900B7C40634FF00039A852 +:100890005285EF20205319C1EF2000737C40FD17D3 +:1008A0007CC07C406354F0001C48FDF323223405D7 +:1008B000EF20100BEF109010054BEF203008185C64 +:1008C0005C5CE37FF7F230406305060C631F09129E +:1008D0000844A685EF3010141C4434405840B697A5 +:1008E0001CC463EAE70A1C5C14405850850714C412 +:1008F0001CDC5DC713054402EF20C04C8547631123 +:10090000F50AC9A8EF20D005B2455285EF20402D49 +:10091000EF20D0023C44634FF00039A85685EF2009 +:10092000604A19C1EF20406A3C44FD173CC43C4476 +:100930006354F0005C50FDF323243405EF20500293 +:10094000EF20C07F7C40634FF00039A85285EF2034 +:10095000604719C1EF2040677C40FD177CC07C4098 +:100960006354F0001C48FDF323223405EF20407F40 +:10097000EF10D00431F1593C054B81B73C41E38F76 +:1009800007E27370043001A07370043001A030409E +:1009900021E61C4085C71C5C585085071CDC39FBD0 +:1009A000EF20007C0545B640264496440649F2599E +:1009B000625AD25A425BB25B616182804840EF204A +:1009C000406F1C5C58502322040085071CDCE31395 +:1009D00007F279D5253CE9B74844A685EF30900366 +:1009E0003C4058441440B307F0403E9758C4637CE1 +:1009F000D7025840BA975CC409475C506306E902C5 +:100A0000185C050718DCC9DFF5B54844A685EF304A +:100A100070003C4058441440B307F0403E9758C41F +:100A2000E37CD7FCF9B75C50185C79DB185C7D1768 +:100A300018DC185C050718DCA5D76DBD2285953E2E +:100A4000EF10C077014585B7EF2080710145A1BF48 +:100A5000411126C2AA841305400522C406C6EF3000 +:100A600020632A8415CD232C0502FD577CC1054740 +:100A7000410558DC3CC42322040023200400232425 +:100A80000400232604002320040423089404413492 +:100A900013054402AD3C8146014681452285013360 +:100AA0002285B24022449244410182800DC5411109 +:100AB00022C426C206C64AC0032945002A84EF2064 +:100AC00060528144630CA900B24022442685024949 +:100AD0009244410182807370043001A05C448544DB +:100AE000FD175CC4F5F381460146814522854539F1 +:100AF000E1BF397106DE22DC26DA4AD84ED652D45E +:100B000032C635CD8149DDC13689AE842A84130AC7 +:100B100005012DA0EF20C064EF2040626C00280882 +:100B2000EF20803F31E1EF206061185C5C5C630581 +:100B3000F702EF20E062EF20A0628549EF2000601D +:100B4000185C5C5C6360F704B24799CFE39409FCDE +:100B50002808EF20403A7DBFEF208060B2455285E3 +:100B6000EF2000086530C1BFEF20805FF250625473 +:100B7000D2544259B259225A014521618280737080 +:100B8000043001A0304015EE1C4095C71C5C585045 +:100B900085071CDC39E7EF20A05CF2506254D25488 +:100BA0004259B259225A0545216182803C41A9DF50 +:100BB0007370043001A04840EF20A04F23220400AE +:100BC000F1B7631909020844A685EF30A0641C44FC +:100BD00034405840B6971CC4E3EAE7FA1C401CC4F2 +:100BE00075B713054402EF20E01D8547E315F5FABC +:100BF000313855B74844A685EF30C0613C40584471 +:100C00001440B307F0403E9758C46375D70058406E +:100C1000BA975CC48947E31BF9F61C5CA5DB1C5C36 +:100C2000FD171CDCA5B7397106DE22DC26DA4AD8AE +:100C30004ED652D432C66301050E81446388050C3A +:100C4000B6892E892A84130A450231A0EF2040512B +:100C5000EF2000518544EF20604E1C5CBDE3B2479D +:100C600095CF8DC8EF20C04FEF20404D6C00280875 +:100C7000EF20802A05E5EF20604C1C5CE1FBEF20B3 +:100C8000204E1C409DC7B2455285EF107075EFF0A5 +:100C9000EFF77DBF2808EF200026E9B7EF20404C92 +:100CA000F2506254D2544259B259225A014521613C +:100CB0008280EF20A0484840EF208035EF20404A56 +:100CC000D9B73040444409CE5840B387C4005CC40F +:100CD00063E4E7001C405CC44C444A85EF30805319 +:100CE000639F09021C5C1840FD171CDC01E7EF2024 +:100CF000A04B48C01C489DC713050401EF20800C81 +:100D000085476311F502EFF06FF029A83C41E389B4 +:100D100007F27370043001A07370043001A05C50BE +:100D200044C481EFEF20C043F2506254D254425980 +:100D3000B259225A05452161828013054402EF20F1 +:100D400060086DD1C9B725C1B1C9011122CC26CA2D +:100D50004AC852C406CE4EC68947B68432892E8A06 +:100D60002A846399F600585D85476301F70673701E +:100D7000043001A0EFF06FF5185C5C5CAA89636732 +:100D8000F70281444E85EFF0EFF3F240624426858E +:100D90004249D244B249224A056182803C41D5D7BA +:100DA0007370043001A07370043001A0304049E238 +:100DB0001C40DDCB1C5C7D5785071CDC3C446388F4 +:100DC000E7083C44854485073CC46DBFEFF0EFEF76 +:100DD000185C5C5CAA89636DF702304071DA4844A4 +:100DE000D285EF3020433C4058441440B307F040D4 +:100DF0003E9758C46375D7005840BA975CC489477A +:100E0000E39AF4FA1C5CDDD71C5CFD171CDC5DB7B3 +:100E1000304059DE4844D285EF30C03F3C40584412 +:100E20001440B307F0403E9758C4E367D7FCD9BFDE +:100E3000DDF40844D285EF30E03D1C443440584096 +:100E4000B6971CC4E3E8E7F61C401CC4A5B75C5089 +:100E500085448DDB13054402EF10D07605D5E303FE +:100E600009F22320990039BF4840EF208024232233 +:100E7000040089B731CD3C4181C77370043001A0B3 +:100E80001C41B1CB011122CC26CA2A844AC84EC6C5 +:100E900006CE2E89EFF06FE3185C5C5CAA89814472 +:100EA000637DF7001C5C7D5785071CDC3C4463892F +:100EB000E7023C44854485073CC44E85EFF08FE053 +:100EC000F240624426854249D244B249056182809B +:100ED0007370043001A05C41D5D77370043001A059 +:100EE0005C5099E38544D1BF13054402EF10906D27 +:100EF00075D9E30909FE85472320F900E5B7397163 +:100F000006DE22DC26DA4AD84ED652D456D232C673 +:100F10006302051C638A051AB68AAE892A84EF200B +:100F2000200D11E5B24781C77370043001A0EF2096 +:100F3000E0201C5C63920714B247638E070A2808FE +:100F4000EF10707BFD5413094402130A0401EF20D3 +:100F50002021EF10A001EF20601E7C4063949700D9 +:100F6000232204043C446394970023240404EF20C8 +:100F7000201F6C002808EF10307A63130518EF204B +:100F8000E01B1C5CADCFEF20A01DEF20201B3C44DC +:100F9000634FF00039A84A85EF10D06219C1EF20E5 +:100FA000A0023C44FD173CC43C446354F0005C5038 +:100FB000FDF324C4EF20C01AEF2040187C40634F9B +:100FC000F00039A85285EF10F05F19C1EF10D07F03 +:100FD0007C40FD177CC07C406354F0001C48FDF34E +:100FE00064C0EF20E017EF10601DEF2020151C5C9F +:100FF000C1E7B247A9FFEF20A016014555A0EF2099 +:1010000020161C40DDC7B2454A85EF10703DEF2029 +:10101000E0123C44634FF00039A84A85EF10905A23 +:1010200019C1EF10707A3C44FD173CC43C44635432 +:10103000F0005C50FDF324C4EF208012EF2000107C +:101040007C40634FF00039A85285EF10B05719C1AA +:10105000EF1090777C40FD177CC07C406354F0001B +:101060001C48FDF364C0EF20A00FEF10201531C91C +:10107000EF20C00C1C5CB5DFCE8522854444EFF028 +:101080006FD1639C0A041C5C1840FD171CDC01E74F +:10109000EF20801148C01C48A1EFEF20600C0545EF +:1010A000F2506254D2544259B259225A925A216192 +:1010B0008280EF20A0084840EF109075EF20400A92 +:1010C00099B7EFF0AFB46DB73C41E38707E47370B5 +:1010D000043001A07370043001A05C5044C4D5DF1B +:1010E00013054402EF10104E4DD9EFF02FB275B733 +:1010F00013050401EF10104D8547E310F5FAF5B71D +:101100002285EFF00FCBEF10600B014551BF1DC9D9 +:10111000411122C44AC006C626C244412E892A84EF +:10112000EF10506C6383A40281464A868145228574 +:10113000F93385476300F502B240224492440249E4 +:10114000410182807370043001A05C44054585072D +:101150005CC4DDB75C4485075CC4F9BF15CDADC97F +:10116000011122CC26CA4AC84EC652C42A8406CED1 +:101170003289AE89EFF06FB51C5C2A8A814485E321 +:101180005285EFF02FB4F240624426854249D244A2 +:10119000B249224A056182807370043001A0304058 +:1011A00001CE4C445C40B2954CC463E4F5000C4065 +:1011B0004CC44E85EF3000061C5C7D57FD171CDCCF +:1011C0007C40638CE7007C40854485077CC04DBF34 +:1011D0003C41D9D77370043001A01C4899E3854481 +:1011E00045B713050401EF10F03D75D9E30909FE79 +:1011F00085472320F900E5B721C93C41A1CDA1CB0A +:10120000011122CC26CA4AC852C42A8406CE4EC630 +:101210002E8AEFF08FAB1C5C2A89814491CB832905 +:10122000C400D2852285EFF0EFB685442326340131 +:101230004A85EFF02FA9F240624426854249D24404 +:10124000B249224A056182807370043001A0737034 +:10125000043001A0EDDF7370043001A001E573706C +:10126000043001A0411122C42A8406C6EF10106D7B +:10127000005CEF10F06EB240228522444101828072 +:1012800001E57370043001A0411106C622C42A840E +:10129000EF10D06A1C5C405C1D8CEF10706C2285D6 +:1012A000B24022444101828019C1085D828073707E +:1012B000043001A01DCD978703009387A754D8431E +:1012C000630CE502D847630CE504D84B6307E504DB +:1012D000D84F6302E504D853630DE502D85763087D +:1012E000E502D85B6303E502D85F630EE5006F207B +:1012F000506C7370043001A001470E07BA9723A009 +:1013000007006F20106B1D47CDBF1947FDB715476C +:10131000EDB71147DDB70D47CDB70947F9BF054711 +:10132000E9BF684582806CC58280034505058280DF +:1013300009C5085D1335150082807370043001A063 +:1013400019C51C5D485D1D8D1335150082807370B5 +:10135000043001A0978703009387C74A984305C3C9 +:10136000984705C7984B05C7984F05C7985305C7B9 +:10137000985705C7985B15C7985F15C382800147CA +:101380000E07BA978CC3C8C382800547D5BF0947EB +:10139000C5BF0D47F5B71147E5B71547D5B71D4789 +:1013A000C5B71947F1BF978703009387A745D8436F +:1013B0006308E502D847630BE502D84B630AE502F0 +:1013C000D84F6309E502D8536308E502D85763078D +:1013D000E502D85B6308E502D85F6303A702828059 +:1013E00001470E07BA9723A0070082800547D5BFA3 +:1013F0000947C5BF0D47F5B71147E5B71547D5B73D +:101400001D47C5B71947F1BF411122C426C24AC0C2 +:101410002A8406C6AE843289EF1050527840FD57B8 +:101420006314F700232204043844FD576314F700C3 +:1014300023240404EF10D0521C5C99E74A86A68549 +:1014400013054402EF10D00CEF10504F3C44635B87 +:10145000F0025C5085CB9304440209A83C44FD177C +:101460003CC43C446350F0025C5089CF2685EF10A9 +:1014700070156DD5EF1050353C44FD173CC43C440D +:10148000E344F0FEFD573CC4EF10904DEF10104BBD +:101490007C40635BF0021C4885CB9304040109A8DF +:1014A0007C40FD177CC07C406350F0021C4889CF13 +:1014B0002685EF1030116DD5EF1010317C40FD17EF +:1014C0007CC07C40E344F0FEFD577CC02244B24027 +:1014D0009244024941016F10B0481DC179714ECE4E +:1014E00052CC06D63E8A22D426D24AD056CA5AC8F0 +:1014F0005EC6A547BA8963F8E7007370043001A09F +:101500007370043001A02A8BAE843289B68BC28AF4 +:101510006307082213050006EF2090372A84630B27 +:1015200005200A09232855034A869305500A568543 +:10153000EF20505C03C704001C587119230AE40211 +:1015400003C704003E991379C9FF79C783C7140004 +:10155000A30AF40283C71400E1C383C72400230B4A +:10156000F40283C72400CDCB83C73400A30BF4025D +:1015700083C73400D5C383C74400230CF40283C758 +:101580004400D9CB83C75400A30CF40283C7540092 +:10159000C1C783C76400230DF40283C76400ADCFC5 +:1015A00083C77400A30DF40283C77400B5C783C753 +:1015B0008400230EF40283C78400B9CF83C794004C +:1015C000A30EF40283C79400A1CB83C7A400230F0A +:1015D000F40283C7A400A9C383C7B400A30FF40215 +:1015E00083C7B40095CB83C7C4002300F40483C72A +:1015F000C4009DC383C7D400A300F40483C7D400F0 +:1016000081CF83C7E4002301F40483C7E40089C7C2 +:1016100083C7F400A301F404930A44005685A30190 +:1016200004042326340323283405232A0404EFE08A +:101630005FEF13058401EFE0DFEEA947B387374181 +:10164000232C040400C81CCC40D023220404232EE5 +:1016500004045E86DA854A85EFE0DFE708C06304AC +:101660000A0023208A007370043017F9010013095F +:1016700029EE8327090097F40100938424EF91C792 +:1016800098409C407C4385077CC317F701001307F3 +:10169000E7EC1C43850797F6010023A1F6EC9C407C +:1016A000C5CB8327090017FB0100130B6BF989EBEE +:1016B0009C40DC5763E6F90097F7010023A887EA0E +:1016C00097F701009387C7E79C4317F701001307BB +:1016D00067E848541843850797F6010023A2F6E609 +:1016E0003CC46376A70097F7010023A5A7E6D14580 +:1016F000EF405043D6855A95EFE01FE3832709005A +:1017000081C79C40FC43B5E383270900054499C782 +:101710009C40DC5763F43701EFE05FCF2285B25085 +:10172000225492540259F249624AD24A424BB24B75 +:10173000456182805685EF20D0277D54C5B71315AB +:101740002600EF20F014AA8AE31605DC7D54F9B7D1 +:1017500097F7010023AC87E0184385476301F70240 +:1017600017FB0100130BCBEDA1BF984094407C43C5 +:10177000FD177CC3FC42C9FB7360043071B7014A9A +:1017800017FB0100130BCBEBA94B5285D145EF4062 +:101790007039050A5A95EFE09FD7E3187AFF97FB57 +:1017A0000100938B6BF65E85EFE07FD617FA0100A0 +:1017B000130ACAF65285EFE09FD517F5010013050D +:1017C00025F7EFE0DFD417F501001305A5F7EFE0EB +:1017D0001FD417F50100130525F8EFE05FD397F745 +:1017E000010023A377D997F7010023AD47D7C9BDDF +:1017F000411122C406C626C24AC02A84737004302E +:1018000097F401009384C4D49C40F9E311E497F762 +:1018100001009387A7D58043130944004A85EFE070 +:101820003FD51C5489C713058401EFE07FD4CA85D6 +:1018300017F50100130505F1EFE01FCF97F7010041 +:10184000938707D2984397F70100938767CF9C430C +:10185000050797F6010023A5E6D09840850797F67F +:10186000010023AFF6CC01CB97F70100938707D097 +:101870009843784349EF9C40B1C797F7010093879D +:10188000E7CE9843630F870873700430984039EBB4 +:1018900017F70100130747CD14439442A5E27D5783 +:1018A00097F6010023ACE6C8984009CF98437843E7 +:1018B00011CB984394437C43FD177CC3FC4299E3CE +:1018C00073600430B2402244924402494101828054 +:1018D00097F70100938787C998439C437C4385070A +:1018E0007CC32DB794439843F8420507F8C217F715 +:1018F0000100130767C714439442D5D218435847D1 +:101900005847584397F6010023AAE6C271BF98438F +:1019100094437C43FD177CC3FC42B1FF73600430E9 +:1019200099BF97F70100938727C19C4381C77370C4 +:10193000043001A02244B2409244024941016FE0C8 +:10194000FFAC41C517F70100130747C21443814795 +:10195000638BA606411106C622C426C273700430EA +:1019600097F601009386C6BE9C4291C710431C4364 +:101970007C4285077CC29C42AA84404981C71C43A3 +:10198000FC43B9E797F70100938707BE9C43638B3D +:10199000870497F701009387E7BC9C43638487041F +:1019A00097F70100938747DB6309F40297F701007B +:1019B000938747D96301F4028547B2402244924499 +:1019C0003E85410182803E8582807370043001A093 +:1019D000EF40102945BF9147CDB79C5493B71700EE +:1019E0008907E1BF8947D1BF7370043097F70100C1 +:1019F000938707B6984309EF15C59C43485581CB9B +:101A000097F70100938787B69843784315E3828060 +:101A100017F70100130787B514431843F842050769 +:101A2000F8C261FD17F70100130747B40843F1B787 +:101A3000984394437C43FD177CC3FC42E9FB7360ED +:101A400004308280411122C42A8406C6EFE0FFA739 +:101A500011E497F70100938767B180434054EFE0AA +:101A60007FA6B2402285224441018280A54763F5CA +:101A7000B7007370043001A0011122CC06CE26CA33 +:101A80004AC84EC652C42A847370043017F9010044 +:101A9000130909AC83270900CDEF71C43C48638862 +:101AA000F50817F70100130767AC63FCB700144390 +:101AB000638C860C18434457B3B4950093C4140048 +:101AC00029A00443818C93B4140058546383E70A1B +:101AD0001C4C2CC863C60700A947B385B7400CCC83 +:101AE00093172700BA97584897F90100938949B589 +:101AF0008A07CE97631AF702130A44005285EFE073 +:101B00003FA797F701009387E7A448549C4363F6E7 +:101B1000A70097F7010023AFA7A2D145EF4090009F +:101B2000D2854E95EFE05FA099C0EFE03F8E83270E +:101B3000090081CB97F70100938747A39C43FC439F +:101B40009DEBF2406244D2444249B249224A0561C7 +:101B5000828097F70100938767A198439C437C4359 +:101B600085077CC305FC97F70100938727A0804376 +:101B700035B74CD4B1BF6244F240D2444249B24975 +:101B8000224A05616F40D00D814481B725C1011102 +:101B900026CA97F401009384649D9C4006CE22CC13 +:101BA0004AC84EC6638DA7027370043017F901004E +:101BB0001309099A8327090091C798409C407C43E8 +:101BC00085077CC3584997F701009387E7B86302FC +:101BD000F7028327090081C79C40FC43A5EBF24034 +:101BE0006244D2444249B249056182807370043034 +:101BF00001A01C5517F70100130787B3E38BE7FC1F +:101C0000E9FB930945002A844E85EFE07F9697F71C +:101C100001009387279448549C4363F6A70097F7E5 +:101C2000010023A9A792D145EF40C06F97F70100AB +:101C3000938707A13E95CE85EFE01F8F9C405854B7 +:101C4000DC57E368F7F8EFE06FFC61B76244F240FD +:101C5000D2444249B24905616F40900015CD01114F +:101C600022CC26CA4EC62A8406CE4AC8EFE0FF859B +:101C7000584897F70100938727AEAA8981446300EB +:101C8000F7024E85EFE01F84F24062442685424908 +:101C9000D244B249056182807370043001A01C54A3 +:101CA00017F501001305C5A8E38DA7FCF9FB97F70D +:101CB0000100938767889C43A9EB97F701009387FE +:101CC000E78A9C43445413094400DC574A85B3B463 +:101CD000F400EFE0FF8997F701009387A787485446 +:101CE0009C4393C4140063F6A70097F7010023A355 +:101CF000A786D145EF40006397F70100938747948B +:101D0000CA853E95EFE05F82ADBF93058401EFE0A9 +:101D1000BF8185BF0111056506CE22CC26CA4AC8FF +:101D20004EC652C456C25AC0EF208036630E051A02 +:101D3000AA8413050006EF20A0352A84630A051C37 +:101D400005669305500A04D92685EF20A05A045849 +:101D50008567F117BE94B7474C4593879744130A9C +:101D600044005CD85285230C0402A30104042326FA +:101D7000040223280404232A0404EFE08FFA130545 +:101D80008401EFE00FFAA947232C0404F19800C85E +:101D90001CCC40D023220404232E040401469715B2 +:101DA00000009385E5B12685EFE0CFF208C073709F +:101DB000043017E901001309A9798327090097E482 +:101DC00001009384A47A91C798409C407C43850786 +:101DD0007CC317E70100130767781C43850797E664 +:101DE000010023ADF6769C40C9C38327090097F90B +:101DF00001009389E98481EB9C40DC5789E797E7F0 +:101E0000010023A5877697E70100938767739843BE +:101E100097E60100938606745C549442050717E622 +:101E20000100232FE67038C463F6F60017E70100BF +:101E30002322F772139527003E950A05D2854E9509 +:101E4000EFE08FEE8327090081C79C40FC43DDE76C +:101E50008327090091C39C40EF10F0498547630533 +:101E6000F50C59E17370043001A097E7010023AF2E +:101E7000876E1843854797E901009389697CE314CD +:101E8000F7F8CE8A17FB0100130B0B885685D10A91 +:101E9000EFE0EFE7E31C5BFF17F501001305C586D4 +:101EA000EFE0EFE697FA0100938A4A875685EFE064 +:101EB0000FE617F501001305A587EFE04FE517F5CD +:101EC000010013052588EFE08FE417F501001305E5 +:101ED000A588EFE0CFE397E7010023A7676997E7BD +:101EE000010023A1576905B7F2406244D244424938 +:101EF000B249224A924A024B05618280984094403E +:101F00007C43FD177CC3FC42A1F77360043089B7A2 +:101F10006244F2404249B249224A924A024B268523 +:101F2000D24405616F20E02873700430FD5717E735 +:101F300001002325F760624497E7010023AAA76008 +:101F4000F240D2444249B249224A924A024B97E7B0 +:101F5000010023A3076005616FE0AFB47370043024 +:101F600097E7010023A6075E6FE0EFC117E70100C6 +:101F70001307875C1C4385071CC382807370043081 +:101F800097E701009387C75C984305E317E70100D3 +:101F90001307875C08439C4381CB97E70100938735 +:101FA000E75C9843784301EF828017E7010013074D +:101FB000E75B14431843F8420507F8C2C1BF9843D2 +:101FC00094437C43FD177CC3FC42F9FF73600430EB +:101FD0008280411106C622C4EFE02FCF97E70100AF +:101FE000938787578043EFE0EFCDB24022852244AC +:101FF0004101828097E7010093874756884382809A +:10200000797106D622D426D24AD04ECE52CC56CAA8 +:102010005AC85EC697E70100938707529C43B1EF09 +:1020200017E70100130747531C43850797E6010094 +:1020300023A4F652832A0700638F0A0697E701005C +:102040009387C74F9C43814463F1FA0897E90100E5 +:102050009389095F17EA0100130A4A5183270A008E +:102060008546D85793172700BA978A07BE9983A742 +:10207000090063FFF600854421A897E701009387D4 +:10208000E74C9C438144850717E701002320F74C68 +:1020900097E701009387474B9C4391C38544B25017 +:1020A0002254268502599254F249624AD24A424B3E +:1020B000B24B4561828017E401001304E44A1C40DE +:1020C0009C43DDC37370043001A017E401001304C6 +:1020D000A44997E901009389A95617EA0100130A58 +:1020E000EA4817EB0100130BEB461C409C43B5C3B9 +:1020F0001C40DC4703A9C70083274900930B490014 +:102100005E8563EEFA04EFE0AFC68327890213050C +:10211000890199C3EFE0CFC58327C90203270B00CC +:10212000DE85139527003E950A054E956376F700E8 +:1021300017E701002320F742EFE00FBF83270A00D3 +:102140000327C902DC57E362F7FA1C4085449C432D +:10215000C5F3FD5717E701002322F73E01B717E744 +:102160000100232DF73CDDBD17E701001307873F72 +:102170001C40184397E6010023A8E63E17E701003C +:102180002322F73E97E701009387C73B9C438507CF +:1021900017E701002328F73A1C409C4399E7FD57B5 +:1021A00017E70100232CF73851BD1C40DC47DC4702 +:1021B000DC4317E701002323F73849B597E701000F +:1021C00093878737984301E77370043001A00111AA +:1021D00006CE22CC26CA4AC84EC652C456C25AC0DF +:1021E0007370043097E4010093848436984061EB67 +:1021F00098437D1797E6010023A0E6349C43D9EB72 +:1022000097E70100938787359C43C9C797EA010088 +:10221000938A0A4317EA0100130ACA3317E9010037 +:102220001309C934854919A83385EA00EFE0CFAF17 +:10223000832709005854DC576379F70483A70A0FF2 +:10224000C1CF83A7CA0FC04713058401EFE04FB287 +:10225000130B44005A85EFE0AFB15C5483260A00AB +:10226000DA85139527003E950A052A875695E3FDE2 +:10227000F6FA17E70100232FF72CEFE0EFAA8327E8 +:1022800009005854DC57E36BF7FA97E7010023ADD8 +:10229000372B6DB701449C4081CB97E701009387B2 +:1022A000E72C9C43FC4395E72285F2406244D244EC +:1022B0004249B249224A924A024B0561828017E79D +:1022C00001001307A72A14431843F8420507F8C270 +:1022D00005B7EF40E018C9BF17E401001304042755 +:1022E0001C4095C31C40054999CF193B09C597E788 +:1022F000010023AB27251C40FD1717E7010023270A +:10230000F7241C40FDF397E701009387E7239843E8 +:102310008547E311F7F8EFE06F8F0544ADBF11CDAE +:1023200081E57370043001A017E701001307C7208F +:102330001C4399C77370043001A07370043001A06E +:10234000411122C406C614431841850617E6010050 +:102350002324D61E97E60100938606209442330478 +:10236000B70000C163F7E602637FE40063FD860007 +:1023700097E701009387871F88431105EFE04F9F80 +:102380002285EF40A0071D3D01C9B2402244410112 +:102390008280E36FE4FCD9BF2244B24041016FE088 +:1023A000EF8611C917E70100130707191C4391C7EE +:1023B0007370043001A06FE06F85411106C622C41E +:1023C0001843AA87050797E6010023A7E61697E6B4 +:1023D00001009386A61917E701001307E7171843B2 +:1023E00088423304F7001105EFE08F982285EF4013 +:1023F000E000E93311E52244B24041016FE00F8172 +:10240000B240224441018280411122C406C697E7AE +:102410000100938767129C430144850717E7010079 +:10242000232CF71097E70100938747139C4363E041 +:10243000F51217EE0100130E6E2C97E20100938245 +:10244000621F01440147854F1303500A21A0311E2A +:10245000638DC20B83278EFFBA86F5DB8327CEFF01 +:10246000DC43232EFEFE638AC70F03AFC700DC43A5 +:102470002A97814E232EFEFE6385C70783A8C700D7 +:1024800093874803232017015CC383A7880423266E +:10249000F7011CC783A7C8021CCB83A70805232C00 +:1024A00007005CCB03A80803834708006390670418 +:1024B000C287850783C60700B3850741E38B66FEA5 +:1024C0008981C205C181231EB700850E130707024B +:1024D00063061F038327CEFFDC43232EFEFEE39F0C +:1024E000C7F983274E00232EFEFE49BF8145231ED8 +:1024F000B700850E13070702E31E1FFD769413171E +:102500005400311EBA86E397C2F597E7010093871E +:10251000A70503AE070083270E00639D070E97E70C +:1025200001009387270403AE070083270E00639200 +:10253000071817EE0100130EAE1083274E109DE30F +:1025400083278E116395072019C223200600BD3111 +:102550002285B24022444101828083274E00232EEF +:10256000FEFE21B783278E1017EF0100130F0F1EF9 +:10257000DC4317E701002329F71C6380E73383A2BC +:10258000C700DC43AA96814E17E70100232EF71AF5 +:10259000914F1303500A6388E70783A8C700938706 +:1025A000480323A01601DCC283A7880423A6F601F2 +:1025B0009CC683A7C8029CCA83A7080523AC060053 +:1025C000DCCA03A80803834708006391672AC2870F +:1025D000850703C70700B3850741E30B67FE93D761 +:1025E0002500C207C183239EF600850E938606024E +:1025F0006386122983278E10DC4317E70100232509 +:10260000F714E39CE7F983270E1117E70100232D48 +:10261000F71261B783274E00130F8E00D443232295 +:10262000DE006388E629B307E500D84283A2C6002E +:10263000814E2322EE00894F1303500A6306EF06F2 +:102640008328C7001387480323A01701D8C303A713 +:10265000880423A6F70198C703A7C80298CB03A74D +:10266000080523AC0700D8CB03A8080303470800DC +:102670006318671C4287050783460700B3050741B7 +:10268000E38B66FE13D7250042074183239EE700B4 +:10269000850E93870702638D121B83264E00D84256 +:1026A0002322EE00E31EEFF80327CE002322EE00E4 +:1026B00041BF83274E00130F8E00DC432322FE0010 +:1026C0006384E71F83A2C700DC43AA96814E2322BE +:1026D000FE00894F1303500A6306FF0683A8C70054 +:1026E0009387480323A01601DCC283A7880423A68E +:1026F000F6019CC683A7C8029CCA83A7080523AC21 +:102700000600DCCA03A80803834708006396671025 +:10271000C287850703C70700B3850741E30B67FE40 +:1027200093D72500C207C183239EF600850E9386AA +:102730000602638B120F83274E00DC432322FE0028 +:10274000E31EFFF88327CE002322FE0041BF83272C +:10275000CE1197EE0100938EAE00DC4317E7010027 +:10276000232EF7FE6384D71383AFC700DC43330700 +:10277000D500014397E6010023A2F6FE0D4F8942E2 +:102780009308500A6387D707C8479307450308C3D0 +:102790005CC33C452326E7011CC75C551CCB1C557C +:1027A00099C3232657003C49232C07005CCB032800 +:1027B00005038347080063951705C287850783C60D +:1027C0000700B3850741E38B16FF93D72500C207A7 +:1027D000C183231EF700050313070702638AAF02B4 +:1027E0008327CE11DC4397E6010023A9F6F6E39D8B +:1027F000D7F983274E1297E6010023A1F6F669B7B1 +:102800008147231EF700050313070702E39AAFFC75 +:102810001A94E31C06D225BB8147239EF600850E41 +:1028200093860602E39912F117EE0100130E4EE1B2 +:1028300083274E10769493165400E38307D01DB37C +:102840000147239EE700850E93870702E39712E571 +:1028500097E70100938707D103AE0700769493169C +:10286000540083270E00E38607CCA1B58147239E41 +:10287000F600850E93860602E39E12D783278E11FB +:10288000769493165400E38107CCD1B583274E127A +:1028900017E701002324F7ECC1BD83270E1117E7CA +:1028A00001002323F7EAE1B98327CE002322FE00AB +:1028B00011BD8326CE002322DE00B5B3797126D266 +:1028C0004AD04ECE52CC56CA5AC85EC606D622D47C +:1028D00097E90100938949C617E90100130949D610 +:1028E00017EA0100130ACAC697EA0100938A0AC8C8 +:1028F00097EB0100938B8BC697E40100938444C649 +:10290000054B21A883A7090003244910850717E771 +:1029100001002323F7C25D3011E89C40E5F78327CF +:102920000900E37CFBFEEFD07FAEC5BF73700430BF +:1029300083270A00B9E383270911C0471305440020 +:10294000EFD01FC383A70B00FD1717E70100232754 +:10295000F7C09C40FD1717E701002323F7C083272A +:102960000A0089C783A70A00FC4385E30858EF10D3 +:1029700050042285EF10F0034DB703A70A0083A788 +:102980000A007C4385077CC37DB703A70A0083A6A2 +:102990000A007C43FD177CC3FC42E9FB73600430F2 +:1029A000F1B797E70100938727B99C43DDE7411111 +:1029B00006C622C426C297E7010023A707B817E777 +:1029C00001001307A7BA1C43B7A6A5A59386565ABC +:1029D0009C5B8C43639BD500D0436318B60094473F +:1029E0006395C600DC476388D70008430C43938592 +:1029F0004503EF20E07317E701001307A7B5144361 +:102A000017E401001304C4C311A81C43A9CF1C433D +:102A1000FD1797E6010023AFF6B214439397260003 +:102A2000B6978A07A2979C43EDD3084393172500D6 +:102A3000AA978A073307F4005443A107A297C44218 +:102A400044C36385F402DC44B240224417E701002A +:102A5000232EF7B0924441018280854717E7010099 +:102A60002324F7AE82807370043001A0C440D145A6 +:102A7000EF30500B229544C1F9B701114AC806CE78 +:102A800022CC26CA4EC62A897370043097E401000E +:102A9000938404AC9C4017E40100130424ADD9E7EF +:102AA0006314090003290400930949004E85EFD0FF +:102AB0003FAC8327890289C713058901EFD05FAB3B +:102AC000CE8517E50100130525C9EFD0FFA59C4071 +:102AD00081C71C40FC43ADE31C40638B27079C402F +:102AE0009DCF737004309C40C1EB97E70100938742 +:102AF000A7A79843184325EBFD5717E70100232F9D +:102B0000F7A29C4089CF1C40FC4391CB1840144055 +:102B10007C43FD177CC3FC4299E373600430F240B0 +:102B20006244D2444249B2490561828018401C4047 +:102B30007C4385077CC3ADB7184014407C43FD1728 +:102B40007CC3FC42D1FB736004301C40E39927F93D +:102B50009C40A1C397E701009387079E9C43ADCBA0 +:102B60007370043001A09C43DC47DC47DC4317E76B +:102B700001002325F79C71B718401C407C43850752 +:102B80007CC397E701009387279E984318432DD76E +:102B9000D9BF97E701009387679C17E701001307E8 +:102BA00027AA9C4303278711631DF700F240624464 +:102BB00097E7010023AC079AD2444249B249056124 +:102BC00082806244F240D2444249B2490561D1BB9D +:102BD0006244F240D2444249B24905616FD01F833A +:102BE0003DC9411126C297E40100938424984AC04C +:102BF0002E898C4006C622C4E105EFD07F94884020 +:102C00001105EFD0FF96FD57630AF90497E701001D +:102C100093878794804398409C434A9440C3636C55 +:102C2000F40497E701009387279488438C4091052B +:102C3000EFD01F9197E70100938747909C436376FD +:102C4000F40097E7010023AB878EB2402244924400 +:102C50000249410182807370043001A08C402244FB +:102C6000B2409244024917E501001305E5AE910513 +:102C700041016FD07F8B97E701009387A78E884330 +:102C800022448C40B24092440249910541016FD0E8 +:102C90003F8B11C997E701009387078A9C4399E707 +:102CA0007370043001A07370043001A0411126C27A +:102CB00097E401009384848B9840AE878C40B706DC +:102CC0000080D58F06C622C41CCFE1053284EFD028 +:102CD000BF8588401105EFD0BF89FD57630EF40012 +:102CE00097E70100938747878843B2409244229533 +:102CF000224441016F3080708C402244B2409244A3 +:102D000017E50100130545A5910541016FD0DF814D +:102D100025CD411126C297E40100938424854AC041 +:102D20002E898C4006C622C4E1053284EFD0CFFF45 +:102D300088401105EFD0DF838547630AF40497E7E5 +:102D4000010093876781804398409C434A9440C325 +:102D5000636CF40497E701009387078188438C40F4 +:102D60009105EFD0EFFD97D701009387277D9C4316 +:102D70006376F40097D7010023A2877CB2402244F7 +:102D800092440249410182807370043001A08C405A +:102D90002244B2409244024917E501001305C59B45 +:102DA000910541016FD04FF897D701009387877B3A +:102DB000884322448C40B24092440249910541012B +:102DC0006FD00FF8411106C622C426C25C45C04729 +:102DD00035CC930484012685EFD08FF997D7010075 +:102DE000938787759C43B5E7930444002685EFD00D +:102DF0002FF897D701009387E77558549C4363F6E3 +:102E0000E70097D7010023A7E77493172700BA9725 +:102E10008A0717E501001305A582A6853E95EFD028 +:102E2000AFF097D70100938767749C4358540145CE +:102E3000DC5763F8E700854717D701002326F770B2 +:102E40000545B240224492444101828073700430AF +:102E500001A0A68517E501001305858DEFD0CFEC05 +:102E6000C9B797D701009387276D9C4381E773709B +:102E7000043001A0411106C622C426C2B707008053 +:102E80004045CD8F1CC12DC4EFD08FEE930444007C +:102E90002685EFD0EFED97D701009387A76B5854A5 +:102EA0009C4363F6E70097D7010023A5E76A9317D1 +:102EB0002700BA978A0717D50100130565783E9554 +:102EC000A685EFD06FE697D701009387276A9C43CA +:102ED00058540145DC5763F8E700854717D70100D0 +:102EE0002324F7660545B240224492444101828082 +:102EF0007370043001A011CD97D7010093878764C8 +:102F0000984397D70100938727659C4318C15CC1FC +:102F100082807370043001A055C1D1CD411106C625 +:102F200022C42A877370043097D8010093884862BE +:102F300083A7080091CB97D7010093872763944319 +:102F40009C43FC428507FCC217D301001303C360F6 +:102F50009C4103260300FD560144638FD70217DE10 +:102F60000100130E2E5E03250E00032807005443B4 +:102F70006305A80005446371D6023308D6400544B2 +:102F8000637CF80003250E00918F03260300B6979B +:102F90009CC108C350C3014483A7080081CB97D7C5 +:102FA00001009387A75C9C43FC4381EF2285B240DC +:102FB0002244410182807370043001A07370043098 +:102FC00001A0EF30E049DDB7854717D70100232D79 +:102FD000F756828019C1684582800145828011C1FF +:102FE0006CC5828097D701009387475888438280B9 +:102FF00097D701009387C7559C43054589CB97D741 +:1030000001009387675388431335150006058280B6 +:1030100031CD011126CA97D40100938424559C40D8 +:1030200006CE22CC4AC84EC65855DC576379F70203 +:103030001C4D63C707009C40D457A947958F1CCDF2 +:1030400093172700BA97584917D901001309495F08 +:103050008A07CA97630DF7009C40DC575CD5F240A5 +:103060006244D2444249B249056182808280930918 +:1030700045002A844E85EFD0AFCF9C4017D7010082 +:103080001307474D1843DC575CD46376F70017D716 +:1030900001002321F74C139527003E9562440A0551 +:1030A000F240D244CE854A95B249424905616FD07B +:1030B000AFC719CD411106C622C426C297D7010059 +:1030C0009387C74A80436307A4007370043001A04C +:1030D000014582807C4881E77370043001A054541C +:1030E0003848FD177CC86383E60099C70145B240A4 +:1030F0002244924441018280930444002685EFD00B +:103100002FC7284897D701009387C7449843A947FA +:10311000898F48D41CCC6376A70097D7010023ABD6 +:10312000A742D145EF30002097D701009387475140 +:103130003E95A685EFD04FBF054555BF737004304F +:1031400097D701009387C7409C4391CB97D7010045 +:103150009387C74198439C437C4385077CC3828007 +:1031600097D701009387C73E9C438DC397D7010033 +:103170009387C73F9843784311CB984394437C434C +:10318000FD177CC3FC4299E373600430828097D7BB +:1031900001009387A73D904394439843A947D45691 +:1031A000084E958F1CCF828097D701009387073CEC +:1031B000984309C79443F84A0507F8CA88438280B0 +:1031C00079714AD006D622D426D24ECE2A8973707F +:1031D000043097D401009384A4379C4017D4010095 +:1031E0001304C438BDE31C40BC4F89E71C400547AD +:1031F000F8CFD1E19C4081C71C40FC43F1EB7370D8 +:1032000004309C408DEF1C40A84F11C56304090297 +:103210001C4023AC07041C4023AE07049C4081C71C +:103220001C40FC438DEBB250225492540259F24997 +:103230004561828018403C4FFD173CCFE9BF1840E4 +:103240001C407C4385077CC37DBF18401C407C43E9 +:1032500085077CC349BF184014407C43FD177CC3DD +:10326000FC42F1F373600430B2502254925402597C +:10327000F2494561828008402EC61105EFD04FAF5C +:10328000B245FD576380F50697D701009387C72C99 +:1032900083A9070018409C43AE992322370163ECB1 +:1032A000F90497D701009387272C88430C40910598 +:1032B000EFD00FA997D70100938747289C4363F667 +:1032C000F90097D7010023AB3727EFD02F941DB714 +:1032D000184014407C43FD177CC3FC428DF373609F +:1032E000043031BF0C4017D501001305E5469105A8 +:1032F000EFD08FA3D9BF97D701009387A726884324 +:103300000C409105EFD0CFA3C9B779714AD04ECE0A +:1033100006D622D426D252CC2E89B28973700430BC +:1033200097D401009384C4229C4017D40100130455 +:10333000E423F9EF18408947784F630CF7001C40ED +:103340001345F5FFB84F798DA8CF1C400547F8CF3E +:10335000ADE69C4081C71C40FC43F5E3737004302C +:103360009C40B1E7638609001C40BC4F23A0F900D4 +:10337000184085470145784F630BF7001C401349FF +:10338000F9FF0545B84F3379E90023AC27051C4008 +:1033900023AE07049C4081C71C40FC43C1E3B250EC +:1033A000225492540259F249624A4561828018407F +:1033B0001C407C4385077CC375B7084036C61105A1 +:1033C000EFD00F9BB246FD576385F60897D70100F3 +:1033D0009387871803AA070018409C43369A232234 +:1033E00047016363FA0897D701009387E71788437B +:1033F0000C409105EFD0CF9497D701009387071425 +:103400009C436370FA0697D7010023A9471391A83C +:1034100018401C407C4385077CC329BF18401440DA +:103420007C43FD177CC3FC42BDFB73600430B2508B +:10343000225492540259F249624A456182801840EE +:1034400014407C43FD177CC3FC4289FB736004304D +:1034500031B70C4017D50100130505309105EFD0A9 +:10346000AF8CEFC0BFFAF5B597D701009387870FF0 +:1034700088430C409105EFD0AF8CE5B751C14111A5 +:1034800006C622C426C24AC07370043097D4010015 +:103490009384040C9C40B9EB99C23C4D9CC2B2870A +:1034A0008946704D74CD2E872A846386D70663FCC7 +:1034B000F6048D456387B70091456395B7006300B7 +:1034C000D60C38CC8547630FF60405449C4081CB6D +:1034D00097D70100938787099C43FC43A9E3228582 +:1034E000B2402244924402494101828097D70100B0 +:1034F0009387C70798439C437C4385077CC369BF78 +:103500007370043001A08546E39ED7FA3C4D33E743 +:10351000B70038CD45BF3C4D85073CCD65B7EF20A2 +:10352000307475BF130944004A85EFD06F8497D774 +:1035300001009387270248549C4363F6A70097D75E +:10354000010023A9A700D145EF20D05D97D7010046 +:103550009387070F3E95CA85EFC01FFD1C5481C796 +:103560007370043001A097D70100938727009C4314 +:103570005854DC57E3FBE7F4EFC05FE9B9B7014407 +:10358000B1B739CD011122CC26CA4AC84EC652C4A1 +:1035900056C206CEBA89368AB2842E892A84EFC0F2 +:1035A000DFF2AA8A63050A003C4C2320FA00784C1B +:1035B00089477CCC6389F40263F4970C8D4663875A +:1035C000D40091466396D400630AF70A232C24059D +:1035D0008547631EF7061C5499CB7370043001A015 +:1035E0007370043001A03C4C85073CCCD5B797D70D +:1035F0000100938767F49C43ADEB93044400268558 +:10360000EFC01FF797D701009387C7F448549C4336 +:1036100063F6A70097D7010023AEA7F2D145EF20AC +:10362000705097D701009387A701A6853E95EFC0FC +:10363000BFEF97D70100938767F39C435854DC573B +:1036400063F7E70063850900854723A0F900054477 +:103650005685EFC03FE72285F2406244D24442499A +:10366000B249224A924A056182809305840117D5A6 +:1036700001001305E50BEFC03FEB65BF0144C9BF77 +:103680008547E397F4F43C4C33E92701232C2405C8 +:1036900081B74DC1011122CC26CA2A844EC606CE5E +:1036A0004AC8AE84EFC07FE28947744C7CCC3C4C66 +:1036B0000547AA8985073CCC6395E6061C5481C75B +:1036C0007370043001A097D701009387E7E69C430D +:1036D000ADE7130944004A85EFC09FE997D7010081 +:1036E000938747E748549C4363F6A70097D70100A8 +:1036F00023A2A7E6D145EF20F04297D70100938798 +:1037000027F4CA853E95EFC03FE297D70100938723 +:10371000E7E59C435854DC5763F5E70099C08547BB +:103720009CC06244F240D24442494E85B249056190 +:103730006FC05FD97370043001A09305840117D561 +:1037400001001305E5FEEFC03FDEC1B7AA8729C51A +:103750007370043017D70100130787DF14439DE20D +:10376000F04F894601456315D60023AE0704054591 +:103770001C4381CB97D70100938747DF9843784359 +:1037800015E3828097D60100938646DE90429442EC +:103790007442850674C2E9B797D70100938707DDA5 +:1037A0009C437DB7984394437C43FD177CC3FC4204 +:1037B000E9FB7360043082805D71A6C2CAC052DC2E +:1037C00056DA5AD85ED686C6A2C44EDE62D466D217 +:1037D0006AD017D90100130969DA17DB0100130B4E +:1037E0002BD997D401009384E4D897DA0100938A07 +:1037F000AAD8054AA54B83270900984363010712FD +:10380000DC4783A90700EFE06FF6EFE02FF783278F +:103810000B002A8C6362F50A97D7010023AAA7D46C +:1038200001466370351B8840B3858941EFD0DFBD09 +:10383000EFE0DF98630105128840814601462C00C5 +:10384000EFD0EFEB4DD9A247E3C807FEC24983A7EB +:10385000490189C713854900EFC09FD1EFE00FF2FE +:1038600083270B002A8C636DF508A24717D7010048 +:10387000232087D1E3E2FBFCB317FA0013F70721FB +:10388000631A071613F7770C6318071E93F70702DE +:10389000C5D74E85EF00F01145B7DC47C04783AC74 +:1038A0000700930944004E85EFC09FCC5C502285F1 +:1038B00082975C4C6384470B83270900984371FF10 +:1038C00003A70A0097D6010023A486CB97D6010050 +:1038D00023A4F6CA97D7010023A2E7CAEFE01F8E00 +:1038E000A1BFDC47C04703AD0700930C44006685C9 +:1038F000EFC01FC85C50228582975C4C63864709E5 +:1039000083270900984371FF03A70A0097D6010097 +:1039100023A4F6C697D7010023A2E7C6B9B7EFE004 +:10392000EFE4EFE0AFE583270B002A8CE366F5F8C0 +:1039300083A70A00884081499043B385894117D7FE +:103940000100232787C313361600EFD0FFABEFE04B +:10395000FF86E31305EEEFC07FABF9BD1C4CCE85AF +:10396000E69763E9FC049C4089CF02CA66CC22CE6C +:10397000EFF00FE88840814601464C08EFC03FE277 +:1039800005FD7370043001A01C4CE685EA97636C5A +:10399000FD049C4089CF02CA6ACC22CEEFF04FE5ED +:1039A0008840814601464C08EFC07FDF31F97370D3 +:1039B000043001A0032509005CC000C8EFC05FB857 +:1039C000E5BDEFE0AFFF83270900DC47C047930C5C +:1039D00044006685EFC0DFB95C4C638F47035C50E1 +:1039E0002285829791BD032509005CC000C8EFC005 +:1039F0003FB539B7B24723ACF900A5CFE29723A270 +:103A0000F90023A8390193854900636FFC0403A5DD +:103A10000A00EFC0FFB20DB51C4C00C83387F90097 +:103A200058C0636DEC02330C3C416363FC029C4064 +:103A300089CF02CA4ECC22CEEFF08FDB8840814680 +:103A400001464C08EFC0BFD559F97370043001A08E +:103A500003A50A00E685EFC0BFAE51B703250900F4 +:103A6000E685EFC0FFADA5BF03250900EFC05FAD40 +:103A7000E1B37370043001A0B24783A6890123A883 +:103A800039013387D70023A2E9006364EC04B3074C +:103A9000FC4063EBD70483A749024E85829783A736 +:103AA000C901E39B47D983A68901B2479840B697DD +:103AB00011CF02CA3ECC4ECEEFF08FD38840814664 +:103AC00001464C08EFC0BFCDE31805D67370043033 +:103AD00001A06374FC00E370F7FC032509009385E3 +:103AE0004900EFC0FFA589BB03A50A0093854900E3 +:103AF000EFC01FA591B3411122C406C626C24AC019 +:103B000017D40100130404A7EFF04FE31C4095CB3A +:103B1000EFF00FE51C409DC38148014881470947EC +:103B200081461306004097D5010093852589170526 +:103B300000001305A5C8EFD05F9A39E9737004300F +:103B400001A017D90100130969C24A85EFC03F9C43 +:103B500097D401009384C4C22685EFC05F9B0146C1 +:103B6000B145114597D7010023AA27A197D7010096 +:103B700023A497A0EFC09FB517D70100232CA79EC1 +:103B800011CD97D501009385E582EFD0AFFC49B701 +:103B9000B240224492440249410182807370043051 +:103BA00001A0A5C9797156CAAA8A1305C00222D4F8 +:103BB00026D24AD04ECE52CC06D65AC85EC63A89D4 +:103BC000B689328AAE84E9212A8405C9EFF00FD77D +:103BD00097D701009387079A9C439DCFEFF04FD86A +:103BE000130544002320540104CC232E4401232038 +:103BF000340323222403EFC0DF922285B2502254E3 +:103C000092540259F249624AD24A424BB24B456140 +:103C100082807370043001A097DB0100938B0BB599 +:103C20005E85EFC0DF8E17DB0100130B6BB55A8585 +:103C3000EFC0FF8D0146B145114597D7010023AF75 +:103C4000779397D7010023A96793EFC03FA817D7B1 +:103C500001002321A79201C997C5010093858575AD +:103C6000EFD04FEFA5BF7370043001A039C501112B +:103C700022CC06CE26CA17D401001304A48F8328B1 +:103C800004002A88014563850802BA842EC236875B +:103C900032C442C6954663C5B602EFF06FB5894798 +:103CA000630BF5020840814601464C00EFC03FAF70 +:103CB000F2406244D244056182807370043001A0F6 +:103CC0004C0081463A864685EFD0EF87F240624449 +:103CD000D244056182800840814626864C00EFC0B0 +:103CE0001FACF9B719C1084182807370043001A07C +:103CF00001E57370043001A0411106C622C42A8474 +:103D0000EFF0CFC348483334A000EFF06FC52285F1 +:103D1000B24022444101828001E57370043001A069 +:103D2000411122C42A8406C6EFF04FC10050EFF0C3 +:103D30002FC3B240228522444101828001E5737085 +:103D4000043001A0411122C426C22E84AA8406C6D2 +:103D5000EFF0CFBE80D02244B240924441016FF0D8 +:103D60002FC04111714522C406C61D262A8411C5E3 +:103D7000232005001105EFC08FF92285B2402244AF +:103D80004101828001CDB70700FFED8F81C77370BD +:103D9000043001A099E57370043001A07370043001 +:103DA00001A0011122CC4AC84EC652C456C206CE4A +:103DB00026CABA893689B28A2E842A8AEFF04FA39E +:103DC00011E5638509007370043001A0EFE00F9ADC +:103DD00083240A00B37794006316090295C76387AA +:103DE0000A009347F4FFE58F2320FA00EFE00FBDB0 +:103DF000F240624426854249D244B249224A924A5C +:103E000005618280E30DF4FCE38209FEB3355001C5 +:103E1000E20563050900B7070004DD8D4E86C18DFC +:103E200013054A00EFE0FFE6EFE04FB919E1EFC0FC +:103E3000EFDDEFF0CFB593176500AA8963D80700CF +:103E4000B7040001FD14B3F499005DB7EFF00FAFB4 +:103E500083290A00B3773401631E090089CB638884 +:103E60000A009347F4FFB3F737012320FA00EFF07D +:103E70002FAFF9B7E31DF4FEDDB701C9B70700FFA7 +:103E8000ED8F99C77370043001A07370043001A0E6 +:103E9000411122C42A8406C626C24AC02E89EFF0E8 +:103EA000EFA904409345F9FFB3F795001CC0EFF06C +:103EB0002FABB240224426850249924441018280C0 +:103EC000411122C42A8406C6EFC02FE00040EFC093 +:103ED0006FDFB240228522444101828009C9370741 +:103EE00000FFB3F7E50099C77370043001A0737049 +:103EF000043001A06F208058B70700FFF18F81C701 +:103F00007370043001A001E67370043001A0011148 +:103F100022CC4AC84EC652C406CE26CA3689AE89BD +:103F20002A8A3284EFF0CF8C21E163050900737097 +:103F3000043001A0EFE08F8383240A00CE855285F0 +:103F4000B3E49900613FB37794006307F4068324D8 +:103F50000A00EFE0AFA6F240624426854249D2440F +:103F6000B249224A05618280EFE04F8083240A0033 +:103F7000CE855285B3E434019537B3F78400638D61 +:103F80008702E30609FCB70500054A86C18D1305C3 +:103F90004A00EFE01FD0EFE06FA219E1EFC00FC7BA +:103FA000EFF0EF9E93176500AA8463DF0700B70761 +:103FB0000001FD17FD8C45B783270A001344F4FF69 +:103FC000E18F2320FA0071B7EFF04F9783240A00A6 +:103FD000B3779400639787009347F4FFE58F23201E +:103FE000FA00EFF0EF97E1B7411122C406C62A8428 +:103FF00026C2EFD0BFF75C4085C308489304C400D5 +:104000006316950015A0084863009502B7050002E5 +:10401000EFE03FE55C40E5FB2285612A2244B240A7 +:10402000924441016FE08F997370043001A009C977 +:10403000370700FFB3F7E50099C77370043001A09C +:104040007370043001A06F20604301C9B70700FFFF +:10405000ED8F99C77370043001A07370043001A014 +:10406000411122C426C206C62A84AE84EFF00F8D09 +:10407000184093C7F4FFF98F1CC02244B240924409 +:1040800041016FF0EF8D19C1084D8280014582809A +:10409000411122C406C62A84EFD05FED97C7010004 +:1040A0009387074E9C43A1EBE56717C701001307F1 +:1040B000A76EF11797C6010023AAE64C17C70100A7 +:1040C0002324F74C17C70100232AF76C97C7010078 +:1040D0009387474B17C701002320F76C854717C705 +:1040E00001002327074A17C70100232D074817C7D8 +:1040F00001002327F74819E8EFE04F8CEF10C002CA +:1041000001442285B240224441018280130584008B +:1041100093773500C1EFE5671307F5FFE917E3ED86 +:10412000E7FC97C60100938666469C4229A0984307 +:1041300011C7BE86BA87D843E36BA7FE17C7010035 +:1041400013074744E38AE7FA9843414613848700FC +:1041500098C2D843B306A7406378D6023388A70035 +:104160002322D800C8C38325480097C60100938640 +:10417000E64111A0BA8698425043E36DB6FE232073 +:10418000E80023A00601D84397C7010093870742A0 +:104190009C43998F17C70100232AF740EFE00F8255 +:1041A00031DC2285B240224441018280719911059F +:1041B0009DB729C9411122C406C62A84EFD01FDB4E +:1041C000930584FF0326C4FF17C701001307073CAC +:1041D00011A03E871C43D443E3EDC6FE232CF4FE1E +:1041E0000CC397C701009387673C98438327C4FF9C +:1041F0002244B240BA9717C701002329F73A410178 +:104200006FD0DFFB828097C701009387273A8843EE +:10421000828082803367B5000D8BAA871DE79308E3 +:10422000D6FFAA982A87AE866371150303A80600F5 +:1042300011079106232E07FFE36A17FF9347F5FF47 +:10424000C697F19B9107BE95AA972A9663F3C70A72 +:104250009386450013884700B3B6D70033B80501ED +:1042600033E7F50093C61600134818000D8BB3E62C +:10427000060113371700758F330EF640938617002B +:1042800029CF1337AE0031EB13532E002E88BE8694 +:104290000147832808000507110823A0160191068D +:1042A000E36967FE1377CEFFBA95BA976302EE040F +:1042B00083C60500138717002380D700637AC702DF +:1042C00083C6150013872700A380D7006372C70237 +:1042D00003C725002381E7008280B307F640AE972D +:1042E000850503C7F5FF8506238FE6FEE39AF5FEF5 +:1042F00082808280B367C5008D8BB308C500E9C397 +:104300006371150FB307A0408D8B13873700954657 +:1043100093F5F50F130815003383A8407D166373DA +:10432000D70015476363E60CD5CF2300B5000547DA +:10433000638DE700A300B5000D47130825006396C1 +:10434000E700130835002301B500139685004D8E54 +:1043500013970501518F3303F34093968501D98E4E +:1043600013562300AA97014794C305079107E36DED +:10437000C7FE1377C3FFB307E8006307E306238094 +:10438000B70013871700637C1703A380B700138758 +:104390002700637617032381B70013873700637004 +:1043A0001703A381B70013874700637A170123829D +:1043B000B7001387570063741701A382B700828088 +:1043C00093F5F50F13978500D98D139705014D8F40 +:1043D000E37715FFAA87910723AEE7FEE3ED17FF0A +:1043E000828082802A8895B78280AA8749BF8347C6 +:1043F00005002A8799C7050583470500EDFF198D3C +:1044000082800145828005058347F5FF850503C746 +:10441000F5FF91C7E389E7FE3385E7408280814756 +:10442000E5BFAA87850503C7F5FF8507A38FE7FECC +:1044300075FB828083460500930700026397F600B0 +:10444000050583460500E38DF6FE938756FD93F739 +:10445000D70F85C7AA87814585CE01458507138675 +:1044600006FD1317250083C607003A950605329509 +:10447000F5F699C13305A0408280938536FD8346C9 +:10448000150093B5150093071500E1FA0145D5B75E +:10449000014582809C412380A7009C4185079CC1E7 +:1044A000828097C601009386E60E9C421757030050 +:1044B0001307473113861700BA972380A70097C5C3 +:1044C000010023A9C50CA9476308F5009307000460 +:1044D0006305F6000145828075DE370610F005079A +:1044E0008347F7FF2320F6F09C42FD1797C5010094 +:1044F00023A2F50AEDF7014582804D712322911424 +:1045000023202115232A5113232481132326111438 +:1045100023248114232E3113232C411323286113C8 +:1045200023267113232291132320A113232EB111CB +:10453000AA842E8932C6130C5002A54A834709006B +:1045400063808703B9CB804011A0A1CB2300F40086 +:1045500080400509050480C083470900E39787FF71 +:104560000346190093081900C686130D0002FD5971 +:104570007D5A0148130550059307D6FD93F7F70FB1 +:10458000138916006363F52A17C70100130787A76D +:104590008A07BA979C43BA9782878320C114032461 +:1045A000811483244114032901148329C113032A8C +:1045B0008113832A4113032B0113832BC112032C74 +:1045C0008112832C4112032D0112832DC1117161BF +:1045D0008280A14C02C4854763D50715B24780404D +:1045E000938B770093F68BFF03AB060083A94600FD +:1045F000938786003EC6668681465A85CE85EF1033 +:1046000070022AC8814763853723930D4101854B8A +:10461000668681465A85CE85EF10002381466686E0 +:104620002A8BAE89EF101000A24723A0AD0093861D +:104630001B00910D63843701B68BD9BFE37E9BFFCE +:1046400063DD4601A2872380A70180407D1A93077E +:1046500014009CC03E84E3C846FF93962B001C08C0 +:10466000BE9631A8938777052300F4008040FD1B98 +:1046700093871B00050480C0F116E351F0EC9C42C7 +:10468000E3E2FAFE93870703C5B79C409306000355 +:1046900032472380D7009C4093064700C14C1386C5 +:1046A000170090C013068007A380C700804002C493 +:1046B000BA87050480C003AB0700814936C625BF11 +:1046C000B24783AC0700938B470063840C1C635F85 +:1046D00040199307D0026319FD1683C70C0085C3E8 +:1046E00063DC09189440850C7D1A2380F600804015 +:1046F000050480C083C70C00E5F7635D400180407E +:10470000930700022300F40080407D1A050480C056 +:10471000E31A0AFE5EC61DB502C48547A94CE3CF65 +:1047200007EBB24780409386470071B703C6160077 +:10473000CA8699B59C40930650022380D7008040DA +:10474000050480C0E5BBC14C02C471B5B24703C6C5 +:104750001600CA8683A9070091073EC6E35E0AE0F9 +:104760004E8AFD5911BD03C61600130DD002CA862C +:1047700021B59347FAFFFD8703C61600337AFA0086 +:10478000CA86DDBB03C61600130D0003CA86EDB34F +:1047900083C51600930906FDCA86938705FD2E86FC +:1047A000E3EEFAFA93972900BE9985068609AE9939 +:1047B00083C50600938909FD938705FD2E86E3F3E3 +:1047C000FAFE69BF32479C40144311073AC6238062 +:1047D000D7008040050480C095B3854763D3070B9D +:1047E000B24793867700E19A938786003EC683A9F5 +:1047F00046009C4263DC090A94401306D002B307CA +:10480000F0402380C6008040B336F000B309304149 +:10481000050480C03E8BB389D940A94C02C4E1BBDA +:1048200003C616000508CA8681BB9C40468921B78D +:10483000E37D9BDD8547814B8546E3C547E131BD7F +:1048400097CC0100938C0CB8CE856685EF001028BC +:10485000330AAA40635C400180402300A4018040E9 +:104860007D1A050480C0E31A0AFE014A83C70C00C2 +:10487000E38207EAE3C809E6FD197D57E394E9E618 +:10488000ADBDB247938647009C4336C693D9F741E6 +:1048900095B7635640019307D002E313FDFA97CC16 +:1048A0000100938C2CB2930780021DBD3E8BA94C56 +:1048B00002C4804089B34D71232E3113B7090040E3 +:1048C0009387F9FF2324811423229114232C41136D +:1048D000232A511323261114232021152328611381 +:1048E0002326711323248113232291132320A11340 +:1048F000232EB1112A8A2EC417C40100130484C9BF +:10490000975A0300938A0AEC97C4010093840485A4 +:104910003EC693055002294513080004B70610F05F +:1049200083470A006386B704C1C71840050A130607 +:104930001700569797C8010023AEC8C42300F7009C +:104940006382A706E31E06FD17570300130787E7D8 +:1049500005078347F7FF23A0F6F01C40FD1717C695 +:1049600001002329F6C2EDF783470A00E39EB7FA58 +:1049700083461A0013051A002A87930B00027D5BF9 +:104980007D5C014893055005A5489387D6FD93F7B4 +:10499000F70F130A170063E7F5348A07A6979C43BD +:1049A000A6978287175703001307C7E155F28DBFFB +:1049B0008320C11403248114832441140329011486 +:1049C0008329C113032A8113832A4113032B011363 +:1049D000832BC112032C8112832C4112032D01124F +:1049E000832DC11171618280A14C014D854763DB2C +:1049F0000719A2479D07E19B83AD070003AB470062 +:104A0000138787003AC4668681466E85DA85EF1083 +:104A100060412AC863066D351309410185496686E0 +:104A200081466E85DA85EF00306266868146AA8D02 +:104A30002E8BEF10203F2320A90085091109E310D8 +:104A40006DFFE3FE9DFD13060004B70610F063D171 +:104A500089031C4013871700D69797C5010023AB25 +:104A6000E5B223807701630CC7047D1CE3C389FF93 +:104A7000B2476800A548B386F9008A061C08BE96AE +:104A8000294813030004370610F0E384A6E89C428B +:104A90009305000363F4F800930570051840AE9782 +:104AA00093051700569717CE01002325BEAE2300AD +:104AB000F7006380072B638C6526F116F9B717574B +:104AC0000300130727D005078347F7FF23A0F6F05D +:104AD0001C40FD1797C5010023AEF5AAEDF77D1C1C +:104AE00071B71C40130600041387170097C5010017 +:104AF00023A2E5AAB386FA00930500032380B6003B +:104B0000630FC73C890797C6010023A5F6A85697EF +:104B1000930680072300D70017570300130787CA9F +:104B2000B70610F0639EC70005078347F7FF23A071 +:104B3000F6F01C40FD1717C60100232DF6A4EDF773 +:104B4000A247C14C014D91072247014B832D07001D +:104B50003EC455BDA24783AC070013894700638E4E +:104B60000C3A635480219307D002639EFB2E03C747 +:104B70000C00BA856310072025AC8547A94C014D70 +:104B8000E3C907E7A2479107C1B7A24783461700C9 +:104B9000528703AB070091073EC4E3580CDE5A8CE2 +:104BA0007D5BE5B383461700930BD0025287F1BBC0 +:104BB0009347FCFFFD8783461700337CFC00528738 +:104BC000E9B383461700930B000352877DBB03466E +:104BD0001700138B06FD5287930706FDB286E3EE9E +:104BE000F8FA93172B00DA9705078607B297034662 +:104BF0000700138B07FD930706FDB286E3F3F8FE6B +:104C000069BFA2451C402946984193861700D69754 +:104C100017C501002320D5982380E700138945009C +:104C20006300C72A930700046387F6204AC4D5B1FE +:104C3000854763DE0729A24713877700619B9307A7 +:104C400087003EC4032B47001C43635A0B301840B7 +:104C5000130600049306170097C5010023ACD592F4 +:104C600056979305D0022300B7006387C62CB3077D +:104C7000F0403337F000330B6041BE8D330BEB4017 +:104C8000A94C014D49B38346170005085287F5B971 +:104C9000834617005287D5B91C409306000413873A +:104CA000170017C601002327E68ED6971306500279 +:104CB0002380C700E31FD7C417570300130787B02B +:104CC000B70610F005078347F7FF23A0F6F01C4056 +:104CD000FD1717C60100232FF68AEDF71DB9C14C49 +:104CE000014D29B31C40930600042A8A138717003C +:104CF00017C601002320E68AD697130650022380A8 +:104D0000C700E318D7C0175703001307A7ABB706B0 +:104D100010F005078347F7FF23A0F6F01C40FD17AE +:104D200017C601002328F686EDF72A8ADDB6175745 +:104D30000300130727A905078347F7FF2320F6F091 +:104D40001C40FD1797C5010023A6F584EDF7F11669 +:104D50002DBB175703001307E7A6F1FDF11635B376 +:104D6000E3FC9DCB8549C5B1014C03C70C00BA8556 +:104D7000E30E07EAFD58294513080004B70610F0B2 +:104D800063570B0A1C4013861700D69717C3010000 +:104D90002322C3802380E7006384A50663040609F9 +:104DA000850C03C70C007D1CBA8579FBE35080E9B4 +:104DB0001C409305000213060004B70610F021A062 +:104DC0007D1CE3050CE613871700D6972380B700F8 +:104DD00017B501002320E57CBA87E313C7FE1757F8 +:104DE00003001307279E05078347F7FF23A0F6F06C +:104DF0001C40FD1717B50100232EF578EDF7C9B754 +:104E0000175703001307079C41DE05078347F7FF89 +:104E100023A0F6F01C40FD1717B60100232CF676F0 +:104E2000EDF7BDBF175703001307C799F9BF7D1BE7 +:104E3000E31A1BF5A5BF175703001307A798B7067A +:104E400010F005078347F7FF23A0F6F01C40FD177D +:104E500017B601002320F674EDF74AC45DBC97BC79 +:104E60000100938C2C56DA8566858D21330CAC407D +:104E7000E35D80EF1C4013060004B70610F021A08C +:104E80007D1CE3030CEE13871700D6972380770170 +:104E900097B5010023A0E570BA87E313C7FE175743 +:104EA00003001307279205078347F7FF23A0F6F0B7 +:104EB0001C40FD1797B5010023AEF56CEDF7C9B79F +:104EC0001757030013070790BDFA4AC499B4A247C5 +:104ED000138747009C433AC413DBF741BDB3175710 +:104EE00003001307278EB70610F005078347F7FF67 +:104EF00023A0F6F01C40FD1717B60100232CF6681E +:104F0000EDF7854717B701002326F7689307800759 +:104F1000175703002308F78A25B1635680019307CA +:104F2000D002E39EFBF297BC0100938CAC491307BF +:104F30008002930580023DBD9756030093868688C4 +:104F4000370610F0850603C7F6FF2320E6F0184069 +:104F50007D1797B5010023AFE5626DF709BBBE8DE4 +:104F6000A94C014D4DB4B70710F023A0A7F201A042 +:104F70003971130341022ED29A8506CE32D436D629 +:104F80003AD83EDA42DC46DE1AC63532F2400145F6 +:104F9000216182805D711303810322D42AC632DC31 +:104FA0002A841A86680006D6BEC236DEBAC0C2C4DB +:104FB000C6C61ACEEFF06FD4B24723800700324541 +:104FC000B250018D225461618280B70710F083A630 +:104FD00047F403A607F403A747F4E31AD7FE856650 +:104FE000938606FAB29633B6C600B305E60023A44C +:104FF000D7F423A6B7F48280B70710F003A747F4CD +:1050000003A607F483A647F4E31AD7FE85669386C2 +:1050100006FAB29633B6C60023A4D7F4B305E60069 +:1050200023A6B7F49307000873A04730828041118C +:1050300022C406C697B701009387475617B40100EC +:105040001304845598431C401306F07C93064706CE +:105050009387470697B5010023A2D55497B5010061 +:1050600023ACF5526346D600B2402244410182800F +:105070001307478997B7010023A2E7520D248547FC +:105080006310F502184085679387F7760145E3DDE5 +:10509000E7FCEFF05FED2244B24041016FC01FEC2E +:1050A00017B5010013058532EFF09FEC0945EFF0CD +:1050B0009FEB2244B24041016FC05FEA17B5010087 +:1050C000130585326FF0DFEA17B50100130545328D +:1050D0006FF01FEA411122C426C206C6856417B4C8 +:1050E000010013046431938784380100FD17F5FF34 +:1050F0002285EFF0FFE7C5BF01453DA2411106C67D +:10510000792A054781476316E500B2403E85410193 +:10511000828017B501001305652BEFF07FE5B240E3 +:1051200089473E85410182807370043001A08280EE +:105130007370043001A0797126D24AD04ECE52CC81 +:1051400006D622D4AA8923170100014917BA010003 +:10515000130A4A4585440D4403A5090081460146CA +:105160009305E100EFB0FF98630A950005497D14AF +:1051700065F41305800CEFD0CFA2F1BF63080900DE +:105180008357E10085072317F100D5B7EFD01FFB48 +:1051900083270A00850717B701002325F740EFD0C2 +:1051A0003FFCF9BF797122D44AD04ECE52CC56CAB8 +:1051B00006D626D22A84014A814997BA0100938AE9 +:1051C000AA3E05490840EFC06F8981460146930514 +:1051D000E10009ED1305400BEFD0AF9C0840EFC094 +:1051E000EF87814601469305E1006DD50840EFB099 +:1051F0009FA3E31925FD8354E10063893401054A27 +:1052000085049399040193D909016DBFE3190AFE3E +:10521000EFD0DFF283A70A00850717B70100232527 +:10522000F738EFD0FFF3E9BF411126C20146AA8447 +:105230008945294506C622C4EFB04FC917B40100FD +:105240001304043697B501009385451C08C0EFC0D0 +:105250006F902687A2868148014881471306004047 +:1052600097B501009385851B170500001305C5F34D +:10527000EFC0AFA6A2862244B2402687924481485E +:10528000014881471306004097B5010093858519B1 +:1052900017050000130565EA41016FC00FA497B719 +:1052A0000100938767309C43014581CB97B701008C +:1052B0009387472F88433335A00097B7010023A574 +:1052C000072E97B7010023AF072C8280B305B500E6 +:1052D000930705006386B70003C70700631607003E +:1052E0003385A74067800000938717006FF09FFE0B +:1052F000130101FB23229104232C41032322910358 +:10530000232611042324810423202105232E310385 +:10531000232A5103232861032326710323248103B5 +:105320002320A103232EB101930C0500138A05004D +:105330009304000063DE05003305A0403337A0006E +:10534000B305B040930C0500338AE5409304F0FFA9 +:1053500063DA06003306C040B337C000B306D0405E +:10536000B386F640930A06009389060013840C0066 +:1053700013090A006396062817BB0100130B8BF371 +:105380006370CA16B70701006372F6149307F00F33 +:1053900063F4C70093098000B3573601330BFB0059 +:1053A00083470B0013050002B3873701B309F540AB +:1053B000638C0900B3153A01B3D7FC00B31A360168 +:1053C00033E9B70033943C0113DB0A0193050B006A +:1053D00013050900EF00507C130A050093050B002C +:1053E000939B0A0113050900EF00907693DB0B01F4 +:1053F0009305050013850B00EF00D072131A0A0104 +:1054000093570401B367FA0063FAA700B387570103 +:1054100063E6570163F4A700B38757013389A740B8 +:1054200093050B0013050900EF001077130A050020 +:1054300093050B0013050900EF009071131404018C +:1054400093050500131A0A0113850B001354040178 +:10545000EF00506D33648A00637AA4003304540172 +:10546000636654016374A400330454013304A440FC +:105470003354340193050000638A040033048040F0 +:10548000B3378000B305B040B385F5401305040081 +:105490008320C104032481048324410403290104DB +:1054A0008329C103032A8103832A4103032B0103B8 +:1054B000832BC102032C8102832C4102032D0102A4 +:1054C000832DC1011301010567800000B7070001AA +:1054D00093090001E362F6EC930980016FF0DFEBC2 +:1054E000631A06009305000013051000EF005066D4 +:1054F000930A0500B707010063FAFA0E9307F00F4D +:1055000063F4570193098000B3D73A01330BFB00D2 +:1055100083470B001305000233095A41B387370153 +:10552000B309F540E38209EAB39A3A01335BFA0022 +:10553000B3153A01B3D7FC0093DB0A0133E9B70096 +:1055400013050B0093850B00EF001065130A05008F +:1055500093850B00139C0A0113050B00EF00505FAD +:10556000135C0C019305050013050C00EF00905B24 +:10557000131A0A0193570901B367FA0033943C01E7 +:1055800063FAA700B387570163E6570163F4A700E6 +:10559000B3875701338BA74093850B0013050B008E +:1055A000EF00905F130A050093850B0013050B00B5 +:1055B000EF00105A9305050013050C00EF009056FC +:1055C00093160901131A0A0193D60601B366DA008D +:1055D00063FAA600B386560163E6560163F4A6009B +:1055E000B38656013389A6406FF01FDEB70700016E +:1055F00093090001E3EAFAF0930980016FF0DFF00C +:105600006376DA0093050A006FF01FE7B707010021 +:1056100063FAF604930BF00F33B5DB001315350076 +:1056200033D7A60097B701009387C7C8B387E700B1 +:1056300083CB070093050002B38BAB00338B75411E +:10564000631C0B0263E4460163EACC003384CC4064 +:10565000B306DA4033B98C0033892641930509003B +:105660006FF09FE1B707000113050001E3EAF6FAC6 +:10567000130580016FF0DFFAB3966601335D7601A2 +:10568000336DDD00B35D7A01B3156A0133DC7C0153 +:1056900013540D01336CBC0013850D0093050400F9 +:1056A000B3196601EF00504F930A050093050400FB +:1056B00013850D0033996C01931C0D01EF005049C7 +:1056C00093DC0C01130A05009305050013850C00FB +:1056D000EF005045939A0A0113570C0133E7EA0093 +:1056E000930D0A00637EA7003307A701930DFAFF0D +:1056F0006368A7016376A700930DEAFF3307A7014C +:10570000330AA7409305040013050A00EF00D048B0 +:1057100093050400930A050013050A00EF005043A7 +:10572000930505001304050013850C00EF00903F5E +:1057300093150C01939A0A0193D50501B3E5BA00BC +:105740001307040063FEA500B385A5011307F4FF4A +:1057500063E8A50163F6A5001307E4FFB385A5017F +:10576000939D0D01B70C0100B3EDED001384FCFF18 +:10577000B3F78D0033F48900338AA5401385070001 +:10578000930504002326F10093DD0D01EF0090390D +:1057900093050400930A050013850D00EF0090386F +:1057A00013DC090193050C002324A10013850D00CF +:1057B000EF0050378327C10013040C009305040049 +:1057C000130C050013850700EF00D03583268100F8 +:1057D00013D70A013305D5003307A7006374D70038 +:1057E000330C9C01B70701009387F7FF935507011E +:1057F0003377F70013170701B3F7FA00B385850174 +:10580000B307F7006366BA00631EBA00637CF90051 +:1058100033863741B3B7C700B385A541B385F5409B +:1058200093070600B307F9403339F900B305BA40CE +:10583000B385254133947501B3D767013364F40010 +:10584000B3D565016FF05FC3130101FD23229102FF +:10585000232A51012326110223248102232021031C +:10586000232E3101232C41012328610123267101BC +:1058700023248101232291012320A101930A050001 +:1058800093840500639E06381304060093090500FF +:1058900017B90100130909A263F8C512B70701007F +:1058A000138B05006378F6101307F00F3337C7002A +:1058B00013173700B357E6003309F9008346090090 +:1058C0003387E60093060002B386E640638C060049 +:1058D000B394D40033D7EA003314D600336B970067 +:1058E000B399DA00935A040193850A0013050B005B +:1058F000EF00902A1309050093850A00931B040109 +:1059000013050B00EF00D02493DB0B01930405007B +:105910009305050013850B00EF00D0201319090132 +:1059200093D70901B367F900138A040063FEA70047 +:10593000B3878700138AF4FF63E8870063F6A70044 +:10594000138AE4FFB3878700B384A74093850A00D6 +:1059500013850400EF0050241309050093850A0005 +:1059600013850400EF00D01E9399090193040500EC +:10597000930505001319090113850B0093D909013B +:10598000EF00501AB36939011386040063FCA900C3 +:10599000B30934011386F4FF63E6890063F4A900B8 +:1059A0001386E4FF13140A013364C400130A0000D1 +:1059B0006F000013B707000113070001E36CF6EE58 +:1059C000130780016FF01FEF138A0600631A0600A9 +:1059D0009305000013051000EF0090171304050055 +:1059E000B7070100637EF4129307F00F63F487009A +:1059F000130A8000B35744013309F9000347090033 +:105A00009306000233074701B386E640639406120B +:105A1000B3848440130A1000135B040193050B0048 +:105A200013850400EF0050171309050093050B00C0 +:105A300013850400931B0401EF00901193DB0B010D +:105A4000930405009305050013850B00EF00900DEE +:105A50001319090193D70901B367F900938A040068 +:105A600063FEA700B3878700938AF4FF63E887008B +:105A700063F6A700938AE4FFB3878700B384A74047 +:105A800093050B0013850400EF00101113090500A6 +:105A900093050B0013850400EF00900B9399090107 +:105AA00093040500930505001319090113850B00E4 +:105AB00093D90901EF001007B36939011386040077 +:105AC00063FCA900B30934011386F4FF63E689007F +:105AD00063F4A9001386E4FF13940A013364C4003D +:105AE0001305040093050A008320C10203248102E8 +:105AF00083244102032901028329C101032A810170 +:105B0000832A4101032B0101832BC100032C810057 +:105B1000832C4100032D0100130101036780000065 +:105B2000B7070001130A0001E366F4EC130A8001D1 +:105B30006FF05FEC3314D40033DAE400B399DA0089 +:105B400033D7EA00935A0401B394D40093850A0032 +:105B500013050A00336B9700EF00100413090500CA +:105B600093850A0013050A00931B0401EF00407E91 +:105B700093DB0B01930405009305050013850B00CF +:105B8000EF00407A1319090113570B013367E9003D +:105B9000138A0400637EA70033078700138AF4FF8B +:105BA000636887006376A700138AE4FF33078700E2 +:105BB000B304A74093850A0013850400EF00C07D5D +:105BC0001309050093850A0013850400EF0040784F +:105BD000930405009305050013850B00EF00807406 +:105BE00013170B011357070113190901B367E900D4 +:105BF0001387040063FEA700B38787001387F4FFB1 +:105C000063E8870063F6A7001387E4FFB387870084 +:105C1000131A0A01B384A740336AEA006FF0DFDF8A +:105C200063ECD51EB707010063F4F6041307F00F09 +:105C3000B335D7009395350033D7B60097A7010049 +:105C400093874767B387E70003C70700130A00027B +:105C50003307B700330AEA4063160A021304100040 +:105C6000E3E096E833B6CA00134416006FF05FE72E +:105C7000B707000193050001E3E0F6FC93058001FE +:105C80006FF09FFBB35CE600B3964601B3ECDC001B +:105C900033D4E40093DB0C01B397440133D7EA001B +:105CA00093850B0013050400336BF700B31946010D +:105CB000EF00806E1309050093850B0013050400A7 +:105CC000139C0C01EF00C068135C0C0193040500E9 +:105CD0009305050013050C00EF00C06413190901BA +:105CE00013570B013367E90013840400637EA70098 +:105CF000330797011384F4FF636897016376A70065 +:105D00001384E4FF33079701B304A74093850B0086 +:105D100013850400EF0040681309050093850B000C +:105D200013850400EF00C06293040500930505008D +:105D300013050C00EF00005F93170B011319090105 +:105D400093D70701B367F9001386040063FEA70029 +:105D5000B38797011386F4FF63E8970163F6A70002 +:105D60001386E4FFB387970113140401B70B0100F6 +:105D70003364C4001389FBFF337D240133F9290107 +:105D8000B384A7409305090013050D00EF00805967 +:105D9000935C040193050900130B050013850C00A7 +:105DA000EF00405893D90901130C050093850900B1 +:105DB00013850C00EF0000571309050093850900B7 +:105DC00013050D00EF0000563305850193570B01B5 +:105DD0003385A70063748501330979019357050161 +:105DE000B387270163E6F402E392F4BCB70701002E +:105DF0009387F7FF3375F50013150501337BFB001F +:105E000033964A0133056501130A0000E37AA6CCF4 +:105E10001304F4FF6FF09FB9130A0000130400008D +:105E20006FF01FCC130101FB232481042322910472 +:105E3000232E31032322910323261104232021053D +:105E4000232C4103232A51032328610323267103B2 +:105E5000232481032320A103232EB101930C0500E9 +:105E6000938905001304050093840500639E0626AC +:105E700013090600138A060097AA0100938A8A4331 +:105E800063F4C514B70701006376F6129307F00FA9 +:105E900063F4C700130A8000B3574601B38AFA00BF +:105EA00003C70A001305000233074701330AE54020 +:105EB000630C0A00B395490133D7EC00331946014E +:105EC000B364B70033944C01935A090193850A00D7 +:105ED00013850400EF00404C9309050093850A00E8 +:105EE000131B090113850400EF008046135B0B01AF +:105EF0009305050013050B00EF00C04293990901BB +:105F000093570401B3E7F90063FAA700B3872701A9 +:105F100063E6270163F4A700B3872701B384A74092 +:105F200093850A0013850400EF00004793090500DC +:105F300093850A0013850400EF00804113140401C7 +:105F4000930505009399090113050B0013540401EF +:105F5000EF00403D33E48900637AA4003304240158 +:105F6000636624016374A400330424013304A44051 +:105F700033554401930500008320C10403248104A8 +:105F800083244104032901048329C103032A8103D3 +:105F9000832A4103032B0103832BC102032C8102BB +:105FA000832C4102032D0102832DC1011301010540 +:105FB00067800000B7070001130A0001E36EF6ECEA +:105FC000130A80016FF05FED631A0600930500006D +:105FD00013051000EF00C03713090500B7070100D3 +:105FE000637AF90E9307F00F63F42701130A800018 +:105FF000B3574901B38AFA0003C70A001305000228 +:10600000B384294133074701330AE540E30E0AEA26 +:1060100033194901B3DAE900B395490133D7EC00EC +:1060200093540901336BB70013850A00938504006C +:10603000EF0080369309050093850400931B090146 +:1060400013850A00EF00C03093DB0B0193050500B8 +:1060500013850B00EF00002D9399090193570B0155 +:10606000B3E7F90033944C0163FAA700B387270123 +:1060700063E6270163F4A700B3872701B38AA7402B +:106080009385040013850A00EF0000319309050091 +:106090009385040013850A00EF00802B930505000B +:1060A00013850B00EF00002893150B01939909014C +:1060B00093D50501B3E5B90063FAA500B3852501C1 +:1060C00063E6250163F4A500B3852501B384A540EB +:1060D0006FF09FDFB7070001130A0001E36AF9F0D0 +:1060E000130A80016FF0DFF0E3E8D5E8B70701009D +:1060F00063FCF604930BF00F33B5DB00131535008A +:1061000033D7A60097A701009387C71AB387E70084 +:1061100083CB070093050002B38BAB00338B754133 +:10612000631E0B0263E4360163EACC003384CC4087 +:10613000B386D94033B58C00B384A6401305040060 +:10614000938504006FF05FE3B707000113050001BA +:10615000E3E8F6FA130580016FF09FFAB396660143 +:10616000335D7601336DDD0033D47901B395690178 +:1061700033DC7C0193540D01336CBC001305040027 +:1061800093850400B31A6601EF000021130A05008D +:10619000938504001305040033996C01931C0D01D1 +:1061A000EF00001B93DC0C011304050093050500B0 +:1061B00013850C00EF000017131A0A0113570C0186 +:1061C0003367EA00130A0400637EA7003307A701C0 +:1061D000130AF4FF6368A7016376A700130AE4FFBC +:1061E0003307A701B309A74093850400138509006D +:1061F000EF00801A9385040013040500138509003D +:10620000EF000015930505009304050013850C00AD +:10621000EF00401193150C011314040193D50501EF +:10622000B365B4001387040063FEA500B385A50120 +:106230001387F4FF63E8A50163F6A5001387E4FF65 +:10624000B385A501131A0A01B70C0100336AEA00ED +:106250001384FCFFB3778A0033F48A00B384A5402B +:1062600013850700930504002326F100135A0A0141 +:10627000EF00400B930905009305040013050A0085 +:10628000EF00400A13DC0A01930D050093050C0092 +:1062900013050A00EF0000098327C100130A050057 +:1062A00093050C0013850700EF00C0073305B50107 +:1062B00013D709013307A7006374B701330A9A01A2 +:1062C000B70701009387F7FF935507013377F7006E +:1062D00013170701B3F7F900B3854501B307F700BA +:1062E00063E6B400639EB400637CF90033865741D3 +:1062F000B3B7C700B385A541B385F5409307060042 +:10630000B307F9403339F900B385B440B38525416B +:1063100033947501B3D767013365F400B3D56501D4 +:106320006FF09FC5130605001305000093F61500D6 +:10633000638406003305C50093D5150013161600B7 +:10634000E39605FE678000006340050663C6050608 +:1063500013860500930505001305F0FF630C060284 +:1063600093061000637AB6006358C0001316160037 +:1063700093961600E36AB6FE1305000063E6C500B7 +:10638000B385C5403365D50093D616001356160065 +:10639000E39606FE6780000093820000EFF05FFB4B +:1063A00013850500678002003305A04063D805000F +:1063B000B305B0406FF0DFF9B305B0409382000041 +:1063C000EFF01FF93305A0406780020093820000C0 +:1063D00063CA0500634C0500EFF09FF713850500C5 +:1063E00067800200B305B040E35805FE3305A040C6 +:1063F000EFF01FF63305B0406780020097A7010059 +:106400009387C716944317A701001307E71418438F +:10641000C8C2637BE50017A701001307A714084350 +:106420008C4391056FA0CF91411122C406C617A7D6 +:106430000100130767132A8408438C439105EFA0DA +:106440002F9097A701009387670F9C436376F40012 +:1064500097A7010023A4870EB24022444101828005 +:1064600097A7010093878710984394437C43FD17B7 +:106470007CC3FC4299E3736004308280011122CC1A +:1064800026CA2A84AE844AC84EC652C456C206CE14 +:10649000EFB0DFAD1C4003290401B7090001B3E5EB +:1064A0009700930AC4000CC08144FD19370A00020A +:1064B0001840638C2A03032609004A85B365470107 +:1064C000B376360193175600758F0329490063C4CC +:1064D000070001E7F1BFE39DE6FC9317760063D365 +:1064E0000700D58CEFC0FF97E1B793C4F4FFF98C98 +:1064F00004C0EFB0BFCC0840F2406244D2444249ED +:10650000B249224A924A056182804111014506C67C +:1065100022C4EFE07FD117F7FFFF130787B1814651 +:1065200005469305400617A50100130565EDEFD05C +:106530004FE719C92A84EFB07FA42A860147814614 +:1065400085452285EFD08FF2EFB0CFFCB2402244D8 +:06655000014541018280BB +:02000004800278 +:100000002C47FEFF2A48FEFF3447FEFF2A48FEFF2A +:100010002A48FEFF2A48FEFF2A48FEFF4C47FEFF03 +:100020002A48FEFF2A48FEFF6647FEFF7247FEFF92 +:100030002A48FEFF8447FEFF9047FEFF9047FEFFE1 +:100040009047FEFF9047FEFF9047FEFF9047FEFF60 +:100050009047FEFF9047FEFF9047FEFF2A48FEFFB5 +:100060002A48FEFF2A48FEFF2A48FEFF2A48FEFFD4 +:100070002A48FEFF2A48FEFF2A48FEFF2A48FEFFC4 +:100080002A48FEFF2A48FEFF2A48FEFF2A48FEFFB4 +:100090002A48FEFF2A48FEFF2A48FEFF2A48FEFFA4 +:1000A0002A48FEFF2A48FEFF2A48FEFF2A48FEFF94 +:1000B0002A48FEFF2A48FEFF2A48FEFF2A48FEFF84 +:1000C0002A48FEFF2A48FEFF2A48FEFF2A48FEFF74 +:1000D0002A48FEFF2A48FEFF2A48FEFF2A48FEFF64 +:1000E0002A48FEFF2A48FEFF2A48FEFF2A48FEFF54 +:1000F0002A48FEFF2A48FEFF2A48FEFF2A48FEFF44 +:10010000C447FEFFDA47FEFF2A48FEFF2A48FEFFEB +:100110002A48FEFF2A48FEFF2A48FEFF2A48FEFF23 +:100120002A48FEFF2048FEFF2A48FEFF2A48FEFF1D +:10013000D245FEFF8A46FEFF2A48FEFF2A48FEFF00 +:10014000C046FEFF2A48FEFF1847FEFF2A48FEFF72 +:100150002A48FEFF4647FEFF384BFEFF8C4BFEFF52 +:10016000404BFEFF8C4BFEFF8C4BFEFF8C4BFEFF8B +:100170008C4BFEFF324AFEFF8C4BFEFF8C4BFEFF8A +:100180004C4AFEFF584AFEFF8C4BFEFF6A4AFEFFB8 +:10019000764AFEFF764AFEFF764AFEFF764AFEFF6B +:1001A000764AFEFF764AFEFF764AFEFF764AFEFF5B +:1001B000764AFEFF8C4BFEFF8C4BFEFF8C4BFEFF06 +:1001C0008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFFDF +:1001D0008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFFCF +:1001E0008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFFBF +:1001F0008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFFAF +:100200008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFF9E +:100210008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFF8E +:100220008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFF7E +:100230008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFF6E +:100240008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFF5E +:100250008C4BFEFF8C4BFEFFAA4AFEFFD84AFEFFE6 +:100260008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFF3E +:100270008C4BFEFF8C4BFEFF8C4BFEFF2E4BFEFF8C +:100280008C4BFEFF8C4BFEFF9048FEFF8A49FEFF21 +:100290008C4BFEFF8C4BFEFFFC49FEFF8C4BFEFFA0 +:1002A000224AFEFF8C4BFEFF8C4BFEFF864BFEFF6F +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020416C74506F6C6C51207461736B73208E +:1003E0000D0A00006D69616F750A00006D69616F2B +:1003F00075320A0068756E6772790A004368656332 +:100400006B54696D65720000416C74506F6C6C5177 +:10041000756575650000000051436F6E734E4200B4 +:080420005150726F644E42005E +:100428001000000000000000017A5200017C010168 +:100438001B0D02005000000018000000AC4EFEFF2B +:100448005805000000440E507489039406990B81E6 +:1004580001880292049305950796089709980A9AC5 +:100468000C9B0D036C010AC144C844C944D244D34F +:1004780044D444D544D644D744D844D944DA44DB98 +:10048800440E00440B0000004C0000006C0000000B +:10049800B053FEFFDC05000000440E307089039560 +:1004A8000781018802920493059406960897099893 +:1004B8000A990B9A0C0370020AC144C844C944D271 +:1004C80044D344D444D544D644D744D844D944DA50 +:1004D800440E00440B00000050000000BC00000067 +:1004E8003C59FEFF0005000000440E507488028944 +:1004F800039305990B810192049406950796089732 +:1005080009980A9A0C9B0D0320010AC144C844C9E2 +:1005180044D244D344D444D544D644D744D844D907 +:0C05280044DA44DB440E00440B000000E9 +:0805A800FC8F010009000000B6 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/AltPollQ_rv32im_O3.hex b/VexRiscv/src/test/resources/freertos/AltPollQ_rv32im_O3.hex new file mode 100644 index 0000000..279f8f5 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/AltPollQ_rv32im_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/AltPollQ_rv32imac_O3.hex b/VexRiscv/src/test/resources/freertos/AltPollQ_rv32imac_O3.hex new file mode 100644 index 0000000..12480b5 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/AltPollQ_rv32imac_O3.hex @@ -0,0 +1,1587 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900021C6170502001305E546979503009385D1 +:1000A000256E01461122170502001305253D97050F +:1000B00002009385A5430146C52817A50300130533 +:1000C000656C97B503009385A56B37B6ABAB13068C +:1000D000B6BAD92817B103001301C16AEF50905383 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2C22723A02200F3221034D7 +:1002400096DEEF00002B1701020003216126024118 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E22523A0120023A2AD +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40904B170102000321D4 +:1003300021180241F65273901234B72200009382C2 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A21783A0B4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2C20523A0220086DEEF20BD +:100460002053170102000321A1040241F6527390A8 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF40B02BEF10502F01E56E +:10050000B24041018280B24041016F2060489307B0 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30F0441A +:1005B0008280411122C406C62A8426C2EF207036EA +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF20807C6DD5EF20701C3C44FD17E4 +:1005F0003CC43C44E344F0FEFD573CC4EF20B0341F +:10060000EF2030327C40635BF0021C4885CB9304C2 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2040786DD5EF20301803 +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20F02F29C541114C +:1006500022C426C22A8406C6AE84EF20902C3440E1 +:100660005C5C1840232C0402B387F6027D5670C0F0 +:1006700018C430C4B386D740BA9736975CC058C404 +:1006800091EC1C489DE3EF20102CB2402244924490 +:100690000545410182807370043001A013050401F7 +:1006A000BD3513054402A535F9BF13050401EF203C +:1006B000C06F8547E319F5FC913BF1B701E5737015 +:1006C000043001A0011126CA4AC84EC606CE22CC6B +:1006D000B2892E89AA84A5C53305B502130555052F +:1006E000EF3070192A8435C1930745051CC144DCDD +:1006F00023202405EF20F02234405C5C18407D5616 +:10070000B387F602232C040270C018C430C413054A +:100710000401B386D740BA9736975CC058C4C53B2E +:1007200013054402ED33EF201022230834052285FF +:10073000F2406244D2444249B249056182801305C5 +:100740004005EF3050132A8401E57370043001A096 +:1007500000C071BF01E57370043001A06375B5007E +:100760007370043001A0411122C409462E848145D2 +:1007700006C6A93711C500DDB2402244410182807E +:100780007370043001A05D7186C6A2C4A6C2CAC03F +:100790004EDE52DC56DA5AD85ED632C6630A051CE3 +:1007A0006382051C89476399F600585D8547630598 +:1007B000F7007370043001A03689AE842A84EF20DC +:1007C000900111E5B24781C77370043001A0EF209A +:1007D0005015185C5C5C014B894BFD59130A0401F0 +:1007E000930A44026365F70C63077919B247638182 +:1007F000072463150B002808EF20406EEF20B0148B +:10080000EF10A075EF20F0117C4063943701232294 +:1008100004043C446394370123240404EF20B01201 +:100820006C002808EF20A06D631E051EEF20700FDE +:10083000185C5C5C630CF70AEF20F010EF20700E80 +:100840003C44634FF00039A85685EF20005619C18B +:10085000EF20E0753C44FD173CC43C446354F00079 +:100860005C50FDF323243405EF20F00DEF20700BD6 +:100870007C40634FF00039A85285EF20005319C126 +:10088000EF20E0727C40FD177CC07C406354F00098 +:100890001C48FDF323223405EF20F00AEF10F0107E +:1008A000054BEF201008185C5C5CE37FF7F23040EA +:1008B0006305060C631F09120844A685EF30F01388 +:1008C0001C4434405840B6971CC463EAE70A1C5CD9 +:1008D00014405850850714C41CDC5DC7130544023E +:1008E000EF20A04C85476311F50AC9A8EF20B00599 +:1008F000B2455285EF20202DEF20B0023C44634FDB +:10090000F00039A85685EF20404A19C1EF20206A2F +:100910003C44FD173CC43C446354F0005C50FDF380 +:1009200023243405EF203002EF20A07F7C40634F6A +:10093000F00039A85285EF20404719C1EF20206709 +:100940007C40FD177CC07C406354F0001C48FDF3E4 +:1009500023223405EF20207FEF10300531F17D3464 +:10096000054B81B73C41E38F07E27370043001A06F +:100970007370043001A0304021E61C4085C71C5C28 +:10098000585085071CDC39FBEF20E07B0545B6405D +:10099000264496440649F259625AD25A425BB25BE7 +:1009A000616182804840EF20206F1C5C58502322F8 +:1009B000040085071CDCE31307F279D5813CE9B715 +:1009C0004844A685EF3070033C4058441440B307B8 +:1009D000F0403E9758C4637CD7025840BA975CC435 +:1009E00009475C506306E902185C050718DCC9DF9B +:1009F000F5B54844A685EF3050003C4058441440BB +:100A0000B307F0403E9758C4E37CD7FCF9B75C507D +:100A1000185C79DB185C7D1718DC185C050718DC9E +:100A2000A5D76DBD22857136EF102078014585B7B9 +:100A3000EF2060710145A1BF411126C2AA841305B0 +:100A4000400522C406C6EF3000632A8415CD232C4E +:100A50000502FD577CC10547410558DC3CC42322F3 +:100A60000400232004002324040023260400232060 +:100A7000040423089404613C13054402493C814664 +:100A800001468145228501332285B24022449244A9 +:100A9000410182800DC5411122C426C206C64AC04A +:100AA000032945002A84EF2040528144630CA900A9 +:100AB000B2402244268502499244410182807370EB +:100AC000043001A05C448544FD175CC4F5F3814605 +:100AD0000146814522854539E1BF397106DE22DCB8 +:100AE00026DA4AD84ED652D432C635CD8149DDC138 +:100AF0003689AE842A84130A05012DA0EF20A06454 +:100B0000EF2020626C002808EF20603F31E1EF20E9 +:100B10004061185C5C5C6305F702EF20C062EF2067 +:100B200080628549EF20E05F185C5C5C6360F704DD +:100B3000B24799CFE39409FC2808EF20203A7DBF03 +:100B4000EF206060B2455285EF20E007C130C1BFA1 +:100B5000EF20605FF2506254D2544259B259225A87 +:100B60000145216182807370043001A0304015EE90 +:100B70001C4095C71C5C585085071CDC39E7EF20EA +:100B8000805CF2506254D2544259B259225A0545FF +:100B9000216182803C41A9DF7370043001A048408C +:100BA000EF20804F23220400F1B7631909020844A3 +:100BB000A685EF3080641C4434405840B6971CC46E +:100BC000E3EAE7FA1C401CC475B713054402EF20A2 +:100BD000C01D8547E315F5FA153855B74844A68575 +:100BE000EF30A0613C4058441440B307F0403E97BA +:100BF00058C46375D7005840BA975CC48947E31B53 +:100C0000F9F61C5CA5DB1C5CFD171CDCA5B7397173 +:100C100006DE22DC26DA4AD84ED652D432C663012A +:100C2000050E81446388050CB6892E892A84130A2F +:100C3000450231A0EF202051EF20E0508544EF2005 +:100C4000404E1C5CBDE3B24795CF8DC8EF20A04F4E +:100C5000EF20204D6C002808EF20602A05E5EF20EA +:100C6000404C1C5CE1FBEF20004E1C409DC7B24590 +:100C70005285EF105075EFF06FF97DBF2808EF2017 +:100C8000E025E9B7EF20204CF2506254D25442598B +:100C9000B259225A014521618280EF2080484840A4 +:100CA000EF206035EF20204AD9B73040444409CEC8 +:100CB0005840B387C4005CC463E4E7001C405CC4D4 +:100CC0004C444A85EF306053639F09021C5C184016 +:100CD000FD171CDC01E7EF20804B48C01C489DC776 +:100CE00013050401EF20600C85476311F502EFF056 +:100CF000EFF129A83C41E38907F27370043001A0A9 +:100D00007370043001A05C5044C481EFEF20A04315 +:100D1000F2506254D2544259B259225A05452161C7 +:100D2000828013054402EF2040086DD1C9B725C168 +:100D3000B1C9011122CC26CA4AC852C406CE4EC639 +:100D40008947B68432892E8A2A846399F600585DD1 +:100D500085476301F7067370043001A0EFF0EFF6EA +:100D6000185C5C5CAA896367F70281444E85EFF0EA +:100D70006FF5F240624426854249D244B249224A84 +:100D8000056182803C41D5D77370043001A0737037 +:100D9000043001A0304049E21C40DDCB1C5C7D5793 +:100DA00085071CDC3C446388E7083C448544850790 +:100DB0003CC46DBFEFF06FF1185C5C5CAA89636D99 +:100DC000F702304071DA4844D285EF3000433C40AE +:100DD00058441440B307F0403E9758C46375D70099 +:100DE0005840BA975CC48947E39AF4FA1C5CDDD793 +:100DF0001C5CFD171CDC5DB7304059DE4844D285D1 +:100E0000EF30A03F3C4058441440B307F0403E97B9 +:100E100058C4E367D7FCD9BFDDF40844D285EF306E +:100E2000C03D1C4434405840B6971CC4E3E8E7F684 +:100E30001C401CC4A5B75C5085448DDB13054402DF +:100E4000EF10B07605D5E30309F22320990039BFEE +:100E50004840EF2060242322040089B731CD3C4173 +:100E600081C77370043001A01C41B1CB011122CCA9 +:100E700026CA2A844AC84EC606CE2E89EFF0EFE471 +:100E8000185C5C5CAA898144637DF7001C5C7D571B +:100E900085071CDC3C446389E7023C4485448507A4 +:100EA0003CC44E85EFF00FE2F24062442685424991 +:100EB000D244B249056182807370043001A05C4164 +:100EC000D5D77370043001A05C5099E38544D1BF3D +:100ED00013054402EF10706D75D9E30909FE8547CB +:100EE0002320F900E5B7397106DE22DC26DA4AD87C +:100EF0004ED652D456D232C66302051C638A051AF6 +:100F0000B68AAE892A84EF20000D11E5B24781C769 +:100F10007370043001A0EF20C0201C5C63920714A2 +:100F2000B247638E070A2808EF10507BFD5413095F +:100F30004402130A0401EF200021EF100002EF2009 +:100F4000401E7C4063949700232204043C44639435 +:100F5000970023240404EF20001F6C002808EF10E2 +:100F6000107A63130518EF20C01B1C5CADCFEF2077 +:100F7000801DEF20001B3C44634FF00039A84A85D8 +:100F8000EF10B06219C1EF2080023C44FD173CC451 +:100F90003C446354F0005C50FDF324C4EF20A01ADD +:100FA000EF2020187C40634FF00039A85285EF10E5 +:100FB000D05F19C1EF10B07F7C40FD177CC07C4032 +:100FC0006354F0001C48FDF364C0EF20C017EF101D +:100FD000C01DEF2000151C5CC1E7B247A9FFEF2040 +:100FE0008016014555A0EF2000161C40DDC7B24514 +:100FF0004A85EF10503DEF20C0123C44634FF00093 +:1010000039A84A85EF10705A19C1EF10507A3C4444 +:10101000FD173CC43C446354F0005C50FDF324C411 +:10102000EF206012EF20E00F7C40634FF00039A802 +:101030005285EF10905719C1EF1070777C40FD1763 +:101040007CC07C406354F0001C48FDF364C0EF207A +:10105000800FEF10801531C9EF20A00C1C5CB5DFAC +:10106000CE8522854444EFF0EFD2639C0A041C5CD9 +:101070001840FD171CDC01E7EF20601148C01C4838 +:10108000A1EFEF20400C0545F2506254D254425972 +:10109000B259225A925A21618280EF20800848403A +:1010A000EF107075EF20200A99B7EFF02FB66DB7EB +:1010B0003C41E38707E47370043001A0737004308F +:1010C00001A05C5044C4D5DF13054402EF10F04D7D +:1010D0004DD9EFF0AFB375B713050401EF10F04C25 +:1010E0008547E310F5FAF5B72285EFF08FCCEF10C6 +:1010F000C00B014551BF1DC9411122C44AC006C6DB +:1011000026C244412E892A84EF10306C6383A402E6 +:1011100081464A8681452285F93385476300F50279 +:10112000B2402244924402494101828073700430EB +:1011300001A05C44054585075CC4DDB75C448507B8 +:101140005CC4F9BF15CDADC9011122CC26CA4AC86D +:101150004EC652C42A8406CE3289AE89EFF0EFB66D +:101160001C5C2A8A814485E35285EFF0AFB5F240DA +:10117000624426854249D244B249224A05618280AE +:101180007370043001A0304001CE4C445C40B295F5 +:101190004CC463E4F5000C404CC44E85EF30E005D0 +:1011A0001C5C7D57FD171CDC7C40638CE7007C4099 +:1011B000854485077CC04DBF3C41D9D7737004304E +:1011C00001A01C4899E3854445B713050401EF10BD +:1011D000D03D75D9E30909FE85472320F900E5B71D +:1011E00021C93C41A1CDA1CB011122CC26CA4AC8BC +:1011F00052C42A8406CE4EC62E8AEFF00FAD1C5C78 +:101200002A89814491CB8329C400D2852285EFF0BD +:101210006FB88544232634014A85EFF0AFAAF24027 +:10122000624426854249D244B249224A05618280FD +:101230007370043001A07370043001A0EDDF73708F +:10124000043001A001E57370043001A0411122C4F3 +:101250002A8406C6EF10F06C005CEF10D06EB2402E +:10126000228522444101828001E57370043001A08F +:10127000411106C622C42A84EF10B06A1C5C405C8F +:101280001D8CEF10506C2285B240224441018280B7 +:1012900019C1085D82807370043001A01DCD97874D +:1012A00003009387274AD843630CE502D847630CB1 +:1012B000E504D84B6307E504D84F6302E504D8532F +:1012C000630DE502D8576308E502D85B6303E502C6 +:1012D000D85F630EE5006F20306C7370043001A09E +:1012E00001470E07BA9723A007006F20F06A1D4739 +:1012F000CDBF1947FDB71547EDB71147DDB70D470E +:10130000CDB70947F9BF0547E9BF684582806CC57D +:10131000828003450505828009C5085D13351500E7 +:1013200082807370043001A019C51C5D485D1D8D5D +:101330001335150082807370043001A09787030075 +:1013400093874740984305C3984705C7984B05C7FF +:10135000984F05C7985305C7985705C7985B15C799 +:10136000985F15C3828001470E07BA978CC3C8C324 +:1013700082800547D5BF0947C5BF0D47F5B711475F +:10138000E5B71547D5B71D47C5B71947F1BF9787CB +:1013900003009387273BD8436308E502D847630BD4 +:1013A000E502D84B630AE502D84F6309E502D8533A +:1013B0006308E502D8576307E502D85B6308E502D6 +:1013C000D85F6303A702828001470E07BA9723A064 +:1013D000070082800547D5BF0947C5BF0D47F5B750 +:1013E0001147E5B71547D5B71D47C5B71947F1BF31 +:1013F000411122C426C24AC02A8406C6AE8432895C +:10140000EF1030527840FD576314F7002322040494 +:101410003844FD576314F70023240404EF10B0523E +:101420001C5C99E74A86A68513054402EF10B00CB0 +:10143000EF10304F3C44635BF0025C5085CB93046B +:10144000440209A83C44FD173CC43C446350F002EC +:101450005C5089CF2685EF1050156DD5EF103035D3 +:101460003C44FD173CC43C44E344F0FEFD573CC4FF +:10147000EF10704DEF10F04A7C40635BF0021C48A7 +:1014800085CB9304040109A87C40FD177CC07C40F7 +:101490006350F0021C4889CF2685EF1010116DD5DE +:1014A000EF10F0307C40FD177CC07C40E344F0FE40 +:1014B000FD577CC02244B2409244024941016F1062 +:1014C000904805C579714ECE52CC06D63E8A22D4BC +:1014D00026D24AD056CA5AC85EC662C4A547BA893F +:1014E00063F8E7007370043001A07370043001A04A +:1014F000AA8AAE843289B68B428B6309082213050F +:101500000006EF2050372A84630D05200A0923289E +:1015100065034A869305500A5A85EF20105C03C77D +:1015200004001C587119230AE40203C704003E9901 +:101530001379C9FF79C783C71400A30AF40283C7CC +:101540001400E1C383C72400230BF40283C72400E3 +:10155000CDCB83C73400A30BF40283C73400D5C3BB +:1015600083C74400230CF40283C74400D9CB83C74C +:101570005400A30CF40283C75400C1C783C764009E +:10158000230DF40283C76400ADCF83C77400A30D9D +:10159000F40283C77400B5C783C78400230EF40226 +:1015A00083C78400B9CF83C79400A30EF40283C716 +:1015B0009400A1CB83C7A400230FF40283C7A40027 +:1015C000A9C383C7B400A30FF40283C7B40095CBAB +:1015D00083C7C4002300F40483C7C4009DC383C72A +:1015E000D400A300F40483C7D40081CF83C7E400F0 +:1015F0002301F40483C7E40089C783C7F400A3016F +:10160000F404130B44005A85A30104042326340375 +:1016100023283405232A0404EFE0BFF013058401D6 +:10162000EFE03FF0A947B3873741232C040400C8FB +:101630001CCC40D023220404232E04045E86D685CD +:101640004A85EFE03FE908C063040A0023208A00CE +:101650007370043017F901001309C9E383270900E7 +:1016600097F401009384C4E491C798409C407C4364 +:1016700085077CC317F70100130787E21C43850722 +:1016800097F6010023AEF6E09C40D5CB83270900F6 +:1016900017FA0100130ACAEE89EB9C40DC5763E697 +:1016A000F90097F7010023A587E097F701009387DA +:1016B00067DD984397F60100938606DE5C549442FA +:1016C000050717F60100232FE6DA38C463F6F600A3 +:1016D00017F701002322F7DC5147B387E702DA85C9 +:1016E0003305FA00EFE05FE48327090081C79C40DF +:1016F000FC43BDE383270900054499C79C40DC57A0 +:1017000063F43701EFE09FD02285B2502254925407 +:101710000259F249624AD24A424BB24B224C4561CD +:1017200082805A85EF2050277D54F9BF131526007B +:10173000EF2070142A8BE31405DC7D54F1B797F782 +:10174000010023A787D6184385476301F70217FADC +:101750000100130AEAE291BF984094407C43FD17D0 +:101760007CC3FC42C1FB7360043069B7814A17FA3D +:101770000100130AEAE0514CA94B33858A03850A1C +:101780005295EFE0DFD8E39A7AFF97FB0100938B45 +:10179000ABEB5E85EFE0BFD797FA0100938A0AECC6 +:1017A0005685EFE0DFD617F50100130565ECEFE095 +:1017B0001FD617F501001305E5ECEFE05FD517F52F +:1017C0000100130565EDEFE09FD497F7010023AF0B +:1017D00077CD97F7010023A957CDC1BD411122C490 +:1017E00006C626C24AC02A847370043097F40100EA +:1017F000938444CA9C40F9E311E497F7010093876E +:1018000027CB8043130944004A85EFE07FD61C5460 +:1018100089C713058401EFE0BFD5CA8517F501001C +:10182000130545E6EFE05FD097F70100938787C780 +:10183000984397F701009387E7C49C43050797F601 +:10184000010023A1E6C69840850797F6010023AB67 +:10185000F6C201CB97F70100938787C59843784379 +:1018600049EF9C40B1C797F70100938767C498433D +:10187000630F870873700430984039EB17F7010045 +:101880001307C7C214439442A5E27D5797F601009F +:1018900023A8E6BE984009CF9843784311CB9843DC +:1018A00094437C43FD177CC3FC4299E3736004308E +:1018B000B2402244924402494101828097F70100DC +:1018C000938707BF98439C437C4385077CC32DB710 +:1018D00094439843F8420507F8C217F7010013072D +:1018E000E7BC14439442D5D218435847584758434D +:1018F00097F6010023A6E6B871BF984394437C4352 +:10190000FD177CC3FC42B1FF7360043099BF97F7A9 +:1019100001009387A7B69C4381C77370043001A070 +:101920002244B2409244024941016FE03FAE41C5BA +:1019300017F701001307C7B714438147638BA60647 +:10194000411106C622C426C27370043097F6010006 +:10195000938646B49C4291C710431C437C42850742 +:101960007CC29C42AA84404981C71C43FC43B9E71E +:1019700097F70100938787B39C43638B870497F79E +:101980000100938767B29C436384870497F7010043 +:10199000938787D06309F40297F70100938787CE76 +:1019A0006301F4028547B240224492443E854101DE +:1019B00082803E8582807370043001A0EF40E03A5F +:1019C00045BF9147CDB79C5493B717008907E1BF36 +:1019D0008947D1BF7370043097F70100938787ABB5 +:1019E000984309EF15C59C43485581CB97F70100F3 +:1019F000938707AC9843784315E3828017F701007B +:101A0000130707AB14431843F8420507F8C261FDFA +:101A100017F701001307C7A90843F1B79843944388 +:101A20007C43FD177CC3FC42E9FB73600430828079 +:101A3000411122C42A8406C6EFE03FA911E497F7BA +:101A400001009387E7A680434054EFE0BFA7B24070 +:101A50002285224441018280A54763F5B700737057 +:101A6000043001A0011122CC06CE26CA4AC84EC6B7 +:101A700052C42A847370043017F90100130989A134 +:101A800083270900D5EF79C43C486389F50817F727 +:101A900001001307E7A163FCB7001443638D860CB4 +:101AA00018434457B3B4950093C4140029A00443C9 +:101AB000818C93B4140058546384E70A1C4C2CC8DE +:101AC00063C60700A947B385B7400CCC931727001E +:101AD000BA97584897F90100938989AA8A07CE973F +:101AE000631BF702130A44005285EFE07FA817F743 +:101AF00001001307679A5C5418436376F70017F7E1 +:101B00000100232BF7985147B387E702D28533852D +:101B1000F900EFE07FA199C0EFE05F8F8327090014 +:101B200081CB97F701009387A7989C43FC439DEBDB +:101B3000F2406244D2444249B249224A056182805D +:101B400097F701009387C79698439C437C4385078A +:101B50007CC31DF897F701009387879580432DB7C5 +:101B60004CD4A9BF6244F240D2444249B249224A0D +:101B700005616F40801F81443DBF25C1011126CA08 +:101B800097F401009384C4929C4006CE22CC4AC8AC +:101B90004EC6638DA7027370043017F90100130954 +:101BA000698F8327090091C798409C407C43850733 +:101BB0007CC3584997F70100938707AE6302F70289 +:101BC0008327090081C79C40FC43A5EBF240624497 +:101BD000D2444249B249056182807370043001A049 +:101BE0001C5517F701001307A7A8E38BE7FCE9FBD7 +:101BF000930945002A844E85EFE09F9717F701006F +:101C0000130787895C5418436376F70017F70100C0 +:101C10002324F7885147B387E70217F5010013051E +:101C20002596CE853E95EFE03F909C405854DC577A +:101C3000E368F7F8EFE08FFD61B76244F240D24409 +:101C40004249B24905616F40401215CD011122CCC5 +:101C500026CA4AC82A8406CE4EC6EFE01F875848D7 +:101C600097F70100938747A32A8981446300F7020D +:101C70004A85EFE03F85F240624426854249D244DE +:101C8000B249056182807370043001A01C5417F5BD +:101C900001001305E59DE38DA7FCF9FB97E7010023 +:101CA0009387C77D9C43A9EB97F7010093874780F3 +:101CB0009C43445493094400DC574E85B3B4F4006C +:101CC000EFE01F8B17E701001307077D5C541843F3 +:101CD00093C414006376F70017E70100232EF77A08 +:101CE0005147B387E70217F5010013056589CE85D3 +:101CF0003E95EFE07F83ADBF93058401EFE0DF8287 +:101D000085BF0111056506CE22CC26CA4AC84EC63B +:101D100052C456C25AC0EF200036630E051AAA8478 +:101D200013050006EF2020352A84630A051C05668A +:101D30009305500A04D92685EF20205A0458856758 +:101D4000F117BE94B7474C4593879744130A440054 +:101D50005CD85285230C0402A30104042326040248 +:101D600023280404232A0404EFE0AFFB13058401B5 +:101D7000EFE02FFBA947232C0404F19800C81CCCEA +:101D800040D023220404232E0404014697150000AA +:101D90009385E5B12685EFE0EFF308C0737004305A +:101DA00017E901001309096F8327090097E401006F +:101DB0009384047091C798409C407C4385077CC302 +:101DC00017E701001307C76D1C43850797E601005D +:101DD00023A8F66C9C40C9C38327090097E901003A +:101DE0009389097A81EB9C40DC5789E797E70100EA +:101DF00023A0876C97E701009387C768984397E60D +:101E00000100938666695C549442050717E6010059 +:101E1000232AE66638C463F6F60017E70100232D8F +:101E2000F766139527003E950A05D2854E95EFE09B +:101E3000AFEF8327090081C79C40FC43DDE7832780 +:101E4000090091C39C40EF10704985476305F50C6C +:101E500059E17370043001A097E7010023AA876459 +:101E60001843854797E9010093898971E314F7F8CE +:101E7000CE8A17EB0100130B2B7D5685D10AEFE0BC +:101E80000FE9E31C5BFF17E501001305E57BEFE0BD +:101E90000FE897EA0100938A6A7C5685EFE02FE706 +:101EA00017E501001305C57CEFE06FE617E50100BB +:101EB0001305457DEFE0AFE517E501001305C57D8E +:101EC000EFE0EFE497E7010023A2675F97E70100E7 +:101ED00023AC575D05B7F2406244D2444249B2494F +:101EE000224A924A024B05618280984094407C438A +:101EF000FD177CC3FC42A1F77360043089B76244CC +:101F0000F2404249B249224A924A024B2685D244C3 +:101F100005616F20602873700430FD5717E70100DA +:101F20002320F756624497E7010023A5A756F24005 +:101F3000D2444249B249224A924A024B97E70100F1 +:101F400023AE075405616FE0CFB57370043097E797 +:101F5000010023A107546FE00FC317E70100130727 +:101F6000E7511C4385071CC382807370043097E7D8 +:101F7000010093872752984305E317E701001307F1 +:101F8000E75108439C4381CB97E701009387475271 +:101F90009843784301EF828017E701001307475108 +:101FA00014431843F8420507F8C2C1BF984394434D +:101FB0007C43FD177CC3FC42F9FF736004308280D0 +:101FC000411106C622C4EFE04FD097E70100938786 +:101FD000E74C8043EFE00FCFB2402285224441011D +:101FE000828097E701009387A74B884382807971AD +:101FF00006D622D426D24AD04ECE52CC56CA5AC881 +:102000005EC697E70100938767479C43B1EF17E7E8 +:1020100001001307A7481C43850797E6010023AF7B +:10202000F646832A0700638F0A0697E70100938725 +:1020300027459C43814463F1FA0897E9010093899D +:10204000295417EA0100130AAA4683270A00854685 +:10205000D85793172700BA978A07BE9983A7090014 +:1020600063FFF600854421A897E701009387474264 +:102070009C438144850717E70100232BF74097E72E +:1020800001009387A7409C4391C38544B2502254DA +:10209000268502599254F249624AD24A424BB24BC7 +:1020A0004561828017E40100130444401C409C43B6 +:1020B000DDC37370043001A017E401001304043F72 +:1020C00097E901009389C94B17EA0100130A4A3EB8 +:1020D00017EB0100130B4B3C1C409C43B5C31C4049 +:1020E000DC4703A9C70083274900930B49005E859D +:1020F00063EEFA04EFE0CFC7832789021305890155 +:1021000099C3EFE0EFC68327C90203270B00DE85E2 +:10211000139527003E950A054E956376F70017E75D +:102120000100232BF736EFE02FC083270A00032797 +:10213000C902DC57E362F7FA1C4085449C43C5F3AF +:10214000FD5717E70100232DF73201B717E701000C +:102150002328F732DDBD17E701001307E7341C40E1 +:10216000184397E6010023A3E63417E70100232D67 +:10217000F73297E70100938727319C43850717E7DC +:1021800001002323F7301C409C4399E7FD5717E7D4 +:1021900001002327F72E51BD1C40DC47DC47DC4300 +:1021A00017E70100232EF72C49B597E70100938725 +:1021B000E72C984301E77370043001A0011106CEAB +:1021C00022CC26CA4AC84EC652C456C25AC07370E0 +:1021D000043097E401009384E42B984061EB98432A +:1021E0007D1797E6010023ABE6289C43D9EB97E7E0 +:1021F00001009387E72A9C43C9C797EA0100938AA5 +:102200002A3817EA0100130A2A2917E901001309DD +:10221000292A854919A83385EA00EFE0EFB0832722 +:1022200009005854DC576379F70483A70A0FC1CF1C +:1022300083A7CA0FC04713058401EFE06FB3130BE8 +:1022400044005A85EFE0CFB25C5483260A00DA8559 +:10225000139527003E950A052A875695E3FDF6FA61 +:1022600017E70100232AF722EFE00FAC83270900CC +:102270005854DC57E36BF7FA97E7010023A837219E +:102280006DB701449C4081CB97E7010093874722BB +:102290009C43FC4395E72285F2406244D244424984 +:1022A000B249224A924A024B0561828017E7010037 +:1022B0001307072014431843F8420507F8C205B76F +:1022C000EF30B02AC9BF17E401001304641C1C409E +:1022D00095C31C40054999CF193B09C597E70100F3 +:1022E00023A6271B1C40FD1717E701002322F71A1E +:1022F0001C40FDF397E701009387471998438547F2 +:10230000E311F7F8EFE08F900544ADBF11CD81E503 +:102310007370043001A017E70100130727161C4350 +:1023200099C77370043001A07370043001A041118B +:1023300022C406C614431841850617E60100232F60 +:10234000D61297E601009386661594423304B700CF +:1023500000C163F7E602637FE40063FD860097E750 +:1023600001009387E71488431105EFE06FA02285F1 +:10237000EF3070191D3D01C9B240224441018280F5 +:10238000E36FE4FCD9BF2244B24041016FE00F8803 +:1023900011C917E701001307670E1C4391C773703B +:1023A000043001A06FE08F86411106C622C4184395 +:1023B000AA87050797E6010023A2E60C97E601002D +:1023C0009386060F17E701001307470D184388424D +:1023D0003304F7001105EFE0AF992285EF30B0121A +:1023E000E93311E52244B24041016FE02F82B2404F +:1023F000224441018280411122C406C697E70100B0 +:102400009387C7079C430144850717E701002327EB +:10241000F70697E701009387A7089C4363E0F5124E +:1024200017EE0100130E8E2197E2010093828214B1 +:1024300001440147854F1303500A21A0311E638DCB +:10244000C20B83278EFFBA86F5DB8327CEFFDC43E2 +:10245000232EFEFE638AC70F03AFC700DC432A9713 +:10246000814E232EFEFE6385C70783A8C70093878E +:102470004803232017015CC383A788042326F701A0 +:102480001CC783A7C8021CCB83A70805232C070001 +:102490005CCB03A808038347080063906704C287E6 +:1024A000850783C60700B3850741E38B66FE8981F4 +:1024B000C205C181231EB700850E130707026306FC +:1024C0001F038327CEFFDC43232EFEFEE39FC7F9C5 +:1024D00083274E00232EFEFE49BF8145231EB700F1 +:1024E000850E13070702E31E1FFD76941317540091 +:1024F000311EBA86E397C2F597E70100938707FB81 +:1025000003AE070083270E00639D070E97E70100C7 +:10251000938787F903AE070083270E00639207189D +:1025200017EE0100130ECE0583274E109DE383277F +:102530008E116395072019C223200600BD31228524 +:10254000B24022444101828083274E00232EFEFEAA +:1025500021B783278E1017EF0100130F2F13DC43D1 +:1025600017E701002322F7126380E73383A2C70035 +:10257000DC43AA96814E17E701002327F710914FFD +:102580001303500A6388E70783A8C70093874803AB +:1025900023A01601DCC283A7880423A6F6019CC6EB +:1025A00083A7C8029CCA83A7080523AC0600DCCA1F +:1025B00003A80803834708006391672AC287850739 +:1025C00003C70700B3850741E30B67FE93D72500D8 +:1025D000C207C183239EF600850E9386060263869A +:1025E000122983278E10DC4317E70100232EF708FA +:1025F000E39CE7F983270E1117E701002326F7086C +:1026000061B783274E00130F8E00D4432322DE00D0 +:102610006388E629B307E500D84283A2C600814E4D +:102620002322EE00894F1303500A6306EF06832826 +:10263000C7001387480323A01701D8C303A7880442 +:1026400023A6F70198C703A7C80298CB03A70805DC +:1026500023AC0700D8CB03A808030347080063187E +:10266000671C4287050783460700B3050741E38BD4 +:1026700066FE13D7250042074183239EE700850E9F +:1026800093870702638D121B83264E00D8422322B4 +:10269000EE00E31EEFF80327CE002322EE0041BF39 +:1026A00083274E00130F8E00DC432322FE00638439 +:1026B000E71F83A2C700DC43AA96814E2322FE00B7 +:1026C000894F1303500A6306FF0683A8C700938748 +:1026D000480323A01601DCC283A7880423A6F601C1 +:1026E0009CC683A7C8029CCA83A7080523AC060022 +:1026F000DCCA03A808038347080063966710C287F3 +:10270000850703C70700B3850741E30B67FE93D72F +:102710002500C207C183239EF600850E938606021C +:10272000638B120F83274E00DC432322FE00E31E3F +:10273000FFF88327CE002322FE0041BF8327CE115E +:1027400097EE0100938ECEF5DC4317E701002327B7 +:10275000F7F46384D71383AFC700DC433307D50096 +:10276000014397E6010023ABF6F20D4F894293082F +:10277000500A6387D707C8479307450308C35CC35C +:102780003C452326E7011CC75C551CCB1C5599C34F +:10279000232657003C49232C07005CCB0328050364 +:1027A0008347080063951705C287850783C607001E +:1027B000B3850741E38B16FF93D72500C207C1837A +:1027C000231EF700050313070702638AAF0283275E +:1027D000CE11DC4397E6010023A2F6ECE39DD7F986 +:1027E00083274E1297E6010023AAF6EA69B78147CC +:1027F000231EF700050313070702E39AAFFC1A94A0 +:10280000E31C06D225BB8147239EF600850E9386E6 +:102810000602E39912F117EE0100130E6ED683271C +:102820004E10769493165400E38307D01DB30147EE +:10283000239EE700850E93870702E39712E597E74B +:102840000100938767C603AE070076949316540081 +:1028500083270E00E38607CCA1B58147239EF600AF +:10286000850E93860602E39E12D783278E117694F7 +:1028700093165400E38107CCD1B583274E1217E796 +:102880000100232DF7E0C1BD83270E1117E70100DA +:10289000232CF7DEE1B98327CE002322FE0011BDF1 +:1028A0008326CE002322DE00B5B3797126D24AD02A +:1028B0004ECE52CC56CA5AC85EC606D622D497E926 +:1028C00001009389A9BB17E90100130969CB17EA35 +:1028D0000100130A2ABC97EA0100938A6ABD97EBAC +:1028E0000100938BEBBB97E401009384A4BB054BE1 +:1028F00021A883A7090003244910850717E70100D1 +:10290000232EF7B65D3011E89C40E5F783270900D8 +:10291000E37CFBFEEFD09FAFC5BF7370043083270D +:102920000A00B9E383270911C04713054400EFD01B +:102930003FC483A70B00FD1717E701002322F7B65A +:102940009C40FD1717E70100232EF7B483270A00E8 +:1029500089C783A70A00FC4385E30858EF10D0031A +:102960002285EF1070034DB703A70A0083A70A0062 +:102970007C4385077CC37DB703A70A0083A60A00B2 +:102980007C43FD177CC3FC42E9FB73600430F1B764 +:1029900097E70100938787AE9C43DDE3411106C6AC +:1029A00097E7010023A407AE17E70100130747B01C +:1029B0001C43B7A6A5A59386565A9C5B8C43639B84 +:1029C000D500D0436318B60094476395C600DC4732 +:1029D0006388D70008430C4393854503EF20A07319 +:1029E00097E60100938646AB904217E70100130774 +:1029F00027B911A89C42B9CB9C42FD1717E60100EC +:102A0000232CF6A8904293172600B2978A07BA970C +:102A10009C43EDD3904293172600B2978A07B305E3 +:102A2000F700D441A107BA97D442D4C16383F60218 +:102A3000DC46B24017E70100232CF7A64101828053 +:102A4000854717E701002323F7A4828073700430C1 +:102A500001A0D1473306F602D442329754C3C9BF0E +:102A600001114AC806CE22CC26CA4EC62A897370E6 +:102A7000043097E401009384E4A19C4017E4010032 +:102A8000130404A3D9E7631409000329040093097C +:102A900049004E85EFD0DFAD8327890289C7130532 +:102AA0008901EFD0FFACCE8517E501001305C5BE47 +:102AB000EFD09FA79C4081C71C40FC43ADE31C4066 +:102AC000638B27079C409DCF737004309C40C1EB03 +:102AD00097E701009387879D9843184325EBFD579F +:102AE00017E70100232EF7989C4089CF1C40FC4338 +:102AF00091CB184014407C43FD177CC3FC4299E302 +:102B000073600430F2406244D2444249B2490561E4 +:102B1000828018401C407C4385077CC3ADB71840B9 +:102B200014407C43FD177CC3FC42D1FB736004302E +:102B30001C40E39927F99C40A1C397E701009387C4 +:102B4000E7939C43ADCB7370043001A09C43DC47FA +:102B5000DC47DC4317E701002324F79271B71840E4 +:102B60001C407C4385077CC397E70100938707944B +:102B7000984318432DD7D9BF97E701009387479211 +:102B800017E701001307C79F9C4303278711631DA5 +:102B9000F700F240624497E7010023AB0790D2446C +:102BA0004249B249056182806244F240D2444249BE +:102BB000B2490561F1BB6244F240D2444249B24994 +:102BC00005616FD0BF843DC9411126C297E4010061 +:102BD0009384048E4AC02E898C4006C622C4E10527 +:102BE000EFD01F9688401105EFD09F98FD57630ADC +:102BF000F90497E701009387678A804398409C43D4 +:102C00004A9440C3636CF40497E701009387078AF2 +:102C100088438C409105EFD0BF9297E701009387DE +:102C200027869C436376F40097E7010023AA8784F4 +:102C3000B2402244924402494101828073700430C0 +:102C400001A08C402244B2409244024917E50100A1 +:102C5000130585A4910541016FD01F8D97E70100F1 +:102C600093878784884322448C40B240924402492F +:102C7000910541016FD0DF8C11C997D7010093876F +:102C8000E77F9C4399E77370043001A073700430B0 +:102C900001A0411126C297E4010093846481984009 +:102CA000AE878C40B7060080D58F06C622C41CCFE5 +:102CB000E1053284EFD05F8788401105EFD05F8B4C +:102CC000FD57630EF40097D701009387277D884353 +:102CD000B24092442295224441016F30C0028C40A0 +:102CE0002244B240924417E501001305E59A91058C +:102CF00041016FD07F8325CD411126C297D40100B9 +:102D00009384047B4AC02E898C4006C622C4E10508 +:102D10003284EFD07F8188401105EFD07F858547D1 +:102D2000630AF40497D7010093874777804398405C +:102D30009C434A9440C3636CF40497D70100938783 +:102D4000E77688438C409105EFD08FFF97D701003D +:102D5000938707739C436376F40097D7010023A100 +:102D60008772B240224492440249410182807370CA +:102D7000043001A08C402244B2409244024917E53D +:102D8000010013056591910541016FD0EFF997D7C7 +:102D9000010093876771884322448C40B24092447B +:102DA0000249910541016FD0AFF9411106C622C415 +:102DB00026C25C45C04735CC930484012685EFD0FC +:102DC0002FFB97D701009387676B9C43B5E793046C +:102DD00044002685EFD0CFF997D701009387C76BC2 +:102DE00058549C4363F6E70097D7010023A6E76A8F +:102DF00093172700BA978A0717D50100130545785E +:102E0000A6853E95EFD04FF297D701009387476A8A +:102E10009C4358540145DC5763F8E700854717D7B2 +:102E200001002325F7660545B24022449244410142 +:102E300082807370043001A0A68517E50100130598 +:102E40002583EFD06FEEC9B797D70100938707634B +:102E50009C4381E77370043001A0411106C622C46F +:102E600026C2B70700804045CD8F1CC12DC4EFD0CE +:102E70002FF0930444002685EFD08FEF97D7010001 +:102E80009387876158549C4363F6E70097D7010006 +:102E900023A4E76093172700BA978A0717D5010084 +:102EA0001305056E3E95A685EFD00FE897D7010074 +:102EB000938707609C4358540145DC5763F8E7004B +:102EC000854717D701002323F75C0545B24022440C +:102ED0009244410182807370043001A011CD97D7D4 +:102EE00001009387675A984397D701009387075B40 +:102EF0009C4318C15CC182807370043001A055C12D +:102F0000D1CD411106C622C42A877370043097D8E8 +:102F100001009388285883A7080091CB97D7010018 +:102F20009387075994439C43FC428507FCC217D3FF +:102F300001001303A3569C4103260300FD560144E0 +:102F4000638FD70217DE0100130E0E5403250E0007 +:102F50000328070054436305A80005446371D602A3 +:102F60003308D6400544637CF80003250E00918F9A +:102F700003260300B6979CC108C350C3014483A72E +:102F8000080081CB97D70100938787529C43FC436D +:102F900081EF2285B2402244410182807370043067 +:102FA00001A07370043001A0EF20305CDDB78547CD +:102FB00017D70100232CF74C828019C16845828005 +:102FC0000145828011C16CC5828097D7010093872B +:102FD000274E8843828097D701009387A74B9C4355 +:102FE000054589CB97D70100938747498843133517 +:102FF00015000605828031CD011126CA97D4010043 +:103000009384044B9C4006CE22CC4AC84EC65855E9 +:10301000DC576379F7021C4D63C707009C40D45707 +:10302000A947958F1CCD93172700BA97584917D9F0 +:1030300001001309E9548A07CA97630DF7009C4001 +:10304000DC575CD5F2406244D2444249B249056142 +:1030500082808280930945002A844E85EFD04FD12B +:103060009C4017D70100130727431843DC575CD453 +:103070006376F70017D701002320F7421395270046 +:103080003E9562440A05F240D244CE854A95B24943 +:10309000424905616FD04FC919CD411106C622C4FE +:1030A00026C297D701009387A74080436307A400F7 +:1030B0007370043001A0014582807C4881E7737001 +:1030C000043001A054543848FD177CC86383E600DF +:1030D00099C70145B2402244924441018280930441 +:1030E00044002685EFD0CFC83C4817D7010013070E +:1030F000A73A144329471D8F5CD418CC63F6F60019 +:1031000017D70100232AF7385147B387E70217D5AD +:1031100001001305E546A6853E95EFD0EFC00545B5 +:1031200055BF7370043097D701009387A7369C432F +:1031300091CB97D701009387A73798439C437C4353 +:1031400085077CC3828097D701009387A7349C436F +:103150008DC397D701009387A7359843784311CB48 +:10316000984394437C43FD177CC3FC4299E373600E +:103170000430828097D7010093878733904394432C +:103180009843A947D456084E958F1CCF828097D775 +:1031900001009387E731984309C79443F84A05072C +:1031A000F8CA8843828079714AD006D622D426D2C2 +:1031B0004ECE2A897370043097D401009384842DF5 +:1031C0009C4017D401001304A42EBDE31C40BC4F47 +:1031D00089E71C400547F8CFD1E19C4081C71C40DE +:1031E000FC43F1EB737004309C408DEF1C40A84F02 +:1031F00011C5630409021C4023AC07041C4023AE24 +:1032000007049C4081C71C40FC438DEBB250225404 +:1032100092540259F2494561828018403C4FFD1793 +:103220003CCFE9BF18401C407C4385077CC37DBF71 +:1032300018401C407C4385077CC349BF184014409C +:103240007C43FD177CC3FC42F1F373600430B25041 +:10325000225492540259F2494561828008402EC698 +:103260001105EFD0EFB0B245FD576380F50697D753 +:1032700001009387A72283A9070018409C43AE99B9 +:103280002322370163ECF90497D7010093870722C3 +:1032900088430C409105EFD0AFAA97D701009387E0 +:1032A000271E9C4363F6F90097D7010023AA371D18 +:1032B000EFD0CF951DB7184014407C43FD177CC359 +:1032C000FC428DF37360043031BF0C4017D5010010 +:1032D0001305853C9105EFD02FA5D9BF97D70100E5 +:1032E0009387871C88430C409105EFD06FA5C9B721 +:1032F00079714AD04ECE06D622D426D252CC2E890F +:10330000B2897370043097D401009384A4189C4050 +:1033100017D401001304C419F9EF18408947784FF6 +:10332000630CF7001C401345F5FFB84F798DA8CF0B +:103330001C400547F8CFADE69C4081C71C40FC43CC +:10334000F5E3737004309C40B1E7638609001C40CC +:10335000BC4F23A0F900184085470145784F630B07 +:10336000F7001C401349F9FF0545B84F3379E900D0 +:1033700023AC27051C4023AE07049C4081C71C409A +:10338000FC43C1E3B250225492540259F249624ABA +:103390004561828018401C407C4385077CC375B71B +:1033A000084036C61105EFD0AF9CB246FD57638585 +:1033B000F60897D701009387670E03AA0700184005 +:1033C0009C43369A232247016363FA0897D701008A +:1033D0009387C70D88430C409105EFD06F9697D720 +:1033E00001009387E7099C436370FA0697D70100B1 +:1033F00023A8470991A818401C407C4385077CC33B +:1034000029BF184014407C43FD177CC3FC42BDFB20 +:1034100073600430B250225492540259F249624A05 +:1034200045618280184014407C43FD177CC3FC42F8 +:1034300089FB7360043031B70C4017D501001305C8 +:10344000A5259105EFD04F8EEFC05FFCF5B597D75E +:1034500001009387670588430C409105EFD04F8E9C +:10346000E5B751C1411106C622C426C24AC07370D5 +:10347000043097D401009384E4019C40B9EB99C2D5 +:103480003C4D9CC2B2878946704D74CD2E872A84EC +:103490006386D70663FCF6048D456387B7009145C4 +:1034A0006395B7006300D60C38CC8547630FF604EC +:1034B00005449C4081CB97D70100938767FF9C43CD +:1034C000FC43A9E32285B2402244924402494101CF +:1034D000828097D701009387A7FD98439C437C4344 +:1034E00085077CC369BF7370043001A08546E39EE5 +:1034F000D7FA3C4D33E7B70038CD45BF3C4D850783 +:103500003CCD65B7EF20700675BF130944004A85AE +:10351000EFD00F8617D70100130707F85C54184344 +:103520006376F70017D701002328F7F65147B387D2 +:10353000E70217D501001305A504CA853E95EFC023 +:10354000BFFE1C5481C77370043001A097D70100DF +:10355000938707F69C435854DC57E3FBE7F4EFC02E +:10356000FFEAB9B70144B1B739CD011122CC26CA5F +:103570004AC84EC652C456C206CEBA89368AB284EA +:103580002E892A84EFC07FF4AA8A63050A003C4C86 +:103590002320FA00784C89477CCC6389F40263F4D9 +:1035A000970C8D466387D40091466396D400630AD6 +:1035B000F70A232C24058547631EF7061C5499CB74 +:1035C0007370043001A07370043001A03C4C850777 +:1035D0003CCCD5B797D70100938747EA9C43ADEB26 +:1035E000930444002685EFC0BFF817D701001307E6 +:1035F000A7EA5C5418436376F70017D70100232D20 +:10360000F7E85147B387E70217D50100130545F7DF +:10361000A6853E95EFC05FF197D70100938747E9F4 +:103620009C435854DC5763F7E700638509008547DE +:1036300023A0F90005445685EFC0DFE82285F2405B +:103640006244D2444249B249224A924A0561828088 +:103650009305840117D5010013058501EFC0DFEC48 +:1036600065BF0144C9BF8547E397F4F43C4C33E997 +:103670002701232C240581B74DC1011122CC26CA74 +:103680002A844AC806CE4EC6AE84EFC01FE48947DE +:10369000744C7CCC3C4C05472A8985073CCC63950F +:1036A000E6061C5481C77370043001A097D701004F +:1036B0009387C7DC9C43ADE7930944004E85EFC078 +:1036C0003FEB17D70100130727DD5C5418436376DF +:1036D000F70017D701002321F7DC5147B387E70232 +:1036E00017D501001305C5E9CE853E95EFC0DFE390 +:1036F00097D701009387C7DB9C435854DC5763F589 +:10370000E70099C085479CC06244F240D244B24968 +:103710004A85424905616FC0FFDA7370043001A029 +:103720009305840117D50100130585F4EFC0DFDF91 +:10373000C1B7AA8729C57370043017D701001307D2 +:1037400067D514439DE2F04F894601456315D600C5 +:1037500023AE070405451C4381CB97D7010093870F +:1037600027D59843784315E3828097D60100938646 +:1037700026D4904294427442850674C2E9B797D722 +:1037800001009387E7D29C437DB7984394437C43E1 +:10379000FD177CC3FC42E9FB7360043082805D71DD +:1037A000A6C2CAC052DC56DA5AD85ED686C6A2C4B1 +:1037B0004EDE62D466D26AD017D90100130949D00F +:1037C00017DB0100130B0BCF97D401009384C4CEF9 +:1037D00097DA0100938A8ACE054AA54B8327090010 +:1037E000984363010712DC4783A90700EFE0EFF677 +:1037F000EFE0AFF783270B002A8C6362F50A97D7B7 +:10380000010023A9A7CA01466370351B8840B38510 +:103810008941EFD0FFBDEFE05F9963010512884059 +:10382000814601462C00EFD00FEC4DD9A247E3C8EA +:1038300007FEC24983A7490189C713854900EFC024 +:103840003FD3EFE08FF283270B002A8C636DF508DE +:10385000A24717D70100232F87C5E3E2FBFCB3176C +:10386000FA0013F70721631A071613F7770C63188A +:10387000071E93F70702C5D74E85EF00F01145B735 +:10388000DC47C04783AC0700930944004E85EFC076 +:103890003FCE5C50228582975C4C6384470B832724 +:1038A0000900984371FF03A70A0097D6010023A3DC +:1038B00086C197D6010023A3F6C097D7010023A1A4 +:1038C000E7C0EFE09F8EA1BFDC47C04703AD070014 +:1038D000930C44006685EFC0BFC95C502285829777 +:1038E0005C4C6386470983270900984371FF03A74F +:1038F0000A0097D6010023A3F6BC97D7010023A1A5 +:10390000E7BCB9B7EFE06FE5EFE02FE683270B00E8 +:103910002A8CE366F5F883A70A0088408149904322 +:10392000B385894117D70100232687B913361600BE +:10393000EFD01FACEFE07F87E31305EEEFC01FADC4 +:10394000F9BD1C4CCE85E69763E9FC049C4089CF09 +:1039500002CA66CC22CEEFF00FE8884081460146CD +:103960004C08EFC05FE205FD7370043001A01C4CF1 +:10397000E685EA97636CFD049C4089CF02CA6ACC55 +:1039800022CEEFF04FE58840814601464C08EFC05B +:103990009FDF31F97370043001A0032509005CC07A +:1039A00000C8EFC0FFB9E5BDEFE03F808327090005 +:1039B000DC47C047930C44006685EFC07FBB5C4C7E +:1039C000638F47035C502285829791BD03250900D0 +:1039D0005CC000C8EFC0DFB639B7B24723ACF9000E +:1039E000A5CFE29723A2F90023A8390193854900C6 +:1039F000636FFC0403A50A00EFC09FB40DB51C4C17 +:103A000000C83387F90058C0636DEC02330C3C41A9 +:103A10006363FC029C4089CF02CA4ECC22CEEFF0F9 +:103A20008FDB8840814601464C08EFC0DFD559F94D +:103A30007370043001A003A50A00E685EFC05FB0F3 +:103A400051B703250900E685EFC09FAFA5BF032549 +:103A50000900EFC0FFAEE1B37370043001A0B247BC +:103A600083A6890123A839013387D70023A2E9005F +:103A70006364EC04B307FC4063EBD70483A74902FB +:103A80004E85829783A7C901E39B47D983A6890105 +:103A9000B2479840B69711CF02CA3ECC4ECEEFF057 +:103AA0008FD38840814601464C08EFC0DFCDE31834 +:103AB00005D67370043001A06374FC00E370F7FC5A +:103AC0000325090093854900EFC09FA789BB03A583 +:103AD0000A0093854900EFC0BFA691B3411122C4EB +:103AE00006C626C24AC017D401001304E49CEFF0B6 +:103AF0004FE31C4095CBEFF00FE51C409DC3814880 +:103B000001488147094781461306004097D50100C7 +:103B10009385C58A170500001305A5C8EFD07F9AC5 +:103B200039E97370043001A017D90100130909B8ED +:103B30004A85EFC0DF9D97D40100938464B8268541 +:103B4000EFC0FF9C0146B145114597D7010023A95D +:103B5000279797D7010023A39796EFC03FB617D7B3 +:103B60000100232BA79411CD97D50100938585845F +:103B7000EFD0CFFC49B7B240224492440249410100 +:103B800082807370043001A0A5C9797156CAAA8ACF +:103B90001305C00222D426D24AD04ECE52CC06D62D +:103BA0005AC85EC63A89B689328AAE84E9212A8427 +:103BB00005C9EFF00FD797D701009387E78F9C4394 +:103BC0009DCFEFF04FD8130544002320540104CCBF +:103BD000232E44012320340323222403EFC07F94A7 +:103BE0002285B250225492540259F249624AD24A72 +:103BF000424BB24B456182807370043001A097DB69 +:103C00000100938BABAA5E85EFC07F9017DB0100AC +:103C1000130B0BAB5A85EFC09F8F0146B145114581 +:103C200097D7010023AE778997D7010023A867892A +:103C3000EFC0DFA817D701002320A78801C997C5C7 +:103C4000010093852577EFD06FEFA5BF7370043027 +:103C500001A039C5011122CC06CE26CA17D4010015 +:103C600013048485832804002A880145638508029B +:103C7000BA842EC2368732C442C6954663C5B602A0 +:103C8000EFF06FB58947630BF502084081460146A6 +:103C90004C00EFC05FAFF2406244D24405618280C5 +:103CA0007370043001A04C0081463A864685EFD0FF +:103CB0000F88F2406244D244056182800840814608 +:103CC00026864C00EFC03FACF9B719C1084182808D +:103CD0007370043001A001E57370043001A041113C +:103CE00006C622C42A84EFF0CFC348483334A0006C +:103CF000EFF06FC52285B24022444101828001E588 +:103D00007370043001A0411122C42A8406C6EFF06A +:103D10004FC10050EFF02FC3B24022852244410131 +:103D2000828001E57370043001A0411122C426C2D3 +:103D30002E84AA8406C6EFF0CFBE80D02244B240C3 +:103D4000924441016FF02FC04111714522C406C653 +:103D50001D262A8411C5232005001105EFC02FFB65 +:103D60002285B24022444101828001CDB70700FF85 +:103D7000ED8F81C77370043001A099E57370043032 +:103D800001A07370043001A0011122CC4AC84EC6B4 +:103D900052C456C206CE26CABA893689B28A2E8441 +:103DA0002A8AEFF04FA311E5638509007370043090 +:103DB00001A0EFE08F9A83240A00B3779400631682 +:103DC000090295C763870A009347F4FFE58F232014 +:103DD000FA00EFE08FBDF240624426854249D244AA +:103DE000B249224A924A05618280E30DF4FCE382E3 +:103DF00009FEB3355001E20563050900B707000469 +:103E0000DD8D4E86C18D13054A00EFE0FFE6EFE041 +:103E1000CFB919E1EFC08FDFEFF0CFB59317650091 +:103E2000AA8963D80700B7040001FD14B3F4990010 +:103E30005DB7EFF00FAF83290A00B3773401631E3B +:103E4000090089CB63880A009347F4FFB3F7370171 +:103E50002320FA00EFF02FAFF9B7E31DF4FEDDB732 +:103E600001C9B70700FFED8F99C77370043001A037 +:103E70007370043001A0411122C42A8406C626C2F0 +:103E80004AC02E89EFF0EFA904409345F9FFB3F73C +:103E900095001CC0EFF02FABB240224426850249AA +:103EA000924441018280411122C42A8406C6EFC097 +:103EB000CFE10040EFC00FE1B24022852244410132 +:103EC000828009C9370700FFB3F7E50099C773700F +:103ED000043001A07370043001A06F10D06AB707DE +:103EE00000FFF18F81C77370043001A001E6737089 +:103EF000043001A0011122CC4AC84EC652C406CEDD +:103F000026CA3689AE892A8A3284EFF0CF8C21E125 +:103F1000630509007370043001A0EFE00F8483246F +:103F20000A00CE855285B3E49900613FB3779400CF +:103F30006307F40683240A00EFE02FA7F2406244EF +:103F400026854249D244B249224A05618280EFE087 +:103F5000CF8083240A00CE855285B3E4340195379F +:103F6000B3F78400638D8702E30609FCB7050005FB +:103F70004A86C18D13054A00EFE01FD0EFE0EFA2A3 +:103F800019E1EFC0AFC8EFF0EF9E93176500AA8468 +:103F900063DF0700B7070001FD17FD8C45B78327D6 +:103FA0000A001344F4FFE18F2320FA0071B7EFF009 +:103FB0004F9783240A00B377940063978700934751 +:103FC000F4FFE58F2320FA00EFF0EF97E1B74111FE +:103FD00022C406C62A8426C2EFD03FF85C4085C3BF +:103FE00008489304C4006316950015A008486300B0 +:103FF0009502B7050002EFE03FE55C40E5FB228556 +:10400000612A2244B240924441016FE00F9A7370DA +:10401000043001A009C9370700FFB3F7E50099C7CD +:104020007370043001A07370043001A06F10B0559C +:1040300001C9B70700FFED8F99C77370043001A065 +:104040007370043001A0411122C426C206C62A841E +:10405000AE84EFF00F8D184093C7F4FFF98F1CC0AA +:104060002244B240924441016FF0EF8D19C1084DD6 +:10407000828001458280411122C406C62A84EFD085 +:10408000DFED97C701009387E7439C43A1EBE5670A +:1040900017C7010013074764F11797C6010023A94A +:1040A000E64217C701002323F74217C70100232761 +:1040B000F76297C701009387274117C70100232D97 +:1040C000F760854717C701002326074017C701007F +:1040D000232C073E17C701002326F73E19E8EFE01F +:1040E000CF8CEF10C00201442285B240224441012E +:1040F00082801305840093773500C1EFE5671307CD +:10410000F5FFE917E3EDE7FC97C601009386463C0F +:104110009C4229A0984311C7BE86BA87D843E36B57 +:10412000A7FE17C701001307273AE38AE7FA984367 +:1041300041461384870098C2D843B306A7406378EA +:10414000D6023388A7002322D800C8C3832548009D +:1041500097C601009386C63711A0BA86984250438D +:10416000E36DB6FE2320E80023A00601D84397C7DD +:1041700001009387E7379C43998F17C701002329D4 +:10418000F736EFE08F8231DC2285B24022444101D4 +:104190008280719911059DB729C9411122C406C6B3 +:1041A0002A84EFD09FDB930584FF0326C4FF17C743 +:1041B00001001307E73111A03E871C43D443E3ED10 +:1041C000C6FE232CF4FE0CC397C701009387473229 +:1041D00098438327C4FF2244B240BA9717C701000F +:1041E0002328F73041016FD05FFC828097C7010020 +:1041F000938707308843828082803367B5000D8BB8 +:10420000AA871DE79308D6FFAA982A87AE8663710E +:10421000150303A8060011079106232E07FFE36A82 +:1042200017FF9347F5FFC697F19B9107BE95AA9795 +:104230002A9663F3C70A9386450013884700B3B6EE +:10424000D70033B8050133E7F50093C616001348CD +:1042500018000D8BB3E6060113371700758F330E68 +:10426000F6409386170029CF1337AE0031EB135376 +:104270002E002E88BE8601478328080005071108F6 +:1042800023A016019106E36967FE1377CEFFBA9566 +:10429000BA976302EE0483C60500138717002380D4 +:1042A000D700637AC70283C6150013872700A3804F +:1042B000D7006372C70203C725002381E70082800D +:1042C000B307F640AE97850503C7F5FF8506238F34 +:1042D000E6FEE39AF5FE82808280B367C5008D8B8F +:1042E000B308C500E9C36371150FB307A0408D8BF8 +:1042F00013873700954693F5F50F130815003383A0 +:10430000A8407D166373D70015476363E60CD5CFCD +:104310002300B5000547638DE700A300B5000D47F6 +:10432000130825006396E700130835002301B50044 +:10433000139685004D8E13970501518F3303F3407B +:1043400093968501D98E13562300AA97014794C3EB +:1043500005079107E36DC7FE1377C3FFB307E800B6 +:104360006307E3062380B70013871700637C1703F6 +:10437000A380B70013872700637617032381B70054 +:104380001387370063701703A381B70013874700B3 +:10439000637A17012382B7001387570063741701EC +:1043A000A382B700828093F5F50F13978500D98D0E +:1043B000139705014D8FE37715FFAA87910723AE69 +:1043C000E7FEE3ED17FF828082802A8895B782801E +:1043D000AA8749BF834705002A8799C705058347F0 +:1043E0000500EDFF198D8280014582800505834718 +:1043F000F5FF850503C7F5FF91C7E389E7FE338520 +:10440000E74082808147E5BFAA87850503C7F5FF9E +:104410008507A38FE7FE75FB82808346050093071F +:1044200000026397F600050583460500E38DF6FE5E +:10443000938756FD93F7D70F85C7AA87814585CE09 +:1044400001458507138606FD1317250083C607005F +:104450003A9506053295F5F699C13305A04082805C +:10446000938536FD8346150093B515009307150017 +:10447000E1FA0145D5B7014582809C412380A70020 +:104480009C4185079CC1828097C601009386C60423 +:104490009C42175703001307E72613861700BA97A5 +:1044A0002380A70097C5010023A8C502A947630878 +:1044B000F500930700046305F6000145828075DE70 +:1044C000370610F005078347F7FF2320F6F09C42DC +:1044D000FD1797C5010023A1F500EDF70145828086 +:1044E0004D712322911423202115232A51132324B3 +:1044F00081132326111423248114232E3113232CFA +:10450000411323286113232671132322911323209F +:10451000A113232EB111AA842E8932C6130C500286 +:10452000A54A8347090063808703B9CB804011A067 +:10453000A1CB2300F40080400509050480C0834717 +:104540000900E39787FF0346190093081900C68600 +:10455000130D0002FD597D5A0148130550059307BC +:10456000D6FD93F7F70F138916006363F52A17C773 +:104570000100130727A98A07BA979C43BA97828735 +:104580008320C114032481148324411403290114BA +:104590008329C113032A8113832A4113032B011397 +:1045A000832BC112032C8112832C4112032D011283 +:1045B000832DC11171618280A14C02C4854763D5EE +:1045C0000715B2478040938B770093F68BFF03ABC0 +:1045D000060083A94600938786003EC6668681460C +:1045E0005A85CE85EF10E03C2AC881476385372382 +:1045F000930D4101854B668681465A85CE85EF1025 +:104600006005814666862A8BAE89EF10803AA24704 +:1046100023A0AD0093861B00910D63843701B68BF8 +:10462000D9BFE37E9BFF63DD4601A2872380A701FC +:1046300080407D1A930714009CC03E84E3C846FF67 +:1046400093962B001C08BE9631A89387770523000C +:10465000F4008040FD1B93871B00050480C0F11609 +:10466000E351F0EC9C42E3E2FAFE93870703C5B7FF +:104670009C409306000332472380D7009C4093065A +:104680004700C14C1386170090C013068007A38013 +:10469000C700804002C4BA87050480C003AB07008E +:1046A000814936C625BFB24783AC0700938B4700CC +:1046B00063840C1C635F40199307D0026319FD16D5 +:1046C00083C70C0085C363DC09189440850C7D1AF0 +:1046D0002380F6008040050480C083C70C00E5F706 +:1046E000635D40018040930700022300F400804096 +:1046F0007D1A050480C0E31A0AFE5EC61DB502C419 +:104700008547A94CE3CF07EBB2478040938647002B +:1047100071B703C61600CA8699B59C40930650022D +:104720002380D7008040050480C0E5BBC14C02C493 +:1047300071B5B24703C61600CA8683A90700910760 +:104740003EC6E35E0AE04E8AFD5911BD03C616005F +:10475000130DD002CA8621B59347FAFFFD8703C621 +:104760001600337AFA00CA86DDBB03C61600130DA5 +:104770000003CA86EDB383C51600930906FDCA86F9 +:10478000938705FD2E86E3EEFAFA93972900BE99EA +:1047900085068609AE9983C50600938909FD93872E +:1047A00005FD2E86E3F3FAFE69BF32479C401443B1 +:1047B00011073AC62380D7008040050480C095B316 +:1047C000854763D3070BB24793867700E19A9387B7 +:1047D00086003EC683A946009C4263DC090A9440D9 +:1047E0001306D002B307F0402380C6008040B336E2 +:1047F000F000B3093041050480C03E8BB389D94035 +:10480000A94C02C4E1BB03C616000508CA8681BBD9 +:104810009C40468921B7E37D9BDD8547814B8546DA +:10482000E3C547E131BD97CC0100938CACB9CE858F +:104830006685EF001028330AAA40635C400180407F +:104840002300A40180407D1A050480C0E31A0AFEFB +:10485000014A83C70C00E38207EAE3C809E6FD19B1 +:104860007D57E394E9E6ADBDB247938647009C438C +:1048700036C693D9F74195B7635640019307D002E6 +:10488000E313FDFA97CC0100938CCCB3930780021D +:104890001DBD3E8BA94C02C4804089B34D71232EAF +:1048A0003113B70900409387F9FF23248114232291 +:1048B0009114232C4113232A51132326111423204E +:1048C0002115232861132326711323248113232206 +:1048D00091132320A113232EB1112A8A2EC417C4A9 +:1048E0000100130464BF975A0300938AAAE197C496 +:1048F00001009384A4863EC69305500229451308FF +:104900000004B70610F083470A006386B704C1C7E6 +:104910001840050A13061700569797C8010023ADE3 +:10492000C8BA2300F7006382A706E31E06FD1757E7 +:104930000300130727DD05078347F7FF23A0F6F0E1 +:104940001C40FD1717C601002328F6B8EDF7834772 +:104950000A00E39EB7FA83461A0013051A002A8755 +:10496000930B00027D5B7D5C014893055005A548D3 +:104970009387D6FD93F7F70F130A170063E7F53413 +:104980008A07A6979C43A6978287175703001307A9 +:1049900067D755F28DBF8320C1140324811483246B +:1049A0004114032901148329C113032A8113832A83 +:1049B0004113032B0113832BC112032C8112832C6F +:1049C0004112032D0112832DC11171618280A14C0E +:1049D000014D854763DB0719A2479D07E19B83AD26 +:1049E000070003AB4700138787003AC466868146F9 +:1049F0006E85DA85EF00F07B2AC863066D351309F2 +:104A000041018549668681466E85DA85EF009044CE +:104A100066868146AA8D2E8BEF00B0792320A900EF +:104A200085091109E3106DFFE3FE9DFD13060004E7 +:104A3000B70610F063D189031C4013871700D6977F +:104A400097C5010023AAE5A823807701630CC7045A +:104A50007D1CE3C389FFB2476800A548B386F9000F +:104A60008A061C08BE96294813030004370610F076 +:104A7000E384A6E89C429305000363F4F8009305E1 +:104A800070051840AE9793051700569717CE010092 +:104A90002324BEA42300F7006380072B638C6526C4 +:104AA000F116F9B7175703001307C7C50507834762 +:104AB000F7FF23A0F6F01C40FD1797C5010023ADBA +:104AC000F5A0EDF77D1C71B71C4013060004138799 +:104AD000170097C5010023A1E5A0B386FA0093054E +:104AE00000032380B600630FC73C890797C6010007 +:104AF00023A4F69E5697930680072300D7001757E6 +:104B00000300130727C0B70610F0639EC700050710 +:104B10008347F7FF23A0F6F01C40FD1717C60100DE +:104B2000232CF69AEDF7A247C14C014D910722477D +:104B3000014B832D07003EC455BDA24783AC07003F +:104B400013894700638E0C3A635480219307D00287 +:104B5000639EFB2E03C70C00BA856310072025ACAB +:104B60008547A94C014DE3C907E7A2479107C1B7A3 +:104B7000A24783461700528703AB070091073EC444 +:104B8000E3580CDE5A8C7D5BE5B383461700930B2C +:104B9000D0025287F1BB9347FCFFFD878346170085 +:104BA000337CFC005287E9B383461700930B000364 +:104BB00052877DBB03461700138B06FD5287930770 +:104BC00006FDB286E3EEF8FA93172B00DA97050795 +:104BD0008607B29703460700138B07FD930706FD70 +:104BE000B286E3F3F8FE69BFA2451C40294698410E +:104BF00093861700D69717C50100232FD58C2380E5 +:104C0000E700138945006300C72A93070004638700 +:104C1000F6204AC4D5B1854763DE0729A24713872A +:104C20007700619B930787003EC4032B47001C431A +:104C3000635A0B301840130600049306170097C5FB +:104C4000010023ABD58856979305D0022300B70007 +:104C50006387C62CB307F0403337F000330B604155 +:104C6000BE8D330BEB40A94C014D49B38346170071 +:104C700005085287F5B9834617005287D5B91C40FD +:104C8000930600041387170017C601002326E68445 +:104C9000D697130650022380C700E31FD7C41757C7 +:104CA0000300130727A6B70610F005078347F7FF91 +:104CB00023A0F6F01C40FD1717C60100232EF68036 +:104CC000EDF71DB9C14C014D29B31C4093060004FA +:104CD0002A8A1387170017B60100232FE67ED6977E +:104CE000130650022380C700E318D7C017570300EC +:104CF000130747A1B70610F005078347F7FF23A066 +:104D0000F6F01C40FD1717B601002327F67CEDF7DF +:104D10002A8ADDB6175703001307C79E0507834786 +:104D2000F7FF2320F6F01C40FD1797B5010023A5DF +:104D3000F57AEDF7F1162DBB175703001307879C83 +:104D4000F1FDF11635B3E3FC9DCB8549C5B1014CAE +:104D500003C70C00BA85E30E07EAFD58294513087E +:104D60000004B70610F063570B0A1C4013861700A7 +:104D7000D69717B301002321C3762380E70063840D +:104D8000A50663040609850C03C70C007D1CBA85C3 +:104D900079FBE35080E91C409305000213060004F0 +:104DA000B70610F021A07D1CE3050CE61387170061 +:104DB000D6972380B70017B50100232FE570BA8777 +:104DC000E313C7FE175703001307C793050783476D +:104DD000F7FF23A0F6F01C40FD1717B50100232DA7 +:104DE000F56EEDF7C9B7175703001307A79141DE1A +:104DF00005078347F7FF23A0F6F01C40FD1717B601 +:104E00000100232BF66CEDF7BDBF17570300130706 +:104E1000678FF9BF7D1BE31A1BF5A5BF175703006A +:104E20001307478EB70610F005078347F7FF23A047 +:104E3000F6F01C40FD1717B60100232FF668EDF7BA +:104E40004AC45DBC97BC0100938CCC57DA8566855B +:104E50008D21330CAC40E35D80EF1C401306000451 +:104E6000B70610F021A07D1CE3030CEE138717009A +:104E7000D6972380770197B5010023AFE564BA8701 +:104E8000E313C7FE175703001307C78705078347B8 +:104E9000F7FF23A0F6F01C40FD1797B5010023ADE6 +:104EA000F562EDF7C9B7175703001307A785BDFAD9 +:104EB0004AC499B4A247138747009C433AC413DB02 +:104EC000F741BDB3175703001307C783B70610F0A8 +:104ED00005078347F7FF23A0F6F01C40FD1717B620 +:104EE0000100232BF65EEDF7854717B70100232558 +:104EF000F75E93078007175703002305F78025B156 +:104F0000635680019307D002E39EFBF297BC010039 +:104F1000938C4C4B13078002930580023DBD97464E +:104F200003009386267E370610F0850603C7F6FF3A +:104F30002320E6F018407D1797B5010023AEE55811 +:104F40006DF709BBBE8DA94C014D4DB4B70710F0EC +:104F500023A0A7F201A03971130341022ED29A8532 +:104F600006CE32D436D63AD83EDA42DC46DE1AC60F +:104F70003532F2400145216182805D711303810366 +:104F800022D42AC632DC2A841A86680006D6BEC21B +:104F900036DEBAC0C2C4C6C61ACEEFF06FD4B2476E +:104FA000238007003245B250018D22546161828016 +:104FB000B70710F083A647F403A607F403A747F446 +:104FC000E31AD7FE8566938606FAB29633B6C60014 +:104FD000B305E60023A4D7F423A6B7F48280B7076D +:104FE00010F003A747F403A607F483A647F4E31AD7 +:104FF000D7FE8566938606FAB29633B6C60023A41A +:10500000D7F4B305E60023A6B7F49307000873A00E +:1050100047308280411122C406C697B701009387AA +:10502000274C17B401001304644B98431C4013062B +:10503000F07C930647069387470697B5010023A1A6 +:10504000D54A97B5010023ABF5486346D600B24078 +:105050002244410182801307478997B7010023A1A9 +:10506000E7480D2485476310F5021840856793874C +:10507000F7760145E3DDE7FCEFF05FED2244B24057 +:1050800041016FC09FEC17B5010013052534EFF007 +:105090009FEC0945EFF09FEB2244B24041016FC005 +:1050A000DFEA17B50100130525346FF0DFEA17B505 +:1050B00001001305E5336FF01FEA411122C426C237 +:1050C00006C6856417B4010013040433938784383B +:1050D0000100FD17F5FF2285EFF0FFE7C5BF014591 +:1050E0003DA2411106C6792A054781476316E500AE +:1050F000B2403E854101828017B501001305052DA0 +:10510000EFF07FE5B24089473E85410182807370B0 +:10511000043001A082807370043001A0797126D21E +:105120004AD04ECE52CC06D622D4AA8923170100EB +:10513000014917BA0100130A2A3B85440D4403A50F +:105140000900814601469305E100EFB01F99630A0B +:10515000950005497D1465F41305800CEFD04FA32D +:10516000F1BF630809008357E10085072317F100A9 +:10517000D5B7EFD01FFB83270A00850717B70100BB +:105180002324F736EFD03FFCF9BF797122D44AD0FF +:105190004ECE52CC56CA06D626D22A84014A81491E +:1051A00097BA0100938A8A3405490840EFC08F8975 +:1051B000814601469305E10009ED1305400BEFD050 +:1051C0002F9D0840EFC00F88814601469305E100FE +:1051D0006DD50840EFB0BFA3E31925FD8354E1006E +:1051E00063893401054A85049399040193D909011F +:1051F0006DBFE3190AFEEFD0DFF283A70A0085072F +:1052000017B701002324F72EEFD0FFF3E9BF4111B8 +:1052100026C20146AA848945294506C622C4EFB0A4 +:10522000EFC917B401001304E42B97B5010093856F +:10523000E51D08C0EFC08F902687A28681480148EF +:1052400081471306004097B501009385251D17057A +:1052500000001305C5F3EFC0CFA6A2862244B240DA +:10526000268792448148014881471306004097B53C +:1052700001009385251B17050000130565EA410110 +:105280006FC02FA497B70100938747269C43014521 +:1052900081CB97B701009387272588433335A0003A +:1052A00097B7010023A4072497B7010023AE072274 +:1052B0008280AA95AA876385B70003C7070001E724 +:1052C0003385A74082808507FDB7814863DA0500F2 +:1052D0003305A040B337A000B305B0409D8DFD5805 +:1052E00063D906003306C040B337C000B306D040D0 +:1052F0009D8E32883683AA872E87639F061C97B6B9 +:105300000100938626FB63F1C50C416E6377C60BE3 +:10531000130EF00F6373CE002143335E6600F296E6 +:1053200003CE06001A9E130300023303C341630C2D +:105330000300B3956500335EC5013318660033671B +:10534000BE00B3176500135E0801B355C7031315FC +:105350000801418193D607013376C703B305B5022F +:105360004206D18E63F8B600C29663E5060163F388 +:10537000B600C2968D8E33F7C603C207C183B3D67B +:10538000C603B306D50213150701C98F63F8D7000A +:10539000C29763E5070163F3D700C297958F33D5B2 +:1053A00067008145638A0800B307A0403337F000E7 +:1053B000B305B0403E85998D8280370E0001414390 +:1053C000E36DC6F5614391BF01E6054833586802B5 +:1053D00041676373E8081307F00F63730701214304 +:1053E00033576800BA9603C606001A9613030002E4 +:1053F0003303C34063190306B3850541935608017F +:1054000013150801418113D6070133F7D502B3D52F +:10541000D5024207518FB305B5026378B7004297B2 +:10542000636507016373B7004297B305B74033F76D +:10543000D502C207C183B3D5D502B305B502131592 +:105440000701C98F63F8B700C29763E5070163F3EB +:10545000B700C2978D8FA1B7370700014143E361C1 +:10546000E8F86143B5BF3318680033DEC500935ECA +:1054700008013356C500B31765003355DE03B395F5 +:1054800065004D8E93150801C1819356060133774F +:10549000DE033385A5024207558F6378A700429744 +:1054A000636507016373A7004297B306A74033F70C +:1054B000D60342064182B3D6D6034207B386D5024D +:1054C000B365C70063F8D500C29563E5050163F3D2 +:1054D000D500C295958D1DB7E3E6D5EC416863F51F +:1054E0000605930EF00F33B8DE000E0833DE06011A +:1054F00017B30100130303DC7293834E0300130EF2 +:105500000002C29E330EDE4163170E0263E4B60052 +:105510006369C500B307C540958D3335F500338702 +:10552000A5403E85BA85BDBD370300014148E3EF84 +:1055300066FA614865BF3357D601B396C601D98E66 +:10554000B357D50133D7D501B395C501CD8F93D5C9 +:1055500006013373B702139F0601135F0F0113D8BF +:1055600007013316C6013315C5013357B70242038D +:1055700033680301B30FEF023A83637CF801369876 +:105580001303F7FF6367D8006375F8011303E7FFA0 +:1055900036983308F841B37FB8023358B802C20FC7 +:1055A000B3050F03139F0701135F0F0133EFEF01E3 +:1055B0004287637CBF00369F1307F8FF6367DF00F5 +:1055C0006375BF001307E8FF369F4203C16F336363 +:1055D000E3009387FFFF13580601330FBF40B375F5 +:1055E000F30013530301F18F3387F502B307F3027E +:1055F000B385050333030303BE95135807012E98A3 +:105600006373F8007E93C167FD17935508013378E3 +:10561000F80042087D8F9A9542976366BF00631B2E +:10562000BF006379E5003306C7403337C700958D67 +:10563000998D32873307E5403335E500B305BF4028 +:10564000898DB397D5013357C70133E5E700B3D54B +:10565000C50189BBAE87328836872A8363960620C8 +:1056600097B80100938808C563FEC50A4167637453 +:10567000E60A1307F00F3337C7000E07B356E600EC +:10568000B69883C60800369793060002998E99CA89 +:10569000B397D7003357E5003318D600B365F7004A +:1056A0003313D50013550801B3D7A502131608010B +:1056B00041829356030133F7A502B305F602420770 +:1056C000D98E3E8763FCB600C2961387F7FF63E767 +:1056D000060163F5B6001387E7FFC2968D8EB3F718 +:1056E000A602420313530301B3D6A602C20733E353 +:1056F0006700B305D6023685637BB30042931385FA +:10570000F6FF636603016374B3001385E6FF420787 +:10571000498F81454DA8B70600014147E360D6F6A1 +:105720006147A9BF01E6854633D8C602C166637EDC +:10573000D8089306F00F63F306012147B356E8003B +:10574000B69883C60800BA9613070002158F49E77A +:10575000B38707418545135608019318080193D86C +:1057600008019356030133F7C702B3D7C7024207B4 +:10577000D98E3385F8023E8763FCA600C296138754 +:10578000F7FF63E7060163F5A6001387E7FFC296FC +:10579000898EB3F7C602420313530301B3D6C60280 +:1057A000C20733E36700B388D8023685637B1301F1 +:1057B00042931385F6FF6366030163741301138537 +:1057C000E6FF4207498F3A858280B70600014147CC +:1057D000E366D8F661479DB73318E800B3D5D70024 +:1057E0003313E500B356D50013550801B397E7000E +:1057F00033F7A502B3E8F60093170801C18313D667 +:105800000801B3D5A5024207518FB386B7022E8691 +:10581000637CD70042971386F5FF636707016375C2 +:10582000D7001386E5FF4297B306D74033F7A602A9 +:10583000C20893D80801B3D6A6024207B385D7029F +:10584000B3671701368763FCB700C2971387F6FF6B +:1058500063E7070163F5B7001387E6FFC2978D8FF3 +:1058600093150601D98DC5BD63E2D514416763FE6A +:10587000E6021308F00F3337D8000E0733D8E600DE +:1058800097B50100938505A3C29503C8050093054C +:1058900000023A98B385054185E10547E3E5F6F254 +:1058A0003335C5001347150039BFB705000141471F +:1058B000E3E6B6FC6147D9B733570601B396B600A5 +:1058C000D98E93DE060133D70701B378D703B39798 +:1058D000B700335805013363F80093970601C1837D +:1058E000135803013316B6003357D703C20833E801 +:1058F0000801338FE7023A8E637CE8013698130E75 +:10590000F7FF6367D8006375E801130EE7FF369869 +:105910003308E841B378D8033358D803C208B38EAC +:10592000070393170301C183B3E7F800428763FCC1 +:10593000D701B6971307F8FF63E7D70063F5D701E0 +:105940001307E8FFB697420EB387D741C16E33679E +:10595000EE001388FEFF3373070193580701337875 +:1059600006014182330E03033388080393560E0168 +:105970003303C30242939A96B388C80263F30601C5 +:10598000F69813D60601B29863E01703E39317D98C +:10599000C167FD17FD8EC206337EFE003315B500CC +:1059A000F2968145E371D5E27D17A5B381450147A4 +:1059B00019BDB2883687AA872E886398061C97B6C9 +:1059C00001009386268F63F8C50A4163637E6608EB +:1059D0001303F00F6373C30021473353E6009A9615 +:1059E00003CE0600130300023A9E3303C341630C47 +:1059F0000300B3956500335EC501B31866003368D4 +:105A0000BE00B317650013D608013377C80213959B +:105A10000801418193D607013358C8024207D98E45 +:105A20003308050363F80601C69663E5160163F3C0 +:105A30000601C696B386064133F7C602C207C18384 +:105A4000B3D6C602B306D50213150701C98F63F892 +:105A5000D700C69763E5170163F3D700C697958F04 +:105A600033D5670081458280370300014147E366F3 +:105A700066F661479DB701E60546B35816034166D1 +:105A800063F3C8081306F00F63731601214733D67A +:105A9000E800B29603CE0600130300023A9E3303D9 +:105AA000C34163190306B385154113D70801139544 +:105AB0000801418113D60701B3F6E502B3D5E5022B +:105AC000C206D18EB305B50263F8B600C69663E58B +:105AD000160163F3B600C696B385B640B3F6E50289 +:105AE000C207C183B3D5E502B305B502139506011C +:105AF000C98F63F8B700C69763E5170163F3B70072 +:105B0000C6978D8FB1BF370600014147E3E1C8F862 +:105B10006147B5BFB398680033D7C501B3176500B7 +:105B2000335EC50113D50801B376A702B3956500AE +:105B3000336EBE0093950801C18113560E01335791 +:105B4000A702C206D18E3387E50263F8E600C69647 +:105B500063E5160163F3E600C6963386E640B37646 +:105B6000A602420E135E0E013356A602C20633860B +:105B7000C502B3E5C60163F8C500C69563E5150126 +:105B800063F3C500C695918D0DB7E3EED5EC416783 +:105B900063F5E604930EF00F33B7DE000E0733D340 +:105BA000E60097A801009388E8709A9883CE0800D1 +:105BB000130E0002BA9E330EDE4163170E0263E439 +:105BC000B6006369C500B307C540958D3335F50050 +:105BD0003388A5403E85C28579B5B70800014147A5 +:105BE000E3EF16FB614765BF3357D601B396C60195 +:105BF000D98EB357D50133D7D501B395C501CD8F14 +:105C000093D506013373B702139F0601135F0F018B +:105C100093D807013316C6013315C5013357B702B0 +:105C20004203B36813013308EF023A8363FC0801AF +:105C3000B6981303F7FF63E7D80063F50801130371 +:105C4000E7FFB698B3880841B3FFB802B3D8B802EB +:105C5000C20FB3051F03139F0701135F0F0133EF3B +:105C6000EF014687637CBF00369F1387F8FF6367A9 +:105C7000DF006375BF001387E8FF369F4203C168EA +:105C80003363E3009387F8FF13580601330FBF40D7 +:105C9000B375F30013530301F18F3387F502B30794 +:105CA000F302B385050333030303BE9513580701BD +:105CB0002E986373F8004693C167FD17935508014A +:105CC0003378F80042087D8F9A9542976366BF004B +:105CD000631BBF006379E5003306C7403337C70055 +:105CE000958D998D32873307E5403335E500B3054F +:105CF000BF40898DB397D5013357C70133E5E7001E +:105D0000B3D5C5018DB397A701009387677A9443F4 +:105D100017A70100130787781843C8C2637BE50003 +:105D200017A701001307477808438C4391056FA01C +:105D30003F81411122C406C617A701001307077748 +:105D40002A8408438C439105EFA08FFF97A7010099 +:105D5000938707739C436376F40097A7010023A100 +:105D60008772B24022444101828097A70100938745 +:105D70002774984394437C43FD177CC3FC4299E30A +:105D8000736004308280011122CC26CA2A84AE843A +:105D90004AC84EC652C456C206CEEFC00F9C1C4025 +:105DA00003290401B7090001B3E59700930AC40071 +:105DB0000CC08144FD19370A00021840638C2A0385 +:105DC000032609004A85B3654701B3763601931768 +:105DD0005600758F0329490063C4070001E7F1BF2E +:105DE000E39DE6FC9317760063D30700D58CEFD0D4 +:105DF000AF85E1B793C4F4FFF98C04C0EFC0EFBAEC +:105E00000840F2406244D2444249B249224A924A8E +:105E1000056182804111014506C622C4EFF02FBF03 +:105E200017F7FFFF1307471F8146054693054006F6 +:105E300017A501001305C55CEFD01FD519C92A8429 +:105E4000EFC0AF922A860147814685452285EFD073 +:105E50005FE0EFB01FEBB240224401454101828078 +:02000004800278 +:100000001247FEFF1048FEFF1A47FEFF1048FEFF92 +:100010001048FEFF1048FEFF1048FEFF3247FEFF6B +:100020001048FEFF1048FEFF4C47FEFF5847FEFFFA +:100030001048FEFF6A47FEFF7647FEFF7647FEFF49 +:100040007647FEFF7647FEFF7647FEFF7647FEFFC8 +:100050007647FEFF7647FEFF7647FEFF1048FEFF1D +:100060001048FEFF1048FEFF1048FEFF1048FEFF3C +:100070001048FEFF1048FEFF1048FEFF1048FEFF2C +:100080001048FEFF1048FEFF1048FEFF1048FEFF1C +:100090001048FEFF1048FEFF1048FEFF1048FEFF0C +:1000A0001048FEFF1048FEFF1048FEFF1048FEFFFC +:1000B0001048FEFF1048FEFF1048FEFF1048FEFFEC +:1000C0001048FEFF1048FEFF1048FEFF1048FEFFDC +:1000D0001048FEFF1048FEFF1048FEFF1048FEFFCC +:1000E0001048FEFF1048FEFF1048FEFF1048FEFFBC +:1000F0001048FEFF1048FEFF1048FEFF1048FEFFAC +:10010000AA47FEFFC047FEFF1048FEFF1048FEFF53 +:100110001048FEFF1048FEFF1048FEFF1048FEFF8B +:100120001048FEFF0648FEFF1048FEFF1048FEFF85 +:10013000B845FEFF7046FEFF1048FEFF1048FEFF68 +:10014000A646FEFF1048FEFFFE46FEFF1048FEFFDB +:100150001048FEFF2C47FEFF1E4BFEFF724BFEFFBA +:10016000264BFEFF724BFEFF724BFEFF724BFEFFF3 +:10017000724BFEFF184AFEFF724BFEFF724BFEFFF2 +:10018000324AFEFF3E4AFEFF724BFEFF504AFEFF20 +:100190005C4AFEFF5C4AFEFF5C4AFEFF5C4AFEFFD3 +:1001A0005C4AFEFF5C4AFEFF5C4AFEFF5C4AFEFFC3 +:1001B0005C4AFEFF724BFEFF724BFEFF724BFEFF6E +:1001C000724BFEFF724BFEFF724BFEFF724BFEFF47 +:1001D000724BFEFF724BFEFF724BFEFF724BFEFF37 +:1001E000724BFEFF724BFEFF724BFEFF724BFEFF27 +:1001F000724BFEFF724BFEFF724BFEFF724BFEFF17 +:10020000724BFEFF724BFEFF724BFEFF724BFEFF06 +:10021000724BFEFF724BFEFF724BFEFF724BFEFFF6 +:10022000724BFEFF724BFEFF724BFEFF724BFEFFE6 +:10023000724BFEFF724BFEFF724BFEFF724BFEFFD6 +:10024000724BFEFF724BFEFF724BFEFF724BFEFFC6 +:10025000724BFEFF724BFEFF904AFEFFBE4AFEFF4E +:10026000724BFEFF724BFEFF724BFEFF724BFEFFA6 +:10027000724BFEFF724BFEFF724BFEFF144BFEFFF4 +:10028000724BFEFF724BFEFF7648FEFF7049FEFF89 +:10029000724BFEFF724BFEFFE249FEFF724BFEFF08 +:1002A000084AFEFF724BFEFF724BFEFF6C4BFEFFD7 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020416C74506F6C6C51207461736B73208E +:1003E0000D0A00006D69616F750A00006D69616F2B +:1003F00075320A0068756E6772790A004368656332 +:100400006B54696D65720000416C74506F6C6C5177 +:10041000756575650000000051436F6E734E4200B4 +:080420005150726F644E42005E +:100428001000000000000000017A5200017C010168 +:100438001B0D02001000000018000000864EFEFF91 +:100448008A03000000000000100000002C000000DB +:10045800FC51FEFF5E0300000000000010000000D9 +:10046800400000004655FEFF540300000000000055 +:0804EC00FC8F01000900000073 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/AltQTest_rv32i_O0.hex b/VexRiscv/src/test/resources/freertos/AltQTest_rv32i_O0.hex new file mode 100644 index 0000000..b351a49 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/AltQTest_rv32i_O0.hex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diff --git a/VexRiscv/src/test/resources/freertos/AltQTest_rv32i_O3.hex b/VexRiscv/src/test/resources/freertos/AltQTest_rv32i_O3.hex new file mode 100644 index 0000000..7d80259 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/AltQTest_rv32i_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/AltQTest_rv32ic_O0.hex b/VexRiscv/src/test/resources/freertos/AltQTest_rv32ic_O0.hex new file mode 100644 index 0000000..6484592 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/AltQTest_rv32ic_O0.hex @@ -0,0 +1,1581 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900021B8170502001305E53A979503009385EB +:1000A000256C01461122170502001305A535970599 +:1000B00002009385A5350146C52817A50300130541 +:1000C000656A97B503009385A56937B6ABAB130690 +:1000D000B6BAD92817B103001301C168EF40101F49 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2021D23A02200F3221034A1 +:1002400096DEEF006030170102000321A11B02417E +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E21923A0120023A2B9 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40006F17010200032140 +:10033000610D0241F65273901234B722000093828D +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A20B83A0C0 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A202FB23A0220086DEEF1097 +:100460003062170102000321E1F90241F652739054 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F0961730020309707BF +:1004C00002009387E7F49843FD576305F7007370C4 +:1004D000043001A07370043001A041112AC6B24754 +:1004E000739047300100410182804111F327403071 +:1004F0003EC673F04330B2473E85410182804111D0 +:100500002AC62EC432C2B247F1173EC62247B247AE +:1005100098C3B247938787FA3EC61247B24798C33B +:10052000B247A1173EC68E873E87B24798C3B247EF +:10053000D1173EC6170700001307A7F8B24798C3A4 +:10054000B2473E8541018280411106C6EF402045F9 +:10055000EF105036AA8799C3EF1090520100B240B5 +:100560004101828041112AC6B24713878700B247F2 +:10057000D8C3B2477D5798C7B24713878700B247A1 +:10058000D8C7B24713878700B24798CBB24723A09A +:10059000070001004101828041112AC6B24723A809 +:1005A000070001004101828001112AC62EC4B24712 +:1005B000DC433ECEA2477247D8C3F2479847A247D2 +:1005C00098C7F2479C472247D8C3F247224798C7AB +:1005D000A247324798CBB2479C4313871700B247D4 +:1005E00098C301000561828001112AC62EC4A2476A +:1005F0009C433ECC6247FD576316F700B2479C4BC5 +:100600003ECE31A8B247A1073ECE21A0F247DC433F +:100610003ECEF247DC439C436247E379F7FEF24764 +:10062000D843A247D8C3A247DC43224798C7A24772 +:10063000724798C7F2472247D8C3A247324798CBA0 +:10064000B2479C4313871700B24798C30100056166 +:10065000828001112AC6B2479C4B3ECEB247DC4392 +:100660003247184798C7B2479C4732475843D8C3C8 +:10067000F247DC4332476316F700B2479847F24728 +:10068000D8C3B24723A80700F2479C431387F7FF5C +:10069000F24798C3F2479C433E8505618280797199 +:1006A00006D622D42AC62EC4B2473ECEF24781E7F0 +:1006B0007370043001A0EF20A078F2478043F24726 +:1006C000D85FF247BC43BE853A85EF50A06CAA873D +:1006D0003307F400F247D8C3F24723AC0702F247CE +:1006E0009843F24798C7F2478043F247DC5F13878D +:1006F000F7FFF247BC43BE853A85EF50A069AA8751 +:100700003307F400F247D8C7F2477D57F8C3F247E2 +:100710007D57B8C7A24799EFF2479C4B8DC7F24768 +:10072000C1073E85EF1010622A878547631DF700D9 +:10073000F13911A8F247C1073E852D35F24793875D +:1007400047023E850535EF20807285473E85B250D1 +:10075000225445618280797106D62AC62EC4B2879A +:10076000A303F10002CCB24781E77370043001A00B +:10077000A24799E302CE01A8A2453245EF5080611D +:10078000AA8785073ECEF247938747053E85EF301F +:10079000007E2ACAD24785CFA24789E7D24752476F +:1007A00098C331A0D24713874705D24798C3D24791 +:1007B0003247D8DFD2472247B8C385455245C535B1 +:1007C000D247034771002388E704D2473ECCE24773 +:1007D00081E77370043001A0E2473E85B250456165 +:1007E0008280797106D6AA87A307F1001305400518 +:1007F000EF30E0772ACEF247B9CFF24723A20700C5 +:10080000F24723A00700F24723A40700F24723A6DC +:100810000700F24723AC0702F2470547D8DFF2474B +:1008200023A00704F2477D57F8C3F2477D57B8C7A6 +:10083000F2470347F1002388E704F247C1073E85EA +:100840001533F247938747023E85293B814601468F +:10085000814572453122F2473E85B2504561828022 +:10086000797106D622D42AC6B2473ECCE24781E748 +:100870007370043001A0E247C043EF20803EAA8796 +:100880006313F402E247DC471387F7FFE247D8C758 +:10089000E247DC4791E78146014681456245C92030 +:1008A00085473ECE11A002CEF2473E85B25022547B +:1008B00045618280797106D622D42AC62EC4B247F9 +:1008C0003ECCE24781E77370043001A0E247C043A9 +:1008D000EF202039AA87631BF400E247DC47138727 +:1008E0001700E247D8C785473ECE0DA08146224675 +:1008F00081456245812B2ACE724785476318F700F0 +:10090000E247DC4713871700E247D8C7F2473E8526 +:10091000B250225445618280797106D62AC62EC40F +:10092000B24781E77370043001A02247B24763F5F4 +:10093000E7007370043001A0094681453245213D2E +:100940002ACEF24781C7F247224798DFF24781E774 +:100950007370043001A0F2473E85B2504561828039 +:10096000397106DE2AC62EC432C236C002D6B2475C +:100970003ED4A25781E77370043001A0A24781E7FB +:10098000A257BC4399E3854711A0814781E7737063 +:10099000043001A0024789476317F700A257D85FC8 +:1009A00085476314F700854711A0814781E773707D +:1009B000043001A0EF20402CAA8799E3924799E3E5 +:1009C000854711A0814781E77370043001A0EF20B3 +:1009D0002047A257985FA257DC5F6366F700024783 +:1009E0008947631EF7020246A2452255EF00007CAC +:1009F0002AD2A257DC5389CFA257938747023E855C +:100A0000EF1050342A8785476317F700013421A07F +:100A1000925791C3E53AEF20804585474DA0924714 +:100A200089E7EF20C044814759A8B25799E77C086D +:100A30003E85EF10D04885473ED6EF204043EF106B +:100A4000A03BEF20E03FA257F843FD576315F700A6 +:100A5000A25723A20704A257B847FD576315F70012 +:100A6000A25723A40704EF20804058007C08BA85D1 +:100A70003E85EF10D047AA878DEF2255EF00D012A8 +:100A8000AA8795C3A257C1071247BA853E85EF10C2 +:100A900050122255EF00B003EF10C037AA87E39839 +:100AA00007F2AD322DB72255EF007002EF108036FD +:100AB00039BF2255EF00B001EF10C03581473E85A8 +:100AC000F25021618280797106D62AC62EC432C2C4 +:100AD00036C002CEB2473ECCE24781E773700430A5 +:100AE00001A0A24781E7E247BC4399E3854711A0F3 +:100AF000814781E77370043001A0EF206034E24742 +:100B0000985FE247DC5F6379F7020246A2456245DF +:100B1000EF00C069E247DC5381CFE2479387470289 +:100B20003E85EF1030222A8785476313F700F93896 +:100B3000EF20E033854785A0924789E7EF202033F7 +:100B4000814791A8F24799E71C083E85EF1030379E +:100B500085473ECEEF20A031EF20802E58001C08A4 +:100B6000BA853E85EF10B038AA8799EF6245EF004D +:100B7000B003AA8791CFE247C1071247BA853E85E5 +:100B8000EF103003613029A0EF20602E814721A0B3 +:100B9000EF20E02D9DB73E85B250456182803971CE +:100BA00006DE2AC62EC432C236C002D6B2473ED4B2 +:100BB000A25781E77370043001A0A24781E7A257D2 +:100BC000BC4399E3854711A0814781E773700430E6 +:100BD00001A0EF20E026A2579C5FB5CBA257DC47CF +:100BE0003ED2A2452255792D824795EFA2579C5FB0 +:100BF0001387F7FFA25798DFA2579C4391E7EF2096 +:100C0000602E2A87A257D8C3A2579C4B8DCFA257DC +:100C1000C1073E85EF1010132A8785476315F70239 +:100C2000EFF0CFFE0DA0A2571257D8C7A257DC5342 +:100C300099CBA257938747023E85EF10B010AA8741 +:100C400099C3EFF0AFFCEF2080228547ADA8924713 +:100C500089E7EF20C0218147BDA0B25799E77C0802 +:100C60003E85EF10D02585473ED6EF204020EF206F +:100C7000201D58007C08BA853E85EF105027AA87B2 +:100C800085EF2255E92DAA8785CFA2579C4391EB8A +:100C9000EF20001BA257DC433E85EF20E000EF2051 +:100CA000001DA257938747021247BA853E85EF1071 +:100CB0004070EFF0AFF529A0EF20601B814721A025 +:100CC000EF20E01A39B73E85F250216182807971B8 +:100CD00006D62AC62EC432C236C0B2473ECCE24740 +:100CE00081E77370043001A0A24781E7E247BC436B +:100CF00099E3854711A0814781E77370043001A013 +:100D0000024789476317F700E247D85F85476314B6 +:100D1000F700854711A0814781E77370043001A077 +:100D2000EFF0AFFCAA873ECAE247985FE247DC5F7C +:100D30006366F700024789476315F7040246A24538 +:100D40006245AD21E247B847FD576313F702E2471A +:100D5000DC538DC7E247938747023E85EF10807EC4 +:100D6000AA8789CF924799CB9247054798C339A064 +:100D7000E247BC4713871700E247B8C785473ECE16 +:100D800011A002CED2473E85EFF02FF5F2473E8507 +:100D9000B25045618280797106D62AC62EC4B24708 +:100DA0003ECCE24781E77370043001A0E247BC43C8 +:100DB00081C77370043001A0E2479C4381E7E2479A +:100DC000DC4399E3854711A0814781E773700430C4 +:100DD00001A0EFF08FF1AA873ECAE247985FE24791 +:100DE000DC5F6377F704E2479C5F13871700E247F5 +:100DF00098DFE247B847FD576313F702E247DC5339 +:100E00008DC7E247938747023E85EF10A073AA87FC +:100E100089CFA24799CBA247054798C339A0E2479B +:100E2000BC4713871700E247B8C785473ECE11A0DD +:100E300002CED2473E85EFF04FEAF2473E85B250F0 +:100E400045618280397106DE2AC62EC432C236C0A0 +:100E500002D6B2473ED4A25781E77370043001A096 +:100E6000A24781E7A257BC4399E3854711A0814778 +:100E700081E77370043001A0EF101060AA8799E336 +:100E8000924799E3854711A0814781E77370043049 +:100E900001A0EF10F07AA2579C5FB5CBA257DC47B8 +:100EA0003ED2A2452255F92E824795EFA2579C5F6C +:100EB0001387F7FFA25798DFA2579C4391E7EF20D3 +:100EC00060022A87A257D8C3A2579C4B8DCFA25746 +:100ED000C1073E85EF1000672A8785476315F70233 +:100EE000EFF0CFD20DA0A2571257D8C7A257DC53AC +:100EF00099CBA257938747023E85EF10A064AA873B +:100F000099C3EFF0AFD0EF10907685475DA8924778 +:100F100089E7EF10D07581476DA0B25799E77C083B +:100F20003E85EF10C07985473ED6EF105074EF0034 +:100F3000B06CEF10F070A257F843FD576315F7003F +:100F4000A25723A20704A257B847FD576315F7001D +:100F5000A25723A40704EF10907158007C08BA85AB +:100F60003E85EF10C078AA87A1EB2255CD2EAA8727 +:100F70009DCFA2579C4391EBEF10906CA257DC439E +:100F80003E85EF107052EF10906EA2579387470284 +:100F90001247BA853E85EF10C04122550D2EEF0055 +:100FA0007067AA87E39707EEEFF04FC6DDB52255CD +:100FB000392EEF003066F1BD2255112EEF009065FD +:100FC00081473E85F25021618280797106D62AC61A +:100FD0002EC432C2B2473ECCE24781E77370043080 +:100FE00001A0A24781E7E247BC4399E3854711A0EE +:100FF000814781E77370043001A0EFF00FCFAA871B +:101000003ECAE2479C5FA1CBA2456245A52CE247C0 +:101010009C5F1387F7FFE24798DFE247F843FD57ED +:101020006312F702E2479C4B85C7E247C1073E8542 +:10103000EF104051AA8789CF924799CB9247054735 +:1010400098C339A0E247FC4313871700E247F8C36F +:1010500085473ECE11A002CED2473E85EFF0EFC7C6 +:10106000F2473E85B25045618280797106D62AC624 +:101070002EC4B2473ECCE24781E77370043001A032 +:10108000A24781E7E247BC4399E3854711A0814726 +:1010900081E77370043001A0E247BC4381E77370BD +:1010A000043001A0EFF06FC4AA873ECAE2479C5FFC +:1010B00089CFE247DC473EC8A2456245E122E247CC +:1010C0004247D8C785473ECE11A002CED2473E85C3 +:1010D000EFF0AFC0F2473E85B25045618280797132 +:1010E00006D62AC6B24781E77370043001A0EF101C +:1010F0003055B2479C5F3ECEEF107057F2473E85A9 +:10110000B25045618280797106D62AC6B2473ECE7A +:10111000F24781E77370043001A0EF107052F2477C +:10112000D85FF2479C5FB307F7403ECCEF103054D6 +:10113000E2473E85B2504561828001112AC6B2471E +:1011400081E77370043001A0B2479C5F3ECEF24746 +:101150003E8505618280797106D62AC6B2473ECEA9 +:10116000F24781E77370043001A07245E5247245AF +:10117000EF20F0720100B2504561828041112AC611 +:10118000B247FC473E854101828041112AC62EC4E8 +:10119000B2472247F8C701004101828041112AC6A7 +:1011A000B24783C707053E8541018280797106D623 +:1011B0002AC62EC432C202CEB247BC4391EFB24718 +:1011C0009C43D5E7B247DC433E85EF10903B2ACEE7 +:1011D000B24723A2070061A8924785EFB24798471C +:1011E000B247BC433E86A2453A85EF20F079B2472C +:1011F0009847B247BC433E97B24798C7B247984713 +:10120000B247DC436365F706B2479843B24798C7D5 +:10121000B9A8B247D847B247BC433E86A2453A85F3 +:10122000EF209076B247D847B247BC43B307F040AF +:101230003E97B247D8C7B247D847B2479C43637B73 +:10124000F700B247D843B247BC43B307F0403E97DC +:10125000B247D8C712478947631BF700B2479C5F64 +:1012600099C7B2479C5F1387F7FFB24798DFB24731 +:101270009C5F13871700B24798DFF2473E85B25054 +:1012800045618280011106CE2AC62EC4B247BC43F6 +:101290009DCBB247D847B247BC433E97B247D8C769 +:1012A000B247D847B247DC436366F700B24798437A +:1012B000B247D8C7B247D847B247BC433E86BA8583 +:1012C0002245EF20706C0100F2400561828001111F +:1012D00006CE2AC6EF10D03625A0B247DC5395C7FC +:1012E000B247938747023E85EF10C025AA8799C36E +:1012F000EF104049B247BC471387F7FFB247B8C762 +:10130000B247BC47E34BF0FC11A00100B2477D5748 +:10131000B8C7EF10D035EF10B0321DA0B2479C4BCC +:101320008DC7B247C1073E85EF10C021AA8799C378 +:10133000EF104045B247FC431387F7FFB247F8C3AD +:10134000B247FC43E34CF0FC11A00100B2477D57CB +:10135000F8C3EF10D0310100F2400561828079714D +:1013600006D62AC6EF10D02DB2479C5F81E785478D +:101370003ECE11A002CEEF10902FF2473E85B25024 +:101380004561828001112AC6B24781E7737004303B +:1013900001A0B2479C5F81E785473ECE11A002CEF7 +:1013A000F2473E8505618280797106D62AC6EF1024 +:1013B0003029B247985FB247DC5F6315F700854775 +:1013C0003ECE11A002CEEF10902AF2473E85B250D9 +:1013D0004561828001112AC6B24781E773700430EB +:1013E00001A0B247985FB247DC5F6315F7008547FD +:1013F0003ECE11A002CEF2473E85056182800111EA +:101400002AC62EC402CE81A0178703001307873196 +:10141000F2478E07BA979C4385E7178703001307A7 +:101420006730F2478E07BA97224798C317870300A1 +:101430001307472FF2478E07BA973247D8C301A840 +:10144000F24785073ECE72479D47E3FFE7FA01006A +:101450000561828001112AC602CE0DA817870300FC +:101460001307472CF2478E07BA97DC433247631CB9 +:10147000F700178703001307E72AF2478E07BA978A +:1014800023A0070001A8F24785073ECE72479D477B +:10149000E3F6E7FC010005618280797106D62AC671 +:1014A0002EC432C2B2473ECEEF109019F247F84335 +:1014B000FD576315F700F24723A20704F247B84728 +:1014C000FD576315F700F24723A40704EF10301A05 +:1014D000F2479C5F89EBF247938747021246A24589 +:1014E0003E85EF00907E7245DD330100B2504561CC +:1014F0008280397106DE2ACE2ECC36C83AC63EC46A +:1015000042C246C0B287231BF100F24781E77370E5 +:10151000043001A03247A54763F5E700737004303B +:1015200001A08357610192453E85EF1080512AD476 +:10153000A257638F0712A257985B83566101B707C2 +:101540000040FD17B6978A07BA973ED29257F19B93 +:101550003ED292578D8B81C77370043001A08357A0 +:1015600061013E8782463246E2452255EF10E02770 +:101570004246F2451255EFE09FF82A87A25798C3DA +:10158000A24781C7A247225798C3EF10700B97F765 +:101590000100938767069C431387170097F70100A4 +:1015A0009387870598C397F701009387A7E59C4326 +:1015B00095E397F701009387E7E4225798C397F7DD +:1015C00001009387670398438547631AF702EF107A +:1015D000C02C35A097F701009387C7029C4385E391 +:1015E00097F70100938707E29C43DC573247636813 +:1015F000F70097F701009387E7E0225798C397F722 +:101600000100938727019C431387170097F7010078 +:101610009387470098C397F701009387A7FF9843E4 +:10162000A257B8C7A257D85797F70100938747FD2D +:101630009C4363F9E700A257D85797F701009387B7 +:1016400027FC98C3A257D857BA878A07BA978A0740 +:1016500017F701001307C7E63E97A2579107BE850B +:101660003A85EFE07FF485473ED6EF10500019A091 +:10167000FD573ED6325785476313F70297F70100AF +:10168000938747F89C4381CF97F70100938787D7CB +:101690009C43DC57324763F4E700EFE03FD7B25793 +:1016A0003E85F25021618280797106D62AC6EF10FC +:1016B0002079B24799E797F701009387A7D49C4315 +:1016C00011A0B2473ECEF24791073E85EFE07FF88A +:1016D000F2479C5791C7F247E1073E85EFE07FF75D +:1016E000F2479107BE8517F50100130525EEEFE0DF +:1016F000BFEB97F701009387A7EE9C431387170072 +:1017000097F701009387C7ED98C397F70100938778 +:1017100067F09C431387170097F70100938787EFC3 +:1017200098C3EF10C07497F701009387A7ED9C430F +:101730009DCB97F701009387E7CC9C437247631ECC +:10174000F70097F70100938767ED9C4381C773709B +:10175000043001A0EFE09FCB39A0EF10606EEF10D6 +:10176000A04BEF10C0700100B250456182807971CA +:1017700006D62AC62EC402CEB24781E77370043063 +:1017800001A0A24781E77370043001A097F7010020 +:101790009387C7E89C4381C77370043001A0A92DCB +:1017A00097F70100938787E59C433ECCB2479C4363 +:1017B0002247BA973ECAB2479C436247637EF7000E +:1017C000B2479C4352476374F7025247E24763F0C3 +:1017D000E70285473ECE21A8B2479C435247636645 +:1017E000F7005247E24763F4E70085473ECEB24731 +:1017F000524798C3F24789CF97F70100938787C074 +:101800009C4391073E85EFE0DFE45245EF10001B5B +:1018100011252AC8C24799E3EFE05FBF0100B2502B +:1018200045618280797106D62AC602CEB247A9C325 +:1018300097F70100938787DE9C4381C7737004305C +:1018400001A05D2B97F70100938747DB9C4332474C +:10185000BA973ECC97F701009387C7BA9C4391078C +:101860003E85EFE01FDF6245EF10401565232ACE6D +:10187000F24799E3EFE09FB90100B25045618280E1 +:10188000797106D62AC6B2473ECCE24781E773702B +:10189000043001A097F701009387C7B69C436247C5 +:1018A0006314F70002CEBDA0EF108059E247DC4B75 +:1018B0003ECAEF10C05B97F70100938767CF9C4348 +:1018C0005247630AF70097F701009387A7CE9C431E +:1018D00052476315F70089473ECE2DA8524797F728 +:1018E0000100938727D0631BF700E2479C5781E7ED +:1018F0008D473ECE05A089473ECE29A8524797F78F +:1019000001009387A7CC6315F70091473ECE19A03D +:1019100085473ECEF2473E85B2504561828079715F +:1019200006D62AC6EF10C051B24799E797F70100D3 +:10193000938747AD9C4311A0B2473ECEF247DC5798 +:101940003ECCEF10C052E2473E85B25045618280E6 +:10195000797106D62AC6EFE05FB9AA873ECEB247B4 +:1019600099E797F701009387E7A99C4311A0B24735 +:101970003ECCE247DC573ECAF2473E85EFE0FFB57A +:10198000D2473E85B25045618280797106D62AC61B +:101990002EC402CE2247A54763F5E70073700430DA +:1019A00001A02247A54763F4E700A5473EC4EF1016 +:1019B0002049B24799E797F701009387A7A49C4372 +:1019C00011A0B2473ECCE247BC4B3ECA5247A247A9 +:1019D000630AF70E2247D24763F6E70297F7010042 +:1019E000938747A29C4362476308F70297F7010079 +:1019F000938747A19C43DC572247636FF7008547D5 +:101A00003ECE19A897F701009387C79F9C43624772 +:101A10006314F70085473ECEE247DC573EC8E247F5 +:101A2000B84BE247DC576315F700E2472247D8D7A7 +:101A3000E2472247B8CBE2479C4F63C707002947DC +:101A4000A2471D8FE24798CFE247D44B4247BA875F +:101A50008A07BA978A0717F70100130767A6BA978C +:101A60006394F600854711A08147B9C7E247910703 +:101A70003E85EFE01FBEE247D85797F701009387F6 +:101A800027B89C4363F9E700E247D85797F701006E +:101A9000938707B798C3E247D857BA878A07BA9798 +:101AA0008A0717F701001307A7A13E97E24791079E +:101AB000BE853A85EFE05FAF724785476314F70054 +:101AC000EFE0DF94EF10A03A0100B2504561828050 +:101AD000797106D62AC6EF10A036B24799E797F774 +:101AE0000100938727929C4311A0B2473ECEF24754 +:101AF00091073E85EFE0FFB5F2479C5791C7F2474B +:101B0000E1073E85EFE0FFB4F2479107BE8517F588 +:101B10000100130525ADEFE03FA9EF10403597F721 +:101B200001009387278E9C4372476319F70497F748 +:101B30000100938727AD9C4389CF97F701009387D6 +:101B4000E7AD9C4381C77370043001A0EFE01F8CA8 +:101B500091A097F701009387E7A8984397F70100B2 +:101B6000938787A99C436319F70097F70100938730 +:101B7000678923A0070039A8212729A897F7010022 +:101B8000938747A89C4399C7EF10802BEF10C0089C +:101B9000EF10E02D0100B2504561828001112AC68C +:101BA00002CEB2473ECCB24781E77370043001A049 +:101BB000E247D84B97F701009387C7A26314F70059 +:101BC000854711A0814795C3E247985797F70100D1 +:101BD0009387879E630BF700E2479C5799E38547FD +:101BE00011A0814799C385473ECEF2473E850561E6 +:101BF0008280797106D62AC6B2473ECEB24781E7C7 +:101C00007370043001A0F247C9C797E701009387BA +:101C1000677F9C437247630EF706EF1060227245A0 +:101C2000B53F2A8785476314F706F24791073E853B +:101C3000EFE03FA2F247D85797F701009387479C00 +:101C40009C4363F9E700F247D85797F70100938761 +:101C5000279B98C3F247D857BA878A07BA978A074B +:101C600017F701001307C7853E97F2479107BE8516 +:101C70003A85EFE07F93F247D85797E701009387C3 +:101C800067789C43DC576364F700EFE02FF8EF10B0 +:101C9000001E0100B25045618280797106D62AC6C5 +:101CA00002CEB2473ECCB24781E77370043001A048 +:101CB000EFE0BF83AA873ECA6245CD352A878547B4 +:101CC0006314F70897F70100938747959C43A5E7AE +:101CD000E247D85797E701009387C7729C43DC57C8 +:101CE0006364F70085473ECEE24791073E85EFE00B +:101CF0005F96E247D85797F70100938767909C4318 +:101D000063F9E700E247D85797F701009387478FB9 +:101D100098C3E247D857BA878A07BA978A0717E75E +:101D200001001307E7793E97E2479107BE853A85A0 +:101D3000EFE09F8711A8E247E107BE8517F5010094 +:101D400013058587EFE05F86D2473E85EFE0EFF829 +:101D5000F2473E85B25045618280011106CE81482E +:101D600001488147014781461306004097E501007D +:101D70009385452917150000130585A5EFF06FF72A +:101D80002AC6324785476315F700EF10C06D2AC693 +:101D9000324785476319F7027370043097F70100E3 +:101DA000938787877D5798C397F701009387878527 +:101DB000054798C397F701009387478423A007003E +:101DC000EFE02FCE31A0B24781E77370043001A05D +:101DD0000100F24005618280411106C67370043033 +:101DE00097F701009387078223A00700EFE0AFD9A0 +:101DF0000100B2404101828097F70100938707827A +:101E00009C431387170097F701009387278198C396 +:101E100001008280011106CE02C697E70100938778 +:101E2000E77F9C4381E77370043001A0EF1040010D +:101E300097E701009387877E9C431387F7FF97E717 +:101E400001009387A77D98C397E701009387077DDB +:101E50009C436390071097E701009387E7799C43C1 +:101E60006389070E59A097E701009387E774DC4761 +:101E7000DC473EC4A247E1073E85EFE08FFDA24765 +:101E800091073E85EFE0EFFCA247D85797E70100A6 +:101E9000938707779C4363F9E700A247D85797E7F2 +:101EA00001009387E77598C3A247D857BA878A0776 +:101EB000BA978A0717E70100130787603E97A24782 +:101EC0009107BE853A85EFE02FEEA247D85797E7F6 +:101ED0000100938727539C43DC576368F70097E71B +:101EE00001009387A772054798C397E7010093877E +:101EF000A76C9C43ADFB97E701009387E7709C4379 +:101F00008DCF35A0452AAA8799C797E70100938707 +:101F1000E76F054798C397E701009387E76E9C43F7 +:101F20001387F7FF97E701009387076E98C397E73A +:101F300001009387676D9C43F1F797E70100938752 +:101F4000E76C984385476316F70085473EC6EFE088 +:101F5000EFCBEF00D071B2473E85F2400561828041 +:101F6000011106CEEF00D06D97E701009387076956 +:101F70009C433EC6EF00B06FB2473E85F24005611C +:101F80008280011106CEEFE04FD6AA873EC697E7C2 +:101F900001009387A7669C433EC4B2473E85EFE0AD +:101FA000CFD3A2473E85F2400561828097E70100CA +:101FB000938787649C433E858280797106D62AC6C2 +:101FC0002EC432C202CEA9473ECC3D3597E7010070 +:101FD000938787629C4322476368F70CE247FD17AB +:101FE0003ECCF24796073247B306F7006247BA87FE +:101FF0008A07BA978A0717E701001307674CBA9751 +:102000000546BE853685EF00902A2A87F247BA97A3 +:102010003ECEE247E1F7F247960732473E9797E711 +:1020200001009387E7589C430946BE853A85EF0037 +:1020300010282A87F247BA973ECEF24796073247D2 +:102040003E9797E701009387E7569C430946BE8574 +:102050003A85EF00D0252A87F247BA973ECEF2475D +:1020600096073247BA97114697E5010093850556C2 +:102070003E85EF00D0232A87F247BA973ECEF2473B +:1020800096073247BA970D4697E501009385855527 +:102090003E85EF00D0212A87F247BA973ECE92477D +:1020A00081C7924723A00700B533F2473E85B2505F +:1020B00045618280797106D602CE97E70100938749 +:1020C000E7559C436395071897E7010093870753EB +:1020D0009C431387170097E701009387275298C303 +:1020E00097E70100938787519C433ECCE247B9EFC5 +:1020F00097E701009387C74B9C439C4381C773704C +:10210000043001A097E701009387874A9C433ECAA9 +:1021100097E701009387074A984397E70100938761 +:10212000274998C397E701009387C748524798C348 +:1021300097E701009387C74D9C431387170097E7E4 +:1021400001009387E74C98C3EF00102D97E701003B +:102150009387874C9C4362476365F70C97E70100C0 +:10216000938707459C439C4399E3854711A081478A +:1021700081CB97E701009387274A7D5798C355A0E5 +:1021800097E701009387C7429C43DC47DC473EC882 +:10219000C247DC433EC66247B2476379F70097E720 +:1021A000010093876747324798C3A5A8C2479107A4 +:1021B0003E85EFE00FCAC2479C5791C7C247E1076F +:1021C0003E85EFE00FC9C247D85797E701009387D4 +:1021D00027439C4363F9E700C247D85797E70100BC +:1021E0009387074298C3C247D857BA878A07BA97D6 +:1021F0008A0717E701001307A72C3E97C2479107EC +:10220000BE853A85EFE04FBAC247D85797E701003D +:102210009387471F9C43DC57E362F7F485473ECE24 +:1022200035BF97E701009387E71D9C43D85797E692 +:1022300001009386E628BA878A07BA978A07B69775 +:102240009843854763F1E70285473ECE29A897E783 +:1022500001009387673B9C431387170097E70100B2 +:102260009387873A98C397E701009387273A9C435F +:1022700099C385473ECEF2473E85B2504561828084 +:10228000011106CE97E70100938747399C4381CB24 +:1022900097E7010093878737054798C339A297E7E7 +:1022A00001009387A73623A0070097E701009387D3 +:1022B00067159C439C5B3EC6B7A7A5A59387575A55 +:1022C0003EC4B2479C4322476314F702B2479107CA +:1022D0009C432247631EF700B247A1079C43224755 +:1022E0006318F700B247B1079C4322476308F7041D +:1022F00097E7010093870711984397E701009387B9 +:1023000067109C4393874703BE853A85EF20F00A08 +:1023100035A097E701009387A72E9C4381E7737050 +:10232000043001A097E701009387872D9C43138712 +:10233000F7FF97E701009387A72C98C397E7010061 +:102340009387072C984397E6010093866617BA8710 +:102350008A07BA978A07B6979C43C5DF97E70100BB +:102360009387072A9843BA878A07BA978A0717E795 +:1023700001001307E714BA973EC29247DC43D843E3 +:102380009247D8C39247D8439247A1076317F700F3 +:102390009247DC43D8439247D8C39247DC43D8479F +:1023A00097E701009387070698C30100F240056193 +:1023B0008280797106D62AC62EC4B24781E773702F +:1023C000043001A097E701009387C7039C43E1070E +:1023D000BE853245EFE04FA197E701009387870262 +:1023E0009C4391073E85EFE0CFA62247FD5763103F +:1023F000F70297E701009387E7009C439107BE85AA +:1024000017E501001305051EEFE00F9A19A897E7DD +:1024100001009387A71E9C432247BA973ECE724580 +:10242000712B0100B25045618280797106D62AC6AF +:102430002EC432C2B24781E77370043001A097E71F +:1024400001009387A71D9C4381E77370043001A0AE +:1024500097E70100938707FB9C43A246370700805C +:10246000558F98CF97E701009387C7F99C43E10701 +:10247000BE853245EFE04F9397E70100938787F8D9 +:102480009C4391073E85EFE0CF9C1247FD576310B8 +:10249000F70297E701009387E7F69C439107BE8513 +:1024A00017E5010013050514EFE00F9019A897E751 +:1024B00001009387A7149C431247BA973ECE7245FA +:1024C000F5290100B25045618280797106D62AC68D +:1024D0002EC432C2B24781E77370043001A097E77F +:1024E0000100938727F29C43E107BE853245EFE068 +:1024F000AF8B97E701009387E7F09C4391073E85F8 +:10250000EFE02F95124785476310F70297E7010028 +:10251000938747EF9C439107BE8517E5010013059C +:10252000650CEFE06F8819A897E701009387070D06 +:102530009C432247BA973ECE724549210100B250D2 +:1025400045618280797106D62AC6B247DC47DC47EE +:102550003ECCE24781E77370043001A0E247E10717 +:102560003E85EFE00F8F97E701009387270B9C4391 +:10257000A1EBE24791073E85EFE0AF8DE247D857E8 +:1025800097E701009387C7079C4363F9E700E24799 +:10259000D85797E701009387A70698C3E247D85713 +:1025A000BA878A07BA978A0717E70100130747F126 +:1025B0003E97E2479107BE853A85EFD0FFFE11A80E +:1025C000E247E107BE8517E501001305E5FEEFD000 +:1025D000BFFDE247D85797E701009387A7E29C43E6 +:1025E000DC5763FBE70085473ECE97E70100938702 +:1025F000E701054798C311A002CEF2473E85B250CD +:1026000045618280797106D62AC62EC497E70100FB +:102610009387C7009C4381E77370043001A0224771 +:10262000B70700805D8FB24798C3B247DC473ECC06 +:10263000E24781E77370043001A03245EFE06F811B +:10264000E24791073E85EFE0CF80E247D85797E712 +:1026500001009387E7FA9C4363F9E700E247D85704 +:1026600097E701009387C7F998C3E247D857BA871D +:102670008A07BA978A0717E70100130767E43E97AE +:10268000E2479107BE853A85EFD01FF2E247D8575F +:1026900097E70100938707D79C43DC5763FBE7006C +:1026A00085473ECE97E70100938747F6054798C3D5 +:1026B00011A002CEF2473E85B250456182804111A1 +:1026C0002AC6B24781E77370043001A097E7010082 +:1026D000938707F49843B24798C397E7010093871D +:1026E000E7F19843B247D8C3010041018280797174 +:1026F00006D62AC62EC4B24781E77370043001A003 +:10270000A24781E77370043001A01D2F97E70100F5 +:102710009387C7EE9C433ECCA2479843FD57631472 +:10272000F70002CE91A8B247984397E7010093873C +:1027300027EE9C43630AF700B247DC4362476365B8 +:10274000F70085473ECE0DA8B247DC4362471D8F98 +:10275000A2479C436370F702A2479843B247D44311 +:10276000E247B387F6403E97A24798C33245813F80 +:1027700002CE19A085473ECEDD2DF2473E85B250F0 +:102780004561828097E70100938747E8054798C332 +:102790000100828001112AC6B24799C7B2473ECCD8 +:1027A000E247FC473ECE11A002CEF2473E850561CE +:1027B000828001112AC62EC4B24791C7B2473ECECD +:1027C000F2472247F8C7010005618280011106CE59 +:1027D0002AC6852297E70100938787CE98438547CD +:1027E000E3F9E7FEEFD09FC2EDB7397106DE2ACEDE +:1027F0002ECC32CA36C8BA872317F10002D625A0DC +:102800006247B257BA9703C70700F246B257B69766 +:10281000238AE7026247B257BA9783C7070089CB7A +:10282000B25785073ED63257BD47E3FBE7FC11A000 +:102830000100F247A38107045247A54763F4E7006C +:10284000A5473ECAF2475247D8D7F2475247B8CBBE +:10285000F24723AA0704F24791073E85EFD0DFD362 +:10286000F247E1073E85EFD03FD3F247724798CB5E +:102870002947D2471D8FF24798CFF2477247D8D3E6 +:10288000F24723A20704F24723AC0704F24723AE22 +:1028900007040100F25021618280011106CE02C6B8 +:1028A0000DA03247BA878A07BA978A0717E701004F +:1028B000130707C1BA973E85EFD0DFCAB247850735 +:1028C0003EC63247A547E3FEE7FC17E501001305C6 +:1028D000A5CBEFD03FC917E50100130525CCEFD0FC +:1028E0007FC817E50100130525CDEFD0BFC717E559 +:1028F00001001305A5CDEFD0FFC617E501001305B4 +:1029000065CEEFD03FC697E70100938767CA17E708 +:102910000100130767C798C397E70100938787C92A +:1029200017E70100130787C798C30100F24005614C +:102930008280011106CE8DA8EFF00FCC97E7010041 +:102940009387C7C89C4393B7170093F7F70F3EC60A +:10295000EFF04FCCB247A9EBE52197E70100938751 +:10296000E7C6DC47DC473EC4A24791073E85EFD06F +:102970005FCE97E70100938727C89C431387F7FF33 +:1029800097E70100938747C798C397E701009387AC +:1029900027C59C431387F7FF97E70100938747C438 +:1029A00098C3F1212245912C97E70100938747C3F3 +:1029B0009C43D9F30100F24005618280011106CEEB +:1029C0002AC697E701009387E7A39C433247D8C301 +:1029D00097E70100938787C29C4332476372F702EF +:1029E00097E70100938707BD984397E70100938716 +:1029F00067A19C439107BE853A85EFD0FFBE35A8FD +:102A000097E701009387C7BA984397E70100938738 +:102A1000679F9C439107BE853A85EFD0FFBC97E73F +:102A20000100938767BF9C4332476378F70097E7BD +:102A30000100938767BE324798C30100F2400561E9 +:102A40008280797106D6AA872EC42317F100A24787 +:102A500089EB8357E1008A073E85EF104051AA8732 +:102A600011A0A2473ECCE24785C313050006EF1034 +:102A700000502ACEF24789C7F247624798DB31A05F +:102A80006245EF10C06111A002CEF24781CFF2473C +:102A9000985B8357E1008A073E869305500A3A8582 +:102AA000EF104075F2473E85B25045618280397122 +:102AB00006DE22DC2AC62EC432C202D6A2479C43BE +:102AC000638D070EA2473ED4A257DC43D843A257DA +:102AD000D8C3A257D843A257A1076317F700A2573C +:102AE000DC43D843A257D8C3A257DC43DC473ED2CD +:102AF000A2473ED08257DC43D8438257D8C382577F +:102B0000D8438257A1076317F7008257DC43D843A5 +:102B10008257D8C38257DC43DC473ECEB257960774 +:102B20003247BA97724798C3B25796073247BA9757 +:102B3000724713074703D8C3B25796073247BA976D +:102B40007247384798C7B25796073247BA97124725 +:102B5000D8C7B25796073247BA977247585798CB9B +:102B600012478D47631BF700F2479C5799C7B2572E +:102B700096073247BA970947D8C7B25796073247E0 +:102B8000BA977247384BD8CBB25796073247BA97A5 +:102B900023AC0700F247945BB257960732473304E1 +:102BA000F70036850D20AA87231EF400B25785074B +:102BB0003ED672479257E31DF7F2B2573E85F25068 +:102BC00062542161828001112AC602CE39A0B24727 +:102BD00085073EC6F24785073ECEB24703C70700CA +:102BE0009307500AE305F7FEF24789833ECEF2478A +:102BF000C207C1833E8505618280011106CE2AC6C7 +:102C0000B2479C5B3E85EF1080493245EF1020496A +:102C10000100F24005618280411197E7010093872E +:102C200027999C439C4399E3854711A0814781CB19 +:102C300097E701009387479E7D5798C305A097E7C4 +:102C400001009387E7969C43DC47DC473EC6B247CA +:102C5000D84397E701009387279C98C3010041015F +:102C60008280411197D701009387C7799C433EC664 +:102C7000B2473E8541018280411197E70100938769 +:102C800067989C4381E785473EC619A897E70100EE +:102C90009387C7989C4381E789473EC611A002C627 +:102CA000B2473E8541018280797106D62AC6B24775 +:102CB0003ECEB247F9C3F247D85797D70100938762 +:102CC00067749C43DC57637AF70AF2479C4F63CCE6 +:102CD000070097D701009387E7729C43DC57294789 +:102CE0001D8FF24798CFF247D44BF247D857BA8797 +:102CF0008A07BA978A0717D701001307677CBA9724 +:102D00006394F600854711A08147A5C3F247910758 +:102D10003E85EFD01F9497D701009387A76E9C4301 +:102D2000D857F247D8D7F247D85797E7010093878B +:102D3000278D9C4363F9E700F247D85797E70100D6 +:102D40009387078C98C3F247D857BA878A07BA97F0 +:102D50008A0717D701001307A7763E97F247910716 +:102D6000BE853A85EFD05F8409A897D70100938785 +:102D700067699C43D857F247D8D70100B2504561E4 +:102D80008280797106D62AC6B2473ECC02CEB247BF +:102D9000DDC397D701009387E7669C4362476305CD +:102DA000F7007370043001A0E247FC4B81E77370B9 +:102DB000043001A0E247FC4B1387F7FFE247F8CB52 +:102DC000E247D857E247BC4B6307F706E247FC4BA4 +:102DD000BDE3E24791073E85EFD0BF87E247B84B9E +:102DE000E247D8D7E247DC5729471D8FE24798CF03 +:102DF000E247D85797E70100938787809C4363F9A0 +:102E0000E700E247D85797D701009387677F98C3B9 +:102E1000E247D857BA878A07BA978A0717D70100B7 +:102E20001307076A3E97E2479107BE853A85EFD0C0 +:102E3000AFF785473ECEF2473E85B250456182806E +:102E40007370043097D701009387C77B9C4391CF61 +:102E500097D701009387075B9C43F8430507F8C3A6 +:102E600097D701009387075A9C430100828097D728 +:102E70000100938727799C438DCB97D701009387D7 +:102E800067589C43FC4395C397D7010093878757A6 +:102E90009C43F8437D17F8C397D701009387875663 +:102EA0009C43FC4399E3736004300100828041112C +:102EB00097D70100938707559C439C4F3EC697D7F1 +:102EC0000100938727549C43D85797D701009387D5 +:102ED00067539C43A9463387E64098CFB2473E8567 +:102EE0004101828097D701009387C7519C4389CBCA +:102EF00097D70100938707519C43F84B0507F8CB00 +:102F000097D70100938707509C433E858280797153 +:102F100006D62AC62EC42D3797D701009387874E31 +:102F20009C43BC4FADE397D701009387A74D9C43CB +:102F30000547F8CFA247A1CB97D701009387874CCD +:102F40009C4391073E85EFD0CFF02247FD57631099 +:102F5000F70297D701009387E74A9C439107BE8504 +:102F600017D5010013050568EFD00FE419A897D70E +:102F700001009387A7689C432247BA973ECE7245CB +:102F8000353CEFD0AFC8E535653D97D70100938755 +:102F900067479C43BC4F3ECCE2479DC3B24789CBB9 +:102FA00097D70100938707469C4323AC070409A8E1 +:102FB00097D70100938707459C43B84F7D17B8CF3B +:102FC00097D70100938707449C4323AE07044535F8 +:102FD000E2473E85B25045618280797106D62AC6A5 +:102FE0002EC432C236C0A93D97D7010093878741CE +:102FF0009C43F84F8947630DF70697D701009387E5 +:1030000067409C43B44F32471347F7FF758FB8CFE3 +:1030100097D701009387073F9C430547F8CF824726 +:10302000A1CB97D701009387E73D9C4391073E854D +:10303000EFD02FE20247FD576310F70297D7010048 +:103040009387473C9C439107BE8517D50100130524 +:103050006559EFD06FD519A897D701009387075A04 +:103060009C430247BA973ECC6245893AEFD00FBAEB +:10307000FD3BF933924789CB97D701009387873877 +:103080009C43B84F924798C397D7010093878737DF +:103090009C43F84F85476314F70002CE31A897D7B9 +:1030A0000100938727369C43B44F22471347F7FF0D +:1030B000758FB8CF85473ECE97D701009387873469 +:1030C0009C4323AE07046533F2473E85B250456109 +:1030D0008280797106D62AC62EC432C236C0854790 +:1030E0003ECEB24781E77370043001A0B2473ECCB8 +:1030F000813B824789C7E247B84F824798C3E2477E +:10310000FC4F3ECAE2470947F8CF1247914763EEAA +:10311000E70492471397270097D70100938707EF9B +:10312000BA97984397D70100938747EEBA9782875B +:10313000E247B84FA2475D8FE247B8CF3DA0E247D4 +:10314000BC4F13871700E247B8CF05A0E2472247DC +:10315000B8CF21A8524789476306F700E2472247C4 +:10316000B8CF21A002CE11A0010052478547631AB3 +:10317000F706E24791073E85EFD0AFCDE247D8573B +:1031800097D701009387C7479C4363F9E700E2475D +:10319000D85797D701009387A74698C3E247D857D7 +:1031A000BA878A07BA978A0717D7010013074731EA +:1031B0003E97E2479107BE853A85EFD0EFBEE247E2 +:1031C0009C5781C77370043001A0E247D85797D746 +:1031D0000100938727239C43DC5763F4E700EFD07B +:1031E000EFA27131F2473E85B2504561828039715C +:1031F00006DE2ACE2ECC32CA36C83AC685473ED61F +:10320000F24781E77370043001A0F2473ED4EFD05B +:10321000CFADAA873ED2C24789C7A257B84FC2478F +:1032200098C3A257FC4F3ED0A2570947F8CF524748 +:10323000914763EEE704D2471397270097D7010021 +:10324000938707DEBA97984397D70100938747DDA6 +:10325000BA978287A257B84FE2475D8FA257B8CF7F +:103260003DA0A257BC4F13871700A257B8CF05A0A7 +:10327000A2576247B8CF21A8025789476306F700D3 +:10328000A2576247B8CF21A002D611A00100025771 +:103290008547631DF708A2579C5781C77370043098 +:1032A00001A097D70100938767379C43A1EBA257F2 +:1032B00091073E85EFD0EFB9A257D85797D70100B5 +:1032C000938707349C4363F9E700A257D85797D7F1 +:1032D00001009387E73298C3A257D857BA878A0765 +:1032E000BA978A0717D701001307871D3E97A25781 +:1032F0009107BE853A85EFD02FAB11A8A257E10701 +:10330000BE8517D501001305252BEFD0EFA9A257D5 +:10331000D85797D701009387E70E9C43DC5763F794 +:10332000E700B24781C7B247054798C392573E8529 +:10333000EFD0AF9AB2573E85F25021618280797109 +:1033400006D62AC62EC4B24781E77370043001A0A6 +:10335000B2473ECEEFD06F99AA873ECCF247FC4FE2 +:103360003ECAF2470947F8CFF247BC4F1387170010 +:10337000F247B8CF52478547631DF708F2479C577D +:1033800081C77370043001A097D701009387072984 +:103390009C43A1EBF24791073E85EFD08FABF247FC +:1033A000D85797D701009387A7259C4363F9E70077 +:1033B000F247D85797D701009387872498C3F247DD +:1033C000D857BA878A07BA978A0717D70100130711 +:1033D000270F3E97F2479107BE853A85EFD0CF9CE5 +:1033E00011A8F247E107BE8517D501001305C51CDA +:1033F000EFD08F9BF247D85797D70100938787006C +:103400009C43DC5763F7E700A24781C7A247054703 +:1034100098C3E2473E85EFD04F8C0100B250456122 +:103420008280797106D62AC6B2473ECCE24799E738 +:1034300097D70100938707FD9C4311A0E2473ECC3C +:103440000134E247F84F89476318F700E24723AE9B +:10345000070485473ECE11A002CE113CF2473E85BF +:10346000B25045618280011106CE02C61D2B97D74E +:1034700001009387E71D9C439DC381480148814714 +:10348000094781461306004097D50100938585BA08 +:10349000170500001305051BEFE0AF852AC6B247EC +:1034A00081E77370043001A0B2473E85F2400561A8 +:1034B0008280397106DE2ACE2ECC32CA36C83AC690 +:1034C000E24799E302D62DA81305C002EF00302A87 +:1034D0002AD6B25795C7F121B257724798C3B2574F +:1034E000624798CFB2575247D8CFB257424798D386 +:1034F000B2573247D8D3B25791073E85EFD0CF8924 +:10350000E24781E77370043001A0B2573E85F25064 +:1035100021618280397106DE2ACE2ECC32CA36C8AD +:103520003AC602D6F24781E77370043001A097D7FC +:1035300001009387E7119C43B5CBE2473ED0D247C9 +:103540003ED2F2473ED46247954763C4E704EFF0AA +:10355000AFF22A8789476310F70297D70100938754 +:10356000270F9C43181081463246BA853E85EFD01E +:103570002FBF2AD625A897D701009387670D9C43B4 +:10358000181081460146BA853E85EFD06FBD2AD618 +:1035900031A897D701009387A70B9C431810814649 +:1035A0004246BA853E85EFD08FF22AD6B2573E8585 +:1035B000F2502161828001112AC6B2473ECEB24745 +:1035C00081E77370043001A0F2479C433E8505619A +:1035D0008280797106D62AC62EC497D701009387B8 +:1035E000A7069C43DC47DC473ECEF24791073E8569 +:1035F000EFD02F86F247D84F8547631BF702F2477B +:10360000984FB247BA97B2462246BE857245B92254 +:103610002A878547631EF700014781463246814568 +:103620007245CD3D2ACCE24781E77370043001A09A +:10363000F247DC53724582970100B25045618280A7 +:10364000797106D62AC63C083E8541282ACEE24733 +:10365000BE85724519206922FDB7797106D62AC642 +:103660002EC4EFE06FF93C083E8545282ACEE2479C +:10367000B9EFA24799EB3247F24763E8E700EFE082 +:103680006FF9F2453245B137A9A0A24781CF97D74C +:1036900001009387A7FB9C439C4393B7170093F7C4 +:1036A000F70F3EC497D70100938787FA94433247B8 +:1036B000F247B307F7402246BE853685EFD0FFDDDF +:1036C000EFE04FF5AA8791E7EFC05FD419A0EFE0D4 +:1036D0006FF40100B2504561828001112AC697D76C +:1036E0000100938767F69C439C4393B7170093F7B9 +:1036F000F70F3E87B24798C3B2479C4391EB97D7E9 +:103700000100938767F49C43DC479C433ECE11A0A5 +:1037100002CEF2473E8505618280797106D62AC6BF +:10372000EFE01F842ACE97D701009387A7F29C432E +:1037300072476377F700692AB247054798C321A00B +:10374000B24723A0070097D701009387A7F07247DD +:1037500098C3F2473E85B25045618280797106D6A2 +:103760002AC62EC432C236C002CEB2472247D8C3C0 +:10377000B247324798CB2247924763E8E7021247A5 +:1037800082471D8FB2479C4F6365F70085473ECE49 +:1037900099A097D70100938767EB9843B2479107A9 +:1037A000BE853A85EFC05FE43DA01247824763794A +:1037B000F700224782476365F70085473ECE21A880 +:1037C00097D70100938747E89843B2479107BE8592 +:1037D0003A85EFC07FE1F2473E85B2504561828075 +:1037E000797106D6D9A0A24763C0070CC2473ECE66 +:1037F000F247DC4B91C7F24791073E85EFC07FE56A +:103800005C003E85193F2ACCA2472547636FF70825 +:103810001397270097D7010093874782BA97984359 +:1038200097D7010093878781BA9782873247F247FB +:103830009C4FBA973247BA866246BE857245393F79 +:103840002A8785476313F706F247DC537245829750 +:10385000F247D84F8547631AF7043247F2479C4F27 +:10386000BA97014781463E868145724565312ACA2D +:10387000D24785EF7370043001A03247F24798CFEA +:10388000F2479C4F81E77370043001A0F247984FD4 +:10389000E247BA97E2466246BE857245C13531A01D +:1038A0007245EF00C07F11A0010097D701009387F8 +:1038B00027DA9C43380081460146BA853E85EFD021 +:1038C0006FD8AA87E39107F20100B2504561828068 +:1038D000797106D671A097D701009387E7D69C43EC +:1038E000DC479C433ECC97D701009387E7D59C43A8 +:1038F000DC47DC473ECAD24791073E85EFC07FD503 +:10390000D247DC5352458297D247D84F8547631937 +:10391000F704D2479C4F6247BA973EC84247E247F6 +:1039200063F4E702D2474247D8C3D247524798CB05 +:1039300097D70100938747D19843D2479107BE8517 +:103940003A85EFC07FCA29A8014781466246814572 +:103950005245C9362AC6B24781E77370043001A0C8 +:1039600097D70100938747CE9C439C43ADF797D7E9 +:103970000100938767CD9C433ECE97D70100938784 +:10398000E7CC984397D70100938707CC98C397D784 +:1039900001009387A7CB724798C30100B2504561DD +:1039A0008280411106C6EFF0AFC997D70100938717 +:1039B00027CA9C43C9E717D50100130565C6EFC0A8 +:1039C0007FBA17D501001305E5C6EFC0BFB997D779 +:1039D0000100938767C717D70100130767C498C30F +:1039E00097D70100938787C617D70100130787C4AD +:1039F00098C30146B1451145EFC0FFD52A8797D737 +:103A000001009387E7C498C397D70100938747C401 +:103A10009C4381E77370043001A097D7010093871E +:103A200027C39C4389CF97D70100938767C29C43E4 +:103A300097C50100938505633E85EFD05F9CEFF04D +:103A40000FC30100B24041018280797106D62AC6B7 +:103A5000B2473ECEB24781E77370043001A0EFF069 +:103A60002FBEF247DC4BB337F00093F7F70F3ECC95 +:103A7000EFF0EFBFE2473E85B25045618280797139 +:103A800006D62AC6B2473ECEB24781E773700430ED +:103A900001A0EFF0EFBAF2479C533ECCEFF02FBD00 +:103AA000E2473E85B25045618280797106D62AC6CA +:103AB0002EC4B2473ECEB24781E77370043001A0F6 +:103AC000EFF00FB8F247224798D3EFF04FBA01005A +:103AD000B25045618280011106CE714549292AC63E +:103AE000B24789CBB24723A00700B24791073E8572 +:103AF000EFC05FA7B2473E85F24005618280397111 +:103B000006DE2AC62EC432C236C0B2473ED402D226 +:103B10001247B70700FFF98F81C77370043001A007 +:103B2000924781E77370043001A0EFF0EF94AA8709 +:103B300099E3824799E3854711A0814781E7737034 +:103B4000043001A0EFE04FABA2579C433ED0A2450A +:103B5000324585240257A2475D8F9247F98F12475D +:103B60006310F7020257A247D98F3ED6A257984357 +:103B7000924793C7F7FF7D8FA25798C302C025A035 +:103B8000824799CFA257938647001247B70700058F +:103B9000D98F0246BE853685EFE03F8902D621A047 +:103BA000A2579C433ED6EFE0EFA62ACE8247B1CB88 +:103BB000F24799E3EFC09F85EFF06FAF2AD63257F7 +:103BC000B7070002F98F85EBEFF08FA7A2579C4350 +:103BD0003ED632579247F98F1247631AF700A25721 +:103BE0009843924793C7F7FF7D8FA25798C3EFF092 +:103BF0000FA885473ED23257B7070001FD17F98F4E +:103C00003ED6B2573E85F250216182805D7186C6F4 +:103C10002ACE2ECC32CA36C83AC6F2473EDA02DC89 +:103C200002D8F24781E77370043001A06247B707FA +:103C300000FFF98F81C77370043001A0E24781E76C +:103C40007370043001A0EFF02F83AA8799E3B24785 +:103C500099E3854711A0814781E77370043001A083 +:103C6000EFE08F99D2579C433ED64246E24532550B +:103C700075242AD4A25799CFB2573EDE02C6D24746 +:103C8000A9CBD2579843E24793C7F7FF7D8FD2570E +:103C900098C381A0B24781E7B2573EDE1DA8D24744 +:103CA00091C76257B7070001D98F3EDCC24791C761 +:103CB0006257B7070004D98F3EDCD257938647007E +:103CC0006247E257D98F3246BE853685EFE0EFF581 +:103CD00002DEEFE02F942AD2B247B9CB925799E394 +:103CE000EFC0CFF2EFF0AF9C2ADE7257B7070002A9 +:103CF000F98F8DEBEFF0CF94D2579C433EDE4246D6 +:103D0000E2457255212CAA8799CBD24789CBD2574D +:103D10009843E24793C7F7FF7D8FD25798C3EFF0E0 +:103D20000F9502D87257B7070001FD17F98F3EDED5 +:103D3000F2573E85B64061618280797106D62AC607 +:103D40002EC4B2473ECEB24781E77370043001A063 +:103D50002247B70700FFF98F81C77370043001A0B5 +:103D6000EFF00F8EF2479C433ECCF2479843A247B8 +:103D700093C7F7FF7D8FF24798C3EFF04F8FE2476D +:103D80003E85B25045618280797106D62AC6B24717 +:103D90003ECEEFC08FF5AA873ECCF2479C433ECA89 +:103DA000E2473E85EFC06FF3D2473E85B250456192 +:103DB00082805D7186C62AC62EC402DCB2473ED818 +:103DC00002DAB24781E77370043001A02247B707D7 +:103DD00000FFF98F81C77370043001A0C2579107AB +:103DE0003ED6B257A1073ED4EFE00F81B257DC4771 +:103DF0003EDEC2579843A2475D8FC25798C3BDA805 +:103E0000F257DC433ED2F2579C433ED002DA0257CF +:103E1000B70700FFF98F3ECE0257B7070001FD1725 +:103E2000F98F3ED07247B7070004F98F89EBC2576C +:103E300098438257F98F89CF85473EDA11A8C25738 +:103E400098438257F98F02576314F70085473EDA8B +:103E5000D2579DC37247B7070001F98F89C76257D0 +:103E60008257D98F3EDCC2579843B7070002D98FDB +:103E7000BE857255EFE00FF992573EDE7257A2579A +:103E8000E310F7F8C2579843E25793C7F7FF7D8FC7 +:103E9000C25798C3EFD01FF8C2579C433E85B64027 +:103EA00061618280797106D62AC6B2473ECEF2475A +:103EB00091073ECCEFD05FF40DA0E247D847E24730 +:103EC000A1076315F7007370043001A0E247DC47D7 +:103ED000B70500023E85EFE0EFF2E2479C43F1FFB9 +:103EE0007245752AEFD01FF30100B2504561828000 +:103EF000011106CE2AC62EC4A24532455D3D010001 +:103F0000F24005618280011106CE2AC62EC4A24568 +:103F1000324525350100F2400561828001112AC633 +:103F20002EC432C202CE924781EB3247A247F98FAC +:103F300081CF85473ECE09A83247A247F98F224755 +:103F40006314F70085473ECEF2473E8505618280C7 +:103F500001112AC6B2473ECCB24799E302CE21A056 +:103F6000E2479C4F3ECEF2473E8505618280397123 +:103F700006DE2AC602D2EFD03FE8975703009387A8 +:103F8000A76E9C4381EB5522975703009387C76D1B +:103F9000054798C3B24789CFA1473E87B247BA9732 +:103FA0003EC6B2478D8B89C7B247F19B91073EC68B +:103FB000B247F9CB3247E567ED1763E7E70C975750 +:103FC0000300938767693ED4975703009387C768B8 +:103FD0009C433ED631A0B2573ED4B2579C433ED606 +:103FE000B257DC43324763F5E700B2579C43E5F72D +:103FF0003257975703009387A7666307F708A257BE +:104000009C432147BA973ED2B2579843A25798C3D0 +:10401000B257D843B247B307F74021470607637842 +:10402000F7043257B247BA973ECEB257D843B24799 +:104030001D8FF247D8C3B2573247D8C3F247DC438B +:104040003ECC97570300938727613ED021A082572B +:104050009C433ED082579C43DC436247E3E9E7FE42 +:1040600082579843F24798C38257724798C397C7BD +:1040700001009387673A9843B257DC431D8F97C777 +:1040800001009387673998C3EFD0DFD8925799E33F +:10409000EF00103292573E85F25021618280797193 +:1040A00006D62AC6B2473ECCB247BDC3A147B30726 +:1040B000F0406247BA973ECCE2473ECAEFD0DFD32A +:1040C000D247DC433EC8975703009387E7583ECE5C +:1040D00021A0F2479C433ECEF2479C43DC4342473B +:1040E000E3E9E7FEF2479843D24798C3F2475247C5 +:1040F00098C3D247D84397C701009387E7319C43C1 +:104100003E9797C701009387273198C3EFD09FD080 +:104110000100B2504561828097C701009387C72F85 +:104120009C433E85828001008280411197C7010037 +:104130009387C752F19B3EC6975703009387C75199 +:10414000324798C3975703009387075123A207006C +:10415000975703009387C75065677117D8C3975760 +:1041600003009387E74F23A00700B2473EC4A2474E +:1041700065677117D8C3A247175703001307474E47 +:1041800098C301004101828001112AC62EC432C2A7 +:10419000A2473ECEB2473ECC3247A247D98F8D8B45 +:1041A000A1E319A8F2479843E24798C3E247910771 +:1041B0003ECCF24791073ECE9247F5173247BA9769 +:1041C0006247E361F7FE29A87247930717003ECEC6 +:1041D000E2479386170036CC034707002380E700A9 +:1041E00032479247BA976247E360F7FEB2473E858F +:1041F0000561828001112AC62EC432C2324792471D +:10420000D98F8D8B9DEFA24793F7F70F3ECAD24708 +:10421000A2075247D98F3ECAD247C2075247D98F09 +:104220003ECAB2473ECE39A0F247138747003ACE86 +:10423000524798C332479247BA977247E366F7FEF0 +:104240001DA0B2473ECC11A8E247138717003ACC15 +:1042500022471377F70F2380E70032479247BA9738 +:104260006247E363F7FEB2473E8505618280011134 +:104270002AC6B2473ECE21A0F24785073ECEF2477E +:1042800083C70700F5FB7247B247B307F7403E8587 +:104290000561828001112AC62EC4B2471387170018 +:1042A0003AC683C70700A30FF100A2471387170080 +:1042B0003AC483C70700230FF1008347F10199C770 +:1042C0000347F1018347E101E309F7FC0347F101EB +:1042D0008347E101B307F7403E8505618280011104 +:1042E0002AC62EC4B2473ECE0100224793071700CC +:1042F0003EC4F2479386170036CE0347070023805B +:10430000E70083C70700F5F3B2473E850561828069 +:1043100001112AC602CE02CC21A0B24785073EC6B3 +:10432000B24703C7070093070002E308F7FEB2474E +:1043300003C707009307D0026309F700B24703C71A +:1043400007009307B0026311F704B24783C7070061 +:10435000938737FD93B7170093F7F70F3ECCB2471B +:1043600085073EC615A07247BA878A07BA9786079F +:104370003ECEB247138717003AC683C7070093871C +:1043800007FD7247BA973ECEB24783C70700E1FFE9 +:10439000E24789C7F247B307F04011A0F2473E85D4 +:1043A0000561828001112AC6975703009387872DE4 +:1043B0009C4393861700175703001307A72C14C3B9 +:1043C00032471377F70F975603009386A62FB697B9 +:1043D0002380E7003247A947630BF7009757030094 +:1043E0009387472A9843930700046315F704975768 +:1043F00003009387272D3ECE05A8F24713871700A9 +:104400003ACE03C70700B70710F0938707F098C3A9 +:1044100097570300938707279C431387F7FF975706 +:1044200003009387272698C3975703009387872510 +:104430009C43E1F781473E85056182804D712326CB +:104440001114232481142322911423202115232EB7 +:104450003113232C4113232A51132ACE2ECC32C8D8 +:1044600036CA3AC63EC442C223260112B2473E8A29 +:10447000814AC24752485286D6863E85C285EF1091 +:104480006041AA872E883E86C2868327C112138781 +:1044900017002326E11232878A07141AB69723AE33 +:1044A000E7EEB2473E848144D247268763E8E702BD +:1044B000D24726876396E700C247228763E0E70278 +:1044C000B2473E8981494A86CE864245D245EF00B1 +:1044D000B05EAA872E883EC842CA49BF010029A003 +:1044E000F247E24512458297A2471387F7FF3AC485 +:1044F0000327C112E346F7FE25A88327C1128A07C6 +:10450000181ABA9703A7C7EF8327C1128A07141A8C +:10451000B69783A6C7EFA54763F5D7009307700545 +:1045200019A093070003BA977247E2453E850297A8 +:104530008327C1121387F7FF2326E112E34FF0FA16 +:1045400001008320C114032481148324411403290E +:1045500001148329C113032A8113832A4113716132 +:10456000828041112AC62EC4A2460547635ED70049 +:10457000B2479C439D07E19B93868700324714C353 +:1045800003A847009C4335A0224719CB3247184364 +:1045900013064700B24690C21843BA87014811A8D3 +:1045A0003247184313064700B24690C21843BA87F1 +:1045B00001483E85C2854101828041112AC62EC430 +:1045C000A2460547635ED700B2479C439D07E19B27 +:1045D00093868700324714C303A847009C4305A86D +:1045E000224701CF3247184313064700B24690C214 +:1045F0001843BA877D873A8819A8324718431306AB +:104600004700B24690C21843BA877D873A883E85F4 +:10461000C28541018280397106DE22DC26DA2AC693 +:104620002EC432C236C011A86307042492478507FE +:104630003EC2B247A24522858297924783C70700B0 +:104640003E8493075002E311F4FE924785073EC271 +:1046500092473EC893070002A30BF100FD573ECEE0 +:10466000FD573ECC02D09247138717003AC283C74A +:1046700007003E849307D4FD130750056364F71EBB +:104680001397270097C701009387C79EBA9798434F +:1046900097C701009387079EBA9782879307D00236 +:1046A000A30BF100C9B793070003A30BF10065BF8B +:1046B00002CC6247BA878A07BA978607A297938780 +:1046C00007FD3ECC924783C707003E849307F00264 +:1046D00063D687029307900363C2870292478507D8 +:1046E0003EC2C1BF8247138747003AC09C433ECCBD +:1046F00039A0F247E3D907F602CEB5B70100F24779 +:10470000E3D307F6E2473ECEFD573ECCA9BF825722 +:1047100085073ED089BF8247138747003AC09C4334 +:104720003247A2453E850297B1A2824713874700D0 +:104730003AC0844389E497C4010093842493F247E8 +:104740006357F004034771019307D0026301F70434 +:10475000E247BE852685EF00F0332A87F247998F1E +:104760003ECE11A8834771013247A2453E8502978C +:10477000F247FD173ECEF247E346F0FE09A8B247E6 +:10478000A245228582978504F247FD173ECE83C756 +:1047900004003E841DC0E247E3C307FEE247FD1765 +:1047A0003ECCE247E3DD07FC09A8B247A24513056A +:1047B00000028297F247FD173ECEF247E347F0FE34 +:1047C00055A88A8782553E85CD3BAA872E883ED440 +:1047D00042D6A2573258C28763D90702B247A245D0 +:1047E0001305D0028297A255325681470148B386FD +:1047F000B740368533B5A7003307C840B307A74095 +:104800003E87B6873A883ED442D6A9473ED21DA8F5 +:10481000A9473ED215A0A1473ED239A885473ED030 +:10482000B247A245130500038297B247A24513057C +:1048300080078297C1473ED28A8782553E851533CD +:104840002AD42ED61257834771013E88F24722564A +:10485000B256A2453245DD3631A8B247A24522857F +:10486000829709A8B247A245130550028297C24712 +:104870003EC20100D9B30100F2506254D25421610A +:10488000828041112AC6B70710F0938707F232479A +:1048900098C301A05D7106D62AC62EDA32DC36DE58 +:1048A000BAC0BEC2C2C4C6C69C0891173ECEF2476B +:1048B000BE8632468145170500001305E5AEA13BD3 +:1048C00081473E85B2506161828001112AC62EC4A3 +:1048D0001EC2A2473ECEF2479C4332471377F70FE2 +:1048E0002380E700F2479C4313871700F24798C3E1 +:1048F0000100056182801D7106DE2AC62EC4B2C485 +:10490000B6C6BAC8BECAC2CCC6CE9C103ED25C08DF +:104910004C0817060000130686FB7D779346F7FFC9 +:104920000565130505803388A5003378E800136812 +:10493000783B23A007013295698F13677733D8C37B +:1049400033F7D5009315470137870300130737392D +:104950004D8F98C73377D60093164701370703006A +:1049600013077706558FD8C70F100000B2473ED601 +:104970009C10A1173ED422575C083E857C00BA8665 +:104980002246BE854939B24723800700B2473E8799 +:10499000B257B307F7403E85F2502561828041113E +:1049A000370510F0130545F408412AC6370510F005 +:1049B000130505F408412AC4370510F0130545F422 +:1049C0000841B248E39EA8FC32452A83814313176D +:1049D000030081462245AA850146B3E7B600336845 +:1049E000C700370710F0130587F48965938505F430 +:1049F0000146B386B700B688B3B8F8003307C800DD +:104A0000B387E8003E87B6873A881CC12322050198 +:104A1000010041018280411106C651379307000809 +:104A200073A047300100B24041018280011106CEDF +:104A30002AC617B5010013056579EFF0BFE501003F +:104A4000F24005618280011106CE2AC617B5010029 +:104A500013054578EFF01FE40100F2400561828004 +:104A6000797106D62AC602CE29A00100F247850731 +:104A70003ECE7247856793877738E3D8E7FE17B550 +:104A800001001305A575EFF0FFE0F1BF411106C667 +:104A90000145152A0100B24041018280011106CE74 +:104AA00002C6EF00C0762A878547630CF70017B56A +:104AB000010013052573EFF0FFDDB24793E72700F0 +:104AC0003EC6B2473E85F24005618280011106CEA6 +:104AD00002C66D3F170700001307670481460546AD +:104AE0009305200317B5010013058571EFE07F9C46 +:104AF0002AC6B24799CBEFD0AFC6AA8701478146F5 +:104B00003E8685453245EFE0FFA002C4EFD0EFA41A +:104B100081473E85F24005618280797106D62AC6BA +:104B200097C701009387478E9C431387470697C713 +:104B300001009387678D98C397C701009387078DFE +:104B40009C431387470697C701009387278C98C318 +:104B500097C701009387478B98439307F07C63D3F3 +:104B6000E70497C701009387278A9C43138707832D +:104B700097C701009387478998C30D372ACEF2471C +:104B800099EB97C701009387678898438567938758 +:104B9000F77663DBE700F2473E85EFF09FCEEFD07C +:104BA000AFA321A0010011A00100B2504561828095 +:104BB0007370043001A00100828041112AC62EC406 +:104BC0007370043001A0797106D62AC6014691455A +:104BD0001545EFB05FB82ACE97B501009385056300 +:104BE0007245EFC0DF818148014881473247F24674 +:104BF0001306004097B501009385856217050000F4 +:104C00001305C509EFC0FF8E0545EFB09FBD2ACC47 +:104C100097B50100938545616245EFC04FFE81481D +:104C2000014881470147E2461306004097B501005D +:104C300093854560170500001305A542EFC07F8BE3 +:104C40008148014897570300938707AD094781467C +:104C50001306004097B501009385455E17050000D7 +:104C60001305A553EFC0FF888148014897570300FB +:104C7000938747AA0D47E2461306004097B5010007 +:104C80009385455C1705000013058553EFC07F86AB +:104C90000100B25045618280797106D62AC6B247BA +:104CA0003ECE85460146975503009385E5A572459E +:104CB000EFB07FE17245EFC08FC22A878547630856 +:104CC000F700975703009387E7A3054798C33C086D +:104CD00081460146BE857245EFB07FEC2A87854745 +:104CE0006308F700975703009387C7A1054798C348 +:104CF00097570300938747A19843E2476308F7005B +:104D000097570300938707A0054798C37245EFC0E4 +:104D10000FBDAA8799C7975703009387A79E05479A +:104D200098C381460146975503009385E59D7245DA +:104D3000EFB07FD97245EFC08FBA2A8785476308E5 +:104D4000F700975703009387E79B054798C33C08F4 +:104D500081460146BE857245EFB07FE42A878547CC +:104D60006308F700975703009387C799054798C3CF +:104D70007245EFC0CFB6AA8799C7975703009387AC +:104D80006798054798C3975703009387E797984319 +:104D9000E2476308F700975703009387A7960547F4 +:104DA00098C389473ECC19A83C0881460146BE8578 +:104DB0007245EFB05FD1E24785073ECC624791472D +:104DC000E3F4E7FE7245EFC08FB12A878D47630891 +:104DD000F700975703009387E792054798C38547E5 +:104DE0003ECC3C0885460146BE857245EFB0BFCD3E +:104DF00002CC3C0885460146BE857245EFB0BFCC6B +:104E00007245EFC0CFAD2A8795476308F7009757E3 +:104E100003009387278F054798C33C0885460146C2 +:104E2000BE857245EFB03FCAAA8799C7975703005E +:104E30009387478D054798C33C0881460146BE8548 +:104E40007245EFB05FC8AA8799C797570300938749 +:104E5000678B054798C302CCB5A85C088546014618 +:104E6000BE857245EFB0BFD32A8785476308F70038 +:104E70009757030093870789054798C36247D2472E +:104E80006308F700975703009387C787054798C3C0 +:104E9000D24793C7F7FF3ECA5C0881460146BE85EC +:104EA0007245EFB0DFCF2A8785476308F700975731 +:104EB000030093872785054798C36247D247630855 +:104EC000F700975703009387E783054798C3E247A6 +:104ED00085073ECC62479147E3F1E7F87245EFC0A2 +:104EE0000FA0AA8799C7975703009387A781054703 +:104EF00098C3A9473ECC3C0881460146BE85724511 +:104F0000EFB07FBC2A8785476308F7009747030007 +:104F10009387477F054798C3AD473ECC3C08814601 +:104F20000146BE857245EFB01FBA2A8785476308E0 +:104F3000F700974703009387E77C054798C37245BE +:104F4000EFC0EF992A8789476308F7009747030066 +:104F50009387477B054798C3A5473ECC2DA03C08C7 +:104F600085460146BE857245EFB0FFB52A87854765 +:104F70006308F700974703009387C778054798C3EE +:104F8000E247FD173ECC62479947E3EAE7FC7245EA +:104F9000EFC0EF942A8795476308F700974703000F +:104FA00093874776054798C33C0885460146BE85EA +:104FB0007245EFB05FB1AA8799C7974703009387FF +:104FC0006774054798C33C0881460146BE85724513 +:104FD000EFB07FAFAA8799C797470300938787727F +:104FE000054798C39D473ECC3DA85C0881460146D5 +:104FF000BE857245EFB0BFBA2A8785476308F700C0 +:105000009747030093870770054798C36247D247C5 +:105010006308F700974703009387C76E054798C357 +:10502000E24785073ECC6247AD47E3F0E7FC7245B7 +:10503000EFC0EF8AAA8799C7974703009387876CC9 +:10504000054798C3974703009387076C9C431387D2 +:105050001700974703009387276B98C399B179711D +:1050600006D62AC6B2473ECE8146014681457245E4 +:10507000EFB0FFB22A8785476308F7009747030020 +:1050800093874768054798C3974703009387476806 +:1050900023A007000145EFC09F88AA8799C79747BB +:1050A000030093872766054798C3974703009387B4 +:1050B00067669C433E85EFC0DFB30145EFC03F8686 +:1050C0002A878D476308F700974703009387876314 +:1050D000054798C385450145EFC03F8B0145EFC0AB +:1050E0001F842A878D476308F7009747030093873B +:1050F0006761054798C3974703009387E7619C431F +:105100003E85EFC01FAF97470300938767609C43BE +:1051100099C7974703009387E75E054798C3814681 +:10512000014681457245EFB01F9A2A87854763087B +:10513000F700974703009387E75C054798C39747B5 +:1051400003009387E75C984385476308F700974718 +:1051500003009387275B054798C30145EFC02FFCE9 +:105160002A8785476308F700974703009387875985 +:10517000054798C381450145EFC03F81974703002C +:105180009387C7589C43138717009747030093875B +:10519000E75798C3D1BD011106CE2AC60145EFC01D +:1051A0003F93974703009387A7569C4313871700A5 +:1051B000974703009387C75598C3CDB7797106D633 +:1051C0002AC6B2473ECE0145EFC09F9081467D562C +:1051D00081457245EFB0BF9C2A8785476308F70079 +:1051E0009747030093870752054798C381460146B6 +:1051F00081457245EFB03F8D2A878547E305F7FC6F +:105200009747030093870750054798C36DBF97479B +:1052100003009387A7509843974703009387C74E8F +:105220009C436318F700974703009387A74D0547F2 +:1052300098C3974703009387A74E98439747030067 +:105240009387C74C9C436318F700974703009387E5 +:10525000674B054798C3974703009387E74A9843EE +:10526000974703009387874B98C39747030093871B +:10527000E7499843974703009387874A98C397471E +:105280000300938727489C4393B7170093F7F70FC2 +:105290003E858280B305B500930705006386B7009D +:1052A00003C70700631607003385A7406780000027 +:1052B000938717006FF09FFE130101FD23229102D7 +:1052C000232A5101232611022324810223202103B2 +:1052D000232E3101232C4101232861012326710152 +:1052E00023248101232291012320A101930A050097 +:1052F00093840500639E0638130406009309050095 +:1053000017B90100130989F463F8C512B707010042 +:10531000138B05006378F6101307F00F3337C700BF +:1053200013173700B357E6003309F9008346090025 +:105330003387E60093060002B386E640638C0600DE +:10534000B394D40033D7EA003314D600336B9700FC +:10535000B399DA00935A040193850A0013050B00F0 +:10536000EF00902A1309050093850A00931B04019E +:1053700013050B00EF00D02493DB0B019304050011 +:105380009305050013850B00EF00D02013190901C8 +:1053900093D70901B367F900138A040063FEA700DD +:1053A000B3878700138AF4FF63E8870063F6A700DA +:1053B000138AE4FFB3878700B384A74093850A006C +:1053C00013850400EF0050241309050093850A009B +:1053D00013850400EF00D01E939909019304050082 +:1053E000930505001319090113850B0093D90901D1 +:1053F000EF00501AB36939011386040063FCA90059 +:10540000B30934011386F4FF63E6890063F4A9004D +:105410001386E4FF13140A013364C400130A000066 +:105420006F000013B707000113070001E36CF6EEED +:10543000130780016FF01FEF138A0600631A06003E +:105440009305000013051000EF00901713040500EA +:10545000B7070100637EF4129307F00F63F487002F +:10546000130A8000B35744013309F90003470900C8 +:105470009306000233074701B386E64063940612A1 +:10548000B3848440130A1000135B040193050B00DE +:1054900013850400EF0050171309050093050B0056 +:1054A00013850400931B0401EF00901193DB0B01A3 +:1054B000930405009305050013850B00EF00900D84 +:1054C0001319090193D70901B367F900938A0400FE +:1054D00063FEA700B3878700938AF4FF63E8870021 +:1054E00063F6A700938AE4FFB3878700B384A740DD +:1054F00093050B0013850400EF001011130905003C +:1055000093050B0013850400EF00900B939909019C +:1055100093040500930505001319090113850B0079 +:1055200093D90901EF001007B3693901138604000C +:1055300063FCA900B30934011386F4FF63E6890014 +:1055400063F4A9001386E4FF13940A013364C400D2 +:105550001305040093050A008320C102032481027D +:1055600083244102032901028329C101032A810105 +:10557000832A4101032B0101832BC100032C8100ED +:10558000832C4100032D01001301010367800000FB +:10559000B7070001130A0001E366F4EC130A800167 +:1055A0006FF05FEC3314D40033DAE400B399DA001F +:1055B00033D7EA00935A0401B394D40093850A00C8 +:1055C00013050A00336B9700EF0010041309050060 +:1055D00093850A0013050A00931B0401EF00407E27 +:1055E00093DB0B01930405009305050013850B0065 +:1055F000EF00407A1319090113570B013367E900D3 +:10560000138A0400637EA70033078700138AF4FF20 +:10561000636887006376A700138AE4FF3307870077 +:10562000B304A74093850A0013850400EF00C07DF2 +:105630001309050093850A0013850400EF004078E4 +:10564000930405009305050013850B00EF0080749B +:1056500013170B011357070113190901B367E90069 +:105660001387040063FEA700B38787001387F4FF46 +:1056700063E8870063F6A7001387E4FFB38787001A +:10568000131A0A01B384A740336AEA006FF0DFDF20 +:1056900063ECD51EB707010063F4F6041307F00F9F +:1056A000B335D7009395350033D7B60097B70100CF +:1056B0009387C7B9B387E70003C70700130A00023F +:1056C0003307B700330AEA4063160A0213041000D6 +:1056D000E3E096E833B6CA00134416006FF05FE7C4 +:1056E000B707000193050001E3E0F6FC9305800194 +:1056F0006FF09FFBB35CE600B3964601B3ECDC00B1 +:1057000033D4E40093DB0C01B397440133D7EA00B0 +:1057100093850B0013050400336BF700B3194601A2 +:10572000EF00806E1309050093850B00130504003C +:10573000139C0C01EF00C068135C0C01930405007E +:105740009305050013050C00EF00C064131909014F +:1057500013570B013367E90013840400637EA7002D +:10576000330797011384F4FF636897016376A700FA +:105770001384E4FF33079701B304A74093850B001C +:1057800013850400EF0040681309050093850B00A2 +:1057900013850400EF00C062930405009305050023 +:1057A00013050C00EF00005F93170B01131909019B +:1057B00093D70701B367F9001386040063FEA700BF +:1057C000B38797011386F4FF63E8970163F6A70098 +:1057D0001386E4FFB387970113140401B70B01008C +:1057E0003364C4001389FBFF337D240133F929019D +:1057F000B384A7409305090013050D00EF008059FD +:10580000935C040193050900130B050013850C003C +:10581000EF00405893D90901130C05009385090046 +:1058200013850C00EF00005713090500938509004C +:1058300013050D00EF0000563305850193570B014A +:105840003385A700637485013309790193570501F6 +:10585000B387270163E6F402E392F4BCB7070100C3 +:105860009387F7FF3375F50013150501337BFB00B4 +:1058700033964A0133056501130A0000E37AA6CC8A +:105880001304F4FF6FF09FB9130A00001304000023 +:105890006FF01FCC130101FB232481042322910408 +:1058A000232E3103232291032326110423202105D3 +:1058B000232C4103232A5103232861032326710348 +:1058C000232481032320A103232EB101930C05007F +:1058D000938905001304050093840500639E062642 +:1058E00013090600138A060097BA0100938A0A96E4 +:1058F00063F4C514B70701006376F6129307F00F3F +:1059000063F4C700130A8000B3574601B38AFA0054 +:1059100003C70A001305000233074701330AE540B5 +:10592000630C0A00B395490133D7EC0033194601E3 +:10593000B364B70033944C01935A090193850A006C +:1059400013850400EF00404C9309050093850A007D +:10595000131B090113850400EF008046135B0B0144 +:105960009305050013050B00EF00C0429399090150 +:1059700093570401B3E7F90063FAA700B38727013F +:1059800063E6270163F4A700B3872701B384A74028 +:1059900093850A0013850400EF0000479309050072 +:1059A00093850A0013850400EF008041131404015D +:1059B000930505009399090113050B001354040185 +:1059C000EF00403D33E48900637AA40033042401EE +:1059D000636624016374A400330424013304A440E7 +:1059E00033554401930500008320C104032481043E +:1059F00083244104032901048329C103032A810369 +:105A0000832A4103032B0103832BC102032C810250 +:105A1000832C4102032D0102832DC10113010105D5 +:105A200067800000B7070001130A0001E36EF6EC7F +:105A3000130A80016FF05FED631A06009305000002 +:105A400013051000EF00C03713090500B707010068 +:105A5000637AF90E9307F00F63F42701130A8000AD +:105A6000B3574901B38AFA0003C70A0013050002BD +:105A7000B384294133074701330AE540E30E0AEABC +:105A800033194901B3DAE900B395490133D7EC0082 +:105A900093540901336BB70013850A009385040002 +:105AA000EF0080369309050093850400931B0901DC +:105AB00013850A00EF00C03093DB0B01930505004E +:105AC00013850B00EF00002D9399090193570B01EB +:105AD000B3E7F90033944C0163FAA700B3872701B9 +:105AE00063E6270163F4A700B3872701B38AA740C1 +:105AF0009385040013850A00EF0000319309050027 +:105B00009385040013850A00EF00802B93050500A0 +:105B100013850B00EF00002893150B0193990901E1 +:105B200093D50501B3E5B90063FAA500B385250156 +:105B300063E6250163F4A500B3852501B384A54080 +:105B40006FF09FDFB7070001130A0001E36AF9F065 +:105B5000130A80016FF0DFF0E3E8D5E8B707010032 +:105B600063FCF604930BF00F33B5DB00131535001F +:105B700033D7A60097A701009387476DB387E70047 +:105B800083CB070093050002B38BAB00338B7541C9 +:105B9000631E0B0263E4360163EACC003384CC401D +:105BA000B386D94033B58C00B384A64013050400F6 +:105BB000938504006FF05FE3B70700011305000150 +:105BC000E3E8F6FA130580016FF09FFAB3966601D9 +:105BD000335D7601336DDD0033D47901B39569010E +:105BE00033DC7C0193540D01336CBC0013050400BD +:105BF00093850400B31A6601EF000021130A050023 +:105C0000938504001305040033996C01931C0D0166 +:105C1000EF00001B93DC0C01130405009305050045 +:105C200013850C00EF000017131A0A0113570C011B +:105C30003367EA00130A0400637EA7003307A70155 +:105C4000130AF4FF6368A7016376A700130AE4FF51 +:105C50003307A701B309A740938504001385090002 +:105C6000EF00801A938504001304050013850900D2 +:105C7000EF000015930505009304050013850C0043 +:105C8000EF00401193150C011314040193D5050185 +:105C9000B365B4001387040063FEA500B385A501B6 +:105CA0001387F4FF63E8A50163F6A5001387E4FFFB +:105CB000B385A501131A0A01B70C0100336AEA0083 +:105CC0001384FCFFB3778A0033F48A00B384A540C1 +:105CD00013850700930504002326F100135A0A01D7 +:105CE000EF00400B930905009305040013050A001B +:105CF000EF00400A13DC0A01930D050093050C0028 +:105D000013050A00EF0000098327C100130A0500EC +:105D100093050C0013850700EF00C0073305B5019C +:105D200013D709013307A7006374B701330A9A0137 +:105D3000B70701009387F7FF935507013377F70003 +:105D400013170701B3F7F900B3854501B307F7004F +:105D500063E6B400639EB400637CF9003386574168 +:105D6000B3B7C700B385A541B385F54093070600D7 +:105D7000B307F9403339F900B385B440B385254101 +:105D800033947501B3D767013365F400B3D565016A +:105D90006FF09FC5130605001305000093F615006C +:105DA000638406003305C50093D51500131616004D +:105DB000E39605FE678000006340050663C605069E +:105DC00013860500930505001305F0FF630C06021A +:105DD00093061000637AB6006358C00013161600CD +:105DE00093961600E36AB6FE1305000063E6C5004D +:105DF000B385C5403365D50093D6160013561600FB +:105E0000E39606FE6780000093820000EFF05FFBE0 +:105E100013850500678002003305A04063D80500A4 +:105E2000B305B0406FF0DFF9B305B04093820000D6 +:105E3000EFF01FF93305A040678002009382000055 +:105E400063CA0500634C0500EFF09FF7138505005A +:105E500067800200B305B040E35805FE3305A0405B +:0C5E6000EFF01FF63305B0406780020031 +:02000004800278 +:1000000049444C45000000006031FEFF2831FEFFEE +:100010003631FEFF4431FEFF4C31FEFF7032FEFFF1 +:100020003832FEFF4632FEFF5432FEFF5C32FEFFE6 +:10003000546D722053766300F437FEFFF437FEFFF1 +:10004000F437FEFF7238FEFF4238FEFF6838FEFFCD +:10005000F437FEFFF437FEFF7238FEFF4238FEFF32 +:10006000546D725100000000286E756C6C29000000 +:10007000F645FEFFF447FEFFEA47FEFFF447FEFFAA +:10008000F447FEFFF447FEFFF447FEFF7446FEFF11 +:10009000F447FEFFF447FEFF2C46FEFF8246FEFFBC +:1000A000F447FEFF3646FEFF4046FEFF4046FEFF99 +:1000B0004046FEFF4046FEFF4046FEFF4046FEFF34 +:1000C0004046FEFF4046FEFF4046FEFFF447FEFF6F +:1000D000F447FEFFF447FEFFF447FEFFF447FEFF40 +:1000E000F447FEFFF447FEFFF447FEFFF447FEFF30 +:1000F000F447FEFFF447FEFFF447FEFFF447FEFF20 +:10010000F447FEFFF447FEFFF447FEFFF447FEFF0F +:10011000F447FEFFF447FEFFF447FEFFF447FEFFFF +:10012000F447FEFFF447FEFFF447FEFFF447FEFFEF +:10013000F447FEFFF447FEFFF447FEFFF447FEFFDF +:10014000F447FEFFF447FEFFF447FEFFF447FEFFCF +:10015000F447FEFFF447FEFFF447FEFFF447FEFFBF +:10016000F447FEFFF447FEFFF447FEFFF447FEFFAF +:10017000A646FEFF5247FEFFF447FEFFF447FEFF90 +:10018000F447FEFFF447FEFFF447FEFFF447FEFF8F +:10019000F447FEFF9E46FEFFF447FEFFF447FEFFD6 +:1001A000A647FEFFAC47FEFFF447FEFFF447FEFF05 +:1001B000BA46FEFFF447FEFFA047FEFFF447FEFFEE +:1001C000F447FEFFC447FEFF6D69616F750A0000CA +:1001D0006D69616F75320A0068756E6772790A0021 +:1001E0004572726F7220696E20416C745154657350 +:1001F00074207461736B73200D0A0000436865639B +:100200006B54696D65720000416C745F47656E5F89 +:10021000546573745F517565756500004647656E7A +:1002200051000000416C745F515F4D75746578003A +:10023000464D754C6F770000464D754D6564000066 +:10024000464D754869676800000102020303030315 +:100250000404040404040404050505050505050556 +:100260000505050505050505060606060606060636 +:10027000060606060606060606060606060606061E +:100280000606060606060606070707070707070706 +:1002900007070707070707070707070707070707EE +:1002A00007070707070707070707070707070707DE +:1002B00007070707070707070707070707070707CE +:1002C00007070707070707070808080808080808B6 +:1002D000080808080808080808080808080808089E +:1002E000080808080808080808080808080808088E +:1002F000080808080808080808080808080808087E +:10030000080808080808080808080808080808086D +:10031000080808080808080808080808080808085D +:10032000080808080808080808080808080808084D +:10033000080808080808080808080808080808083D +:08034000080808080808080875 +:100348001000000000000000017A5200017C010149 +:100358001B0D02004C00000018000000544FFEFF67 +:10036800DC05000000440E30708903950781018880 +:100378000292049305940696089709980A990B9A8D +:100388000C0370020AC144C844C944D244D344D4BB +:1003980044D544D644D744D844D944DA440E00441A +:1003A8000B0000005000000068000000E054FEFF51 +:1003B8000005000000440E507488028903930599D3 +:1003C8000B810192049406950796089709980A9A52 +:1003D8000C9B0D0320010AC144C844C944D244D32C +:1003E80044D444D544D644D744D844D944DA44DB29 +:0803F800440E00440B0000005C +:10040C00AAAAAAAA08000000FC8F0100090000009B +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/AltQTest_rv32ic_O3.hex b/VexRiscv/src/test/resources/freertos/AltQTest_rv32ic_O3.hex new file mode 100644 index 0000000..9f2bdab --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/AltQTest_rv32ic_O3.hex @@ -0,0 +1,1784 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900021D5170502001305E556979503009385B2 +:1000A000257E01461122170502001305E54A970532 +:1000B00002009385A5520146C52817A50300130524 +:1000C000657C97B503009385A57B37B6ABAB13066C +:1000D000B6BAD92817B103001301C17AEF60B0098D +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2823523A02200F322103409 +:1002400096DEEF00002B170102000321213402414A +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E23523A0120023A29D +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40504D17010200032112 +:10033000E1250241F65273901234B72200009382F5 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A22783A0A4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2821323A0220086DEEF20EF +:10046000405417010200032161120241F6527390B9 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF40702DEF10703001E58B +:10050000B24041018280B24041016F20804993078F +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30904678 +:1005B0008280411122C406C62A8426C2EF20103848 +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF20207E6DD5EF20101E3C44FD17A0 +:1005F0003CC43C44E344F0FEFD573CC4EF2050367D +:10060000EF20D0337C40635BF0021C4885CB930421 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF20E0796DD5EF20D019C1 +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20903129CD0111E2 +:1006500022CC2A8406CE26CA4AC84EC62E89EF204E +:10066000F02D24404C5C832904002685EF60401265 +:100670007D57232C0402B3079540CE974E9578C042 +:1006800048C0232434015CC438C4631109021C48E7 +:100690008DE7EF20F02CF2406244D2444249B24947 +:1006A0000545056182807370043001A013050401C3 +:1006B000B93D13054402A13DE9BF13050401EF2034 +:1006C00060708547E317F5FC9133E1B701E573707E +:1006D000043001A0011126CA4AC84EC606CE22CC5B +:1006E000AA842E89B289A5C9EF60800A1305550531 +:1006F000EF30101A2A8435C5930745051CC144DC28 +:1007000023202405EF20902324404C5C032904007F +:100710002685EF60E007FD56232C0402B3079540C1 +:100720003307A900CA9774C058C05CC434C4130509 +:10073000040123242401E13B13054402C93BEF20BB +:100740003022230834052285F2406244D2444249D3 +:10075000B2490561828013054005EF3070132A8489 +:1007600001E57370043001A000C051BF01E5737052 +:10077000043001A06375B5007370043001A041110D +:1007800022C409462E84814506C6893711C500DD7D +:10079000B2402244410182807370043001A05D7137 +:1007A00086C6A2C4A6C2CAC04EDE52DC56DA5AD8E9 +:1007B0005ED632C6630A051C6382051C89476399AD +:1007C000F600585D85476305F7007370043001A09B +:1007D0003689AE842A84EF20B00111E5B24781C783 +:1007E0007370043001A0EF207015185C5C5C014B45 +:1007F000894BFD59130A0401930A44026365F70CFF +:1008000063077919B2476381072463150B00280831 +:10081000EF20606EEF20D014EF104075EF20101223 +:100820007C4063943701232204043C4463943701E1 +:1008300023240404EF20D0126C002808EF20C06DA0 +:10084000631E051EEF20900F185C5C5C630CF70ABA +:10085000EF201011EF20900E3C44634FF00039A8B8 +:100860005685EF20205619C1EF2000763C44FD1735 +:100870003CC43C446354F0005C50FDF32324340535 +:10088000EF20100EEF20900B7C40634FF00039A852 +:100890005285EF20205319C1EF2000737C40FD17D3 +:1008A0007CC07C406354F0001C48FDF323223405D7 +:1008B000EF20100BEF109010054BEF203008185C64 +:1008C0005C5CE37FF7F230406305060C631F09129E +:1008D0000844A685EF3010141C4434405840B697A5 +:1008E0001CC463EAE70A1C5C14405850850714C412 +:1008F0001CDC5DC713054402EF20C04C8547631123 +:10090000F50AC9A8EF20D005B2455285EF20402D49 +:10091000EF20D0023C44634FF00039A85685EF2009 +:10092000604A19C1EF20406A3C44FD173CC43C4476 +:100930006354F0005C50FDF323243405EF20500293 +:10094000EF20C07F7C40634FF00039A85285EF2034 +:10095000604719C1EF2040677C40FD177CC07C4098 +:100960006354F0001C48FDF323223405EF20407F40 +:10097000EF10D00431F1593C054B81B73C41E38F76 +:1009800007E27370043001A07370043001A030409E +:1009900021E61C4085C71C5C585085071CDC39FBD0 +:1009A000EF20007C0545B640264496440649F2599E +:1009B000625AD25A425BB25B616182804840EF204A +:1009C000406F1C5C58502322040085071CDCE31395 +:1009D00007F279D5253CE9B74844A685EF30900366 +:1009E0003C4058441440B307F0403E9758C4637CE1 +:1009F000D7025840BA975CC409475C506306E902C5 +:100A0000185C050718DCC9DFF5B54844A685EF304A +:100A100070003C4058441440B307F0403E9758C41F +:100A2000E37CD7FCF9B75C50185C79DB185C7D1768 +:100A300018DC185C050718DCA5D76DBD2285953E2E +:100A4000EF10C077014585B7EF2080710145A1BF48 +:100A5000411126C2AA841305400522C406C6EF3000 +:100A600020632A8415CD232C0502FD577CC1054740 +:100A7000410558DC3CC42322040023200400232425 +:100A80000400232604002320040423089404413492 +:100A900013054402AD3C8146014681452285013360 +:100AA0002285B24022449244410182800DC5411109 +:100AB00022C426C206C64AC0032945002A84EF2064 +:100AC00060528144630CA900B24022442685024949 +:100AD0009244410182807370043001A05C448544DB +:100AE000FD175CC4F5F381460146814522854539F1 +:100AF000E1BF397106DE22DC26DA4AD84ED652D45E +:100B000032C635CD8149DDC13689AE842A84130AC7 +:100B100005012DA0EF20C064EF2040626C00280882 +:100B2000EF20803F31E1EF206061185C5C5C630581 +:100B3000F702EF20E062EF20A0628549EF2000601D +:100B4000185C5C5C6360F704B24799CFE39409FCDE +:100B50002808EF20403A7DBFEF208060B2455285E3 +:100B6000EF2000086530C1BFEF20805FF250625473 +:100B7000D2544259B259225A014521618280737080 +:100B8000043001A0304015EE1C4095C71C5C585045 +:100B900085071CDC39E7EF20A05CF2506254D25488 +:100BA0004259B259225A0545216182803C41A9DF50 +:100BB0007370043001A04840EF20A04F23220400AE +:100BC000F1B7631909020844A685EF30A0641C44FC +:100BD00034405840B6971CC4E3EAE7FA1C401CC4F2 +:100BE00075B713054402EF20E01D8547E315F5FABC +:100BF000313855B74844A685EF30C0613C40584471 +:100C00001440B307F0403E9758C46375D70058406E +:100C1000BA975CC48947E31BF9F61C5CA5DB1C5C36 +:100C2000FD171CDCA5B7397106DE22DC26DA4AD8AE +:100C30004ED652D432C66301050E81446388050C3A +:100C4000B6892E892A84130A450231A0EF2040512B +:100C5000EF2000518544EF20604E1C5CBDE3B2479D +:100C600095CF8DC8EF20C04FEF20404D6C00280875 +:100C7000EF20802A05E5EF20604C1C5CE1FBEF20B3 +:100C8000204E1C409DC7B2455285EF107075EFF0A5 +:100C9000EFF77DBF2808EF200026E9B7EF20404C92 +:100CA000F2506254D2544259B259225A014521613C +:100CB0008280EF20A0484840EF208035EF20404A56 +:100CC000D9B73040444409CE5840B387C4005CC40F +:100CD00063E4E7001C405CC44C444A85EF30805319 +:100CE000639F09021C5C1840FD171CDC01E7EF2024 +:100CF000A04B48C01C489DC713050401EF20800C81 +:100D000085476311F502EFF06FF029A83C41E389B4 +:100D100007F27370043001A07370043001A05C50BE +:100D200044C481EFEF20C043F2506254D254425980 +:100D3000B259225A05452161828013054402EF20F1 +:100D400060086DD1C9B725C1B1C9011122CC26CA2D +:100D50004AC852C406CE4EC68947B68432892E8A06 +:100D60002A846399F600585D85476301F70673701E +:100D7000043001A0EFF06FF5185C5C5CAA89636732 +:100D8000F70281444E85EFF0EFF3F240624426858E +:100D90004249D244B249224A056182803C41D5D7BA +:100DA0007370043001A07370043001A0304049E238 +:100DB0001C40DDCB1C5C7D5785071CDC3C446388F4 +:100DC000E7083C44854485073CC46DBFEFF0EFEF76 +:100DD000185C5C5CAA89636DF702304071DA4844A4 +:100DE000D285EF3020433C4058441440B307F040D4 +:100DF0003E9758C46375D7005840BA975CC489477A +:100E0000E39AF4FA1C5CDDD71C5CFD171CDC5DB7B3 +:100E1000304059DE4844D285EF30C03F3C40584412 +:100E20001440B307F0403E9758C4E367D7FCD9BFDE +:100E3000DDF40844D285EF30E03D1C443440584096 +:100E4000B6971CC4E3E8E7F61C401CC4A5B75C5089 +:100E500085448DDB13054402EF10D07605D5E303FE +:100E600009F22320990039BF4840EF208024232233 +:100E7000040089B731CD3C4181C77370043001A0B3 +:100E80001C41B1CB011122CC26CA2A844AC84EC6C5 +:100E900006CE2E89EFF06FE3185C5C5CAA89814472 +:100EA000637DF7001C5C7D5785071CDC3C4463892F +:100EB000E7023C44854485073CC44E85EFF08FE053 +:100EC000F240624426854249D244B249056182809B +:100ED0007370043001A05C41D5D77370043001A059 +:100EE0005C5099E38544D1BF13054402EF10906D27 +:100EF00075D9E30909FE85472320F900E5B7397163 +:100F000006DE22DC26DA4AD84ED652D456D232C673 +:100F10006302051C638A051AB68AAE892A84EF200B +:100F2000200D11E5B24781C77370043001A0EF2096 +:100F3000E0201C5C63920714B247638E070A2808FE +:100F4000EF10707BFD5413094402130A0401EF20D3 +:100F50002021EF10A001EF20601E7C4063949700D9 +:100F6000232204043C446394970023240404EF20C8 +:100F7000201F6C002808EF10307A63130518EF204B +:100F8000E01B1C5CADCFEF20A01DEF20201B3C44DC +:100F9000634FF00039A84A85EF10D06219C1EF20E5 +:100FA000A0023C44FD173CC43C446354F0005C5038 +:100FB000FDF324C4EF20C01AEF2040187C40634F9B +:100FC000F00039A85285EF10F05F19C1EF10D07F03 +:100FD0007C40FD177CC07C406354F0001C48FDF34E +:100FE00064C0EF20E017EF10601DEF2020151C5C9F +:100FF000C1E7B247A9FFEF20A016014555A0EF2099 +:1010000020161C40DDC7B2454A85EF10703DEF2029 +:10101000E0123C44634FF00039A84A85EF10905A23 +:1010200019C1EF10707A3C44FD173CC43C44635432 +:10103000F0005C50FDF324C4EF208012EF2000107C +:101040007C40634FF00039A85285EF10B05719C1AA +:10105000EF1090777C40FD177CC07C406354F0001B +:101060001C48FDF364C0EF20A00FEF10201531C91C +:10107000EF20C00C1C5CB5DFCE8522854444EFF028 +:101080006FD1639C0A041C5C1840FD171CDC01E74F +:10109000EF20801148C01C48A1EFEF20600C0545EF +:1010A000F2506254D2544259B259225A925A216192 +:1010B0008280EF20A0084840EF109075EF20400A92 +:1010C00099B7EFF0AFB46DB73C41E38707E47370B5 +:1010D000043001A07370043001A05C5044C4D5DF1B +:1010E00013054402EF10104E4DD9EFF02FB275B733 +:1010F00013050401EF10104D8547E310F5FAF5B71D +:101100002285EFF00FCBEF10600B014551BF1DC9D9 +:10111000411122C44AC006C626C244412E892A84EF +:10112000EF10506C6383A40281464A868145228574 +:10113000F93385476300F502B240224492440249E4 +:10114000410182807370043001A05C44054585072D +:101150005CC4DDB75C4485075CC4F9BF15CDADC97F +:10116000011122CC26CA4AC84EC652C42A8406CED1 +:101170003289AE89EFF06FB51C5C2A8A814485E321 +:101180005285EFF02FB4F240624426854249D244A2 +:10119000B249224A056182807370043001A0304058 +:1011A00001CE4C445C40B2954CC463E4F5000C4065 +:1011B0004CC44E85EF3000061C5C7D57FD171CDCCF +:1011C0007C40638CE7007C40854485077CC04DBF34 +:1011D0003C41D9D77370043001A01C4899E3854481 +:1011E00045B713050401EF10F03D75D9E30909FE79 +:1011F00085472320F900E5B721C93C41A1CDA1CB0A +:10120000011122CC26CA4AC852C42A8406CE4EC630 +:101210002E8AEFF08FAB1C5C2A89814491CB832905 +:10122000C400D2852285EFF0EFB685442326340131 +:101230004A85EFF02FA9F240624426854249D24404 +:10124000B249224A056182807370043001A0737034 +:10125000043001A0EDDF7370043001A001E573706C +:10126000043001A0411122C42A8406C6EF10106D7B +:10127000005CEF10F06EB240228522444101828072 +:1012800001E57370043001A0411106C622C42A840E +:10129000EF10D06A1C5C405C1D8CEF10706C2285D6 +:1012A000B24022444101828019C1085D828073707E +:1012B000043001A01DCD978703009387A758D8431A +:1012C000630CE502D847630CE504D84B6307E504DB +:1012D000D84F6302E504D853630DE502D85763087D +:1012E000E502D85B6303E502D85F630EE5006F207B +:1012F000506C7370043001A001470E07BA9723A009 +:1013000007006F20106B1D47CDBF1947FDB715476C +:10131000EDB71147DDB70D47CDB70947F9BF054711 +:10132000E9BF684582806CC58280034505058280DF +:1013300009C5085D1335150082807370043001A063 +:1013400019C51C5D485D1D8D1335150082807370B5 +:10135000043001A0978703009387C74E984305C3C5 +:10136000984705C7984B05C7984F05C7985305C7B9 +:10137000985705C7985B15C7985F15C382800147CA +:101380000E07BA978CC3C8C382800547D5BF0947EB +:10139000C5BF0D47F5B71147E5B71547D5B71D4789 +:1013A000C5B71947F1BF978703009387A749D8436B +:1013B0006308E502D847630BE502D84B630AE502F0 +:1013C000D84F6309E502D8536308E502D85763078D +:1013D000E502D85B6308E502D85F6303A702828059 +:1013E00001470E07BA9723A0070082800547D5BFA3 +:1013F0000947C5BF0D47F5B71147E5B71547D5B73D +:101400001D47C5B71947F1BF411122C426C24AC0C2 +:101410002A8406C6AE843289EF1050527840FD57B8 +:101420006314F700232204043844FD576314F700C3 +:1014300023240404EF10D0521C5C99E74A86A68549 +:1014400013054402EF10D00CEF10504F3C44635B87 +:10145000F0025C5085CB9304440209A83C44FD177C +:101460003CC43C446350F0025C5089CF2685EF10A9 +:1014700070156DD5EF1050353C44FD173CC43C440D +:10148000E344F0FEFD573CC4EF10904DEF10104BBD +:101490007C40635BF0021C4885CB9304040109A8DF +:1014A0007C40FD177CC07C406350F0021C4889CF13 +:1014B0002685EF1030116DD5EF1010317C40FD17EF +:1014C0007CC07C40E344F0FEFD577CC02244B24027 +:1014D0009244024941016F10B0481DC179714ECE4E +:1014E00052CC06D63E8A22D426D24AD056CA5AC8F0 +:1014F0005EC6A547BA8963F8E7007370043001A09F +:101500007370043001A02A8BAE843289B68BC28AF4 +:101510006307082213050006EF2090372A84630B27 +:1015200005200A09232855034A869305500A568543 +:10153000EF20505C03C704001C587119230AE40211 +:1015400003C704003E991379C9FF79C783C7140004 +:10155000A30AF40283C71400E1C383C72400230B4A +:10156000F40283C72400CDCB83C73400A30BF4025D +:1015700083C73400D5C383C74400230CF40283C758 +:101580004400D9CB83C75400A30CF40283C7540092 +:10159000C1C783C76400230DF40283C76400ADCFC5 +:1015A00083C77400A30DF40283C77400B5C783C753 +:1015B0008400230EF40283C78400B9CF83C794004C +:1015C000A30EF40283C79400A1CB83C7A400230F0A +:1015D000F40283C7A400A9C383C7B400A30FF40215 +:1015E00083C7B40095CB83C7C4002300F40483C72A +:1015F000C4009DC383C7D400A300F40483C7D400F0 +:1016000081CF83C7E4002301F40483C7E40089C7C2 +:1016100083C7F400A301F404930A44005685A30190 +:1016200004042326340323283405232A0404EFE08A +:101630005FEF13058401EFE0DFEEA947B387374181 +:10164000232C040400C81CCC40D023220404232EE5 +:1016500004045E86DA854A85EFE0DFE708C06304AC +:101660000A0023208A007370043017F9010013095F +:1016700029F08327090097F40100938424F191C78E +:1016800098409C407C4385077CC317F701001307F3 +:10169000E7EE1C43850797F6010023A1F6EE9C4078 +:1016A000C5CB8327090017FB0100130B6BFD89EBEA +:1016B0009C40DC5763E6F90097F7010023A887EC0C +:1016C00097F701009387C7E99C4317F701001307B9 +:1016D00067EA48541843850797F6010023A2F6E805 +:1016E0003CC46376A70097F7010023A5A7E8D1457E +:1016F000EF50000AD6855A95EFE01FE383270900D3 +:1017000081C79C40FC43B5E383270900054499C782 +:101710009C40DC5763F43701EFE05FCF2285B25085 +:10172000225492540259F249624AD24A424BB24B75 +:10173000456182805685EF20D0277D54C5B71315AB +:101740002600EF20F014AA8AE31605DC7D54F9B7D1 +:1017500097F7010023AC87E2184385476301F7023E +:1017600017FB0100130BCBF1A1BF984094407C43C1 +:10177000FD177CC3FC42C9FB7360043071B7014A9A +:1017800017FB0100130BCBEFA94B5285D145EF504E +:101790002000050A5A95EFE09FD7E3187AFF97FBE0 +:1017A0000100938B6BFA5E85EFE07FD617FA01009C +:1017B000130ACAFA5285EFE09FD517F50100130509 +:1017C00025FBEFE0DFD417F501001305A5FBEFE0E3 +:1017D0001FD417F50100130525FCEFE05FD397F741 +:1017E000010023A377DB97F7010023AD47D9C9BDDB +:1017F000411122C406C626C24AC02A84737004302E +:1018000097F401009384C4D69C40F9E311E497F760 +:1018100001009387A7D78043130944004A85EFE06E +:101820003FD51C5489C713058401EFE07FD4CA85D6 +:1018300017F50100130505F5EFE01FCF97F701003D +:10184000938707D4984397F70100938767D19C4308 +:10185000050797F6010023A5E6D29840850797F67D +:10186000010023AFF6CE01CB97F70100938707D293 +:101870009843784349EF9C40B1C797F7010093879D +:10188000E7D09843630F870873700430984039EBB2 +:1018900017F70100130747CF14439442A5E27D5781 +:1018A00097F6010023ACE6CA984009CF98437843E5 +:1018B00011CB984394437C43FD177CC3FC4299E3CE +:1018C00073600430B2402244924402494101828054 +:1018D00097F70100938787CB98439C437C43850708 +:1018E0007CC32DB794439843F8420507F8C217F715 +:1018F0000100130767C914439442D5D218435847CF +:101900005847584397F6010023AAE6C471BF98438D +:1019100094437C43FD177CC3FC42B1FF73600430E9 +:1019200099BF97F70100938727C39C4381C77370C2 +:10193000043001A02244B2409244024941016FE0C8 +:10194000FFAC41C517F70100130747C41443814793 +:10195000638BA606411106C622C426C273700430EA +:1019600097F601009386C6C09C4291C710431C4362 +:101970007C4285077CC29C42AA84404981C71C43A3 +:10198000FC43B9E797F70100938707C09C43638B3B +:10199000870497F701009387E7BE9C43638487041D +:1019A00097F70100938747DF6309F40297F7010077 +:1019B000938747DD6301F4028547B2402244924495 +:1019C0003E85410182803E8582807370043001A093 +:1019D000EF40D06F45BF9147CDB79C5493B71700E8 +:1019E0008907E1BF8947D1BF7370043097F70100C1 +:1019F000938707B8984309EF15C59C43485581CB99 +:101A000097F70100938787B89843784315E382805E +:101A100017F70100130787B714431843F842050767 +:101A2000F8C261FD17F70100130747B60843F1B785 +:101A3000984394437C43FD177CC3FC42E9FB7360ED +:101A400004308280411122C42A8406C6EFE0FFA739 +:101A500011E497F70100938767B380434054EFE0A8 +:101A60007FA6B2402285224441018280A54763F5CA +:101A7000B7007370043001A0011122CC06CE26CA33 +:101A80004AC84EC652C42A847370043017F9010044 +:101A9000130909AE83270900CDEF71C43C48638860 +:101AA000F50817F70100130767AE63FCB70014438E +:101AB000638C860C18434457B3B4950093C4140048 +:101AC00029A00443818C93B4140058546383E70A1B +:101AD0001C4C2CC863C60700A947B385B7400CCC83 +:101AE00093172700BA97584897F90100938949B985 +:101AF0008A07CE97631AF702130A44005285EFE073 +:101B00003FA797F701009387E7A648549C4363F6E5 +:101B1000A70097F7010023AFA7A4D145EF40504796 +:101B2000D2854E95EFE05FA099C0EFE03F8E83270E +:101B3000090081CB97F70100938747A59C43FC439D +:101B40009DEBF2406244D2444249B249224A0561C7 +:101B5000828097F70100938767A398439C437C4357 +:101B600085077CC305FC97F70100938727A2804374 +:101B700035B74CD4B1BF6244F240D2444249B24975 +:101B8000224A05616F409054814481B725C10111FB +:101B900026CA97F401009384649F9C4006CE22CC11 +:101BA0004AC84EC6638DA7027370043017F901004E +:101BB0001309099C8327090091C798409C407C43E6 +:101BC00085077CC3584997F701009387E7BC6302F8 +:101BD000F7028327090081C79C40FC43A5EBF24034 +:101BE0006244D2444249B249056182807370043034 +:101BF00001A01C5517F70100130787B7E38BE7FC1B +:101C0000E9FB930945002A844E85EFE07F9697F71C +:101C100001009387279648549C4363F6A70097F7E3 +:101C2000010023A9A794D145EF40903697F7010012 +:101C3000938707A53E95CE85EFE01F8F9C405854B3 +:101C4000DC57E368F7F8EFE06FFC61B76244F240FD +:101C5000D2444249B24905616F40504715CD011148 +:101C600022CC26CA4EC62A8406CE4AC8EFE0FF859B +:101C7000584897F70100938727B2AA8981446300E7 +:101C8000F7024E85EFE01F84F24062442685424908 +:101C9000D244B249056182807370043001A01C54A3 +:101CA00017F501001305C5ACE38DA7FCF9FB97F709 +:101CB00001009387678A9C43A9EB97F701009387FC +:101CC000E78C9C43445413094400DC574A85B3B461 +:101CD000F400EFE0FF8997F701009387A789485444 +:101CE0009C4393C4140063F6A70097F7010023A355 +:101CF000A788D145EF40D02997F7010093874798EF +:101D0000CA853E95EFE05F82ADBF93058401EFE0A9 +:101D1000BF8185BF0111056506CE22CC26CA4AC8FF +:101D20004EC652C456C25AC0EF208036630E051A02 +:101D3000AA8413050006EF20A0352A84630A051C37 +:101D400005669305500A04D92685EF20A05A045849 +:101D50008567F117BE94B7474C4593879744130A9C +:101D600044005CD85285230C0402A30104042326FA +:101D7000040223280404232A0404EFE08FFA130545 +:101D80008401EFE00FFAA947232C0404F19800C85E +:101D90001CCC40D023220404232E040401469715B2 +:101DA00000009385E5B12685EFE0CFF208C073709F +:101DB000043017E901001309A97B8327090097E480 +:101DC00001009384A47C91C798409C407C43850784 +:101DD0007CC317E701001307677A1C43850797E662 +:101DE000010023ADF6789C40C9C38327090097F909 +:101DF00001009389E98881EB9C40DC5789E797E7EC +:101E0000010023A5877897E70100938767759843BA +:101E100097E60100938606765C549442050717E620 +:101E20000100232FE67238C463F6F60017E70100BD +:101E30002322F774139527003E950A05D2854E9507 +:101E4000EFE08FEE8327090081C79C40FC43DDE76C +:101E50008327090091C39C40EF10F0498547630533 +:101E6000F50C59E17370043001A097E7010023AF2E +:101E700087701843854797F9010093896980E314B7 +:101E8000F7F8CE8A17FB0100130B0B8C5685D10A8D +:101E9000EFE0EFE7E31C5BFF17F501001305C58AD0 +:101EA000EFE0EFE697FA0100938A4A8B5685EFE060 +:101EB0000FE617F501001305A58BEFE04FE517F5C9 +:101EC00001001305258CEFE08FE417F501001305E1 +:101ED000A58CEFE0CFE397E7010023A7676B97E7B7 +:101EE000010023A1576B05B7F2406244D244424936 +:101EF000B249224A924A024B05618280984094403E +:101F00007C43FD177CC3FC42A1F77360043089B7A2 +:101F10006244F2404249B249224A924A024B268523 +:101F2000D24405616F20E02873700430FD5717E735 +:101F300001002325F762624497E7010023AAA76204 +:101F4000F240D2444249B249224A924A024B97E7B0 +:101F5000010023A3076205616FE0AFB47370043022 +:101F600097E7010023A607606FE0EFC117E70100C4 +:101F70001307875E1C4385071CC38280737004307F +:101F800097E701009387C75E984305E317E70100D1 +:101F90001307875E08439C4381CB97E70100938733 +:101FA000E75E9843784301EF828017E7010013074B +:101FB000E75D14431843F8420507F8C2C1BF9843D0 +:101FC00094437C43FD177CC3FC42F9FF73600430EB +:101FD0008280411106C622C4EFE02FCF97E70100AF +:101FE000938787598043EFE0EFCDB24022852244AA +:101FF0004101828097E70100938747588843828098 +:10200000797106D622D426D24AD04ECE52CC56CAA8 +:102010005AC85EC697E70100938707549C43B1EF07 +:1020200017E70100130747551C43850797E6010092 +:1020300023A4F654832A0700638F0A0697E701005A +:102040009387C7519C43814463F1FA0897E90100E3 +:102050009389096317EA0100130A4A5383270A0088 +:102060008546D85793172700BA978A07BE9983A742 +:10207000090063FFF600854421A897E701009387D4 +:10208000E74E9C438144850717E701002320F74E64 +:1020900097E701009387474D9C4391C38544B25015 +:1020A0002254268502599254F249624AD24A424B3E +:1020B000B24B4561828017E401001304E44C1C40DC +:1020C0009C43DDC37370043001A017E401001304C6 +:1020D000A44B97E901009389A95A17EA0100130A52 +:1020E000EA4A17EB0100130BEB481C409C43B5C3B5 +:1020F0001C40DC4703A9C70083274900930B490014 +:102100005E8563EEFA04EFE0AFC68327890213050C +:10211000890199C3EFE0CFC58327C90203270B00CC +:10212000DE85139527003E950A054E956376F700E8 +:1021300017E701002320F744EFE00FBF83270A00D1 +:102140000327C902DC57E362F7FA1C4085449C432D +:10215000C5F3FD5717E701002322F74001B717E742 +:102160000100232DF73EDDBD17E70100130787416E +:102170001C40184397E6010023A8E64017E701003A +:102180002322F74097E701009387C73D9C438507CB +:1021900017E701002328F73C1C409C4399E7FD57B3 +:1021A00017E70100232CF73A51BD1C40DC47DC4700 +:1021B000DC4317E701002323F73A49B597E701000D +:1021C00093878739984301E77370043001A00111A8 +:1021D00006CE22CC26CA4AC84EC652C456C25AC0DF +:1021E0007370043097E4010093848438984061EB65 +:1021F00098437D1797E6010023A0E6369C43D9EB70 +:1022000097E70100938787379C43C9C797EA010086 +:10221000938A0A4717EA0100130ACA3517E9010031 +:102220001309C936854919A83385EA00EFE0CFAF15 +:10223000832709005854DC576379F70483A70A0FF2 +:10224000C1CF83A7CA0FC04713058401EFE04FB287 +:10225000130B44005A85EFE0AFB15C5483260A00AB +:10226000DA85139527003E950A052A875695E3FDE2 +:10227000F6FA17E70100232FF72EEFE0EFAA8327E6 +:1022800009005854DC57E36BF7FA97E7010023ADD8 +:10229000372D6DB701449C4081CB97E701009387B0 +:1022A000E72E9C43FC4395E72285F2406244D244EA +:1022B0004249B249224A924A024B0561828017E79D +:1022C00001001307A72C14431843F8420507F8C26E +:1022D00005B7EF40A05FC9BF17E40100130404294C +:1022E0001C4095C31C40054999CF193B09C597E788 +:1022F000010023AB27271C40FD1717E70100232708 +:10230000F7261C40FDF397E701009387E7259843E4 +:102310008547E311F7F8EFE06F8F0544ADBF11CDAE +:1023200081E57370043001A017E701001307C7228D +:102330001C4399C77370043001A07370043001A06E +:10234000411122C406C614431841850617E6010050 +:102350002324D62097E60100938606229442330474 +:10236000B70000C163F7E602637FE40063FD860007 +:1023700097E701009387872188431105EFE04F9F7E +:102380002285EF40604E1D3D01C9B240224441010B +:102390008280E36FE4FCD9BF2244B24041016FE088 +:1023A000EF8611C917E701001307071B1C4391C7EC +:1023B0007370043001A06FE06F85411106C622C41E +:1023C0001843AA87050797E6010023A7E61897E6B2 +:1023D00001009386A61B17E701001307E7191843AE +:1023E00088423304F7001105EFE08F982285EF4013 +:1023F000A047E93311E52244B24041016FE00F816B +:10240000B240224441018280411122C406C697E7AE +:102410000100938767149C430144850717E7010077 +:10242000232CF71297E70100938747159C4363E03D +:10243000F51217EE0100130E6E3097E20100938241 +:10244000622301440147854F1303500A21A0311E26 +:10245000638DC20B83278EFFBA86F5DB8327CEFF01 +:10246000DC43232EFEFE638AC70F03AFC700DC43A5 +:102470002A97814E232EFEFE6385C70783A8C700D7 +:1024800093874803232017015CC383A7880423266E +:10249000F7011CC783A7C8021CCB83A70805232C00 +:1024A00007005CCB03A80803834708006390670418 +:1024B000C287850783C60700B3850741E38B66FEA5 +:1024C0008981C205C181231EB700850E130707024B +:1024D00063061F038327CEFFDC43232EFEFEE39F0C +:1024E000C7F983274E00232EFEFE49BF8145231ED8 +:1024F000B700850E13070702E31E1FFD769413171E +:102500005400311EBA86E397C2F597E7010093871E +:10251000A70703AE070083270E00639D070E97E70A +:1025200001009387270603AE070083270E006392FE +:10253000071817EE0100130EAE1483274E109DE30B +:1025400083278E116395072019C223200600BD3111 +:102550002285B24022444101828083274E00232EEF +:10256000FEFE21B783278E1017EF0100130F0F22F5 +:10257000DC4317E701002329F7206380E73383A2B8 +:10258000C700DC43AA96814E17E70100232EF71EF1 +:10259000914F1303500A6388E70783A8C700938706 +:1025A000480323A01601DCC283A7880423A6F601F2 +:1025B0009CC683A7C8029CCA83A7080523AC060053 +:1025C000DCCA03A80803834708006391672AC2870F +:1025D000850703C70700B3850741E30B67FE93D761 +:1025E0002500C207C183239EF600850E938606024E +:1025F0006386122983278E10DC4317E70100232509 +:10260000F718E39CE7F983270E1117E70100232D44 +:10261000F71661B783274E00130F8E00D443232291 +:10262000DE006388E629B307E500D84283A2C6002E +:10263000814E2322EE00894F1303500A6306EF06F2 +:102640008328C7001387480323A01701D8C303A713 +:10265000880423A6F70198C703A7C80298CB03A74D +:10266000080523AC0700D8CB03A8080303470800DC +:102670006318671C4287050783460700B3050741B7 +:10268000E38B66FE13D7250042074183239EE700B4 +:10269000850E93870702638D121B83264E00D84256 +:1026A0002322EE00E31EEFF80327CE002322EE00E4 +:1026B00041BF83274E00130F8E00DC432322FE0010 +:1026C0006384E71F83A2C700DC43AA96814E2322BE +:1026D000FE00894F1303500A6306FF0683A8C70054 +:1026E0009387480323A01601DCC283A7880423A68E +:1026F000F6019CC683A7C8029CCA83A7080523AC21 +:102700000600DCCA03A80803834708006396671025 +:10271000C287850703C70700B3850741E30B67FE40 +:1027200093D72500C207C183239EF600850E9386AA +:102730000602638B120F83274E00DC432322FE0028 +:10274000E31EFFF88327CE002322FE0041BF83272C +:10275000CE1197EE0100938EAE04DC4317E7010023 +:10276000232EF7026384D71383AFC700DC433307FC +:10277000D500014397E6010023A2F6020D4F8942DE +:102780009308500A6387D707C8479307450308C3D0 +:102790005CC33C452326E7011CC75C551CCB1C557C +:1027A00099C3232657003C49232C07005CCB032800 +:1027B00005038347080063951705C287850783C60D +:1027C0000700B3850741E38B16FF93D72500C207A7 +:1027D000C183231EF700050313070702638AAF02B4 +:1027E0008327CE11DC4397E6010023A9F6FAE39D87 +:1027F000D7F983274E1297E6010023A1F6FA69B7AD +:102800008147231EF700050313070702E39AAFFC75 +:102810001A94E31C06D225BB8147239EF600850E41 +:1028200093860602E39912F117EE0100130E4EE5AE +:1028300083274E10769493165400E38307D01DB37C +:102840000147239EE700850E93870702E39712E571 +:1028500097E70100938707D303AE0700769493169A +:10286000540083270E00E38607CCA1B58147239E41 +:10287000F600850E93860602E39E12D783278E11FB +:10288000769493165400E38107CCD1B583274E127A +:1028900017E701002324F7F0C1BD83270E1117E7C6 +:1028A00001002323F7EEE1B98327CE002322FE00A7 +:1028B00011BD8326CE002322DE00B5B3797126D266 +:1028C0004AD04ECE52CC56CA5AC85EC606D622D47C +:1028D00097E90100938949C817E90100130949DA0A +:1028E00017EA0100130ACAC897EA0100938A0ACAC4 +:1028F00097EB0100938B8BC897E40100938444C845 +:10290000054B21A883A7090003244910850717E771 +:1029100001002323F7C45D3011E89C40E5F78327CD +:102920000900E37CFBFEEFD07FAEC5BF73700430BF +:1029300083270A00B9E383270911C0471305440020 +:10294000EFD01FC383A70B00FD1717E70100232754 +:10295000F7C29C40FD1717E701002323F7C2832726 +:102960000A0089C783A70A00FC4385E30858EF10D3 +:1029700050042285EF10F0034DB703A70A0083A788 +:102980000A007C4385077CC37DB703A70A0083A6A2 +:102990000A007C43FD177CC3FC42E9FB73600430F2 +:1029A000F1B797E70100938727BB9C43DDE741110F +:1029B00006C622C426C297E7010023A707BA17E775 +:1029C00001001307A7BC1C43B7A6A5A59386565ABA +:1029D0009C5B8C43639BD500D0436318B60094473F +:1029E0006395C600DC476388D70008430C43938592 +:1029F0004503EF20007417E701001307A7B714433E +:102A000017E401001304C4C711A81C43A9CF1C4339 +:102A1000FD1797E6010023AFF6B414439397260001 +:102A2000B6978A07A2979C43EDD3084393172500D6 +:102A3000AA978A073307F4005443A107A297C44218 +:102A400044C36385F402DC44B240224417E701002A +:102A5000232EF7B2924441018280854717E7010097 +:102A60002324F7B082807370043001A0C440D145A4 +:102A7000EF301052229544C1F9B701114AC806CE71 +:102A800022CC26CA4EC62A897370043097E401000E +:102A9000938404AE9C4017E40100130424AFD9E7EB +:102AA0006314090003290400930949004E85EFD0FF +:102AB0003FAC8327890289C713058901EFD05FAB3B +:102AC000CE8517E50100130525CDEFD0FFA59C406D +:102AD00081C71C40FC43ADE31C40638B27079C402F +:102AE0009DCF737004309C40C1EB97E70100938742 +:102AF000A7A99843184325EBFD5717E70100232F9B +:102B0000F7A49C4089CF1C40FC4391CB1840144053 +:102B10007C43FD177CC3FC4299E373600430F240B0 +:102B20006244D2444249B2490561828018401C4047 +:102B30007C4385077CC3ADB7184014407C43FD1728 +:102B40007CC3FC42D1FB736004301C40E39927F93D +:102B50009C40A1C397E70100938707A09C43ADCB9E +:102B60007370043001A09C43DC47DC47DC4317E76B +:102B700001002325F79E71B718401C407C43850750 +:102B80007CC397E70100938727A0984318432DD76C +:102B9000D9BF97E701009387679E17E701001307E6 +:102BA00027AE9C4303278711631DF700F240624460 +:102BB00097E7010023AC079CD2444249B249056122 +:102BC00082806244F240D2444249B2490561D1BB9D +:102BD0006244F240D2444249B24905616FD01F833A +:102BE0003DC9411126C297E401009384249A4AC04A +:102BF0002E898C4006C622C4E105EFD07F94884020 +:102C00001105EFD0FF96FD57630AF90497E701001D +:102C100093878796804398409C434A9440C3636C53 +:102C2000F40497E701009387279688438C40910529 +:102C3000EFD01F9197E70100938747929C436376FB +:102C4000F40097E7010023AB8790B24022449244FE +:102C50000249410182807370043001A08C402244FB +:102C6000B2409244024917E501001305E5B291050F +:102C700041016FD07F8B97E701009387A79088432E +:102C800022448C40B24092440249910541016FD0E8 +:102C90003F8B11C997E701009387078C9C4399E705 +:102CA0007370043001A07370043001A0411126C27A +:102CB00097E401009384848D9840AE878C40B706DA +:102CC0000080D58F06C622C41CCFE1053284EFD028 +:102CD000BF8588401105EFD0BF89FD57630EF40012 +:102CE00097E70100938747898843B2409244229531 +:102CF000224441016F3050378C402244B24092440C +:102D000017E50100130545A9910541016FD0DF8149 +:102D100025CD411126C297E40100938424874AC03F +:102D20002E898C4006C622C4E1053284EFD0CFFF45 +:102D300088401105EFD0DF838547630AF40497E7E5 +:102D4000010093876783804398409C434A9440C323 +:102D5000636CF40497E701009387078388438C40F2 +:102D60009105EFD0EFFD97D701009387277F9C4314 +:102D70006376F40097D7010023A2877EB2402244F5 +:102D800092440249410182807370043001A08C405A +:102D90002244B2409244024917E501001305C59F41 +:102DA000910541016FD04FF897D701009387877D38 +:102DB000884322448C40B24092440249910541012B +:102DC0006FD00FF8411106C622C426C25C45C04729 +:102DD00035CC930484012685EFD08FF997D7010075 +:102DE000938787779C43B5E7930444002685EFD00B +:102DF0002FF897D701009387E77758549C4363F6E1 +:102E0000E70097D7010023A7E77693172700BA9723 +:102E10008A0717E501001305A586A6853E95EFD024 +:102E2000AFF097D70100938767769C4358540145CC +:102E3000DC5763F8E700854717D701002326F772B0 +:102E40000545B240224492444101828073700430AF +:102E500001A0A68517E5010013058591EFD0CFEC01 +:102E6000C9B797D701009387276F9C4381E7737099 +:102E7000043001A0411106C622C426C2B707008053 +:102E80004045CD8F1CC12DC4EFD08FEE930444007C +:102E90002685EFD0EFED97D701009387A76D5854A3 +:102EA0009C4363F6E70097D7010023A5E76C9317CF +:102EB0002700BA978A0717D501001305657C3E9550 +:102EC000A685EFD06FE697D701009387276C9C43C8 +:102ED00058540145DC5763F8E700854717D70100D0 +:102EE0002324F7680545B240224492444101828080 +:102EF0007370043001A011CD97D7010093878766C6 +:102F0000984397D70100938727679C4318C15CC1FA +:102F100082807370043001A055C1D1CD411106C625 +:102F200022C42A877370043097D8010093884864BC +:102F300083A7080091CB97D7010093872765944317 +:102F40009C43FC428507FCC217D301001303C362F4 +:102F50009C4103260300FD560144638FD70217DE10 +:102F60000100130E2E6003250E00032807005443B2 +:102F70006305A80005446371D6023308D6400544B2 +:102F8000637CF80003250E00918F03260300B6979B +:102F90009CC108C350C3014483A7080081CB97D7C5 +:102FA00001009387A75E9C43FC4381EF2285B240DA +:102FB0002244410182807370043001A07370043098 +:102FC00001A0EF30B010DDB7854717D70100232DE2 +:102FD000F758828019C1684582800145828011C1FD +:102FE0006CC5828097D701009387475A88438280B7 +:102FF00097D701009387C7579C43054589CB97D73F +:1030000001009387675588431335150006058280B4 +:1030100031CD011126CA97D40100938424579C40D6 +:1030200006CE22CC4AC84EC65855DC576379F70203 +:103030001C4D63C707009C40D457A947958F1CCDF2 +:1030400093172700BA97584917D901001309496304 +:103050008A07CA97630DF7009C40DC575CD5F240A5 +:103060006244D2444249B249056182808280930918 +:1030700045002A844E85EFD0AFCF9C4017D7010082 +:103080001307474F1843DC575CD46376F70017D714 +:1030900001002321F74E139527003E9562440A054F +:1030A000F240D244CE854A95B249424905616FD07B +:1030B000AFC719CD411106C622C426C297D7010059 +:1030C0009387C74C80436307A4007370043001A04A +:1030D000014582807C4881E77370043001A054541C +:1030E0003848FD177CC86383E60099C70145B240A4 +:1030F0002244924441018280930444002685EFD00B +:103100002FC7284897D701009387C7469843A947F8 +:10311000898F48D41CCC6376A70097D7010023ABD6 +:10312000A744D145EF30C06697D701009387475534 +:103130003E95A685EFD04FBF054555BF737004304F +:1031400097D701009387C7429C4391CB97D7010043 +:103150009387C74398439C437C4385077CC3828005 +:1031600097D701009387C7409C438DC397D7010031 +:103170009387C7419843784311CB984394437C434A +:10318000FD177CC3FC4299E373600430828097D7BB +:1031900001009387A73F904394439843A947D4568F +:1031A000084E958F1CCF828097D701009387073EEA +:1031B000984309C79443F84A0507F8CA88438280B0 +:1031C00079714AD006D622D426D24ECE2A8973707F +:1031D000043097D401009384A4399C4017D4010093 +:1031E0001304C43ABDE31C40BC4F89E71C400547AB +:1031F000F8CFD1E19C4081C71C40FC43F1EB7370D8 +:1032000004309C408DEF1C40A84F11C56304090297 +:103210001C4023AC07041C4023AE07049C4081C71C +:103220001C40FC438DEBB250225492540259F24997 +:103230004561828018403C4FFD173CCFE9BF1840E4 +:103240001C407C4385077CC37DBF18401C407C43E9 +:1032500085077CC349BF184014407C43FD177CC3DD +:10326000FC42F1F373600430B2502254925402597C +:10327000F2494561828008402EC61105EFD04FAF5C +:10328000B245FD576380F50697D701009387C72E97 +:1032900083A9070018409C43AE992322370163ECB1 +:1032A000F90497D701009387272E88430C40910596 +:1032B000EFD00FA997D701009387472A9C4363F665 +:1032C000F90097D7010023AB3729EFD02F941DB712 +:1032D000184014407C43FD177CC3FC428DF373609F +:1032E000043031BF0C4017D501001305E54A9105A4 +:1032F000EFD08FA3D9BF97D701009387A728884322 +:103300000C409105EFD0CFA3C9B779714AD04ECE0A +:1033100006D622D426D252CC2E89B28973700430BC +:1033200097D401009384C4249C4017D40100130453 +:10333000E425F9EF18408947784F630CF7001C40EB +:103340001345F5FFB84F798DA8CF1C400547F8CF3E +:10335000ADE69C4081C71C40FC43F5E3737004302C +:103360009C40B1E7638609001C40BC4F23A0F900D4 +:10337000184085470145784F630BF7001C401349FF +:10338000F9FF0545B84F3379E90023AC27051C4008 +:1033900023AE07049C4081C71C40FC43C1E3B250EC +:1033A000225492540259F249624A4561828018407F +:1033B0001C407C4385077CC375B7084036C61105A1 +:1033C000EFD00F9BB246FD576385F60897D70100F3 +:1033D0009387871A03AA070018409C43369A232232 +:1033E00047016363FA0897D701009387E719884379 +:1033F0000C409105EFD0CF9497D701009387071623 +:103400009C436370FA0697D7010023A9471591A83A +:1034100018401C407C4385077CC329BF18401440DA +:103420007C43FD177CC3FC42BDFB73600430B2508B +:10343000225492540259F249624A456182801840EE +:1034400014407C43FD177CC3FC4289FB736004304D +:1034500031B70C4017D50100130505349105EFD0A5 +:10346000AF8CEFC0BFFAF5B597D7010093878711EE +:1034700088430C409105EFD0AF8CE5B751C14111A5 +:1034800006C622C426C24AC07370043097D4010015 +:103490009384040E9C40B9EB99C23C4D9CC2B28708 +:1034A0008946704D74CD2E872A846386D70663FCC7 +:1034B000F6048D456387B70091456395B7006300B7 +:1034C000D60C38CC8547630FF60405449C4081CB6D +:1034D00097D701009387870B9C43FC43A9E3228580 +:1034E000B2402244924402494101828097D70100B0 +:1034F0009387C70998439C437C4385077CC369BF76 +:103500007370043001A08546E39ED7FA3C4D33E743 +:10351000B70038CD45BF3C4D85073CCD65B7EF3092 +:10352000E03A75BF130944004A85EFD06F8497D7FE +:1035300001009387270448549C4363F6A70097D75C +:10354000010023A9A702D145EF30802497D70100BD +:10355000938707133E95CA85EFC01FFD1C5481C792 +:103560007370043001A097D70100938727029C4312 +:103570005854DC57E3FBE7F4EFC05FE9B9B7014407 +:10358000B1B739CD011122CC26CA4AC84EC652C4A1 +:1035900056C206CEBA89368AB2842E892A84EFC0F2 +:1035A000DFF2AA8A63050A003C4C2320FA00784C1B +:1035B00089477CCC6389F40263F4970C8D4663875A +:1035C000D40091466396D400630AF70A232C24059D +:1035D0008547631EF7061C5499CB7370043001A015 +:1035E0007370043001A03C4C85073CCCD5B797D70D +:1035F0000100938767F69C43ADEB93044400268556 +:10360000EFC01FF797D701009387C7F648549C4334 +:1036100063F6A70097D7010023AEA7F4D145EF309A +:10362000201797D701009387A705A6853E95EFC081 +:10363000BFEF97D70100938767F59C435854DC5739 +:1036400063F7E70063850900854723A0F900054477 +:103650005685EFC03FE72285F2406244D24442499A +:10366000B249224A924A056182809305840117D5A6 +:1036700001001305E50FEFC03FEB65BF0144C9BF73 +:103680008547E397F4F43C4C33E92701232C2405C8 +:1036900081B74DC1011122CC26CA2A844EC606CE5E +:1036A0004AC8AE84EFC07FE28947744C7CCC3C4C66 +:1036B0000547AA8985073CCC6395E6061C5481C75B +:1036C0007370043001A097D701009387E7E89C430B +:1036D000ADE7130944004A85EFC09FE997D7010081 +:1036E000938747E948549C4363F6A70097D70100A6 +:1036F00023A2A7E8D145EF30A00997D7010093870F +:1037000027F8CA853E95EFC03FE297D7010093871F +:10371000E7E79C435854DC5763F5E70099C08547B9 +:103720009CC06244F240D24442494E85B249056190 +:103730006FC05FD97370043001A09305840117D561 +:1037400001001305E502EFC03FDEC1B7AA8729C516 +:103750007370043017D70100130787E114439DE20B +:10376000F04F894601456315D60023AE0704054591 +:103770001C4381CB97D70100938747E19843784357 +:1037800015E3828097D60100938646E090429442EA +:103790007442850674C2E9B797D70100938707DFA3 +:1037A0009C437DB7984394437C43FD177CC3FC4204 +:1037B000E9FB7360043082805D71A6C2CAC052DC2E +:1037C00056DA5AD85ED686C6A2C44EDE62D466D217 +:1037D0006AD017D90100130969DC17DB0100130B4C +:1037E0002BDB97D401009384E4DA97DA0100938A03 +:1037F000AADA054AA54B83270900984363010712FB +:10380000DC4783A90700EFE06FF6EFE02FF783278F +:103810000B002A8C6362F50A97D7010023AAA7D66A +:1038200001466370351B8840B3858941EFD0DFBD09 +:10383000EFE0DF98630105128840814601462C00C5 +:10384000EFD0EFEB4DD9A247E3C807FEC24983A7EB +:10385000490189C713854900EFC09FD1EFE00FF2FE +:1038600083270B002A8C636DF508A24717D7010048 +:10387000232087D3E3E2FBFCB317FA0013F70721F9 +:10388000631A071613F7770C6318071E93F70702DE +:10389000C5D74E85EF00F01145B7DC47C04783AC74 +:1038A0000700930944004E85EFC09FCC5C502285F1 +:1038B00082975C4C6384470B83270900984371FF10 +:1038C00003A70A0097D6010023A486CD97D601004E +:1038D00023A4F6CC97D7010023A2E7CCEFE01F8EFC +:1038E000A1BFDC47C04703AD0700930C44006685C9 +:1038F000EFC01FC85C50228582975C4C63864709E5 +:1039000083270900984371FF03A70A0097D6010097 +:1039100023A4F6C897D7010023A2E7C8B9B7EFE000 +:10392000EFE4EFE0AFE583270B002A8CE366F5F8C0 +:1039300083A70A00884081499043B385894117D7FE +:103940000100232787C513361600EFD0FFABEFE049 +:10395000FF86E31305EEEFC07FABF9BD1C4CCE85AF +:10396000E69763E9FC049C4089CF02CA66CC22CE6C +:10397000EFF00FE88840814601464C08EFC03FE277 +:1039800005FD7370043001A01C4CE685EA97636C5A +:10399000FD049C4089CF02CA6ACC22CEEFF04FE5ED +:1039A0008840814601464C08EFC07FDF31F97370D3 +:1039B000043001A0032509005CC000C8EFC05FB857 +:1039C000E5BDEFE0AFFF83270900DC47C047930C5C +:1039D00044006685EFC0DFB95C4C638F47035C50E1 +:1039E0002285829791BD032509005CC000C8EFC005 +:1039F0003FB539B7B24723ACF900A5CFE29723A270 +:103A0000F90023A8390193854900636FFC0403A5DD +:103A10000A00EFC0FFB20DB51C4C00C83387F90097 +:103A200058C0636DEC02330C3C416363FC029C4064 +:103A300089CF02CA4ECC22CEEFF08FDB8840814680 +:103A400001464C08EFC0BFD559F97370043001A08E +:103A500003A50A00E685EFC0BFAE51B703250900F4 +:103A6000E685EFC0FFADA5BF03250900EFC05FAD40 +:103A7000E1B37370043001A0B24783A6890123A883 +:103A800039013387D70023A2E9006364EC04B3074C +:103A9000FC4063EBD70483A749024E85829783A736 +:103AA000C901E39B47D983A68901B2479840B697DD +:103AB00011CF02CA3ECC4ECEEFF08FD38840814664 +:103AC00001464C08EFC0BFCDE31805D67370043033 +:103AD00001A06374FC00E370F7FC032509009385E3 +:103AE0004900EFC0FFA589BB03A50A0093854900E3 +:103AF000EFC01FA591B3411122C406C626C24AC019 +:103B000017D40100130404A9EFF04FE31C4095CB38 +:103B1000EFF00FE51C409DC38148014881470947EC +:103B200081461306004097D5010093852589170526 +:103B300000001305A5C8EFD05F9A39E9737004300F +:103B400001A017D90100130969C64A85EFC03F9C3F +:103B500097D401009384C4C62685EFC05F9B0146BD +:103B6000B145114597D7010023AA27A397D7010094 +:103B700023A497A2EFC09FB517D70100232CA7A0BD +:103B800011CD97D501009385E582EFD0AFFC49B701 +:103B9000B240224492440249410182807370043051 +:103BA00001A0A5C9797156CAAA8A1305C00222D4F8 +:103BB00026D24AD04ECE52CC06D65AC85EC63A89D4 +:103BC000B689328AAE84E9212A8405C9EFF00FD77D +:103BD00097D701009387079C9C439DCFEFF04FD868 +:103BE000130544002320540104CC232E4401232038 +:103BF000340323222403EFC0DF922285B2502254E3 +:103C000092540259F249624AD24A424BB24B456140 +:103C100082807370043001A097DB0100938B0BB995 +:103C20005E85EFC0DF8E17DB0100130B6BB95A8581 +:103C3000EFC0FF8D0146B145114597D7010023AF75 +:103C4000779597D7010023A96795EFC03FA817D7AD +:103C500001002321A79401C997C5010093858575AB +:103C6000EFD04FEFA5BF7370043001A039C501112B +:103C700022CC06CE26CA17D401001304A4918328AF +:103C800004002A88014563850802BA842EC236875B +:103C900032C442C6954663C5B602EFF06FB5894798 +:103CA000630BF5020840814601464C00EFC03FAF70 +:103CB000F2406244D244056182807370043001A0F6 +:103CC0004C0081463A864685EFD0EF87F240624449 +:103CD000D244056182800840814626864C00EFC0B0 +:103CE0001FACF9B719C1084182807370043001A07C +:103CF00001E57370043001A0411106C622C42A8474 +:103D0000EFF0CFC348483334A000EFF06FC52285F1 +:103D1000B24022444101828001E57370043001A069 +:103D2000411122C42A8406C6EFF04FC10050EFF0C3 +:103D30002FC3B240228522444101828001E5737085 +:103D4000043001A0411122C426C22E84AA8406C6D2 +:103D5000EFF0CFBE80D02244B240924441016FF0D8 +:103D60002FC04111714522C406C61D262A8411C5E3 +:103D7000232005001105EFC08FF92285B2402244AF +:103D80004101828001CDB70700FFED8F81C77370BD +:103D9000043001A099E57370043001A07370043001 +:103DA00001A0011122CC4AC84EC652C456C206CE4A +:103DB00026CABA893689B28A2E842A8AEFF04FA39E +:103DC00011E5638509007370043001A0EFE00F9ADC +:103DD00083240A00B37794006316090295C76387AA +:103DE0000A009347F4FFE58F2320FA00EFE00FBDB0 +:103DF000F240624426854249D244B249224A924A5C +:103E000005618280E30DF4FCE38209FEB3355001C5 +:103E1000E20563050900B7070004DD8D4E86C18DFC +:103E200013054A00EFE0FFE6EFE04FB919E1EFC0FC +:103E3000EFDDEFF0CFB593176500AA8963D80700CF +:103E4000B7040001FD14B3F499005DB7EFF00FAFB4 +:103E500083290A00B3773401631E090089CB638884 +:103E60000A009347F4FFB3F737012320FA00EFF07D +:103E70002FAFF9B7E31DF4FEDDB701C9B70700FFA7 +:103E8000ED8F99C77370043001A07370043001A0E6 +:103E9000411122C42A8406C626C24AC02E89EFF0E8 +:103EA000EFA904409345F9FFB3F795001CC0EFF06C +:103EB0002FABB240224426850249924441018280C0 +:103EC000411122C42A8406C6EFC02FE00040EFC093 +:103ED0006FDFB240228522444101828009C9370741 +:103EE00000FFB3F7E50099C77370043001A0737049 +:103EF000043001A06F20501FB70700FFF18F81C76A +:103F00007370043001A001E67370043001A0011148 +:103F100022CC4AC84EC652C406CE26CA3689AE89BD +:103F20002A8A3284EFF0CF8C21E163050900737097 +:103F3000043001A0EFE08F8383240A00CE855285F0 +:103F4000B3E49900613FB37794006307F4068324D8 +:103F50000A00EFE0AFA6F240624426854249D2440F +:103F6000B249224A05618280EFE04F8083240A0033 +:103F7000CE855285B3E434019537B3F78400638D61 +:103F80008702E30609FCB70500054A86C18D1305C3 +:103F90004A00EFE01FD0EFE06FA219E1EFC00FC7BA +:103FA000EFF0EF9E93176500AA8463DF0700B70761 +:103FB0000001FD17FD8C45B783270A001344F4FF69 +:103FC000E18F2320FA0071B7EFF04F9783240A00A6 +:103FD000B3779400639787009347F4FFE58F23201E +:103FE000FA00EFF0EF97E1B7411122C406C62A8428 +:103FF00026C2EFD0BFF75C4085C308489304C400D5 +:104000006316950015A0084863009502B7050002E5 +:10401000EFE03FE55C40E5FB2285612A2244B240A7 +:10402000924441016FE08F997370043001A009C977 +:10403000370700FFB3F7E50099C77370043001A09C +:104040007370043001A06F20300A01C9B70700FF68 +:10405000ED8F99C77370043001A07370043001A014 +:10406000411122C426C206C62A84AE84EFF00F8D09 +:10407000184093C7F4FFF98F1CC02244B240924409 +:1040800041016FF0EF8D19C1084D8280014582809A +:10409000411122C406C62A84EFD05FED97C7010004 +:1040A000938707509C43A1EBE56717C701001307EF +:1040B000A772F11797C6010023AAE64E17C70100A1 +:1040C0002324F74E17C70100232AF77097C7010072 +:1040D0009387474D17C701002320F770854717C7FF +:1040E00001002327074C17C70100232D074A17C7D4 +:1040F00001002327F74A19E8EFE04F8CEF10E002A8 +:1041000001442285B240224441018280130584008B +:1041100093773500C1EFE5671307F5FFE917E3ED86 +:10412000E7FC97C60100938666489C4229A0984305 +:1041300011C7BE86BA87D843E36BA7FE17C7010035 +:1041400013074746E38AE7FA9843414613848700FA +:1041500098C2D843B306A7406378D6023388A70035 +:104160002322D800C8C38325480097C60100938640 +:10417000E64311A0BA8698425043E36DB6FE232071 +:10418000E80023A00601D84397C70100938747455D +:104190009C43998F17C701002324F744EFE00F8257 +:1041A00031DC2285B240224441018280719911059F +:1041B0009DB729C9411122C406C62A84EFD01FDB4E +:1041C000930584FF0326C4FF17C701001307073EAA +:1041D00011A03E871C43D443E3EDC6FE232CF4FE1E +:1041E0000CC397C701009387A73F98438327C4FF59 +:1041F0002244B240BA9717C701002323F73E41017A +:104200006FD0DFFB828097C701009387673D8843AB +:10421000828082803367B5000D8BAA871DE79308E3 +:10422000D6FFAA982A87AE866371150303A80600F5 +:1042300011079106232E07FFE36A17FF9347F5FF47 +:10424000C697F19B9107BE95AA972A9663F3C70A72 +:104250009386450013884700B3B6D70033B80501ED +:1042600033E7F50093C61600134818000D8BB3E62C +:10427000060113371700758F330EF640938617002B +:1042800029CF1337AE0031EB13532E002E88BE8694 +:104290000147832808000507110823A0160191068D +:1042A000E36967FE1377CEFFBA95BA976302EE040F +:1042B00083C60500138717002380D700637AC702DF +:1042C00083C6150013872700A380D7006372C70237 +:1042D00003C725002381E7008280B307F640AE972D +:1042E000850503C7F5FF8506238FE6FEE39AF5FEF5 +:1042F00082808280B367C5008D8BB308C500E9C397 +:104300006371150FB307A0408D8B13873700954657 +:1043100093F5F50F130815003383A8407D166373DA +:10432000D70015476363E60CD5CF2300B5000547DA +:10433000638DE700A300B5000D47130825006396C1 +:10434000E700130835002301B500139685004D8E54 +:1043500013970501518F3303F34093968501D98E4E +:1043600013562300AA97014794C305079107E36DED +:10437000C7FE1377C3FFB307E8006307E306238094 +:10438000B70013871700637C1703A380B700138758 +:104390002700637617032381B70013873700637004 +:1043A0001703A381B70013874700637A170123829D +:1043B000B7001387570063741701A382B700828088 +:1043C00093F5F50F13978500D98D139705014D8F40 +:1043D000E37715FFAA87910723AEE7FEE3ED17FF0A +:1043E000828082802A8895B78280AA8749BF8347C6 +:1043F00005002A8799C7050583470500EDFF198D3C +:1044000082800145828005058347F5FF850503C746 +:10441000F5FF91C7E389E7FE3385E7408280814756 +:10442000E5BFAA87850503C7F5FF8507A38FE7FECC +:1044300075FB828083460500930700026397F600B0 +:10444000050583460500E38DF6FE938756FD93F739 +:10445000D70F85C7AA87814585CE01458507138675 +:1044600006FD1317250083C607003A950605329509 +:10447000F5F699C13305A0408280938536FD8346C9 +:10448000150093B5150093071500E1FA0145D5B75E +:10449000014582809C412380A7009C4185079CC1E7 +:1044A000828097C601009386E6109C42175703004E +:1044B0001307473513861700BA972380A70097C5BF +:1044C000010023A9C50EA9476308F500930700045E +:1044D0006305F6000145828075DE370610F005079A +:1044E0008347F7FF2320F6F09C42FD1797C5010094 +:1044F00023A2F50CEDF7014582804D712322911422 +:1045000023202115232A5113232481132326111438 +:1045100023248114232E3113232C411323286113C8 +:1045200023267113232291132320A113232EB111CB +:10453000AA842E8932C6130C5002A54A834709006B +:1045400063808703B9CB804011A0A1CB2300F40086 +:1045500080400509050480C083470900E39787FF71 +:104560000346190093081900C686130D0002FD5971 +:104570007D5A0148130550059307D6FD93F7F70FB1 +:10458000138916006363F52A17C70100130787A76D +:104590008A07BA979C43BA9782878320C114032461 +:1045A000811483244114032901148329C113032A8C +:1045B0008113832A4113032B0113832BC112032C74 +:1045C0008112832C4112032D0112832DC1117161BF +:1045D0008280A14C02C4854763D50715B24780404D +:1045E000938B770093F68BFF03AB060083A94600FD +:1045F000938786003EC6668681465A85CE85EF1033 +:1046000030492AC8814763853723930D4101854B83 +:10461000668681465A85CE85EF10C06981466686DA +:104620002A8BAE89EF10D046A24723A0AD00938617 +:104630001B00910D63843701B68BD9BFE37E9BFFCE +:1046400063DD4601A2872380A70180407D1A93077E +:1046500014009CC03E84E3C846FF93962B001C08C0 +:10466000BE9631A8938777052300F4008040FD1B98 +:1046700093871B00050480C0F116E351F0EC9C42C7 +:10468000E3E2FAFE93870703C5B79C409306000355 +:1046900032472380D7009C4093064700C14C1386C5 +:1046A000170090C013068007A380C700804002C493 +:1046B000BA87050480C003AB0700814936C625BF11 +:1046C000B24783AC0700938B470063840C1C635F85 +:1046D00040199307D0026319FD1683C70C0085C3E8 +:1046E00063DC09189440850C7D1A2380F600804015 +:1046F000050480C083C70C00E5F7635D400180407E +:10470000930700022300F40080407D1A050480C056 +:10471000E31A0AFE5EC61DB502C48547A94CE3CF65 +:1047200007EBB24780409386470071B703C6160077 +:10473000CA8699B59C40930650022380D7008040DA +:10474000050480C0E5BBC14C02C471B5B24703C6C5 +:104750001600CA8683A9070091073EC6E35E0AE0F9 +:104760004E8AFD5911BD03C61600130DD002CA862C +:1047700021B59347FAFFFD8703C61600337AFA0086 +:10478000CA86DDBB03C61600130D0003CA86EDB34F +:1047900083C51600930906FDCA86938705FD2E86FC +:1047A000E3EEFAFA93972900BE9985068609AE9939 +:1047B00083C50600938909FD938705FD2E86E3F3E3 +:1047C000FAFE69BF32479C40144311073AC6238062 +:1047D000D7008040050480C095B3854763D3070B9D +:1047E000B24793867700E19A938786003EC683A9F5 +:1047F00046009C4263DC090A94401306D002B307CA +:10480000F0402380C6008040B336F000B309304149 +:10481000050480C03E8BB389D940A94C02C4E1BBDA +:1048200003C616000508CA8681BB9C40468921B78D +:10483000E37D9BDD8547814B8546E3C547E131BD7F +:1048400097CC0100938C0CB8CE856685EF00D06EB6 +:10485000330AAA40635C400180402300A4018040E9 +:104860007D1A050480C0E31A0AFE014A83C70C00C2 +:10487000E38207EAE3C809E6FD197D57E394E9E618 +:10488000ADBDB247938647009C4336C693D9F741E6 +:1048900095B7635640019307D002E313FDFA97CC16 +:1048A0000100938C2CB2930780021DBD3E8BA94C56 +:1048B00002C4804089B34D71232E3113B7090040E3 +:1048C0009387F9FF2324811423229114232C41136D +:1048D000232A511323261114232021152328611381 +:1048E0002326711323248113232291132320A11340 +:1048F000232EB1112A8A2EC417C40100130484CBBD +:10490000975A0300938A0AF097C4010093840485A0 +:104910003EC693055002294513080004B70610F05F +:1049200083470A006386B704C1C71840050A130607 +:104930001700569797C8010023AEC8C62300F7009A +:104940006382A706E31E06FD17570300130787EBD4 +:1049500005078347F7FF23A0F6F01C40FD1717C695 +:1049600001002329F6C4EDF783470A00E39EB7FA56 +:1049700083461A0013051A002A87930B00027D5BF9 +:104980007D5C014893055005A5489387D6FD93F7B4 +:10499000F70F130A170063E7F5348A07A6979C43BD +:1049A000A6978287175703001307C7E555F28DBFF7 +:1049B0008320C11403248114832441140329011486 +:1049C0008329C113032A8113832A4113032B011363 +:1049D000832BC112032C8112832C4112032D01124F +:1049E000832DC11171618280A14C014D854763DB2C +:1049F0000719A2479D07E19B83AD070003AB470062 +:104A0000138787003AC4668681466E85DA85EF1083 +:104A100030082AC863066D35130941018549668649 +:104A200081466E85DA85EF10E02866868146AA8D7C +:104A30002E8BEF10F0052320A90085091109E31042 +:104A40006DFFE3FE9DFD13060004B70610F063D171 +:104A500089031C4013871700D69797C5010023AB25 +:104A6000E5B423807701630CC7047D1CE3C389FF91 +:104A7000B2476800A548B386F9008A061C08BE96AE +:104A8000294813030004370610F0E384A6E89C428B +:104A90009305000363F4F800930570051840AE9782 +:104AA00093051700569717CE01002325BEB02300AB +:104AB000F7006380072B638C6526F116F9B717574B +:104AC0000300130727D405078347F7FF23A0F6F059 +:104AD0001C40FD1797C5010023AEF5ACEDF77D1C1A +:104AE00071B71C40130600041387170097C5010017 +:104AF00023A2E5ACB386FA00930500032380B60039 +:104B00006300C73E890797C6010023A5F6AA5697FA +:104B1000930680072300D70017570300130787CE9B +:104B2000B70610F0639EC70005078347F7FF23A071 +:104B3000F6F01C40FD1717C60100232DF6A6EDF771 +:104B4000A247C14C014D91072247014B832D07001D +:104B50003EC455BDA24783AC070013894700638F4D +:104B60000C3A635480219307D002639EFB2E03C747 +:104B70000C00BA856310072025AC8547A94C014D70 +:104B8000E3C907E7A2479107C1B7A24783461700C9 +:104B9000528703AB070091073EC4E3580CDE5A8CE2 +:104BA0007D5BE5B383461700930BD0025287F1BBC0 +:104BB0009347FCFFFD8783461700337CFC00528738 +:104BC000E9B383461700930B000352877DBB03466E +:104BD0001700138B06FD5287930706FDB286E3EE9E +:104BE000F8FA93172B00DA9705078607B297034662 +:104BF0000700138B07FD930706FDB286E3F3F8FE6B +:104C000069BFA2451C402946984193861700D69754 +:104C100017C501002320D59A2380E700138945009A +:104C20006301C72A930700046387F6204AC4D5B1FD +:104C3000854763DF0729A24713877700619B9307A6 +:104C400087003EC4032B47001C43635B0B301840B6 +:104C5000130600049306170097C5010023ACD594F2 +:104C600056979305D0022300B7006388C62CB3077C +:104C7000F0403337F000330B6041BE8D330BEB4017 +:104C8000A94C014D49B38346170005085287F5B971 +:104C9000834617005287D5B91C409306000413873A +:104CA000170017C601002327E690D6971306500277 +:104CB0002380C700E31FD7C417570300130787B427 +:104CC000B70610F005078347F7FF23A0F6F01C4056 +:104CD000FD1717C60100232FF68CEDF71DB9C14C47 +:104CE000014D29B31C40930600042A8A138717003C +:104CF00017C601002320E68CD697130650022380A6 +:104D0000C700E318D7C0175703001307A7AFB706AC +:104D100010F005078347F7FF23A0F6F01C40FD17AE +:104D200017C601002328F688EDF72A8ADDB6175743 +:104D30000300130727AD05078347F7FF2320F6F08D +:104D40001C40FD1797C5010023A6F586EDF7F11667 +:104D50002DBB175703001307E7AAF1FDF11635B372 +:104D6000E3FC9DCB8549C5B1014C03C70C00BA8556 +:104D7000E30E07EAFD58294513080004B70610F0B2 +:104D800063570B0A1C4013861700D69717C3010000 +:104D90002322C3822380E7006384A50663040609F7 +:104DA000850C03C70C007D1CBA8579FBE35080E9B4 +:104DB0001C409305000213060004B70610F021A062 +:104DC0007D1CE3050CE613871700D6972380B700F8 +:104DD00017B501002320E57EBA87E313C7FE1757F6 +:104DE0000300130727A205078347F7FF23A0F6F068 +:104DF0001C40FD1717B50100232EF57AEDF7C9B752 +:104E000017570300130707A041DE05078347F7FF85 +:104E100023A0F6F01C40FD1717B60100232CF678EE +:104E2000EDF7BDBF175703001307C79DF9BF7D1BE3 +:104E3000E31A1BF5A5BF175703001307A79CB70676 +:104E400010F005078347F7FF23A0F6F01C40FD177D +:104E500017B601002320F676EDF74AC45DBC97BC77 +:104E60000100938C2C56DA856685EF00F00C330C2C +:104E7000AC40E35C80EF1C4013060004B70610F062 +:104E800021A07D1CE3020CEE13871700D697238028 +:104E9000770197B5010023AFE570BA87E313C7FE2A +:104EA000175703001307079605078347F7FF23A04B +:104EB000F6F01C40FD1797B5010023ADF56EEDF738 +:104EC000C9B7175703001307E793B5FA4AC491B45B +:104ED000A247138747009C433AC413DBF741B5B39D +:104EE0001757030013070792B70610F0050783470B +:104EF000F7FF23A0F6F01C40FD1717B60100232B87 +:104F0000F66AEDF7854717B701002325F76A93077F +:104F10008007175703002307F78E1DB163568001E2 +:104F20009307D002E39DFBF297BC0100938C8C4960 +:104F3000130780029305800235BD975603009386C0 +:104F4000668C370610F0850603C7F6FF2320E6F0CF +:104F500018407D1797B5010023AEE5646DF701BBDE +:104F6000BE8DA94C014D45B4B70710F023A0A7F2A0 +:104F700001A03971130341022ED29A8506CE32D494 +:104F800036D63AD83EDA42DC46DE1AC62D32F24038 +:104F90000145216182805D711303810322D42AC6F9 +:104FA00032DC2A841A86680006D6BEC236DEBAC053 +:104FB000C2C4C6C61ACEEFF04FD4B2472380070052 +:104FC0003245B250018D225461618280B70710F0E2 +:104FD00083A647F403A607F403A747F4E31AD7FE12 +:104FE0008966938606F4B29633B6C600B305E6002A +:104FF00023A4D7F423A6B7F48280B70710F003A741 +:1050000047F403A607F483A647F4E31AD7FE89669C +:10501000938606F4B29633B6C60023A4D7F4B3053C +:10502000E60023A6B7F49307000873A047308280F8 +:10503000411122C406C697B701009387275817B4B9 +:1050400001001304645798431C401306F07C930638 +:1050500047069387470697B5010023A1D55697B514 +:10506000010023ABF5546346D600B240224441010F +:1050700082801307478997B7010023A1E7542D2D9C +:1050800085476310F502184085679387F7760145D9 +:10509000E3DDE7FCEFF05FED2244B24041016FC079 +:1050A000FFEB17B5010013056532EFF09FEC0945E2 +:1050B000EFF09FEB2244B24041016FC03FEA17B5C9 +:1050C0000100130565326FF0DFEA17B50100130523 +:1050D00025326FF01FEA411122C426C206C685643C +:1050E00017B4010013044431938784380100FD177D +:1050F000F5FF2285EFF0FFE7C5BF0145EDA14111A6 +:1051000006C65D2B054781476316E500B2403E8524 +:105110004101828017B501001305452BEFF07FE5B3 +:10512000B24089473E85410182807370043001A0FE +:1051300082807370043001A0411122C406C617B4E6 +:1051400001001304E4480145EFD03F931C4085075C +:1051500017B70100232EF746FDB7411122C426C21E +:1051600006C6AA8405440145EFD03F9181467D568D +:1051700081452685EFB03FAB8146014681456300FE +:105180008502268597B7010023AA8744EFB07F9652 +:10519000E30B85FC97B7010023A28744E9B781465A +:1051A000014681452685EFB0DF94E30E85FA97B777 +:1051B000010023A5874245BF011122CC26CA4AC857 +:1051C0004EC652C456C25AC006CEAA8917BB0100A9 +:1051D000130BCB3F97BA0100938A0A3F17B901001E +:1051E0001309093F17BA0100130ACA3E05448D444A +:1051F0008146014681454E85EFB0FFA263068500DA +:1052000097B7010023AC873C014597B7010023A164 +:10521000073CEFC06FFD09C597B7010023A0873C8D +:1052200003250B00EFC09F960145EFC0EFFB63061F +:10523000950097B7010023A3873A01458545EFC044 +:10524000FF820145EFC04FFA6306950097B7010052 +:1052500023A6873803A50A00EFC05F9383270900C0 +:1052600089C797B7010023AB873681460146814540 +:105270004E85EFB01F886306850097B7010023AF06 +:105280008734832709006386870097B7010023A727 +:1052900087340145EFC04FF56306850097B70100DD +:1052A00023AE873281450145EFC04FFC83270A00BA +:1052B000850717B70100232FF7301DBF397152D46E +:1052C00017BA0100130A4A3122DC26DA4AD84ED630 +:1052D00056D25AD05ECE06DE62CC2A84D28B094BDF +:1052E0009144954A2D49994985460146DE85228596 +:1052F000EFB03F802285EFB07FF685476306F5006B +:1053000017B70100232CF72C814601462C0022857B +:10531000EFB07F9185476306F50017B70100232F93 +:10532000F72A03270A00A2476307F700854717B744 +:1053300001002325F72A2285EFB05FF211C58547CA +:1053400017B70100232CF72881460146DE85228508 +:10535000EFB02FFA2285EFB07FF085476306F500A6 +:1053600017B70100232CF726814601462C00228521 +:10537000EFB07F8B85476306F50017B70100232F39 +:10538000F7242285EFB09FED11C5854717B70100BF +:105390002326F72403270A00A2476307F70085475F +:1053A00017B70100232CF7225AC4814601462C006E +:1053B0002285EFB00FF4A24785073EC4E3F7F4FE61 +:1053C0002285EFB0BFE98D476307F500854717B722 +:1053D00001002325F720854601462C00054C228537 +:1053E00062C4EFB00FF1854601462C00228502C44D +:1053F000EFB02FF02285EFB07FE66306550197B737 +:10540000010023AD871D854601462C002285EFB0A3 +:105410004FEE11C5854717B701002321F71C8146C0 +:1054200001462C002285EFB0CFEC11C5854717B798 +:1054300001002325F71A02C4854601466C00228527 +:10544000EFB06FFE8547814601466C006306F500AC +:1054500017B701002324F718B2472248228513C743 +:10546000F7FF6307F800854717B801002328F816EF +:105470003AC6EFB04FFB85476306F50017B701004A +:10548000232EF714A247B246138717006388D71458 +:10549000854797B6010023A3F6143AC4E3FEE4F867 +:1054A0002285EFB0BFDB11C5854717B70100232761 +:1054B000F712A947814601462C0022853EC4EFB071 +:1054C0004FE385476306F50017B701002328F7105F +:1054D000814601462C0022854AC4EFB08FE1854702 +:1054E0006306F50017B70100232AF70E2285EFB0F7 +:1054F000FFD663076501854717B701002320F70E24 +:10550000A5473EC4854601462C002285EFB06FDEDC +:105510008547630FF50A17B701002321F70CA2474F +:10552000FD173EC4E3E0F9FE2285EFB03FD36307E9 +:105530005501854717B701002322F70A8546014622 +:105540002C002285EFB0EFDA11C5854717B70100AF +:105550002326F708814601462C002285EFB06FD93B +:1055600011C5854717B70100232AF7069D473EC49A +:10557000054C814601466C002285EFB0CFEA6306F8 +:10558000850197B7010023AB8705A247B246138771 +:105590001700638AD70297B7010023A187053AC491 +:1055A000E379E9FC2285EFB07FCB11C5854717B7BA +:1055B00001002325F70283270A00850717B701009A +:1055C000232CF70015B385073EC4E374F9FAD9BF5D +:1055D000A247FD173EC4E3E7F9F2B9B785073EC419 +:1055E000E3FCF4E475BD411126C20146AA8491454D +:1055F000154506C622C4EFB06F8D97B5010093859F +:10560000E5E02A84EFB01FD52687A28681480148AD +:1056100081471306004097B50100938565E01705A3 +:1056200000001305E5C9EFB05FEB0545EFB04FC2D1 +:1056300097B50100938545DF2A84EFB0BFD1A286DC +:1056400081480148814701471306004097B5010092 +:10565000938545DE17050000130545B6EFB0FFE75B +:105660008148014897B70100938707F609478146AB +:105670001306004097B50100938545DC170500002F +:105680001305C5ABEFB07FE5A2862244B240924439 +:105690008148014897B70100938747F30D471306E8 +:1056A000004097B501009385E5D917050000130563 +:1056B00005AB41016FB07FE217B701001307C7F1D7 +:1056C00097B60100938606F01C4394426397F60058 +:1056D000854797B6010023A3F6F097B7010093879B +:1056E00067EF17B601001306A6ED9443104263045A +:1056F000D60297B60100938666EE184388429C4313 +:1057000097B6010023A0E6EC1335150017B701008A +:105710002328F7EA828018439C43854617B6010088 +:10572000232ED6EA014597B6010023ADE6E817B768 +:1057300001002327F7E88280B305B5009307050031 +:105740006386B70003C70700631607003385A740C9 +:1057500067800000938717006FF09FFE130101FB25 +:1057600023229104232C4103232291032326110495 +:105770002324810423202105232E3103232A5103CE +:105780002328610323267103232481032320A103FB +:10579000232EB101930C0500138A05009304000029 +:1057A00063DE05003305A0403337A000B305B040E9 +:1057B000930C0500338AE5409304F0FF63DA06009A +:1057C0003306C040B337C000B306D040B386F640BE +:1057D000930A06009389060013840C0013090A003B +:1057E0006396062817BB0100130BCBAC6370CA1677 +:1057F000B70701006372F6149307F00F63F4C70054 +:1058000093098000B3573601330BFB0083470B002D +:1058100013050002B3873701B309F540638C090013 +:10582000B3153A01B3D7FC00B31A360133E9B70018 +:1058300033943C0113DB0A0193050B0013050900A7 +:10584000EF00507C130A050093050B00939B0A019F +:1058500013050900EF00907693DB0B01930505001B +:1058600013850B00EF00D072131A0A01935704013D +:10587000B367FA0063FAA700B387570163E65701DD +:1058800063F4A700B38757013389A74093050B0042 +:1058900013050900EF001077130A050093050B00AC +:1058A00013050900EF00907113140401930505001E +:1058B000131A0A0113850B0013540401EF00506DF5 +:1058C00033648A00637AA40033045401636654018C +:1058D0006374A400330454013304A44033543401EA +:1058E00093050000638A040033048040B3378000CE +:1058F000B305B040B385F540130504008320C1040F +:105900000324810483244104032901048329C1035E +:10591000032A8103832A4103032B0103832BC10242 +:10592000032C8102832C4102032D0102832DC1012E +:105930001301010567800000B7070001930900010A +:10594000E362F6EC930980016FF0DFEB631A060067 +:105950009305000013051000EF005066930A050040 +:10596000B707010063FAFA0E9307F00F63F45701CB +:1059700093098000B3D73A01330BFB0083470B0038 +:105980001305000233095A41B3873701B309F540C3 +:10599000E38209EAB39A3A01335BFA00B3153A019C +:1059A000B3D7FC0093DB0A0133E9B70013050B0002 +:1059B00093850B00EF001065130A050093850B001B +:1059C000139C0A0113050B00EF00505F135C0C01E0 +:1059D0009305050013050C00EF00905B131A0A01F4 +:1059E00093570901B367FA0033943C0163FAA700A7 +:1059F000B387570163E6570163F4A700B3875701E4 +:105A0000338BA74093850B0013050B00EF00905FCD +:105A1000130A050093850B0013050B00EF00105AC5 +:105A20009305050013050C00EF009056931609012D +:105A3000131A0A0193D60601B366DA0063FAA600C8 +:105A4000B386560163E6560163F4A600B386560199 +:105A50003389A6406FF01FDEB707000193090001EC +:105A6000E3EAFAF0930980016FF0DFF06376DA0081 +:105A700093050A006FF01FE7B707010063FAF60409 +:105A8000930BF00F33B5DB001315350033D7A600A9 +:105A900097B7010093870782B387E70083CB07009E +:105AA00093050002B38BAB00338B7541631C0B0273 +:105AB00063E4460163EACC003384CC40B306DA40A9 +:105AC00033B98C0033892641930509006FF09FE1BB +:105AD000B707000113050001E3EAF6FA1305800198 +:105AE0006FF0DFFAB3966601335D7601336DDD004A +:105AF000B35D7A01B3156A0133DC7C0113540D01E7 +:105B0000336CBC0013850D0093050400B3196601C6 +:105B1000EF00504F930A05009305040013850D0014 +:105B200033996C01931C0D01EF00504993DC0C017B +:105B3000130A05009305050013850C00EF0050457E +:105B4000939A0A0113570C0133E7EA00930D0A00F8 +:105B5000637EA7003307A701930DFAFF6368A701CF +:105B60006376A700930DEAFF3307A701330AA74026 +:105B70009305040013050A00EF00D04893050400C4 +:105B8000930A050013050A00EF0050439305050032 +:105B90001304050013850C00EF00903F93150C01D2 +:105BA000939A0A0193D50501B3E5BA0013070400DF +:105BB00063FEA500B385A5011307F4FF63E8A50103 +:105BC00063F6A5001307E4FFB385A501939D0D01BE +:105BD000B70C0100B3EDED001384FCFFB3F78D00AB +:105BE00033F48900338AA540138507009305040028 +:105BF0002326F10093DD0D01EF0090399305040099 +:105C0000930A050013850D00EF00903813DC09019D +:105C100093050C002324A10013850D00EF005037DD +:105C20008327C10013040C0093050400130C050026 +:105C300013850700EF00D0358326810013D70A01B2 +:105C40003305D5003307A7006374D700330C9C01DC +:105C5000B70701009387F7FF935507013377F700E4 +:105C600013170701B3F7FA00B3858501B307F700EF +:105C70006366BA00631EBA00637CF900338637415D +:105C8000B3B7C700B385A541B385F54093070600B8 +:105C9000B307F9403339F900B305BA40B38525415C +:105CA00033947501B3D767013364F400B3D565014C +:105CB0006FF05FC3130101FD23229102232A5101DA +:105CC000232611022324810223202103232E3101C4 +:105CD000232C410123286101232671012324810102 +:105CE000232291012320A101930A0500938405003A +:105CF000639E0638130406009309050017A90100E6 +:105D00001309495B63F8C512B7070100138B05003F +:105D10006378F6101307F00F3337C70013173700F7 +:105D2000B357E6003309F900834609003387E600DC +:105D300093060002B386E640638C0600B394D40059 +:105D400033D7EA003314D600336B9700B399DA00E7 +:105D5000935A040193850A0013050B00EF00902A63 +:105D60001309050093850A00931B040113050B001A +:105D7000EF00D02493DB0B0193040500930505008D +:105D800013850B00EF00D0201319090193D70901E7 +:105D9000B367F900138A040063FEA700B387870086 +:105DA000138AF4FF63E8870063F6A700138AE4FF11 +:105DB000B3878700B384A74093850A001385040046 +:105DC000EF0050241309050093850A001385040091 +:105DD000EF00D01E93990901930405009305050077 +:105DE0001319090113850B0093D90901EF00501A0B +:105DF000B36939011386040063FCA900B3093401B7 +:105E00001386F4FF63E6890063F4A9001386E4FFB8 +:105E100013140A013364C400130A00006F00001356 +:105E2000B707000113070001E36CF6EE13078001CA +:105E30006FF01FEF138A0600631A06009305000037 +:105E400013051000EF00901713040500B7070100B9 +:105E5000637EF4129307F00F63F48700130A800047 +:105E6000B35744013309F9000347090093060002C0 +:105E700033074701B386E64063940612B384844037 +:105E8000130A1000135B040193050B001385040033 +:105E9000EF0050171309050093050B00138504004C +:105EA000931B0401EF00901193DB0B019304050099 +:105EB0009305050013850B00EF00900D13190901E0 +:105EC00093D70901B367F900938A040063FEA70022 +:105ED000B3878700938AF4FF63E8870063F6A7001F +:105EE000938AE4FFB3878700B384A74093050B0030 +:105EF00013850400EF0010111309050093050B0032 +:105F000013850400EF00900B939909019304050099 +:105F1000930505001319090113850B0093D9090195 +:105F2000EF001007B36939011386040063FCA90070 +:105F3000B30934011386F4FF63E6890063F4A90012 +:105F40001386E4FF13940A013364C40013050400AC +:105F500093050A008320C1020324810283244102A5 +:105F6000032901028329C101032A8101832A4101F6 +:105F7000032B0101832BC100032C8100832C4100E2 +:105F8000032D01001301010367800000B707000122 +:105F9000130A0001E366F4EC130A80016FF05FEC72 +:105FA0003314D40033DAE400B399DA0033D7EA00CB +:105FB000935A0401B394D40093850A0013050A0090 +:105FC000336B9700EF0010041309050093850A0056 +:105FD00013050A00931B0401EF00407E93DB0B01C5 +:105FE000930405009305050013850B00EF00407A2C +:105FF0001319090113570B013367E900138A0400D1 +:10600000637EA70033078700138AF4FF6368870065 +:106010006376A700138AE4FF33078700B304A74021 +:1060200093850A0013850400EF00C07D1309050065 +:1060300093850A0013850400EF004078930405005F +:106040009305050013850B00EF00807413170B01F7 +:106050001357070113190901B367E90013870400F7 +:1060600063FEA700B38787001387F4FF63E8870008 +:1060700063F6A7001387E4FFB3878700131A0A01AA +:10608000B384A740336AEA006FF0DFDF63ECD51E0C +:10609000B707010063F4F6041307F00FB335D70018 +:1060A0009395350033D7B60097A7010093878720D3 +:1060B000B387E70003C70700130A00023307B700DE +:1060C000330AEA4063160A0213041000E3E096E87C +:1060D00033B6CA00134416006FF05FE7B70700013C +:1060E00093050001E3E0F6FC930580016FF09FFB50 +:1060F000B35CE600B3964601B3ECDC0033D4E400B5 +:1061000093DB0C01B397440133D7EA0093850B006E +:1061100013050400336BF700B3194601EF00806EDE +:106120001309050093850B0013050400139C0C0153 +:10613000EF00C068135C0C01930405009305050093 +:1061400013050C00EF00C0641319090113570B016C +:106150003367E90013840400637EA70033079701C7 +:106160001384F4FF636897016376A7001384E4FF48 +:1061700033079701B304A74093850B0013850400F0 +:10618000EF0040681309050093850B001385040098 +:10619000EF00C062930405009305050013050C0091 +:1061A000EF00005F93170B011319090193D7070143 +:1061B000B367F9001386040063FEA700B387970155 +:1061C0001386F4FF63E8970163F6A7001386E4FFE4 +:1061D000B387970113140401B70B01003364C400A3 +:1061E0001389FBFF337D240133F92901B384A740D0 +:1061F0009305090013050D00EF008059935C04011D +:1062000093050900130B050013850C00EF0040589F +:1062100093D90901130C05009385090013850C001F +:10622000EF000057130905009385090013050D00C1 +:10623000EF0000563305850193570B013385A70006 +:10624000637485013309790193570501B3872701E9 +:1062500063E6F402E392F4BCB70701009387F7FF0B +:106260003375F50013150501337BFB0033964A01A6 +:1062700033056501130A0000E37AA6CC1304F4FF8A +:106280006FF09FB9130A0000130400006FF01FCCD9 +:10629000130101FB2324810423229104232E3103C3 +:1062A000232291032326110423202105232C4103BB +:1062B000232A510323286103232671032324810306 +:1062C0002320A103232EB101930C0500938905001F +:1062D0001304050093840500639E06261309060037 +:1062E000138A060097AA0100938ACAFC63F4C514B6 +:1062F000B70701006376F6129307F00F63F4C70047 +:10630000130A8000B3574601B38AFA0003C70A0094 +:106310001305000233074701330AE540630C0A0006 +:10632000B395490133D7EC0033194601B364B70084 +:1063300033944C01935A090193850A001385040094 +:10634000EF00404C9309050093850A00131B0901D7 +:1063500013850400EF008046135B0B0193050500D5 +:1063600013050B00EF00C0429399090193570401F4 +:10637000B3E7F90063FAA700B387270163E62701B3 +:1063800063F4A700B3872701B384A74093850A006D +:1063900013850400EF0000479309050093850A0068 +:1063A00013850400EF0080411314040193050500D8 +:1063B0009399090113050B0013540401EF00403DAC +:1063C00033E48900637AA400330424016366240162 +:1063D0006374A400330424013304A44033554401FE +:1063E000930500008320C104032481048324410415 +:1063F000032901048329C103032A8103832A41035A +:10640000032B0103832BC102032C8102832C410245 +:10641000032D0102832DC1011301010567800000D6 +:10642000B7070001130A0001E36EF6EC130A8001BE +:106430006FF05FED631A060093050000130510006E +:10644000EF00C03713090500B7070100637AF90EA2 +:106450009307F00F63F42701130A8000B357490133 +:10646000B38AFA0003C70A0013050002B384294166 +:1064700033074701330AE540E30E0AEA33194901BD +:10648000B3DAE900B395490133D7EC00935409011D +:10649000336BB70013850A0093850400EF00803644 +:1064A0009309050093850400931B090113850A00D5 +:1064B000EF00C03093DB0B019305050013850B0043 +:1064C000EF00002D9399090193570B01B3E7F900F1 +:1064D00033944C0163FAA700B387270163E62701D1 +:1064E00063F4A700B3872701B38AA740938504000C +:1064F00013850A00EF00003193090500938504001D +:1065000013850A00EF00802B9305050013850B000F +:10651000EF00002893150B019399090193D505010C +:10652000B3E5B90063FAA500B385250163E625014B +:1065300063F4A500B3852501B384A5406FF09FDF08 +:10654000B7070001130A0001E36AF9F0130A80019A +:106550006FF0DFF0E3E8D5E8B707010063FCF6046D +:10656000930BF00F33B5DB001315350033D7A600BE +:1065700097A70100938707D4B387E70083CB070071 +:1065800093050002B38BAB00338B7541631E0B0286 +:1065900063E4360163EACC003384CC40B386D9404F +:1065A00033B58C00B384A640130504009385040022 +:1065B0006FF05FE3B707000113050001E3E8F6FAA7 +:1065C000130580016FF09FFAB3966601335D760183 +:1065D000336DDD0033D47901B395690133DC7C017F +:1065E00093540D01336CBC00130504009385040023 +:1065F000B31A6601EF000021130A05009385040019 +:106600001305040033996C01931C0D01EF00001B6E +:1066100093DC0C01130405009305050013850C00A1 +:10662000EF000017131A0A0113570C013367EA0031 +:10663000130A0400637EA7003307A701130AF4FFBF +:106640006368A7016376A700130AE4FF3307A70175 +:10665000B309A7409385040013850900EF00801A51 +:10666000938504001304050013850900EF0000154D +:10667000930505009304050013850C00EF004011FD +:1066800093150C011314040193D50501B365B400EF +:106690001387040063FEA500B385A5011387F4FFEB +:1066A00063E8A50163F6A5001387E4FFB385A501A0 +:1066B000131A0A01B70C0100336AEA001384FCFFC5 +:1066C000B3778A0033F48A00B384A54013850700AA +:1066D000930504002326F100135A0A01EF00400B32 +:1066E000930905009305040013050A00EF00400A12 +:1066F00013DC0A01930D050093050C0013050A0035 +:10670000EF0000098327C100130A050093050C0060 +:1067100013850700EF00C0073305B50113D7090142 +:106720003307A7006374B701330A9A01B707010062 +:106730009387F7FF935507013377F7001317070186 +:10674000B3F7F900B3854501B307F70063E6B4007A +:10675000639EB400637CF90033865741B3B7C7002A +:10676000B385A541B385F54093070600B307F9400B +:106770003339F900B385B440B385254133947501AD +:10678000B3D767013365F400B3D565016FF09FC5DA +:10679000130605001305000093F615006384060038 +:1067A0003305C50093D5150013161600E39605FEB4 +:1067B000678000006340050663C605061386050072 +:1067C000930505001305F0FF630C06029306100005 +:1067D000637AB6006358C00013161600939616002D +:1067E000E36AB6FE1305000063E6C500B385C54045 +:1067F0003365D50093D6160013561600E39606FEB1 +:106800006780000093820000EFF05FFB13850500B6 +:10681000678002003305A04063D80500B305B0408F +:106820006FF0DFF9B305B04093820000EFF01FF97D +:106830003305A040678002009382000063CA050010 +:10684000634C0500EFF09FF7138505006780020099 +:10685000B305B040E35805FE3305A040EFF01FF646 +:106860003305B0406780020097A70100938707D2E5 +:10687000944317A70100130727D01843C8C2637BAE +:10688000E50017A701001307E7CF08438C439105E4 +:106890006F901FCB411122C406C617A70100130732 +:1068A000A7CE2A8408438C439105EF907FC997A710 +:1068B00001009387A7CA9C436376F40097A7010061 +:1068C00023AE87C8B24022444101828097A70100CD +:1068D0009387C7CB984394437C43FD177CC3FC420A +:1068E00099E3736004308280011122CC26CA2A8485 +:1068F000AE844AC84EC652C456C206CEEFB00FE7A9 +:106900001C4003290401B7090001B3E59700930A6D +:10691000C4000CC08144FD19370A00021840638C82 +:106920002A03032609004A85B3654701B376360179 +:1069300093175600758F0329490063C4070001E7C8 +:10694000F1BFE39DE6FC9317760063D30700D58C77 +:10695000EFC02FD1E1B793C4F4FFF98C04C0EFB0BE +:10696000FF850840F2406244D2444249B249224A7B +:10697000924A056182804111014506C622C4EFE0BA +:106980009FC617E7FFFF1307E76A81460546930591 +:10699000200317A501001305A5A6EFD08FA019C9E4 +:1069A0002A84EFB0AFDD2A860147814685452285DE +:1069B000EFD0CFABEFB00FB6B2402244014541015A +:0269C0008280D3 +:02000004800278 +:100000002C47FEFF2A48FEFF3447FEFF2A48FEFF2A +:100010002A48FEFF2A48FEFF2A48FEFF4C47FEFF03 +:100020002A48FEFF2A48FEFF6647FEFF7247FEFF92 +:100030002A48FEFF8447FEFF9047FEFF9047FEFFE1 +:100040009047FEFF9047FEFF9047FEFF9047FEFF60 +:100050009047FEFF9047FEFF9047FEFF2A48FEFFB5 +:100060002A48FEFF2A48FEFF2A48FEFF2A48FEFFD4 +:100070002A48FEFF2A48FEFF2A48FEFF2A48FEFFC4 +:100080002A48FEFF2A48FEFF2A48FEFF2A48FEFFB4 +:100090002A48FEFF2A48FEFF2A48FEFF2A48FEFFA4 +:1000A0002A48FEFF2A48FEFF2A48FEFF2A48FEFF94 +:1000B0002A48FEFF2A48FEFF2A48FEFF2A48FEFF84 +:1000C0002A48FEFF2A48FEFF2A48FEFF2A48FEFF74 +:1000D0002A48FEFF2A48FEFF2A48FEFF2A48FEFF64 +:1000E0002A48FEFF2A48FEFF2A48FEFF2A48FEFF54 +:1000F0002A48FEFF2A48FEFF2A48FEFF2A48FEFF44 +:10010000C447FEFFDA47FEFF2A48FEFF2A48FEFFEB +:100110002A48FEFF2A48FEFF2A48FEFF2A48FEFF23 +:100120002A48FEFF2048FEFF2A48FEFF2A48FEFF1D +:10013000D245FEFF8A46FEFF2A48FEFF2A48FEFF00 +:10014000C046FEFF2A48FEFF1847FEFF2A48FEFF72 +:100150002A48FEFF4647FEFF384BFEFF8C4BFEFF52 +:10016000404BFEFF8C4BFEFF8C4BFEFF8C4BFEFF8B +:100170008C4BFEFF324AFEFF8C4BFEFF8C4BFEFF8A +:100180004C4AFEFF584AFEFF8C4BFEFF6A4AFEFFB8 +:10019000764AFEFF764AFEFF764AFEFF764AFEFF6B +:1001A000764AFEFF764AFEFF764AFEFF764AFEFF5B +:1001B000764AFEFF8C4BFEFF8C4BFEFF8C4BFEFF06 +:1001C0008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFFDF +:1001D0008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFFCF +:1001E0008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFFBF +:1001F0008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFFAF +:100200008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFF9E +:100210008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFF8E +:100220008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFF7E +:100230008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFF6E +:100240008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFF5E +:100250008C4BFEFF8C4BFEFFAA4AFEFFD84AFEFFE6 +:100260008C4BFEFF8C4BFEFF8C4BFEFF8C4BFEFF3E +:100270008C4BFEFF8C4BFEFF8C4BFEFF2E4BFEFF8C +:100280008C4BFEFF8C4BFEFF9048FEFF8A49FEFF21 +:100290008C4BFEFF8C4BFEFFFC49FEFF8C4BFEFFA0 +:1002A000224AFEFF8C4BFEFF8C4BFEFF864BFEFF6F +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020416C745154657374207461736B732085 +:1003E0000D0A00006D69616F750A00006D69616F2B +:1003F00075320A0068756E6772790A004368656332 +:100400006B54696D65720000416C745F47656E5F87 +:10041000546573745F517565756500004647656E78 +:1004200051000000416C745F515F4D757465780038 +:10043000464D754C6F770000464D754D6564000064 +:08044000464D7548696768002C +:100448001000000000000000017A5200017C010148 +:100458001B0D02005000000018000000F852FEFFBB +:100468005805000000440E507489039406990B81C6 +:1004780001880292049305950796089709980A9AA5 +:100488000C9B0D036C010AC144C844C944D244D32F +:1004980044D444D544D644D744D844D944DA44DB78 +:1004A800440E00440B0000004C0000006C000000EB +:1004B800FC57FEFFDC05000000440E3070890395F0 +:1004C8000781018802920493059406960897099873 +:1004D8000A990B9A0C0370020AC144C844C944D251 +:1004E80044D344D444D544D644D744D844D944DA30 +:1004F800440E00440B00000050000000BC00000047 +:10050800885DFEFF0005000000440E5074880289D3 +:10051800039305990B810192049406950796089711 +:1005280009980A9A0C9B0D0320010AC144C844C9C2 +:1005380044D244D344D444D544D644D744D844D9E7 +:0C05480044DA44DB440E00440B000000C9 +:0805DC00FC8F01000900000082 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/AltQTest_rv32im_O3.hex b/VexRiscv/src/test/resources/freertos/AltQTest_rv32im_O3.hex new file mode 100644 index 0000000..d7ad7d9 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/AltQTest_rv32im_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/AltQTest_rv32imac_O3.hex b/VexRiscv/src/test/resources/freertos/AltQTest_rv32imac_O3.hex new file mode 100644 index 0000000..b2bb9ff --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/AltQTest_rv32imac_O3.hex @@ -0,0 +1,1660 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900061C9170502001305E54A9795030093858A +:1000A000257201461122170502001305253F970509 +:1000B00002009385E5460146C52817A503001305F0 +:1000C000657097B503009385A56F37B6ABAB130684 +:1000D000B6BAD92817B103001301C16EEF60401AF8 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2C22923A02200F3221034D5 +:1002400096DEEF00002B1701020003216128024116 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E22923A0120023A2A9 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40B04B170102000321B4 +:10033000211A0241F65273901234B72200009382C0 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A21B83A0B0 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2C20723A0220086DEEF20BB +:100460002053170102000321A1060241F6527390A6 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF40D02BEF10502F01E54E +:10050000B24041018280B24041016F2060489307B0 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30F0441A +:1005B0008280411122C406C62A8426C2EF207036EA +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF20807C6DD5EF20701C3C44FD17E4 +:1005F0003CC43C44E344F0FEFD573CC4EF20B0341F +:10060000EF2030327C40635BF0021C4885CB9304C2 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2040786DD5EF20301803 +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20F02F29C541114C +:1006500022C426C22A8406C6AE84EF20902C3440E1 +:100660005C5C1840232C0402B387F6027D5670C0F0 +:1006700018C430C4B386D740BA9736975CC058C404 +:1006800091EC1C489DE3EF20102CB2402244924490 +:100690000545410182807370043001A013050401F7 +:1006A000BD3513054402A535F9BF13050401EF203C +:1006B000C06F8547E319F5FC913BF1B701E5737015 +:1006C000043001A0011126CA4AC84EC606CE22CC6B +:1006D000B2892E89AA84A5C53305B502130555052F +:1006E000EF3070192A8435C1930745051CC144DCDD +:1006F00023202405EF20F02234405C5C18407D5616 +:10070000B387F602232C040270C018C430C413054A +:100710000401B386D740BA9736975CC058C4C53B2E +:1007200013054402ED33EF201022230834052285FF +:10073000F2406244D2444249B249056182801305C5 +:100740004005EF3050132A8401E57370043001A096 +:1007500000C071BF01E57370043001A06375B5007E +:100760007370043001A0411122C409462E848145D2 +:1007700006C6A93711C500DDB2402244410182807E +:100780007370043001A05D7186C6A2C4A6C2CAC03F +:100790004EDE52DC56DA5AD85ED632C6630A051CE3 +:1007A0006382051C89476399F600585D8547630598 +:1007B000F7007370043001A03689AE842A84EF20DC +:1007C000900111E5B24781C77370043001A0EF209A +:1007D0005015185C5C5C014B894BFD59130A0401F0 +:1007E000930A44026365F70C63077919B247638182 +:1007F000072463150B002808EF20406EEF20B0148B +:10080000EF10A075EF20F0117C4063943701232294 +:1008100004043C446394370123240404EF20B01201 +:100820006C002808EF20A06D631E051EEF20700FDE +:10083000185C5C5C630CF70AEF20F010EF20700E80 +:100840003C44634FF00039A85685EF20005619C18B +:10085000EF20E0753C44FD173CC43C446354F00079 +:100860005C50FDF323243405EF20F00DEF20700BD6 +:100870007C40634FF00039A85285EF20005319C126 +:10088000EF20E0727C40FD177CC07C406354F00098 +:100890001C48FDF323223405EF20F00AEF10F0107E +:1008A000054BEF201008185C5C5CE37FF7F23040EA +:1008B0006305060C631F09120844A685EF30F01388 +:1008C0001C4434405840B6971CC463EAE70A1C5CD9 +:1008D00014405850850714C41CDC5DC7130544023E +:1008E000EF20A04C85476311F50AC9A8EF20B00599 +:1008F000B2455285EF20202DEF20B0023C44634FDB +:10090000F00039A85685EF20404A19C1EF20206A2F +:100910003C44FD173CC43C446354F0005C50FDF380 +:1009200023243405EF203002EF20A07F7C40634F6A +:10093000F00039A85285EF20404719C1EF20206709 +:100940007C40FD177CC07C406354F0001C48FDF3E4 +:1009500023223405EF20207FEF10300531F17D3464 +:10096000054B81B73C41E38F07E27370043001A06F +:100970007370043001A0304021E61C4085C71C5C28 +:10098000585085071CDC39FBEF20E07B0545B6405D +:10099000264496440649F259625AD25A425BB25BE7 +:1009A000616182804840EF20206F1C5C58502322F8 +:1009B000040085071CDCE31307F279D5813CE9B715 +:1009C0004844A685EF3070033C4058441440B307B8 +:1009D000F0403E9758C4637CD7025840BA975CC435 +:1009E00009475C506306E902185C050718DCC9DF9B +:1009F000F5B54844A685EF3050003C4058441440BB +:100A0000B307F0403E9758C4E37CD7FCF9B75C507D +:100A1000185C79DB185C7D1718DC185C050718DC9E +:100A2000A5D76DBD22857136EF102078014585B7B9 +:100A3000EF2060710145A1BF411126C2AA841305B0 +:100A4000400522C406C6EF3000632A8415CD232C4E +:100A50000502FD577CC10547410558DC3CC42322F3 +:100A60000400232004002324040023260400232060 +:100A7000040423089404613C13054402493C814664 +:100A800001468145228501332285B24022449244A9 +:100A9000410182800DC5411122C426C206C64AC04A +:100AA000032945002A84EF2040528144630CA900A9 +:100AB000B2402244268502499244410182807370EB +:100AC000043001A05C448544FD175CC4F5F3814605 +:100AD0000146814522854539E1BF397106DE22DCB8 +:100AE00026DA4AD84ED652D432C635CD8149DDC138 +:100AF0003689AE842A84130A05012DA0EF20A06454 +:100B0000EF2020626C002808EF20603F31E1EF20E9 +:100B10004061185C5C5C6305F702EF20C062EF2067 +:100B200080628549EF20E05F185C5C5C6360F704DD +:100B3000B24799CFE39409FC2808EF20203A7DBF03 +:100B4000EF206060B2455285EF20E007C130C1BFA1 +:100B5000EF20605FF2506254D2544259B259225A87 +:100B60000145216182807370043001A0304015EE90 +:100B70001C4095C71C5C585085071CDC39E7EF20EA +:100B8000805CF2506254D2544259B259225A0545FF +:100B9000216182803C41A9DF7370043001A048408C +:100BA000EF20804F23220400F1B7631909020844A3 +:100BB000A685EF3080641C4434405840B6971CC46E +:100BC000E3EAE7FA1C401CC475B713054402EF20A2 +:100BD000C01D8547E315F5FA153855B74844A68575 +:100BE000EF30A0613C4058441440B307F0403E97BA +:100BF00058C46375D7005840BA975CC48947E31B53 +:100C0000F9F61C5CA5DB1C5CFD171CDCA5B7397173 +:100C100006DE22DC26DA4AD84ED652D432C663012A +:100C2000050E81446388050CB6892E892A84130A2F +:100C3000450231A0EF202051EF20E0508544EF2005 +:100C4000404E1C5CBDE3B24795CF8DC8EF20A04F4E +:100C5000EF20204D6C002808EF20602A05E5EF20EA +:100C6000404C1C5CE1FBEF20004E1C409DC7B24590 +:100C70005285EF105075EFF06FF97DBF2808EF2017 +:100C8000E025E9B7EF20204CF2506254D25442598B +:100C9000B259225A014521618280EF2080484840A4 +:100CA000EF206035EF20204AD9B73040444409CEC8 +:100CB0005840B387C4005CC463E4E7001C405CC4D4 +:100CC0004C444A85EF306053639F09021C5C184016 +:100CD000FD171CDC01E7EF20804B48C01C489DC776 +:100CE00013050401EF20600C85476311F502EFF056 +:100CF000EFF129A83C41E38907F27370043001A0A9 +:100D00007370043001A05C5044C481EFEF20A04315 +:100D1000F2506254D2544259B259225A05452161C7 +:100D2000828013054402EF2040086DD1C9B725C168 +:100D3000B1C9011122CC26CA4AC852C406CE4EC639 +:100D40008947B68432892E8A2A846399F600585DD1 +:100D500085476301F7067370043001A0EFF0EFF6EA +:100D6000185C5C5CAA896367F70281444E85EFF0EA +:100D70006FF5F240624426854249D244B249224A84 +:100D8000056182803C41D5D77370043001A0737037 +:100D9000043001A0304049E21C40DDCB1C5C7D5793 +:100DA00085071CDC3C446388E7083C448544850790 +:100DB0003CC46DBFEFF06FF1185C5C5CAA89636D99 +:100DC000F702304071DA4844D285EF3000433C40AE +:100DD00058441440B307F0403E9758C46375D70099 +:100DE0005840BA975CC48947E39AF4FA1C5CDDD793 +:100DF0001C5CFD171CDC5DB7304059DE4844D285D1 +:100E0000EF30A03F3C4058441440B307F0403E97B9 +:100E100058C4E367D7FCD9BFDDF40844D285EF306E +:100E2000C03D1C4434405840B6971CC4E3E8E7F684 +:100E30001C401CC4A5B75C5085448DDB13054402DF +:100E4000EF10B07605D5E30309F22320990039BFEE +:100E50004840EF2060242322040089B731CD3C4173 +:100E600081C77370043001A01C41B1CB011122CCA9 +:100E700026CA2A844AC84EC606CE2E89EFF0EFE471 +:100E8000185C5C5CAA898144637DF7001C5C7D571B +:100E900085071CDC3C446389E7023C4485448507A4 +:100EA0003CC44E85EFF00FE2F24062442685424991 +:100EB000D244B249056182807370043001A05C4164 +:100EC000D5D77370043001A05C5099E38544D1BF3D +:100ED00013054402EF10706D75D9E30909FE8547CB +:100EE0002320F900E5B7397106DE22DC26DA4AD87C +:100EF0004ED652D456D232C66302051C638A051AF6 +:100F0000B68AAE892A84EF20000D11E5B24781C769 +:100F10007370043001A0EF20C0201C5C63920714A2 +:100F2000B247638E070A2808EF10507BFD5413095F +:100F30004402130A0401EF200021EF100002EF2009 +:100F4000401E7C4063949700232204043C44639435 +:100F5000970023240404EF20001F6C002808EF10E2 +:100F6000107A63130518EF20C01B1C5CADCFEF2077 +:100F7000801DEF20001B3C44634FF00039A84A85D8 +:100F8000EF10B06219C1EF2080023C44FD173CC451 +:100F90003C446354F0005C50FDF324C4EF20A01ADD +:100FA000EF2020187C40634FF00039A85285EF10E5 +:100FB000D05F19C1EF10B07F7C40FD177CC07C4032 +:100FC0006354F0001C48FDF364C0EF20C017EF101D +:100FD000C01DEF2000151C5CC1E7B247A9FFEF2040 +:100FE0008016014555A0EF2000161C40DDC7B24514 +:100FF0004A85EF10503DEF20C0123C44634FF00093 +:1010000039A84A85EF10705A19C1EF10507A3C4444 +:10101000FD173CC43C446354F0005C50FDF324C411 +:10102000EF206012EF20E00F7C40634FF00039A802 +:101030005285EF10905719C1EF1070777C40FD1763 +:101040007CC07C406354F0001C48FDF364C0EF207A +:10105000800FEF10801531C9EF20A00C1C5CB5DFAC +:10106000CE8522854444EFF0EFD2639C0A041C5CD9 +:101070001840FD171CDC01E7EF20601148C01C4838 +:10108000A1EFEF20400C0545F2506254D254425972 +:10109000B259225A925A21618280EF20800848403A +:1010A000EF107075EF20200A99B7EFF02FB66DB7EB +:1010B0003C41E38707E47370043001A0737004308F +:1010C00001A05C5044C4D5DF13054402EF10F04D7D +:1010D0004DD9EFF0AFB375B713050401EF10F04C25 +:1010E0008547E310F5FAF5B72285EFF08FCCEF10C6 +:1010F000C00B014551BF1DC9411122C44AC006C6DB +:1011000026C244412E892A84EF10306C6383A402E6 +:1011100081464A8681452285F93385476300F50279 +:10112000B2402244924402494101828073700430EB +:1011300001A05C44054585075CC4DDB75C448507B8 +:101140005CC4F9BF15CDADC9011122CC26CA4AC86D +:101150004EC652C42A8406CE3289AE89EFF0EFB66D +:101160001C5C2A8A814485E35285EFF0AFB5F240DA +:10117000624426854249D244B249224A05618280AE +:101180007370043001A0304001CE4C445C40B295F5 +:101190004CC463E4F5000C404CC44E85EF30E005D0 +:1011A0001C5C7D57FD171CDC7C40638CE7007C4099 +:1011B000854485077CC04DBF3C41D9D7737004304E +:1011C00001A01C4899E3854445B713050401EF10BD +:1011D000D03D75D9E30909FE85472320F900E5B71D +:1011E00021C93C41A1CDA1CB011122CC26CA4AC8BC +:1011F00052C42A8406CE4EC62E8AEFF00FAD1C5C78 +:101200002A89814491CB8329C400D2852285EFF0BD +:101210006FB88544232634014A85EFF0AFAAF24027 +:10122000624426854249D244B249224A05618280FD +:101230007370043001A07370043001A0EDDF73708F +:10124000043001A001E57370043001A0411122C4F3 +:101250002A8406C6EF10F06C005CEF10D06EB2402E +:10126000228522444101828001E57370043001A08F +:10127000411106C622C42A84EF10B06A1C5C405C8F +:101280001D8CEF10506C2285B240224441018280B7 +:1012900019C1085D82807370043001A01DCD97874D +:1012A00003009387274ED843630CE502D847630CAD +:1012B000E504D84B6307E504D84F6302E504D8532F +:1012C000630DE502D8576308E502D85B6303E502C6 +:1012D000D85F630EE5006F20306C7370043001A09E +:1012E00001470E07BA9723A007006F20F06A1D4739 +:1012F000CDBF1947FDB71547EDB71147DDB70D470E +:10130000CDB70947F9BF0547E9BF684582806CC57D +:10131000828003450505828009C5085D13351500E7 +:1013200082807370043001A019C51C5D485D1D8D5D +:101330001335150082807370043001A09787030075 +:1013400093874744984305C3984705C7984B05C7FB +:10135000984F05C7985305C7985705C7985B15C799 +:10136000985F15C3828001470E07BA978CC3C8C324 +:1013700082800547D5BF0947C5BF0D47F5B711475F +:10138000E5B71547D5B71D47C5B71947F1BF9787CB +:1013900003009387273FD8436308E502D847630BD0 +:1013A000E502D84B630AE502D84F6309E502D8533A +:1013B0006308E502D8576307E502D85B6308E502D6 +:1013C000D85F6303A702828001470E07BA9723A064 +:1013D000070082800547D5BF0947C5BF0D47F5B750 +:1013E0001147E5B71547D5B71D47C5B71947F1BF31 +:1013F000411122C426C24AC02A8406C6AE8432895C +:10140000EF1030527840FD576314F7002322040494 +:101410003844FD576314F70023240404EF10B0523E +:101420001C5C99E74A86A68513054402EF10B00CB0 +:10143000EF10304F3C44635BF0025C5085CB93046B +:10144000440209A83C44FD173CC43C446350F002EC +:101450005C5089CF2685EF1050156DD5EF103035D3 +:101460003C44FD173CC43C44E344F0FEFD573CC4FF +:10147000EF10704DEF10F04A7C40635BF0021C48A7 +:1014800085CB9304040109A87C40FD177CC07C40F7 +:101490006350F0021C4889CF2685EF1010116DD5DE +:1014A000EF10F0307C40FD177CC07C40E344F0FE40 +:1014B000FD577CC02244B2409244024941016F1062 +:1014C000904805C579714ECE52CC06D63E8A22D4BC +:1014D00026D24AD056CA5AC85EC662C4A547BA893F +:1014E00063F8E7007370043001A07370043001A04A +:1014F000AA8AAE843289B68B428B6309082213050F +:101500000006EF2050372A84630D05200A0923289E +:1015100065034A869305500A5A85EF20105C03C77D +:1015200004001C587119230AE40203C704003E9901 +:101530001379C9FF79C783C71400A30AF40283C7CC +:101540001400E1C383C72400230BF40283C72400E3 +:10155000CDCB83C73400A30BF40283C73400D5C3BB +:1015600083C74400230CF40283C74400D9CB83C74C +:101570005400A30CF40283C75400C1C783C764009E +:10158000230DF40283C76400ADCF83C77400A30D9D +:10159000F40283C77400B5C783C78400230EF40226 +:1015A00083C78400B9CF83C79400A30EF40283C716 +:1015B0009400A1CB83C7A400230FF40283C7A40027 +:1015C000A9C383C7B400A30FF40283C7B40095CBAB +:1015D00083C7C4002300F40483C7C4009DC383C72A +:1015E000D400A300F40483C7D40081CF83C7E400F0 +:1015F0002301F40483C7E40089C783C7F400A3016F +:10160000F404130B44005A85A30104042326340375 +:1016100023283405232A0404EFE0BFF013058401D6 +:10162000EFE03FF0A947B3873741232C040400C8FB +:101630001CCC40D023220404232E04045E86D685CD +:101640004A85EFE03FE908C063040A0023208A00CE +:101650007370043017F901001309C9E583270900E5 +:1016600097F401009384C4E691C798409C407C4362 +:1016700085077CC317F70100130787E41C43850720 +:1016800097F6010023AEF6E29C40D5CB83270900F4 +:1016900017FA0100130ACAF289EB9C40DC5763E693 +:1016A000F90097F7010023A587E297F701009387D8 +:1016B00067DF984397F60100938606E05C549442F6 +:1016C000050717F60100232FE6DC38C463F6F600A1 +:1016D00017F701002322F7DE5147B387E702DA85C7 +:1016E0003305FA00EFE05FE48327090081C79C40DF +:1016F000FC43BDE383270900054499C79C40DC57A0 +:1017000063F43701EFE09FD02285B2502254925407 +:101710000259F249624AD24A424BB24B224C4561CD +:1017200082805A85EF2050277D54F9BF131526007B +:10173000EF2070142A8BE31405DC7D54F1B797F782 +:10174000010023A787D8184385476301F70217FADA +:101750000100130AEAE691BF984094407C43FD17CC +:101760007CC3FC42C1FB7360043069B7814A17FA3D +:101770000100130AEAE4514CA94B33858A03850A18 +:101780005295EFE0DFD8E39A7AFF97FB0100938B45 +:10179000ABEF5E85EFE0BFD797FA0100938A0AF0BE +:1017A0005685EFE0DFD617F50100130565F0EFE091 +:1017B0001FD617F501001305E5F0EFE05FD517F52B +:1017C0000100130565F1EFE09FD497F7010023AF07 +:1017D00077CF97F7010023A957CFC1BD411122C48C +:1017E00006C626C24AC02A847370043097F40100EA +:1017F000938444CC9C40F9E311E497F7010093876C +:1018000027CD8043130944004A85EFE07FD61C545E +:1018100089C713058401EFE0BFD5CA8517F501001C +:10182000130545EAEFE05FD097F70100938787C97A +:10183000984397F701009387E7C69C43050797F6FF +:10184000010023A1E6C89840850797F6010023AB65 +:10185000F6C401CB97F70100938787C79843784375 +:1018600049EF9C40B1C797F70100938767C698433B +:10187000630F870873700430984039EB17F7010045 +:101880001307C7C414439442A5E27D5797F601009D +:1018900023A8E6C0984009CF9843784311CB9843DA +:1018A00094437C43FD177CC3FC4299E3736004308E +:1018B000B2402244924402494101828097F70100DC +:1018C000938707C198439C437C4385077CC32DB70E +:1018D00094439843F8420507F8C217F7010013072D +:1018E000E7BE14439442D5D218435847584758434B +:1018F00097F6010023A6E6BA71BF984394437C4350 +:10190000FD177CC3FC42B1FF7360043099BF97F7A9 +:1019100001009387A7B89C4381C77370043001A06E +:101920002244B2409244024941016FE03FAE41C5BA +:1019300017F701001307C7B914438147638BA60645 +:10194000411106C622C426C27370043097F6010006 +:10195000938646B69C4291C710431C437C42850740 +:101960007CC29C42AA84404981C71C43FC43B9E71E +:1019700097F70100938787B59C43638B870497F79C +:101980000100938767B49C436384870497F7010041 +:10199000938787D46309F40297F70100938787D26E +:1019A0006301F4028547B240224492443E854101DE +:1019B00082803E8582807370043001A0EF40B001C8 +:1019C00045BF9147CDB79C5493B717008907E1BF36 +:1019D0008947D1BF7370043097F70100938787ADB3 +:1019E000984309EF15C59C43485581CB97F70100F3 +:1019F000938707AE9843784315E3828017F7010079 +:101A0000130707AD14431843F8420507F8C261FDF8 +:101A100017F701001307C7AB0843F1B79843944386 +:101A20007C43FD177CC3FC42E9FB73600430828079 +:101A3000411122C42A8406C6EFE03FA911E497F7BA +:101A400001009387E7A880434054EFE0BFA7B2406E +:101A50002285224441018280A54763F5B700737057 +:101A6000043001A0011122CC06CE26CA4AC84EC6B7 +:101A700052C42A847370043017F90100130989A332 +:101A800083270900D5EF79C43C486389F50817F727 +:101A900001001307E7A363FCB7001443638D860CB2 +:101AA00018434457B3B4950093C4140029A00443C9 +:101AB000818C93B4140058546384E70A1C4C2CC8DE +:101AC00063C60700A947B385B7400CCC931727001E +:101AD000BA97584897F90100938989AE8A07CE973B +:101AE000631BF702130A44005285EFE07FA817F743 +:101AF00001001307679C5C5418436376F70017F7DF +:101B00000100232BF79A5147B387E702D28533852B +:101B1000F900EFE07FA199C0EFE05F8F8327090014 +:101B200081CB97F701009387A79A9C43FC439DEBD9 +:101B3000F2406244D2444249B249224A056182805D +:101B400097F701009387C79898439C437C43850788 +:101B50007CC31DF897F701009387879780432DB7C3 +:101B60004CD4A9BF6244F240D2444249B249224A0D +:101B700005616F40406681443DBF25C1011126CA01 +:101B800097F401009384C4949C4006CE22CC4AC8AA +:101B90004EC6638DA7027370043017F90100130954 +:101BA00069918327090091C798409C407C43850731 +:101BB0007CC3584997F70100938707B26302F70285 +:101BC0008327090081C79C40FC43A5EBF240624497 +:101BD000D2444249B249056182807370043001A049 +:101BE0001C5517F701001307A7ACE38BE7FCE9FBD3 +:101BF000930945002A844E85EFE09F9717F701006F +:101C00001307878B5C5418436376F70017F70100BE +:101C10002324F78A5147B387E70217F5010013051C +:101C2000259ACE853E95EFE03F909C405854DC5776 +:101C3000E368F7F8EFE08FFD61B76244F240D24409 +:101C40004249B24905616F40005915CD011122CCBE +:101C500026CA4AC82A8406CE4EC6EFE01F875848D7 +:101C600097F70100938747A72A8981446300F70209 +:101C70004A85EFE03F85F240624426854249D244DE +:101C8000B249056182807370043001A01C5417F5BD +:101C900001001305E5A1E38DA7FCF9FB97E701001F +:101CA0009387C77F9C43A9EB97F7010093874782EF +:101CB0009C43445493094400DC574E85B3B4F4006C +:101CC000EFE01F8B17E701001307077F5C541843F1 +:101CD00093C414006376F70017E70100232EF77C06 +:101CE0005147B387E70217F501001305658DCE85CF +:101CF0003E95EFE07F83ADBF93058401EFE0DF8287 +:101D000085BF0111056506CE22CC26CA4AC84EC63B +:101D100052C456C25AC0EF200036630E051AAA8478 +:101D200013050006EF2020352A84630A051C05668A +:101D30009305500A04D92685EF20205A0458856758 +:101D4000F117BE94B7474C4593879744130A440054 +:101D50005CD85285230C0402A30104042326040248 +:101D600023280404232A0404EFE0AFFB13058401B5 +:101D7000EFE02FFBA947232C0404F19800C81CCCEA +:101D800040D023220404232E0404014697150000AA +:101D90009385E5B12685EFE0EFF308C0737004305A +:101DA00017E90100130909718327090097E401006D +:101DB0009384047291C798409C407C4385077CC300 +:101DC00017E701001307C76F1C43850797E601005B +:101DD00023A8F66E9C40C9C38327090097E9010038 +:101DE0009389097E81EB9C40DC5789E797E70100E6 +:101DF00023A0876E97E701009387C76A984397E609 +:101E000001009386666B5C549442050717E6010057 +:101E1000232AE66838C463F6F60017E70100232D8D +:101E2000F768139527003E950A05D2854E95EFE099 +:101E3000AFEF8327090081C79C40FC43DDE7832780 +:101E4000090091C39C40EF10704985476305F50C6C +:101E500059E17370043001A097E7010023AA876657 +:101E60001843854797E9010093898975E314F7F8CA +:101E7000CE8A17FB0100130B2B815685D10AEFE0A8 +:101E80000FE9E31C5BFF17E501001305E57FEFE0B9 +:101E90000FE897FA0100938A6A805685EFE02FE7F2 +:101EA00017F501001305C580EFE06FE617F5010097 +:101EB00013054581EFE0AFE517F501001305C58176 +:101EC000EFE0EFE497E7010023A2676197E70100E5 +:101ED00023AC575F05B7F2406244D2444249B2494D +:101EE000224A924A024B05618280984094407C438A +:101EF000FD177CC3FC42A1F77360043089B76244CC +:101F0000F2404249B249224A924A024B2685D244C3 +:101F100005616F20602873700430FD5717E70100DA +:101F20002320F758624497E7010023A5A758F24001 +:101F3000D2444249B249224A924A024B97E70100F1 +:101F400023AE075605616FE0CFB57370043097E795 +:101F5000010023A107566FE00FC317E70100130725 +:101F6000E7531C4385071CC382807370043097E7D6 +:101F7000010093872754984305E317E701001307EF +:101F8000E75308439C4381CB97E70100938747546D +:101F90009843784301EF828017E701001307475306 +:101FA00014431843F8420507F8C2C1BF984394434D +:101FB0007C43FD177CC3FC42F9FF736004308280D0 +:101FC000411106C622C4EFE04FD097E70100938786 +:101FD000E74E8043EFE00FCFB2402285224441011B +:101FE000828097E701009387A74D884382807971AB +:101FF00006D622D426D24AD04ECE52CC56CA5AC881 +:102000005EC697E70100938767499C43B1EF17E7E6 +:1020100001001307A74A1C43850797E6010023AF79 +:10202000F648832A0700638F0A0697E70100938723 +:1020300027479C43814463F1FA0897E9010093899B +:10204000295817EA0100130AAA4883270A0085467F +:10205000D85793172700BA978A07BE9983A7090014 +:1020600063FFF600854421A897E701009387474462 +:102070009C438144850717E70100232BF74297E72C +:1020800001009387A7429C4391C38544B2502254D8 +:10209000268502599254F249624AD24A424BB24BC7 +:1020A0004561828017E40100130444421C409C43B4 +:1020B000DDC37370043001A017E401001304044170 +:1020C00097E901009389C94F17EA0100130A4A40B2 +:1020D00017EB0100130B4B3E1C409C43B5C31C4047 +:1020E000DC4703A9C70083274900930B49005E859D +:1020F00063EEFA04EFE0CFC7832789021305890155 +:1021000099C3EFE0EFC68327C90203270B00DE85E2 +:10211000139527003E950A054E956376F70017E75D +:102120000100232BF738EFE02FC083270A00032795 +:10213000C902DC57E362F7FA1C4085449C43C5F3AF +:10214000FD5717E70100232DF73401B717E701000A +:102150002328F734DDBD17E701001307E7361C40DD +:10216000184397E6010023A3E63617E70100232D65 +:10217000F73497E70100938727339C43850717E7D8 +:1021800001002323F7321C409C4399E7FD5717E7D2 +:1021900001002327F73051BD1C40DC47DC47DC43FE +:1021A00017E70100232EF72E49B597E70100938723 +:1021B000E72E984301E77370043001A0011106CEA9 +:1021C00022CC26CA4AC84EC652C456C25AC07370E0 +:1021D000043097E401009384E42D984061EB984328 +:1021E0007D1797E6010023ABE62A9C43D9EB97E7DE +:1021F00001009387E72C9C43C9C797EA0100938AA3 +:102200002A3C17EA0100130A2A2B17E901001309D7 +:10221000292C854919A83385EA00EFE0EFB0832720 +:1022200009005854DC576379F70483A70A0FC1CF1C +:1022300083A7CA0FC04713058401EFE06FB3130BE8 +:1022400044005A85EFE0CFB25C5483260A00DA8559 +:10225000139527003E950A052A875695E3FDF6FA61 +:1022600017E70100232AF724EFE00FAC83270900CA +:102270005854DC57E36BF7FA97E7010023A837239C +:102280006DB701449C4081CB97E7010093874724B9 +:102290009C43FC4395E72285F2406244D244424984 +:1022A000B249224A924A024B0561828017E7010037 +:1022B0001307072214431843F8420507F8C205B76D +:1022C000EF307071C9BF17E401001304641E1C4095 +:1022D00095C31C40054999CF193B09C597E70100F3 +:1022E00023A6271D1C40FD1717E701002322F71C1A +:1022F0001C40FDF397E701009387471B98438547F0 +:10230000E311F7F8EFE08F900544ADBF11CD81E503 +:102310007370043001A017E70100130727181C434E +:1023200099C77370043001A07370043001A041118B +:1023300022C406C614431841850617E60100232F60 +:10234000D61497E601009386661794423304B700CB +:1023500000C163F7E602637FE40063FD860097E750 +:1023600001009387E71688431105EFE06FA02285EF +:10237000EF3030601D3D01C9B240224441018280EE +:10238000E36FE4FCD9BF2244B24041016FE00F8803 +:1023900011C917E70100130767101C4391C7737039 +:1023A000043001A06FE08F86411106C622C4184395 +:1023B000AA87050797E6010023A2E60E97E601002B +:1023C0009386061117E701001307470F1843884249 +:1023D0003304F7001105EFE0AF992285EF30705913 +:1023E000E93311E52244B24041016FE02F82B2404F +:1023F000224441018280411122C406C697E70100B0 +:102400009387C7099C430144850717E701002327E9 +:10241000F70897E701009387A70A9C4363E0F5124A +:1024200017EE0100130E8E2597E2010093828218A9 +:1024300001440147854F1303500A21A0311E638DCB +:10244000C20B83278EFFBA86F5DB8327CEFFDC43E2 +:10245000232EFEFE638AC70F03AFC700DC432A9713 +:10246000814E232EFEFE6385C70783A8C70093878E +:102470004803232017015CC383A788042326F701A0 +:102480001CC783A7C8021CCB83A70805232C070001 +:102490005CCB03A808038347080063906704C287E6 +:1024A000850783C60700B3850741E38B66FE8981F4 +:1024B000C205C181231EB700850E130707026306FC +:1024C0001F038327CEFFDC43232EFEFEE39FC7F9C5 +:1024D00083274E00232EFEFE49BF8145231EB700F1 +:1024E000850E13070702E31E1FFD76941317540091 +:1024F000311EBA86E397C2F597E70100938707FD7F +:1025000003AE070083270E00639D070E97E70100C7 +:10251000938787FB03AE070083270E00639207189B +:1025200017EE0100130ECE0983274E109DE383277B +:102530008E116395072019C223200600BD31228524 +:10254000B24022444101828083274E00232EFEFEAA +:1025500021B783278E1017EF0100130F2F17DC43CD +:1025600017E701002322F7166380E73383A2C70031 +:10257000DC43AA96814E17E701002327F714914FF9 +:102580001303500A6388E70783A8C70093874803AB +:1025900023A01601DCC283A7880423A6F6019CC6EB +:1025A00083A7C8029CCA83A7080523AC0600DCCA1F +:1025B00003A80803834708006391672AC287850739 +:1025C00003C70700B3850741E30B67FE93D72500D8 +:1025D000C207C183239EF600850E9386060263869A +:1025E000122983278E10DC4317E70100232EF70CF6 +:1025F000E39CE7F983270E1117E701002326F70C68 +:1026000061B783274E00130F8E00D4432322DE00D0 +:102610006388E629B307E500D84283A2C600814E4D +:102620002322EE00894F1303500A6306EF06832826 +:10263000C7001387480323A01701D8C303A7880442 +:1026400023A6F70198C703A7C80298CB03A70805DC +:1026500023AC0700D8CB03A808030347080063187E +:10266000671C4287050783460700B3050741E38BD4 +:1026700066FE13D7250042074183239EE700850E9F +:1026800093870702638D121B83264E00D8422322B4 +:10269000EE00E31EEFF80327CE002322EE0041BF39 +:1026A00083274E00130F8E00DC432322FE00638439 +:1026B000E71F83A2C700DC43AA96814E2322FE00B7 +:1026C000894F1303500A6306FF0683A8C700938748 +:1026D000480323A01601DCC283A7880423A6F601C1 +:1026E0009CC683A7C8029CCA83A7080523AC060022 +:1026F000DCCA03A808038347080063966710C287F3 +:10270000850703C70700B3850741E30B67FE93D72F +:102710002500C207C183239EF600850E938606021C +:10272000638B120F83274E00DC432322FE00E31E3F +:10273000FFF88327CE002322FE0041BF8327CE115E +:1027400097EE0100938ECEF9DC4317E701002327B3 +:10275000F7F86384D71383AFC700DC433307D50092 +:10276000014397E6010023ABF6F60D4F894293082B +:10277000500A6387D707C8479307450308C35CC35C +:102780003C452326E7011CC75C551CCB1C5599C34F +:10279000232657003C49232C07005CCB0328050364 +:1027A0008347080063951705C287850783C607001E +:1027B000B3850741E38B16FF93D72500C207C1837A +:1027C000231EF700050313070702638AAF0283275E +:1027D000CE11DC4397E6010023A2F6F0E39DD7F982 +:1027E00083274E1297E6010023AAF6EE69B78147C8 +:1027F000231EF700050313070702E39AAFFC1A94A0 +:10280000E31C06D225BB8147239EF600850E9386E6 +:102810000602E39912F117EE0100130E6EDA832718 +:102820004E10769493165400E38307D01DB30147EE +:10283000239EE700850E93870702E39712E597E74B +:102840000100938767C803AE07007694931654007F +:1028500083270E00E38607CCA1B58147239EF600AF +:10286000850E93860602E39E12D783278E117694F7 +:1028700093165400E38107CCD1B583274E1217E796 +:102880000100232DF7E4C1BD83270E1117E70100D6 +:10289000232CF7E2E1B98327CE002322FE0011BDED +:1028A0008326CE002322DE00B5B3797126D24AD02A +:1028B0004ECE52CC56CA5AC85EC606D622D497E926 +:1028C00001009389A9BD17E90100130969CF17EA2F +:1028D0000100130A2ABE97EA0100938A6ABF97EBA8 +:1028E0000100938BEBBD97E401009384A4BD054BDD +:1028F00021A883A7090003244910850717E70100D1 +:10290000232EF7B85D3011E89C40E5F783270900D6 +:10291000E37CFBFEEFD09FAFC5BF7370043083270D +:102920000A00B9E383270911C04713054400EFD01B +:102930003FC483A70B00FD1717E701002322F7B858 +:102940009C40FD1717E70100232EF7B683270A00E6 +:1029500089C783A70A00FC4385E30858EF10D0031A +:102960002285EF1070034DB703A70A0083A70A0062 +:102970007C4385077CC37DB703A70A0083A60A00B2 +:102980007C43FD177CC3FC42E9FB73600430F1B764 +:1029900097E70100938787B09C43DDE3411106C6AA +:1029A00097E7010023A407B017E70100130747B218 +:1029B0001C43B7A6A5A59386565A9C5B8C43639B84 +:1029C000D500D0436318B60094476395C600DC4732 +:1029D0006388D70008430C4393854503EF20C073F9 +:1029E00097E60100938646AD904217E70100130772 +:1029F00027BD11A89C42B9CB9C42FD1717E60100E8 +:102A0000232CF6AA904293172600B2978A07BA970A +:102A10009C43EDD3904293172600B2978A07B305E3 +:102A2000F700D441A107BA97D442D4C16383F60218 +:102A3000DC46B24017E70100232CF7A84101828051 +:102A4000854717E701002323F7A6828073700430BF +:102A500001A0D1473306F602D442329754C3C9BF0E +:102A600001114AC806CE22CC26CA4EC62A897370E6 +:102A7000043097E401009384E4A39C4017E4010030 +:102A8000130404A5D9E7631409000329040093097A +:102A900049004E85EFD0DFAD8327890289C7130532 +:102AA0008901EFD0FFACCE8517E501001305C5C243 +:102AB000EFD09FA79C4081C71C40FC43ADE31C4066 +:102AC000638B27079C409DCF737004309C40C1EB03 +:102AD00097E701009387879F9843184325EBFD579D +:102AE00017E70100232EF79A9C4089CF1C40FC4336 +:102AF00091CB184014407C43FD177CC3FC4299E302 +:102B000073600430F2406244D2444249B2490561E4 +:102B1000828018401C407C4385077CC3ADB71840B9 +:102B200014407C43FD177CC3FC42D1FB736004302E +:102B30001C40E39927F99C40A1C397E701009387C4 +:102B4000E7959C43ADCB7370043001A09C43DC47F8 +:102B5000DC47DC4317E701002324F79471B71840E2 +:102B60001C407C4385077CC397E701009387079649 +:102B7000984318432DD7D9BF97E70100938747940F +:102B800017E701001307C7A39C4303278711631DA1 +:102B9000F700F240624497E7010023AB0792D2446A +:102BA0004249B249056182806244F240D2444249BE +:102BB000B2490561F1BB6244F240D2444249B24994 +:102BC00005616FD0BF843DC9411126C297E4010061 +:102BD000938404904AC02E898C4006C622C4E10525 +:102BE000EFD01F9688401105EFD09F98FD57630ADC +:102BF000F90497E701009387678C804398409C43D2 +:102C00004A9440C3636CF40497E701009387078CF0 +:102C100088438C409105EFD0BF9297E701009387DE +:102C200027889C436376F40097E7010023AA8786F0 +:102C3000B2402244924402494101828073700430C0 +:102C400001A08C402244B2409244024917E50100A1 +:102C5000130585A8910541016FD01F8D97E70100ED +:102C600093878786884322448C40B240924402492D +:102C7000910541016FD0DF8C11C997E7010093875F +:102C8000E7819C4399E77370043001A073700430AE +:102C900001A0411126C297E4010093846483984007 +:102CA000AE878C40B7060080D58F06C622C41CCFE5 +:102CB000E1053284EFD05F8788401105EFD05F8B4C +:102CC000FD57630EF40097D701009387277F884351 +:102CD000B24092442295224441016F3080498C4099 +:102CE0002244B240924417E501001305E59E910588 +:102CF00041016FD07F8325CD411126C297D40100B9 +:102D00009384047D4AC02E898C4006C622C4E10506 +:102D10003284EFD07F8188401105EFD07F858547D1 +:102D2000630AF40497D7010093874779804398405A +:102D30009C434A9440C3636CF40497D70100938783 +:102D4000E77888438C409105EFD08FFF97D701003B +:102D5000938707759C436376F40097D7010023A1FE +:102D60008774B240224492440249410182807370C8 +:102D7000043001A08C402244B2409244024917E53D +:102D8000010013056595910541016FD0EFF997D7C3 +:102D9000010093876773884322448C40B240924479 +:102DA0000249910541016FD0AFF9411106C622C415 +:102DB00026C25C45C04735CC930484012685EFD0FC +:102DC0002FFB97D701009387676D9C43B5E793046A +:102DD00044002685EFD0CFF997D701009387C76DC0 +:102DE00058549C4363F6E70097D7010023A6E76C8D +:102DF00093172700BA978A0717D501001305457C5A +:102E0000A6853E95EFD04FF297D701009387476C88 +:102E10009C4358540145DC5763F8E700854717D7B2 +:102E200001002325F7680545B24022449244410140 +:102E300082807370043001A0A68517E50100130598 +:102E40002587EFD06FEEC9B797D701009387076545 +:102E50009C4381E77370043001A0411106C622C46F +:102E600026C2B70700804045CD8F1CC12DC4EFD0CE +:102E70002FF0930444002685EFD08FEF97D7010001 +:102E80009387876358549C4363F6E70097D7010004 +:102E900023A4E76293172700BA978A0717D5010082 +:102EA000130505723E95A685EFD00FE897D7010070 +:102EB000938707629C4358540145DC5763F8E70049 +:102EC000854717D701002323F75E0545B24022440A +:102ED0009244410182807370043001A011CD97D7D4 +:102EE00001009387675C984397D701009387075D3C +:102EF0009C4318C15CC182807370043001A055C12D +:102F0000D1CD411106C622C42A877370043097D8E8 +:102F100001009388285A83A7080091CB97D7010016 +:102F20009387075B94439C43FC428507FCC217D3FD +:102F300001001303A3589C4103260300FD560144DE +:102F4000638FD70217DE0100130E0E5603250E0005 +:102F50000328070054436305A80005446371D602A3 +:102F60003308D6400544637CF80003250E00918F9A +:102F700003260300B6979CC108C350C3014483A72E +:102F8000080081CB97D70100938787549C43FC436B +:102F900081EF2285B2402244410182807370043067 +:102FA00001A07370043001A0EF30E022DDB7854747 +:102FB00017D70100232CF74E828019C16845828003 +:102FC0000145828011C16CC5828097D7010093872B +:102FD00027508843828097D701009387A74D9C4351 +:102FE000054589CB97D701009387474B8843133515 +:102FF00015000605828031CD011126CA97D4010043 +:103000009384044D9C4006CE22CC4AC84EC65855E7 +:10301000DC576379F7021C4D63C707009C40D45707 +:10302000A947958F1CCD93172700BA97584917D9F0 +:1030300001001309E9588A07CA97630DF7009C40FD +:10304000DC575CD5F2406244D2444249B249056142 +:1030500082808280930945002A844E85EFD04FD12B +:103060009C4017D70100130727451843DC575CD451 +:103070006376F70017D701002320F7441395270044 +:103080003E9562440A05F240D244CE854A95B24943 +:10309000424905616FD04FC919CD411106C622C4FE +:1030A00026C297D701009387A74280436307A400F5 +:1030B0007370043001A0014582807C4881E7737001 +:1030C000043001A054543848FD177CC86383E600DF +:1030D00099C70145B2402244924441018280930441 +:1030E00044002685EFD0CFC83C4817D7010013070E +:1030F000A73C144329471D8F5CD418CC63F6F60017 +:1031000017D70100232AF73A5147B387E70217D5AB +:1031100001001305E54AA6853E95EFD0EFC00545B1 +:1031200055BF7370043097D701009387A7389C432D +:1031300091CB97D701009387A73998439C437C4351 +:1031400085077CC3828097D701009387A7369C436D +:103150008DC397D701009387A7379843784311CB46 +:10316000984394437C43FD177CC3FC4299E373600E +:103170000430828097D7010093878735904394432A +:103180009843A947D456084E958F1CCF828097D775 +:1031900001009387E733984309C79443F84A05072A +:1031A000F8CA8843828079714AD006D622D426D2C2 +:1031B0004ECE2A897370043097D401009384842FF3 +:1031C0009C4017D401001304A430BDE31C40BC4F45 +:1031D00089E71C400547F8CFD1E19C4081C71C40DE +:1031E000FC43F1EB737004309C408DEF1C40A84F02 +:1031F00011C5630409021C4023AC07041C4023AE24 +:1032000007049C4081C71C40FC438DEBB250225404 +:1032100092540259F2494561828018403C4FFD1793 +:103220003CCFE9BF18401C407C4385077CC37DBF71 +:1032300018401C407C4385077CC349BF184014409C +:103240007C43FD177CC3FC42F1F373600430B25041 +:10325000225492540259F2494561828008402EC698 +:103260001105EFD0EFB0B245FD576380F50697D753 +:1032700001009387A72483A9070018409C43AE99B7 +:103280002322370163ECF90497D7010093870724C1 +:1032900088430C409105EFD0AFAA97D701009387E0 +:1032A00027209C4363F6F90097D7010023AA371F14 +:1032B000EFD0CF951DB7184014407C43FD177CC359 +:1032C000FC428DF37360043031BF0C4017D5010010 +:1032D000130585409105EFD02FA5D9BF97D70100E1 +:1032E0009387871E88430C409105EFD06FA5C9B71F +:1032F00079714AD04ECE06D622D426D252CC2E890F +:10330000B2897370043097D401009384A41A9C404E +:1033100017D401001304C41BF9EF18408947784FF4 +:10332000630CF7001C401345F5FFB84F798DA8CF0B +:103330001C400547F8CFADE69C4081C71C40FC43CC +:10334000F5E3737004309C40B1E7638609001C40CC +:10335000BC4F23A0F900184085470145784F630B07 +:10336000F7001C401349F9FF0545B84F3379E900D0 +:1033700023AC27051C4023AE07049C4081C71C409A +:10338000FC43C1E3B250225492540259F249624ABA +:103390004561828018401C407C4385077CC375B71B +:1033A000084036C61105EFD0AF9CB246FD57638585 +:1033B000F60897D701009387671003AA0700184003 +:1033C0009C43369A232247016363FA0897D701008A +:1033D0009387C70F88430C409105EFD06F9697D71E +:1033E00001009387E70B9C436370FA0697D70100AF +:1033F00023A8470B91A818401C407C4385077CC339 +:1034000029BF184014407C43FD177CC3FC42BDFB20 +:1034100073600430B250225492540259F249624A05 +:1034200045618280184014407C43FD177CC3FC42F8 +:1034300089FB7360043031B70C4017D501001305C8 +:10344000A5299105EFD04F8EEFC05FFCF5B597D75A +:1034500001009387670788430C409105EFD04F8E9A +:10346000E5B751C1411106C622C426C24AC07370D5 +:10347000043097D401009384E4039C40B9EB99C2D3 +:103480003C4D9CC2B2878946704D74CD2E872A84EC +:103490006386D70663FCF6048D456387B7009145C4 +:1034A0006395B7006300D60C38CC8547630FF604EC +:1034B00005449C4081CB97D70100938767019C43CB +:1034C000FC43A9E32285B2402244924402494101CF +:1034D000828097D701009387A7FF98439C437C4342 +:1034E00085077CC369BF7370043001A08546E39EE5 +:1034F000D7FA3C4D33E7B70038CD45BF3C4D850783 +:103500003CCD65B7EF20304D75BF130944004A85A7 +:10351000EFD00F8617D70100130707FA5C54184342 +:103520006376F70017D701002328F7F85147B387D0 +:10353000E70217D501001305A508CA853E95EFC01F +:10354000BFFE1C5481C77370043001A097D70100DF +:10355000938707F89C435854DC57E3FBE7F4EFC02C +:10356000FFEAB9B70144B1B739CD011122CC26CA5F +:103570004AC84EC652C456C206CEBA89368AB284EA +:103580002E892A84EFC07FF4AA8A63050A003C4C86 +:103590002320FA00784C89477CCC6389F40263F4D9 +:1035A000970C8D466387D40091466396D400630AD6 +:1035B000F70A232C24058547631EF7061C5499CB74 +:1035C0007370043001A07370043001A03C4C850777 +:1035D0003CCCD5B797D70100938747EC9C43ADEB24 +:1035E000930444002685EFC0BFF817D701001307E6 +:1035F000A7EC5C5418436376F70017D70100232D1E +:10360000F7EA5147B387E70217D50100130545FBD9 +:10361000A6853E95EFC05FF197D70100938747EBF2 +:103620009C435854DC5763F7E700638509008547DE +:1036300023A0F90005445685EFC0DFE82285F2405B +:103640006244D2444249B249224A924A0561828088 +:103650009305840117D5010013058505EFC0DFEC44 +:1036600065BF0144C9BF8547E397F4F43C4C33E997 +:103670002701232C240581B74DC1011122CC26CA74 +:103680002A844AC806CE4EC6AE84EFC01FE48947DE +:10369000744C7CCC3C4C05472A8985073CCC63950F +:1036A000E6061C5481C77370043001A097D701004F +:1036B0009387C7DE9C43ADE7930944004E85EFC076 +:1036C0003FEB17D70100130727DF5C5418436376DD +:1036D000F70017D701002321F7DE5147B387E70230 +:1036E00017D501001305C5EDCE853E95EFC0DFE38C +:1036F00097D701009387C7DD9C435854DC5763F587 +:10370000E70099C085479CC06244F240D244B24968 +:103710004A85424905616FC0FFDA7370043001A029 +:103720009305840117D50100130585F8EFC0DFDF8D +:10373000C1B7AA8729C57370043017D701001307D2 +:1037400067D714439DE2F04F894601456315D600C3 +:1037500023AE070405451C4381CB97D7010093870F +:1037600027D79843784315E3828097D60100938644 +:1037700026D6904294427442850674C2E9B797D720 +:1037800001009387E7D49C437DB7984394437C43DF +:10379000FD177CC3FC42E9FB7360043082805D71DD +:1037A000A6C2CAC052DC56DA5AD85ED686C6A2C4B1 +:1037B0004EDE62D466D26AD017D90100130949D20D +:1037C00017DB0100130B0BD197D401009384C4D0F5 +:1037D00097DA0100938A8AD0054AA54B832709000E +:1037E000984363010712DC4783A90700EFE0EFF677 +:1037F000EFE0AFF783270B002A8C6362F50A97D7B7 +:10380000010023A9A7CC01466370351B8840B3850E +:103810008941EFD0FFBDEFE05F9963010512884059 +:10382000814601462C00EFD00FEC4DD9A247E3C8EA +:1038300007FEC24983A7490189C713854900EFC024 +:103840003FD3EFE08FF283270B002A8C636DF508DE +:10385000A24717D70100232F87C7E3E2FBFCB3176A +:10386000FA0013F70721631A071613F7770C63188A +:10387000071E93F70702C5D74E85EF00F01145B735 +:10388000DC47C04783AC0700930944004E85EFC076 +:103890003FCE5C50228582975C4C6384470B832724 +:1038A0000900984371FF03A70A0097D6010023A3DC +:1038B00086C397D6010023A3F6C297D7010023A1A0 +:1038C000E7C2EFE09F8EA1BFDC47C04703AD070012 +:1038D000930C44006685EFC0BFC95C502285829777 +:1038E0005C4C6386470983270900984371FF03A74F +:1038F0000A0097D6010023A3F6BE97D7010023A1A3 +:10390000E7BEB9B7EFE06FE5EFE02FE683270B00E6 +:103910002A8CE366F5F883A70A0088408149904322 +:10392000B385894117D70100232687BB13361600BC +:10393000EFD01FACEFE07F87E31305EEEFC01FADC4 +:10394000F9BD1C4CCE85E69763E9FC049C4089CF09 +:1039500002CA66CC22CEEFF00FE8884081460146CD +:103960004C08EFC05FE205FD7370043001A01C4CF1 +:10397000E685EA97636CFD049C4089CF02CA6ACC55 +:1039800022CEEFF04FE58840814601464C08EFC05B +:103990009FDF31F97370043001A0032509005CC07A +:1039A00000C8EFC0FFB9E5BDEFE03F808327090005 +:1039B000DC47C047930C44006685EFC07FBB5C4C7E +:1039C000638F47035C502285829791BD03250900D0 +:1039D0005CC000C8EFC0DFB639B7B24723ACF9000E +:1039E000A5CFE29723A2F90023A8390193854900C6 +:1039F000636FFC0403A50A00EFC09FB40DB51C4C17 +:103A000000C83387F90058C0636DEC02330C3C41A9 +:103A10006363FC029C4089CF02CA4ECC22CEEFF0F9 +:103A20008FDB8840814601464C08EFC0DFD559F94D +:103A30007370043001A003A50A00E685EFC05FB0F3 +:103A400051B703250900E685EFC09FAFA5BF032549 +:103A50000900EFC0FFAEE1B37370043001A0B247BC +:103A600083A6890123A839013387D70023A2E9005F +:103A70006364EC04B307FC4063EBD70483A74902FB +:103A80004E85829783A7C901E39B47D983A6890105 +:103A9000B2479840B69711CF02CA3ECC4ECEEFF057 +:103AA0008FD38840814601464C08EFC0DFCDE31834 +:103AB00005D67370043001A06374FC00E370F7FC5A +:103AC0000325090093854900EFC09FA789BB03A583 +:103AD0000A0093854900EFC0BFA691B3411122C4EB +:103AE00006C626C24AC017D401001304E49EEFF0B4 +:103AF0004FE31C4095CBEFF00FE51C409DC3814880 +:103B000001488147094781461306004097D50100C7 +:103B10009385C58A170500001305A5C8EFD07F9AC5 +:103B200039E97370043001A017D90100130909BCE9 +:103B30004A85EFC0DF9D97D40100938464BC26853D +:103B4000EFC0FF9C0146B145114597D7010023A95D +:103B5000279997D7010023A39798EFC03FB617D7AF +:103B60000100232BA79611CD97D50100938585845D +:103B7000EFD0CFFC49B7B240224492440249410100 +:103B800082807370043001A0A5C9797156CAAA8ACF +:103B90001305C00222D426D24AD04ECE52CC06D62D +:103BA0005AC85EC63A89B689328AAE84E9212A8427 +:103BB00005C9EFF00FD797D701009387E7919C4392 +:103BC0009DCFEFF04FD8130544002320540104CCBF +:103BD000232E44012320340323222403EFC07F94A7 +:103BE0002285B250225492540259F249624AD24A72 +:103BF000424BB24B456182807370043001A097DB69 +:103C00000100938BABAE5E85EFC07F9017DB0100A8 +:103C1000130B0BAF5A85EFC09F8F0146B14511457D +:103C200097D7010023AE778B97D7010023A8678B26 +:103C3000EFC0DFA817D701002320A78A01C997C5C5 +:103C4000010093852577EFD06FEFA5BF7370043027 +:103C500001A039C5011122CC06CE26CA17D4010015 +:103C600013048487832804002A8801456385080299 +:103C7000BA842EC2368732C442C6954663C5B602A0 +:103C8000EFF06FB58947630BF502084081460146A6 +:103C90004C00EFC05FAFF2406244D24405618280C5 +:103CA0007370043001A04C0081463A864685EFD0FF +:103CB0000F88F2406244D244056182800840814608 +:103CC00026864C00EFC03FACF9B719C1084182808D +:103CD0007370043001A001E57370043001A041113C +:103CE00006C622C42A84EFF0CFC348483334A0006C +:103CF000EFF06FC52285B24022444101828001E588 +:103D00007370043001A0411122C42A8406C6EFF06A +:103D10004FC10050EFF02FC3B24022852244410131 +:103D2000828001E57370043001A0411122C426C2D3 +:103D30002E84AA8406C6EFF0CFBE80D02244B240C3 +:103D4000924441016FF02FC04111714522C406C653 +:103D50001D262A8411C5232005001105EFC02FFB65 +:103D60002285B24022444101828001CDB70700FF85 +:103D7000ED8F81C77370043001A099E57370043032 +:103D800001A07370043001A0011122CC4AC84EC6B4 +:103D900052C456C206CE26CABA893689B28A2E8441 +:103DA0002A8AEFF04FA311E5638509007370043090 +:103DB00001A0EFE08F9A83240A00B3779400631682 +:103DC000090295C763870A009347F4FFE58F232014 +:103DD000FA00EFE08FBDF240624426854249D244AA +:103DE000B249224A924A05618280E30DF4FCE382E3 +:103DF00009FEB3355001E20563050900B707000469 +:103E0000DD8D4E86C18D13054A00EFE0FFE6EFE041 +:103E1000CFB919E1EFC08FDFEFF0CFB59317650091 +:103E2000AA8963D80700B7040001FD14B3F4990010 +:103E30005DB7EFF00FAF83290A00B3773401631E3B +:103E4000090089CB63880A009347F4FFB3F7370171 +:103E50002320FA00EFF02FAFF9B7E31DF4FEDDB732 +:103E600001C9B70700FFED8F99C77370043001A037 +:103E70007370043001A0411122C42A8406C626C2F0 +:103E80004AC02E89EFF0EFA904409345F9FFB3F73C +:103E900095001CC0EFF02FABB240224426850249AA +:103EA000924441018280411122C42A8406C6EFC097 +:103EB000CFE10040EFC00FE1B24022852244410132 +:103EC000828009C9370700FFB3F7E50099C773700F +:103ED000043001A07370043001A06F208031B70757 +:103EE00000FFF18F81C77370043001A001E6737089 +:103EF000043001A0011122CC4AC84EC652C406CEDD +:103F000026CA3689AE892A8A3284EFF0CF8C21E125 +:103F1000630509007370043001A0EFE00F8483246F +:103F20000A00CE855285B3E49900613FB3779400CF +:103F30006307F40683240A00EFE02FA7F2406244EF +:103F400026854249D244B249224A05618280EFE087 +:103F5000CF8083240A00CE855285B3E4340195379F +:103F6000B3F78400638D8702E30609FCB7050005FB +:103F70004A86C18D13054A00EFE01FD0EFE0EFA2A3 +:103F800019E1EFC0AFC8EFF0EF9E93176500AA8468 +:103F900063DF0700B7070001FD17FD8C45B78327D6 +:103FA0000A001344F4FFE18F2320FA0071B7EFF009 +:103FB0004F9783240A00B377940063978700934751 +:103FC000F4FFE58F2320FA00EFF0EF97E1B74111FE +:103FD00022C406C62A8426C2EFD03FF85C4085C3BF +:103FE00008489304C4006316950015A008486300B0 +:103FF0009502B7050002EFE03FE55C40E5FB228556 +:10400000612A2244B240924441016FE00F9A7370DA +:10401000043001A009C9370700FFB3F7E50099C7CD +:104020007370043001A07370043001A06F20601C15 +:1040300001C9B70700FFED8F99C77370043001A065 +:104040007370043001A0411122C426C206C62A841E +:10405000AE84EFF00F8D184093C7F4FFF98F1CC0AA +:104060002244B240924441016FF0EF8D19C1084DD6 +:10407000828001458280411122C406C62A84EFD085 +:10408000DFED97C701009387E7459C43A1EBE56708 +:1040900017C7010013074768F11797C6010023A946 +:1040A000E64417C701002323F74417C7010023275D +:1040B000F76697C701009387274317C70100232D91 +:1040C000F764854717C701002326074217C7010079 +:1040D000232C074017C701002326F74019E8EFE01B +:1040E000CF8CEF10E00201442285B240224441010E +:1040F00082801305840093773500C1EFE5671307CD +:10410000F5FFE917E3EDE7FC97C601009386463E0D +:104110009C4229A0984311C7BE86BA87D843E36B57 +:10412000A7FE17C701001307273CE38AE7FA984365 +:1041300041461384870098C2D843B306A7406378EA +:10414000D6023388A7002322D800C8C3832548009D +:1041500097C601009386C63911A0BA86984250438B +:10416000E36DB6FE2320E80023A00601D84397C7DD +:1041700001009387273B9C43998F17C70100232396 +:10418000F73AEFE08F8231DC2285B24022444101D0 +:104190008280719911059DB729C9411122C406C6B3 +:1041A0002A84EFD09FDB930584FF0326C4FF17C743 +:1041B00001001307E73311A03E871C43D443E3ED0E +:1041C000C6FE232CF4FE0CC397C7010093878735E6 +:1041D00098438327C4FF2244B240BA9717C701000F +:1041E0002322F73441016FD05FFC828097C7010022 +:1041F000938747338843828082803367B5000D8B75 +:10420000AA871DE79308D6FFAA982A87AE8663710E +:10421000150303A8060011079106232E07FFE36A82 +:1042200017FF9347F5FFC697F19B9107BE95AA9795 +:104230002A9663F3C70A9386450013884700B3B6EE +:10424000D70033B8050133E7F50093C616001348CD +:1042500018000D8BB3E6060113371700758F330E68 +:10426000F6409386170029CF1337AE0031EB135376 +:104270002E002E88BE8601478328080005071108F6 +:1042800023A016019106E36967FE1377CEFFBA9566 +:10429000BA976302EE0483C60500138717002380D4 +:1042A000D700637AC70283C6150013872700A3804F +:1042B000D7006372C70203C725002381E70082800D +:1042C000B307F640AE97850503C7F5FF8506238F34 +:1042D000E6FEE39AF5FE82808280B367C5008D8B8F +:1042E000B308C500E9C36371150FB307A0408D8BF8 +:1042F00013873700954693F5F50F130815003383A0 +:10430000A8407D166373D70015476363E60CD5CFCD +:104310002300B5000547638DE700A300B5000D47F6 +:10432000130825006396E700130835002301B50044 +:10433000139685004D8E13970501518F3303F3407B +:1043400093968501D98E13562300AA97014794C3EB +:1043500005079107E36DC7FE1377C3FFB307E800B6 +:104360006307E3062380B70013871700637C1703F6 +:10437000A380B70013872700637617032381B70054 +:104380001387370063701703A381B70013874700B3 +:10439000637A17012382B7001387570063741701EC +:1043A000A382B700828093F5F50F13978500D98D0E +:1043B000139705014D8FE37715FFAA87910723AE69 +:1043C000E7FEE3ED17FF828082802A8895B782801E +:1043D000AA8749BF834705002A8799C705058347F0 +:1043E0000500EDFF198D8280014582800505834718 +:1043F000F5FF850503C7F5FF91C7E389E7FE338520 +:10440000E74082808147E5BFAA87850503C7F5FF9E +:104410008507A38FE7FE75FB82808346050093071F +:1044200000026397F600050583460500E38DF6FE5E +:10443000938756FD93F7D70F85C7AA87814585CE09 +:1044400001458507138606FD1317250083C607005F +:104450003A9506053295F5F699C13305A04082805C +:10446000938536FD8346150093B515009307150017 +:10447000E1FA0145D5B7014582809C412380A70020 +:104480009C4185079CC1828097C601009386C60621 +:104490009C42175703001307E72A13861700BA97A1 +:1044A0002380A70097C5010023A8C504A947630876 +:1044B000F500930700046305F6000145828075DE70 +:1044C000370610F005078347F7FF2320F6F09C42DC +:1044D000FD1797C5010023A1F502EDF70145828084 +:1044E0004D712322911423202115232A51132324B3 +:1044F00081132326111423248114232E3113232CFA +:10450000411323286113232671132322911323209F +:10451000A113232EB111AA842E8932C6130C500286 +:10452000A54A8347090063808703B9CB804011A067 +:10453000A1CB2300F40080400509050480C0834717 +:104540000900E39787FF0346190093081900C68600 +:10455000130D0002FD597D5A0148130550059307BC +:10456000D6FD93F7F70F138916006363F52A17C773 +:104570000100130727A98A07BA979C43BA97828735 +:104580008320C114032481148324411403290114BA +:104590008329C113032A8113832A4113032B011397 +:1045A000832BC112032C8112832C4112032D011283 +:1045B000832DC11171618280A14C02C4854763D5EE +:1045C0000715B2478040938B770093F68BFF03ABC0 +:1045D000060083A94600938786003EC6668681460C +:1045E0005A85CE85EF10B0032AC8814763853723EB +:1045F000930D4101854B668681465A85CE85EF1025 +:10460000204C814666862A8BAE89EF105001A24766 +:1046100023A0AD0093861B00910D63843701B68BF8 +:10462000D9BFE37E9BFF63DD4601A2872380A701FC +:1046300080407D1A930714009CC03E84E3C846FF67 +:1046400093962B001C08BE9631A89387770523000C +:10465000F4008040FD1B93871B00050480C0F11609 +:10466000E351F0EC9C42E3E2FAFE93870703C5B7FF +:104670009C409306000332472380D7009C4093065A +:104680004700C14C1386170090C013068007A38013 +:10469000C700804002C4BA87050480C003AB07008E +:1046A000814936C625BFB24783AC0700938B4700CC +:1046B00063840C1C635F40199307D0026319FD16D5 +:1046C00083C70C0085C363DC09189440850C7D1AF0 +:1046D0002380F6008040050480C083C70C00E5F706 +:1046E000635D40018040930700022300F400804096 +:1046F0007D1A050480C0E31A0AFE5EC61DB502C419 +:104700008547A94CE3CF07EBB2478040938647002B +:1047100071B703C61600CA8699B59C40930650022D +:104720002380D7008040050480C0E5BBC14C02C493 +:1047300071B5B24703C61600CA8683A90700910760 +:104740003EC6E35E0AE04E8AFD5911BD03C616005F +:10475000130DD002CA8621B59347FAFFFD8703C621 +:104760001600337AFA00CA86DDBB03C61600130DA5 +:104770000003CA86EDB383C51600930906FDCA86F9 +:10478000938705FD2E86E3EEFAFA93972900BE99EA +:1047900085068609AE9983C50600938909FD93872E +:1047A00005FD2E86E3F3FAFE69BF32479C401443B1 +:1047B00011073AC62380D7008040050480C095B316 +:1047C000854763D3070BB24793867700E19A9387B7 +:1047D00086003EC683A946009C4263DC090A9440D9 +:1047E0001306D002B307F0402380C6008040B336E2 +:1047F000F000B3093041050480C03E8BB389D94035 +:10480000A94C02C4E1BB03C616000508CA8681BBD9 +:104810009C40468921B7E37D9BDD8547814B8546DA +:10482000E3C547E131BD97CC0100938CACB9CE858F +:104830006685EF00D06E330AAA40635C4001804079 +:104840002300A40180407D1A050480C0E31A0AFEFB +:10485000014A83C70C00E38207EAE3C809E6FD19B1 +:104860007D57E394E9E6ADBDB247938647009C438C +:1048700036C693D9F74195B7635640019307D002E6 +:10488000E313FDFA97CC0100938CCCB3930780021D +:104890001DBD3E8BA94C02C4804089B34D71232EAF +:1048A0003113B70900409387F9FF23248114232291 +:1048B0009114232C4113232A51132326111423204E +:1048C0002115232861132326711323248113232206 +:1048D00091132320A113232EB1112A8A2EC417C4A9 +:1048E0000100130464C1975A0300938AAAE597C490 +:1048F00001009384A4863EC69305500229451308FF +:104900000004B70610F083470A006386B704C1C7E6 +:104910001840050A13061700569797C8010023ADE3 +:10492000C8BC2300F7006382A706E31E06FD1757E5 +:104930000300130727E105078347F7FF23A0F6F0DD +:104940001C40FD1717C601002328F6BAEDF7834770 +:104950000A00E39EB7FA83461A0013051A002A8755 +:10496000930B00027D5B7D5C014893055005A548D3 +:104970009387D6FD93F7F70F130A170063E7F53413 +:104980008A07A6979C43A6978287175703001307A9 +:1049900067DB55F28DBF8320C11403248114832467 +:1049A0004114032901148329C113032A8113832A83 +:1049B0004113032B0113832BC112032C8112832C6F +:1049C0004112032D0112832DC11171618280A14C0E +:1049D000014D854763DB0719A2479D07E19B83AD26 +:1049E000070003AB4700138787003AC466868146F9 +:1049F0006E85DA85EF10A0422AC863066D3513096B +:104A000041018549668681466E85DA85EF10400B47 +:104A100066868146AA8D2E8BEF1060402320A90068 +:104A200085091109E3106DFFE3FE9DFD13060004E7 +:104A3000B70610F063D189031C4013871700D6977F +:104A400097C5010023AAE5AA23807701630CC70458 +:104A50007D1CE3C389FFB2476800A548B386F9000F +:104A60008A061C08BE96294813030004370610F076 +:104A7000E384A6E89C429305000363F4F8009305E1 +:104A800070051840AE9793051700569717CE010092 +:104A90002324BEA62300F7006380072B638C6526C2 +:104AA000F116F9B7175703001307C7C9050783475E +:104AB000F7FF23A0F6F01C40FD1797C5010023ADBA +:104AC000F5A2EDF77D1C71B71C4013060004138797 +:104AD000170097C5010023A1E5A2B386FA0093054C +:104AE00000032380B6006300C73E890797C6010014 +:104AF00023A4F6A05697930680072300D7001757E4 +:104B00000300130727C4B70610F0639EC70005070C +:104B10008347F7FF23A0F6F01C40FD1717C60100DE +:104B2000232CF69CEDF7A247C14C014D910722477B +:104B3000014B832D07003EC455BDA24783AC07003F +:104B400013894700638F0C3A635480219307D00286 +:104B5000639EFB2E03C70C00BA856310072025ACAB +:104B60008547A94C014DE3C907E7A2479107C1B7A3 +:104B7000A24783461700528703AB070091073EC444 +:104B8000E3580CDE5A8C7D5BE5B383461700930B2C +:104B9000D0025287F1BB9347FCFFFD878346170085 +:104BA000337CFC005287E9B383461700930B000364 +:104BB00052877DBB03461700138B06FD5287930770 +:104BC00006FDB286E3EEF8FA93172B00DA97050795 +:104BD0008607B29703460700138B07FD930706FD70 +:104BE000B286E3F3F8FE69BFA2451C40294698410E +:104BF00093861700D69717C50100232FD58E2380E3 +:104C0000E700138945006301C72A930700046387FF +:104C1000F6204AC4D5B1854763DF0729A247138729 +:104C20007700619B930787003EC4032B47001C431A +:104C3000635B0B301840130600049306170097C5FA +:104C4000010023ABD58A56979305D0022300B70005 +:104C50006388C62CB307F0403337F000330B604154 +:104C6000BE8D330BEB40A94C014D49B38346170071 +:104C700005085287F5B9834617005287D5B91C40FD +:104C8000930600041387170017C601002326E68643 +:104C9000D697130650022380C700E31FD7C41757C7 +:104CA0000300130727AAB70610F005078347F7FF8D +:104CB00023A0F6F01C40FD1717C60100232EF68234 +:104CC000EDF71DB9C14C014D29B31C4093060004FA +:104CD0002A8A1387170017C60100232FE680D6976C +:104CE000130650022380C700E318D7C017570300EC +:104CF000130747A5B70610F005078347F7FF23A062 +:104D0000F6F01C40FD1717B601002327F67EEDF7DD +:104D10002A8ADDB6175703001307C7A20507834782 +:104D2000F7FF2320F6F01C40FD1797B5010023A5DF +:104D3000F57CEDF7F1162DBB17570300130787A07D +:104D4000F1FDF11635B3E3FC9DCB8549C5B1014CAE +:104D500003C70C00BA85E30E07EAFD58294513087E +:104D60000004B70610F063570B0A1C4013861700A7 +:104D7000D69717B301002321C3782380E70063840B +:104D8000A50663040609850C03C70C007D1CBA85C3 +:104D900079FBE35080E91C409305000213060004F0 +:104DA000B70610F021A07D1CE3050CE61387170061 +:104DB000D6972380B70017B50100232FE572BA8775 +:104DC000E313C7FE175703001307C7970507834769 +:104DD000F7FF23A0F6F01C40FD1717B50100232DA7 +:104DE000F570EDF7C9B7175703001307A79541DE14 +:104DF00005078347F7FF23A0F6F01C40FD1717B601 +:104E00000100232BF66EEDF7BDBF17570300130704 +:104E10006793F9BF7D1BE31A1BF5A5BF1757030066 +:104E200013074792B70610F005078347F7FF23A043 +:104E3000F6F01C40FD1717B60100232FF66AEDF7B8 +:104E40004AC45DBC97BC0100938CCC57DA8566855B +:104E5000EF00F00C330CAC40E35C80EF1C40130619 +:104E60000004B70610F021A07D1CE3020CEE1387AE +:104E70001700D6972380770197B5010023AEE5662A +:104E8000BA87E313C7FE175703001307A78B05075D +:104E90008347F7FF23A0F6F01C40FD1797B50100EC +:104EA00023ACF564EDF7C9B71757030013078789DB +:104EB000B5FA4AC491B4A247138747009C433AC449 +:104EC00013DBF741B5B3175703001307A787B706DE +:104ED00010F005078347F7FF23A0F6F01C40FD17ED +:104EE00017B60100232AF660EDF7854717B70100D2 +:104EF0002324F76093078007175703002304F784E0 +:104F00001DB1635680019307D002E39DFBF297BC6D +:104F10000100938C2C4B130780029305800235BD52 +:104F20009756030093860682370610F0850603C75E +:104F3000F6FF2320E6F018407D1797B5010023AD5A +:104F4000E55A6DF701BBBE8DA94C014D45B4B707BD +:104F500010F023A0A7F201A03971130341022ED251 +:104F60009A8506CE32D436D63AD83EDA42DC46DED0 +:104F70001AC62D32F2400145216182805D71130312 +:104F8000810322D42AC632DC2A841A86680006D617 +:104F9000BEC236DEBAC0C2C4C6C61ACEEFF04FD407 +:104FA000B247238007003245B250018D225461611F +:104FB0008280B70710F083A647F403A607F403A77F +:104FC00047F4E31AD7FE8966938606F4B29633B6A1 +:104FD000C600B305E60023A4D7F423A6B7F4828065 +:104FE000B70710F003A747F403A607F483A647F416 +:104FF000E31AD7FE8966938606F4B29633B6C600E6 +:1050000023A4D7F4B305E60023A6B7F4930700085A +:1050100073A047308280411122C406C697B70100B1 +:105020009387074E17B401001304444D98431C4066 +:105030001306F07C930647069387470697B5010051 +:1050400023A0D54C97B5010023AAF54A6346D600A4 +:10505000B2402244410182801307478997B701007B +:1050600023A0E74A2D2D85476310F5021840856778 +:105070009387F7760145E3DDE7FCEFF05FED22442F +:10508000B24041016FC07FEC17B501001305053434 +:10509000EFF09FEC0945EFF09FEB2244B240410155 +:1050A0006FC0BFEA17B50100130505346FF0DFEAE2 +:1050B00017B501001305C5336FF01FEA411122C473 +:1050C00026C206C6856417B401001304E432938730 +:1050D00084380100FD17F5FF2285EFF0FFE7C5BF1B +:1050E0000145EDA1411106C65D2B054781476316B9 +:1050F000E500B2403E854101828017B501001305ED +:10510000E52CEFF07FE5B24089473E854101828082 +:105110007370043001A082807370043001A04111CB +:1051200022C406C617B401001304C43E0145EFD0E3 +:105130003F931C40850717B70100232DF73CFDB7AF +:10514000411122C426C206C6AA8405440145EFD0F7 +:105150003F9181467D5681452685EFB05FAB814604 +:105160000146814563008502268597B7010023A982 +:10517000873AEFB09F96E30B85FC97B7010023A118 +:10518000873AE9B78146014681452685EFB0FF940D +:10519000E30E85FA97B7010023A4873845BF0111B4 +:1051A00022CC26CA4AC84EC652C456C25AC006CEDF +:1051B000AA8917BB0100130BAB3597BA0100938A7C +:1051C000EA3417B901001309E93417BA0100130AC8 +:1051D000AA3405448D448146014681454E85EFB091 +:1051E0001FA36306850097B7010023AB87320145F3 +:1051F00097B7010023A00732EFC0CFFD09C597B7CD +:10520000010023AF873003250B00EFC01F97014536 +:10521000EFC04FFC6306950097B7010023A28730CB +:1052200001458545EFC05F830145EFC0AFFA6306D6 +:10523000950097B7010023A5872E03A50A00EFC0AC +:10524000DF938327090089C797B7010023AA872C1A +:105250008146014681454E85EFB03F886306850053 +:1052600097B7010023AE872A83270900638687004A +:1052700097B7010023A6872A0145EFC0AFF5630663 +:10528000850097B7010023AD872881450145EFC010 +:10529000AFFC83270A00850717B70100232EF726E6 +:1052A0001DBF397152D417BA0100130A2A2722DC14 +:1052B00026DA4AD84ED656D25AD05ECE06DE62CC18 +:1052C0002A84D28B094B9144954A2D4999498546A8 +:1052D0000146DE852285EFB05F802285EFB09FF624 +:1052E00085476306F50017B70100232BF722814697 +:1052F00001462C002285EFB09F9185476306F5009B +:1053000017B70100232EF72003270A00A2476307DF +:10531000F700854717B701002324F7202285EFB057 +:105320007FF211C5854717B70100232BF71E814671 +:105330000146DE852285EFB04FFA2285EFB09FF05F +:1053400085476306F50017B70100232BF71C81463C +:1053500001462C002285EFB09F8B85476306F50040 +:1053600017B70100232EF71A2285EFB0BFED11C544 +:10537000854717B701002325F71A03270A00A2471C +:105380006307F700854717B70100232BF7185AC4A6 +:10539000814601462C002285EFB02FF4A2478507F5 +:1053A0003EC4E3F7F4FE2285EFB0DFE98D476307E3 +:1053B000F500854717B701002324F71685460146F7 +:1053C0002C00054C228562C4EFB02FF185460146C2 +:1053D0002C00228502C4EFB04FF02285EFB09FE68B +:1053E0006306550197B7010023AC87138546014634 +:1053F0002C002285EFB06FEE11C5854717B701006D +:105400002320F712814601462C002285EFB0EFECF5 +:1054100011C5854717B701002324F71002C485463C +:1054200001466C002285EFB08FFE8547814601461C +:105430006C006306F50017B701002323F70EB2478F +:105440002248228513C7F7FF6307F800854717B87E +:1054500001002327F80C3AC6EFB06FFB85476306BF +:10546000F50017B70100232DF70AA247B2461387AC +:1054700017006388D714854797B6010023A2F60A60 +:105480003AC4E3FEE4F82285EFB0DFDB11C58547BF +:1054900017B701002326F708A947814601462C00CB +:1054A00022853EC4EFB06FE385476306F50017B76A +:1054B00001002327F706814601462C0022854AC4B5 +:1054C000EFB0AFE185476306F50017B70100232968 +:1054D000F7042285EFB01FD763076501854717B72B +:1054E0000100232FF702A5473EC4854601462C0044 +:1054F0002285EFB08FDE8547630FF50A17B70100ED +:105500002320F702A247FD173EC4E3E0F9FE2285FF +:10551000EFB05FD363075501854717B7010023211B +:10552000F700854601462C002285EFB00FDB11C540 +:10553000854717B701002325F7FE814601462C0059 +:105540002285EFB08FD911C5854717B701002329F0 +:10555000F7FC9D473EC4054C814601466C00228500 +:10556000EFB0EFEA6306850197B7010023AA87FB36 +:10557000A247B24613871700638AD70297B7010084 +:1055800023A087FB3AC4E379E9FC2285EFB09FCBE7 +:1055900011C5854717B701002324F7F883270A00B0 +:1055A000850717B70100232BF7F615B385073EC40F +:1055B000E374F9FAD9BFA247FD173EC4E3E7F9F255 +:1055C000B9B785073EC4E3FCF4E475BD411126C2BA +:1055D0000146AA849145154506C622C4EFB00F8E38 +:1055E00097B50100938585E22A84EFB03FD52687E1 +:1055F000A2868148014881471306004097B5010003 +:10560000938505E2170500001305E5C9EFB07FEBB0 +:105610000545EFB06FC297B501009385E5E02A8498 +:10562000EFB0DFD1A28681480148814701471306C8 +:10563000004097B501009385E5DF170500001305CD +:1056400045B6EFB01FE88148014897B7010093873E +:10565000E7EB094781461306004097B501009385A3 +:10566000E5DD170500001305C5ABEFB09FE5A28689 +:105670002244B24092448148014897B70100938781 +:1056800027E90D471306004097B50100938585DB98 +:1056900017050000130505AB41016FB09FE217B776 +:1056A00001001307A7E797B601009386E6E51C43C0 +:1056B00094426397F600854797B6010023A2F6E669 +:1056C00097B70100938747E517B60100130686E3F5 +:1056D000944310426304D60297B60100938646E4D1 +:1056E000184388429C4397B6010023AFE6E0133588 +:1056F000150017B701002327F7E0828018439C4369 +:10570000854617B60100232DD6E0014597B6010066 +:1057100023ACE6DE17B701002326F7DE8280AA95C8 +:10572000AA876385B70003C7070001E73385A74051 +:1057300082808507FDB7814863DA05003305A04004 +:10574000B337A000B305B0409D8DFD5863D9060066 +:105750003306C040B337C000B306D0409D8E3288B8 +:105760003683AA872E87639F061C97B6010093860F +:1057700066B463F1C50C416E6377C60B130EF00F70 +:105780006373CE002143335E6600F29603CE0600BB +:105790001A9E130300023303C341630C0300B39545 +:1057A0006500335EC501331866003367BE00B3176A +:1057B0006500135E0801B355C70313150801418145 +:1057C00093D607013376C703B305B5024206D18EDF +:1057D00063F8B600C29663E5060163F3B600C296AD +:1057E0008D8E33F7C603C207C183B3D6C603B30693 +:1057F000D50213150701C98F63F8D700C29763E577 +:10580000070163F3D700C297958F33D567008145B1 +:10581000638A0800B307A0403337F000B305B040F7 +:105820003E85998D8280370E00014143E36DC6F5B8 +:10583000614391BF01E605483358680241676373CD +:10584000E8081307F00F637307012143335768001B +:10585000BA9603C606001A96130300023303C34028 +:1058600063190306B3850541935608011315080112 +:10587000418113D6070133F7D502B3D5D5024207CC +:10588000518FB305B5026378B7004297636507018E +:105890006373B7004297B305B74033F7D502C20729 +:1058A000C183B3D5D502B305B50213150701C98F5E +:1058B00063F8B700C29763E5070163F3B700C297C7 +:1058C0008D8FA1B7370700014143E361E8F86143D9 +:1058D000B5BF3318680033DEC500935E0801335648 +:1058E000C500B31765003355DE03B39565004D8ED3 +:1058F00093150801C181935606013377DE03338582 +:10590000A5024207558F6378A70042976365070198 +:105910006373A7004297B306A74033F7D603420646 +:105920004182B3D6D6034207B386D502B365C7001A +:1059300063F8D500C29563E5050163F3D500C29510 +:10594000958D1DB7E3E6D5EC416863F50605930E2A +:10595000F00F33B8DE000E0833DE060117B3010086 +:10596000130343957293834E0300130E0002C29EED +:10597000330EDE4163170E0263E4B6006369C500AF +:10598000B307C540958D3335F5003387A5403E8577 +:10599000BA85BDBD370300014148E3EF66FA6148AF +:1059A00065BF3357D601B396C601D98EB357D5011B +:1059B00033D7D501B395C501CD8F93D50601337388 +:1059C000B702139F0601135F0F0113D807013316A7 +:1059D000C6013315C5013357B702420333680301CB +:1059E000B30FEF023A83637CF80136981303F7FF95 +:1059F0006367D8006375F8011303E7FF369833082F +:105A0000F841B37FB8023358B802C20FB3050F0391 +:105A1000139F0701135F0F0133EFEF014287637C90 +:105A2000BF00369F1307F8FF6367DF006375BF0091 +:105A30001307E8FF369F4203C16F3363E300938788 +:105A4000FFFF13580601330FBF40B375F300135324 +:105A50000301F18F3387F502B307F302B385050322 +:105A600033030303BE95135807012E986373F800A0 +:105A70007E93C167FD17935508013378F8004208FB +:105A80007D8F9A9542976366BF00631BBF00637961 +:105A9000E5003306C7403337C700958D998D3287AF +:105AA0003307E5403335E500B305BF40898DB39733 +:105AB000D5013357C70133E5E700B3D5C50189BB2D +:105AC000AE87328836872A836396062097A801001E +:105AD0009388487E63FEC50A41676374E60A13072C +:105AE000F00F3337C7000E07B356E600B69883C6EB +:105AF0000800369793060002998E99CAB397D7008B +:105B00003357E5003318D600B365F7003313D500DB +:105B100013550801B3D7A502131608014182935605 +:105B2000030133F7A502B305F6024207D98E3E877B +:105B300063FCB600C2961387F7FF63E7060163F5BF +:105B4000B6001387E7FFC2968D8EB3F7A602420315 +:105B500013530301B3D6A602C20733E36700B305AC +:105B6000D6023685637BB30042931385F6FF6366E6 +:105B700003016374B3001385E6FF4207498F814533 +:105B80004DA8B70600014147E360D6F66147A9BFBB +:105B900001E6854633D8C602C166637ED8089306FF +:105BA000F00F63F306012147B356E800B69883C6A9 +:105BB0000800BA9613070002158F49E7B38707411B +:105BC0008545135608019318080193D80801935688 +:105BD000030133F7C702B3D7C7024207D98E338513 +:105BE000F8023E8763FCA600C2961387F7FF63E7BF +:105BF000060163F5A6001387E7FFC296898EB3F707 +:105C0000C602420313530301B3D6C602C20733E3ED +:105C10006700B388D8023685637B130142931385EE +:105C2000F6FF63660301637413011385E6FF420701 +:105C3000498F3A858280B70600014147E366D8F66E +:105C400061479DB73318E800B3D5D7003313E5009B +:105C5000B356D50013550801B397E70033F7A502F3 +:105C6000B3E8F60093170801C18313D60801B3D532 +:105C7000A5024207518FB386B7022E86637CD700F8 +:105C800042971386F5FF636707016375D700138694 +:105C9000E5FF4297B306D74033F7A602C20893D870 +:105CA0000801B3D6A6024207B385D702B36717012E +:105CB000368763FCB700C2971387F6FF63E70701D7 +:105CC00063F5B7001387E6FFC2978D8F9315060122 +:105CD000D98DC5BD63E2D514416763FEE6021308A2 +:105CE000F00F3337D8000E0733D8E60097A5010030 +:105CF0009385455CC29503C80500930500023A9858 +:105D0000B385054185E10547E3E5F6F23335C50086 +:105D10001347150039BFB70500014147E3E6B6FC5C +:105D20006147D9B733570601B396B600D98E93DED3 +:105D3000060133D70701B378D703B397B7003358B9 +:105D400005013363F80093970601C18313580301DB +:105D50003316B6003357D703C20833E80801338F30 +:105D6000E7023A8E637CE8013698130EF7FF63670B +:105D7000D8006375E801130EE7FF36983308E84151 +:105D8000B378D8033358D803C208B38E07039317E8 +:105D90000301C183B3E7F800428763FCD701B697DC +:105DA0001307F8FF63E7D70063F5D7011307E8FF90 +:105DB000B697420EB387D741C16E3367EE001388A2 +:105DC000FEFF3373070193580701337806014182C0 +:105DD000330E03033388080393560E013303C302C3 +:105DE00042939A96B388C80263F30601F69813D6D5 +:105DF0000601B29863E01703E39317D9C167FD1753 +:105E0000FD8EC206337EFE003315B500F296814545 +:105E1000E371D5E27D17A5B38145014719BDB2886D +:105E20003687AA872E886398061C97A6010093865A +:105E3000664863F8C50A4163637E66081303F00F82 +:105E40006373C30021473353E6009A9603CE0600DE +:105E5000130300023A9E3303C341630C0300B3955E +:105E60006500335EC501B31866003368BE00B31722 +:105E7000650013D608013377C802139508014181E4 +:105E800093D607013358C8024207D98E3308050359 +:105E900063F80601C69663E5160163F30601C6962C +:105EA000B386064133F7C602C207C183B3D6C60222 +:105EB000B306D50213150701C98F63F8D700C6973B +:105EC00063E5170163F3D700C697958F33D5670055 +:105ED00081458280370300014147E36666F66147EA +:105EE0009DB701E60546B3581603416663F3C8083B +:105EF0001306F00F63731601214733D6E800B296FC +:105F000003CE0600130300023A9E3303C341631914 +:105F10000306B385154113D7080113950801418184 +:105F200013D60701B3F6E502B3D5E502C206D18E5A +:105F3000B305B50263F8B600C69663E5160163F3D0 +:105F4000B600C696B385B640B3F6E502C207C18374 +:105F5000B3D5E502B305B50213950601C98F63F801 +:105F6000B700C69763E5170163F3B700C6978D8F37 +:105F7000B1BF370600014147E3E1C8F86147B5BF4B +:105F8000B398680033D7C501B3176500335EC50108 +:105F900013D50801B376A702B3956500336EBE0032 +:105FA00093950801C18113560E013357A702C2060B +:105FB000D18E3387E50263F8E600C69663E51601E5 +:105FC00063F3E600C6963386E640B376A602420E39 +:105FD000135E0E013356A602C2063386C502B3E530 +:105FE000C60163F8C500C69563E5150163F3C500F6 +:105FF000C695918D0DB7E3EED5EC416763F5E604E8 +:10600000930EF00F33B7DE000E0733D3E60097A8E8 +:1060100001009388282A9A9883CE0800130E000264 +:10602000BA9E330EDE4163170E0263E4B600636965 +:10603000C500B307C540958D3335F5003388A540BD +:106040003E85C28579B5B70800014147E3EF16FBED +:10605000614765BF3357D601B396C601D98EB35792 +:10606000D50133D7D501B395C501CD8F93D50601A1 +:106070003373B702139F0601135F0F0193D8070113 +:106080003316C6013315C5013357B7024203B3684F +:1060900013013308EF023A8363FC0801B698130337 +:1060A000F7FF63E7D80063F508011303E7FFB6982D +:1060B000B3880841B3FFB802B3D8B802C20FB30522 +:1060C0001F03139F0701135F0F0133EFEF01468793 +:1060D000637CBF00369F1387F8FF6367DF0063753B +:1060E000BF001387E8FF369F4203C1683363E300B4 +:1060F0009387F8FF13580601330FBF40B375F300C1 +:1061000013530301F18F3387F502B307F302B3850D +:10611000050333030303BE95135807012E986373D9 +:10612000F8004693C167FD17935508013378F800CE +:1061300042087D8F9A9542976366BF00631BBF003C +:106140006379E5003306C7403337C700958D998DD5 +:1061500032873307E5403335E500B305BF40898D0D +:10616000B397D5013357C70133E5E700B3D5C50170 +:106170008DB397A701009387A735944317A7010014 +:106180001307C7331843C8C2637BE50017A7010094 +:106190001307873308438C4391056FA06FBA4111F1 +:1061A00022C406C617A70100130747322A840843F2 +:1061B0008C439105EFA0CFB897A701009387472E96 +:1061C0009C436376F40097A7010023AB872CB24071 +:1061D00022444101828097A701009387672F98434B +:1061E00094437C43FD177CC3FC4299E37360043005 +:1061F0008280011122CC26CA2A84AE844AC84EC6A7 +:1062000052C456C206CEEFB05FD51C40032904012C +:10621000B7090001B3E59700930AC4000CC081449C +:10622000FD19370A00021840638C2A03032609006F +:106230004A85B3654701B376360193175600758FCB +:106240000329490063C4070001E7F1BFE39DE6FCB1 +:106250009317760063D30700D58CEFC0FFBEE1B77C +:1062600093C4F4FFF98C04C0EFB03FF40840F2404F +:106270006244D2444249B249224A924A056182802C +:106280004111014506C622C4EFF04FB417F7FFFFD6 +:106290001307A7D8814605469305200317A50100DB +:1062A00013050516EFD05F8E19C92A84EFB0FFCB16 +:1062B0002A860147814685452285EFD09F99EFB018 +:0C62C0005FA4B2402244014541018280ED +:02000004800278 +:100000001247FEFF1048FEFF1A47FEFF1048FEFF92 +:100010001048FEFF1048FEFF1048FEFF3247FEFF6B +:100020001048FEFF1048FEFF4C47FEFF5847FEFFFA +:100030001048FEFF6A47FEFF7647FEFF7647FEFF49 +:100040007647FEFF7647FEFF7647FEFF7647FEFFC8 +:100050007647FEFF7647FEFF7647FEFF1048FEFF1D +:100060001048FEFF1048FEFF1048FEFF1048FEFF3C +:100070001048FEFF1048FEFF1048FEFF1048FEFF2C +:100080001048FEFF1048FEFF1048FEFF1048FEFF1C +:100090001048FEFF1048FEFF1048FEFF1048FEFF0C +:1000A0001048FEFF1048FEFF1048FEFF1048FEFFFC +:1000B0001048FEFF1048FEFF1048FEFF1048FEFFEC +:1000C0001048FEFF1048FEFF1048FEFF1048FEFFDC +:1000D0001048FEFF1048FEFF1048FEFF1048FEFFCC +:1000E0001048FEFF1048FEFF1048FEFF1048FEFFBC +:1000F0001048FEFF1048FEFF1048FEFF1048FEFFAC +:10010000AA47FEFFC047FEFF1048FEFF1048FEFF53 +:100110001048FEFF1048FEFF1048FEFF1048FEFF8B +:100120001048FEFF0648FEFF1048FEFF1048FEFF85 +:10013000B845FEFF7046FEFF1048FEFF1048FEFF68 +:10014000A646FEFF1048FEFFFE46FEFF1048FEFFDB +:100150001048FEFF2C47FEFF1E4BFEFF724BFEFFBA +:10016000264BFEFF724BFEFF724BFEFF724BFEFFF3 +:10017000724BFEFF184AFEFF724BFEFF724BFEFFF2 +:10018000324AFEFF3E4AFEFF724BFEFF504AFEFF20 +:100190005C4AFEFF5C4AFEFF5C4AFEFF5C4AFEFFD3 +:1001A0005C4AFEFF5C4AFEFF5C4AFEFF5C4AFEFFC3 +:1001B0005C4AFEFF724BFEFF724BFEFF724BFEFF6E +:1001C000724BFEFF724BFEFF724BFEFF724BFEFF47 +:1001D000724BFEFF724BFEFF724BFEFF724BFEFF37 +:1001E000724BFEFF724BFEFF724BFEFF724BFEFF27 +:1001F000724BFEFF724BFEFF724BFEFF724BFEFF17 +:10020000724BFEFF724BFEFF724BFEFF724BFEFF06 +:10021000724BFEFF724BFEFF724BFEFF724BFEFFF6 +:10022000724BFEFF724BFEFF724BFEFF724BFEFFE6 +:10023000724BFEFF724BFEFF724BFEFF724BFEFFD6 +:10024000724BFEFF724BFEFF724BFEFF724BFEFFC6 +:10025000724BFEFF724BFEFF904AFEFFBE4AFEFF4E +:10026000724BFEFF724BFEFF724BFEFF724BFEFFA6 +:10027000724BFEFF724BFEFF724BFEFF144BFEFFF4 +:10028000724BFEFF724BFEFF7648FEFF7049FEFF89 +:10029000724BFEFF724BFEFFE249FEFF724BFEFF08 +:1002A000084AFEFF724BFEFF724BFEFF6C4BFEFFD7 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020416C745154657374207461736B732085 +:1003E0000D0A00006D69616F750A00006D69616F2B +:1003F00075320A0068756E6772790A004368656332 +:100400006B54696D65720000416C745F47656E5F87 +:10041000546573745F517565756500004647656E78 +:1004200051000000416C745F515F4D757465780038 +:10043000464D754C6F770000464D754D6564000064 +:08044000464D7548696768002C +:100448001000000000000000017A5200017C010148 +:100458001B0D02001000000018000000D252FEFF21 +:100468008A03000000000000100000002C000000BB +:100478004856FEFF5E030000000000001000000068 +:10048800400000009259FEFF5403000000000000E5 +:08052000FC8F0100090000003E +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/BlockQ_rv32i_O0.hex b/VexRiscv/src/test/resources/freertos/BlockQ_rv32i_O0.hex new file mode 100644 index 0000000..ee5ad4c --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/BlockQ_rv32i_O0.hex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diff --git a/VexRiscv/src/test/resources/freertos/BlockQ_rv32i_O3.hex b/VexRiscv/src/test/resources/freertos/BlockQ_rv32i_O3.hex new file mode 100644 index 0000000..61bce4c --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/BlockQ_rv32i_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/BlockQ_rv32ic_O0.hex b/VexRiscv/src/test/resources/freertos/BlockQ_rv32ic_O0.hex new file mode 100644 index 0000000..7da960b --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/BlockQ_rv32ic_O0.hex @@ -0,0 +1,1494 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900021B7170502001305E53A979503009385EC +:1000A000256C01461122170502001305A53497059A +:1000B00002009385A5340146C52817A50300130542 +:1000C000656A97B503009385A56937B6ABAB130690 +:1000D000B6BAD92817B103001301C168EF40607D9B +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2021C23A02200F3221034A2 +:1002400096DEEF006030170102000321A11A02417F +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E21923A0120023A2B9 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40804D170102000321E2 +:10033000610C0241F65273901234B722000093828E +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A20B83A0C0 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A202FA23A0220086DEEF1098 +:10046000B040170102000321E1F80241F6527390F7 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F0961730020309707BF +:1004C00002009387E7F39843FD576305F7007370C5 +:1004D000043001A07370043001A041112AC6B24754 +:1004E000739047300100410182804111F327403071 +:1004F0003EC673F04330B2473E85410182804111D0 +:100500002AC62EC432C2B247F1173EC62247B247AE +:1005100098C3B247938787FA3EC61247B24798C33B +:10052000B247A1173EC68E873E87B24798C3B247EF +:10053000D1173EC6170700001307A7F8B24798C3A4 +:10054000B2473E8541018280411106C6EF40A0239B +:10055000EF10D014AA8799C3EF1010310100B240F8 +:100560004101828041112AC6B24713878700B247F2 +:10057000D8C3B2477D5798C7B24713878700B247A1 +:10058000D8C7B24713878700B24798CBB24723A09A +:10059000070001004101828041112AC6B24723A809 +:1005A000070001004101828001112AC62EC4B24712 +:1005B000DC433ECEA2477247D8C3F2479847A247D2 +:1005C00098C7F2479C472247D8C3F247224798C7AB +:1005D000A247324798CBB2479C4313871700B247D4 +:1005E00098C301000561828001112AC62EC4A2476A +:1005F0009C433ECC6247FD576316F700B2479C4BC5 +:100600003ECE31A8B247A1073ECE21A0F247DC433F +:100610003ECEF247DC439C436247E379F7FEF24764 +:10062000D843A247D8C3A247DC43224798C7A24772 +:10063000724798C7F2472247D8C3A247324798CBA0 +:10064000B2479C4313871700B24798C30100056166 +:10065000828001112AC6B2479C4B3ECEB247DC4392 +:100660003247184798C7B2479C4732475843D8C3C8 +:10067000F247DC4332476316F700B2479847F24728 +:10068000D8C3B24723A80700F2479C431387F7FF5C +:10069000F24798C3F2479C433E8505618280797199 +:1006A00006D622D42AC62EC4B2473ECEF24781E7F0 +:1006B0007370043001A0EF202057F2478043F247C7 +:1006C000D85FF247BC43BE853A85EF50E016AA8753 +:1006D0003307F400F247D8C3F24723AC0702F247CE +:1006E0009843F24798C7F2478043F247DC5F13878D +:1006F000F7FFF247BC43BE853A85EF50E013AA8767 +:100700003307F400F247D8C7F2477D57F8C3F247E2 +:100710007D57B8C7A24799EFF2479C4B8DC7F24768 +:10072000C1073E85EF1090402A878547631DF7007B +:10073000F13911A8F247C1073E852D35F24793875D +:1007400047023E850535EF20005185473E85B25072 +:10075000225445618280797106D62AC62EC4B2879A +:10076000A303F10002CCB24781E77370043001A00B +:10077000A24799E302CE01A8A2453245EF50C00B33 +:10078000AA8785073ECEF247938747053E85EF301F +:10079000805C2ACAD24785CFA24789E7D247524711 +:1007A00098C331A0D24713874705D24798C3D24791 +:1007B0003247D8DFD2472247B8C385455245C535B1 +:1007C000D247034771002388E704D2473ECCE24773 +:1007D00081E77370043001A0E2473E85B250456165 +:1007E0008280797106D6AA87A307F1001305400518 +:1007F000EF3060562ACEF247B9CFF24723A2070066 +:10080000F24723A00700F24723A40700F24723A6DC +:100810000700F24723AC0702F2470547D8DFF2474B +:1008200023A00704F2477D57F8C3F2477D57B8C7A6 +:10083000F2470347F1002388E704F247C1073E85EA +:100840001533F247938747023E85293B814601468F +:10085000814572453122F2473E85B2504561828022 +:10086000797106D622D42AC6B2473ECCE24781E748 +:100870007370043001A0E247C043EF20001DAA8737 +:100880006313F402E247DC471387F7FFE247D8C758 +:10089000E247DC4791E78146014681456245C92030 +:1008A00085473ECE11A002CEF2473E85B25022547B +:1008B00045618280797106D622D42AC62EC4B247F9 +:1008C0003ECCE24781E77370043001A0E247C043A9 +:1008D000EF20A017AA87631BF400E247DC471387C9 +:1008E0001700E247D8C785473ECE0DA08146224675 +:1008F00081456245252E2ACE724785476318F70049 +:10090000E247DC4713871700E247D8C7F2473E8526 +:10091000B250225445618280797106D62AC62EC40F +:10092000B24781E77370043001A02247B24763F5F4 +:10093000E7007370043001A0094681453245213D2E +:100940002ACEF24781C7F247224798DFF24781E774 +:100950007370043001A0F2473E85B2504561828039 +:10096000397106DE2AC62EC432C236C002D6B2475C +:100970003ED4A25781E77370043001A0A24781E7FB +:10098000A257BC4399E3854711A0814781E7737063 +:10099000043001A0024789476317F700A257D85FC8 +:1009A00085476314F700854711A0814781E773707D +:1009B000043001A0EF20C00AAA8799E3924799E387 +:1009C000854711A0814781E77370043001A0EF20B3 +:1009D000A025A257985FA257DC5F6366F700024725 +:1009E0008947631DF7020246A245225565232AD294 +:1009F000A257DC5389CFA257938747023E85EF1059 +:100A0000F0122A8785476317F700093421A092570F +:100A100091C3ED3AEF202024854771A8924789E7DA +:100A2000EF206023814741A8B25799E77C083E85B3 +:100A3000EF10702785473ED6EF20E021EF10401AD7 +:100A4000EF20801EA257F843FD576315F700A25709 +:100A500023A20704A257B847FD576315F700A25712 +:100A600023A40704EF20201F58007C08BA853E8588 +:100A7000EF107026AA879DEB2255EF006071AA87C0 +:100A80008DC3A257C1071247BA853E85EF10E070AB +:100A900022551525EF108016AA87E39A07F2BD327A +:100AA0003DB72255092DEF10601515B722552125A8 +:100AB000EF10C01481473E85F25021618280797128 +:100AC00006D62AC62EC432C236C0B2473ECCE24752 +:100AD00081E77370043001A0A24781E7E247BC437D +:100AE00099E3854711A0814781E77370043001A025 +:100AF000024789476317F700E247D85F85476314C9 +:100B0000F700854711A0814781E77370043001A089 +:100B1000E93AAA873ECAE247985FE247DC5F63662C +:100B2000F700024789476315F7040246A24562456C +:100B30009521E247B847FD576313F702E247DC53BC +:100B40008DC7E247938747023E85EF10207EAA8734 +:100B500089CF924799CB9247054798C339A0E2477E +:100B6000BC4713871700E247B8C785473ECE11A0A0 +:100B700002CED2473E859532F2473E85B25045615E +:100B80008280797106D62AC62EC4B2473ECCE2478F +:100B900081E77370043001A0E247BC4381C77370E2 +:100BA000043001A0E2479C4381E7E247DC4399E33C +:100BB000854711A0814781E77370043001A0353269 +:100BC000AA873ECAE247985FE247DC5F6377F70493 +:100BD000E2479C5F13871700E24798DFE247B84778 +:100BE000FD576313F702E247DC538DC7E247938753 +:100BF00047023E85EF108073AA8789CFA24799CB21 +:100C0000A247054798C339A0E247BC47138717009E +:100C1000E247B8C785473ECE11A002CED2473E85F7 +:100C20006D38F2473E85B25045618280397106DEEB +:100C30002AC62EC432C236C002D6B2473ED4A2570C +:100C400081E77370043001A0A24781E7A257BC433B +:100C500099E3854711A0814781E77370043001A0B3 +:100C6000EF101060AA8799E3924799E3854711A096 +:100C7000814781E77370043001A0EF10F07AA2572A +:100C80009C5FB5CBA257DC473ED2A2452255F92E38 +:100C9000824795EFA2579C5F1387F7FFA25798DF13 +:100CA000A2579C4391E7EF2060022A87A257D8C33E +:100CB000A2579C4B8DCFA257C1073E85EF1000670E +:100CC0002A8785476315F702EFF04FF40DA0A2576E +:100CD0001257D8C7A257DC5399CBA2579387470224 +:100CE0003E85EF10A064AA8799C3EFF02FF2EF10B2 +:100CF000907685475DA8924789E7EF10D0758147C8 +:100D00006DA0B25799E77C083E85EF10C079854702 +:100D10003ED6EF105074EF00B06CEF10F070A25799 +:100D2000F843FD576315F700A25723A20704A25703 +:100D3000B847FD576315F700A25723A40704EF1027 +:100D4000907158007C08BA853E85EF10C078AA875C +:100D5000A1EB2255CD2EAA879DCFA2579C4391EBA4 +:100D6000EF10906CA257DC433E85EF107052EF10ED +:100D7000906EA257938747021247BA853E85EF10BF +:100D8000C04122550D2EEF007067AA87E39707EE4A +:100D9000EFF0CFE7DDB52255392EEF003066F1BD1B +:100DA0002255112EEF00906581473E85F25021615A +:100DB0008280797106D62AC62EC432C2B2473ECC92 +:100DC000E24781E77370043001A0A24781E7E24760 +:100DD000BC4399E3854711A0814781E773700430D4 +:100DE00001A0EFF08FF0AA873ECAE2479C5FA1CB3B +:100DF000A2456245A52CE2479C5F1387F7FFE247B7 +:100E000098DFE247F843FD576312F702E2479C4B35 +:100E100085C7E247C1073E85EF104051AA8789CFB9 +:100E2000924799CB9247054798C339A0E247FC43C4 +:100E300013871700E247F8C385473ECE11A002CEC4 +:100E4000D2473E85EFF06FE9F2473E85B2504561EB +:100E50008280797106D62AC62EC4B2473ECCE247BC +:100E600081E77370043001A0A24781E7E247BC43E9 +:100E700099E3854711A0814781E77370043001A091 +:100E8000E247BC4381E77370043001A0EFF0EFE567 +:100E9000AA873ECAE2479C5F89CFE247DC473EC84B +:100EA000A2456245E122E2474247D8C785473ECE88 +:100EB00011A002CED2473E85EFF02FE2F2473E85E9 +:100EC000B25045618280797106D62AC6B24781E761 +:100ED0007370043001A0EF103055B2479C5F3ECED6 +:100EE000EF107057F2473E85B250456182807971AC +:100EF00006D62AC6B2473ECEF24781E77370043069 +:100F000001A0EF107052F247D85FF2479C5FB30721 +:100F1000F7403ECCEF103054E2473E85B250456179 +:100F2000828001112AC6B24781E77370043001A0A4 +:100F3000B2479C5F3ECEF2473E8505618280797163 +:100F400006D62AC6B2473ECEF24781E77370043018 +:100F500001A07245E5247245EF20F0720100B25005 +:100F60004561828041112AC6B247FC473E85410156 +:100F7000828041112AC62EC4B2472247F8C7010019 +:100F80004101828041112AC6B24783C707053E85C9 +:100F900041018280797106D62AC62EC432C202CEA1 +:100FA000B247BC4391EFB2479C43D5E7B247DC431D +:100FB0003E85EF10903B2ACEB24723A2070061A8DE +:100FC000924785EFB2479847B247BC433E86A24559 +:100FD0003A85EF20F079B2479847B247BC433E9735 +:100FE000B24798C7B2479847B247DC436365F706F4 +:100FF000B2479843B24798C7B9A8B247D847B24753 +:10100000BC433E86A2453A85EF209076B247D8474A +:10101000B247BC43B307F0403E97B247D8C7B24788 +:10102000D847B2479C43637BF700B247D843B247E7 +:10103000BC43B307F0403E97B247D8C71247894731 +:10104000631BF700B2479C5F99C7B2479C5F138749 +:10105000F7FFB24798DFB2479C5F13871700B2478C +:1010600098DFF2473E85B25045618280011106CE7D +:101070002AC62EC4B247BC439DCBB247D847B2471D +:10108000BC433E97B247D8C7B247D847B247DC43C4 +:101090006366F700B2479843B247D8C7B247D8470C +:1010A000B247BC433E86BA852245EF20706C0100F2 +:1010B000F24005618280011106CE2AC6EF10D036BB +:1010C00025A0B247DC5395C7B247938747023E85B8 +:1010D000EF10C025AA8799C3EF104049B247BC471B +:1010E0001387F7FFB247B8C7B247BC47E34BF0FCE2 +:1010F00011A00100B2477D57B8C7EF10D035EF10EF +:10110000B0321DA0B2479C4B8DC7B247C1073E8588 +:10111000EF10C021AA8799C3EF104045B247FC43A6 +:101120001387F7FFB247F8C3B247FC43E34CF0FC28 +:1011300011A00100B2477D57F8C3EF10D031010074 +:10114000F24005618280797106D62AC6EF10D02D53 +:10115000B2479C5F81E785473ECE11A002CEEF10DB +:10116000902FF2473E85B2504561828001112AC618 +:10117000B24781E77370043001A0B2479C5F81E7FA +:1011800085473ECE11A002CEF2473E8505618280A2 +:10119000797106D62AC6EF103029B247985FB24758 +:1011A000DC5F6315F70085473ECE11A002CEEF103D +:1011B000902AF2473E85B2504561828001112AC6CD +:1011C000B24781E77370043001A0B247985FB2471D +:1011D000DC5F6315F70085473ECE11A002CEF247D3 +:1011E0003E850561828001112AC62EC402CE81A0EF +:1011F0001787030013070753F2478E07BA979C43DC +:1012000085E7178703001307E751F2478E07BA9760 +:10121000224798C3178703001307C750F2478E076A +:10122000BA973247D8C301A8F24785073ECE724726 +:101230009D47E3FFE7FA01000561828001112AC69C +:1012400002CE0DA8178703001307C74DF2478E077C +:10125000BA97DC433247631CF70017870300130774 +:10126000674CF2478E07BA9723A0070001A8F24700 +:1012700085073ECE72479D47E3F6E7FC0100056116 +:101280008280797106D62AC62EC432C2B2473ECEBB +:10129000EF109019F247F843FD576315F700F24736 +:1012A00023A20704F247B847FD576315F700F2473A +:1012B00023A40704EF10301AF2479C5F89EBF24732 +:1012C000938747021246A2453E85EF00907E724505 +:1012D000DD330100B25045618280397106DE2ACECD +:1012E0002ECC36C83AC63EC442C246C0B287231B83 +:1012F000F100F24781E77370043001A03247A5473F +:1013000063F5E7007370043001A0835761019245D3 +:101310003E85EF1080512AD4A257638F0712A2573F +:10132000985B83566101B7070040FD17B6978A079F +:10133000BA973ED29257F19B3ED292578D8B81C77E +:101340007370043001A0835761013E8782463246A4 +:10135000E2452255EF10E0274246F2451255EFF0E4 +:101360000F9A2A87A25798C3A24781C7A24722573C +:1013700098C3EF10700B97F701009387E7279C4302 +:101380001387170097F701009387072798C397F7EC +:101390000100938727069C4395E397F70100938705 +:1013A0006705225798C397F701009387E72498436E +:1013B0008547631AF702EF10C02C35A097F701009C +:1013C000938747249C4385E397F70100938787021F +:1013D0009C43DC5732476368F70097F70100938717 +:1013E0006701225798C397F701009387A7229C4370 +:1013F0001387170097F701009387C72198C397F7C2 +:101400000100938727219843A257B8C7A257D857FE +:1014100097F701009387C71E9C4363F9E700A25723 +:10142000D85797F701009387A71D98C3A257D8579D +:10143000BA878A07BA978A0717F701001307470880 +:101440003E97A2579107BE853A85EFF0EF95854705 +:101450003ED6EF10500019A0FD573ED632578547B3 +:101460006313F70297F701009387C7199C4381CF55 +:1014700097F70100938707F89C43DC57324763F4E2 +:10148000E700EFE0BFF8B2573E85F250216182805D +:10149000797106D62AC6EF102079B24799E797F7F7 +:1014A0000100938727F59C4311A0B2473ECEF24737 +:1014B00091073E85EFF0EF99F2479C5791C7F247AD +:1014C000E1073E85EFF0EF98F2479107BE8517F5EB +:1014D00001001305A50FEFF02F8D97F701009387FB +:1014E00027109C431387170097F701009387470F36 +:1014F00098C397F701009387E7119C431387170060 +:1015000097F701009387071198C3EF10C07497F7FE +:1015100001009387270F9C439DCB97F7010093878A +:1015200067ED9C437247631EF70097F701009387AE +:10153000E70E9C4381C77370043001A0EFE01FEDFC +:1015400039A0EF10606EEF10A04BEF10C0700100DB +:10155000B25045618280797106D62AC62EC402CE69 +:10156000B24781E77370043001A0A24781E773702E +:10157000043001A097F701009387470A9C4381C775 +:101580007370043001A0A92D97F701009387070716 +:101590009C433ECCB2479C432247BA973ECAB247CF +:1015A0009C436247637EF700B2479C435247637493 +:1015B000F7025247E24763F0E70285473ECE21A893 +:1015C000B2479C4352476366F7005247E24763F4D1 +:1015D000E70085473ECEB247524798C3F24789CFCE +:1015E00097F70100938707E19C4391073E85EFF051 +:1015F0004F865245EF10001B11252AC8C24799E3B8 +:10160000EFE0DFE00100B25045618280797106D6DB +:101610002AC602CEB247A9C397F7010093870700F5 +:101620009C4381C77370043001A05D2B97F70100C4 +:101630009387C7FC9C433247BA973ECC97F701008B +:10164000938747DB9C4391073E85EFF08F8062458F +:10165000EF10401565232ACEF24799E3EFE01FDB38 +:101660000100B25045618280797106D62AC6B24720 +:101670003ECCE24781E77370043001A097F7010088 +:10168000938747D79C4362476314F70002CEBDA0FF +:10169000EF108059E247DC4B3ECAEF10C05B97F772 +:1016A00001009387E7F09C435247630AF70097F7DE +:1016B0000100938727F09C4352476315F700894741 +:1016C0003ECE2DA8524797F701009387A7F1631BE1 +:1016D000F700E2479C5781E78D473ECE05A089473A +:1016E0003ECE29A8524797F70100938727EE63154E +:1016F000F70091473ECE19A085473ECEF2473E8582 +:10170000B25045618280797106D62AC6EF10C05169 +:10171000B24799E797F701009387C7CD9C4311A083 +:10172000B2473ECEF247DC573ECCEF10C052E24704 +:101730003E85B25045618280797106D62AC6EFE0B7 +:10174000DFDAAA873ECEB24799E797F70100938781 +:1017500067CA9C4311A0B2473ECCE247DC573ECA61 +:10176000F2473E85EFE07FD7D2473E85B2504561D4 +:101770008280797106D62AC62EC402CE2247A5479A +:1017800063F5E7007370043001A02247A54763F4B6 +:10179000E700A5473EC4EF102049B24799E797F705 +:1017A0000100938727C59C4311A0B2473ECCE24776 +:1017B000BC4B3ECA5247A247630AF70E2247D247A4 +:1017C00063F6E70297F701009387C7C29C4362471D +:1017D0006308F70297F701009387C7C19C43DC5762 +:1017E0002247636FF70085473ECE19A897F701009F +:1017F000938747C09C4362476314F70085473ECEFA +:10180000E247DC573EC8E247B84BE247DC57631576 +:10181000F700E2472247D8D7E2472247B8CBE24752 +:101820009C4F63C707002947A2471D8FE24798CF07 +:10183000E247D44B4247BA878A07BA978A0717F715 +:1018400001001307E7C7BA976394F600854711A014 +:101850008147B9C7E24791073E85EFE09FDFE24746 +:10186000D85797F701009387A7D99C4363F9E700FE +:10187000E247D85797F70100938787D898C3E24784 +:10188000D857BA878A07BA978A0717F7010013074C +:1018900027C33E97E2479107BE853A85EFE0DFD048 +:1018A000724785476314F700EFE05FB6EF10A03A88 +:1018B0000100B25045618280797106D62AC6EF10C8 +:1018C000A036B24799E797F701009387A7B29C43E8 +:1018D00011A0B2473ECEF24791073E85EFE07FD799 +:1018E000F2479C5791C7F247E1073E85EFE07FD66C +:1018F000F2479107BE8517F501001305A5CEEFE06D +:10190000BFCAEF10403597F701009387A7AE9C43FD +:1019100072476319F70497F701009387A7CE9C439A +:1019200089CF97F70100938767CF9C4381C7737076 +:10193000043001A0EFE09FAD91A097F701009387DD +:1019400067CA984397F70100938707CB9C436319B5 +:10195000F70097F701009387E7A923A0070039A8AC +:10196000212729A897F701009387C7C99C4399C7E6 +:10197000EF10802BEF10C008EF10E02D0100B250E7 +:101980004561828001112AC602CEB2473ECCB247E1 +:1019900081E77370043001A0E247D84B97F701004C +:1019A000938747C46314F700854711A0814795C307 +:1019B000E247985797F70100938707C0630BF7003A +:1019C000E2479C5799E3854711A0814799C3854712 +:1019D0003ECEF2473E8505618280797106D62AC6E1 +:1019E000B2473ECEB24781E77370043001A0F247A0 +:1019F000C9C797F701009387E79F9C437247630E1F +:101A0000F706EF1060227245B53F2A8785476314B9 +:101A1000F706F24791073E85EFE0BFC3F247D8577C +:101A200097F701009387C7BD9C4363F9E700F2472E +:101A3000D85797F701009387A7BC98C3F247D857A8 +:101A4000BA878A07BA978A0717F70100130747A7CB +:101A50003E97F2479107BE853A85EFE0FFB4F24723 +:101A6000D85797F701009387E7989C43DC57636446 +:101A7000F700EFE0BF99EF10001E0100B250456182 +:101A80008280797106D62AC602CEB2473ECCB247D2 +:101A900081E77370043001A0EFE03FA5AA873ECA3A +:101AA0006245CD352A8785476314F70897F701000B +:101AB0009387C7B69C43A5E7E247D85797F701003D +:101AC000938747939C43DC576364F70085473ECE7A +:101AD000E24791073E85EFE0DFB7E247D85797F737 +:101AE00001009387E7B19C4363F9E700E247D857C9 +:101AF00097F701009387C7B098C3E247D857BA87D2 +:101B00008A07BA978A0717F701001307679B3E9762 +:101B1000E2479107BE853A85EFE01FA911A8E24789 +:101B2000E107BE8517F50100130505A9EFE0DFA762 +:101B3000D2473E85EFE07F9AF2473E85B25045613D +:101B40008280011106CE81480148814701478146C4 +:101B50001306004097E501009385C54A171500005C +:101B6000130585A5EFF06FF72AC632478547631541 +:101B7000F700EF10C06D2AC6324785476319F70298 +:101B80007370043097F70100938707A97D5798C3B6 +:101B900097F70100938707A7054798C397F70100B8 +:101BA0009387C7A523A00700EFE0AFEF31A0B247AE +:101BB00081E77370043001A00100F240056182806A +:101BC000411106C67370043097F70100938787A30D +:101BD00023A00700EFE02FFB0100B240410182800B +:101BE00097F70100938787A39C431387170097F704 +:101BF00001009387A7A298C301008280011106CE3D +:101C000002C697F70100938767A19C4381E7737031 +:101C1000043001A0EF10400197F70100938707A05F +:101C20009C431387F7FF97F701009387279F98C37B +:101C300097F701009387879E9C436390071097F75F +:101C400001009387679B9C436389070E59A097F710 +:101C5000010093876796DC47DC473EC4A247E10753 +:101C60003E85EFE01F9FA24791073E85EFE07F9EF4 +:101C7000A247D85797F70100938787989C4363F949 +:101C8000E700A247D85797F701009387679798C353 +:101C9000A247D857BA878A07BA978A0717F7010069 +:101CA000130707823E97A2479107BE853A85EFE06A +:101CB000BF8FA247D85797E701009387A7739C432C +:101CC000DC576368F70097F701009387279405476F +:101CD00098C397F701009387278E9C43ADFB97F736 +:101CE0000100938767929C438DCF35A0452AAA8730 +:101CF00099C797F7010093876791054798C397F7AE +:101D00000100938767909C431387F7FF97F70100C3 +:101D10009387878F98C397F701009387E78E9C433B +:101D2000F1F797F701009387678E9843854763160D +:101D3000F70085473EC6EFE06FEDEF00D071B24788 +:101D40003E85F24005618280011106CEEF00D06D24 +:101D500097F701009387878A9C433EC6EF00B06FD8 +:101D6000B2473E85F24005618280011106CEEFE068 +:101D7000CFF7AA873EC697F70100938727889C4331 +:101D80003EC4B2473E85EFE04FF5A2473E85F240A4 +:101D90000561828097F70100938707869C433E8503 +:101DA0008280797106D62AC62EC432C202CEA947D5 +:101DB0003ECC3D3597F70100938707849C4322472B +:101DC0006368F70CE247FD173ECCF24796073247AF +:101DD000B306F7006247BA878A07BA978A0717E7F8 +:101DE00001001307E76DBA970546BE853685EF00FB +:101DF000902A2A87F247BA973ECEE247E1F7F247A8 +:101E0000960732473E9797E701009387677A9C438E +:101E10000946BE853A85EF0010282A87F247BA970F +:101E20003ECEF247960732473E9797E701009387E9 +:101E300067789C430946BE853A85EF00D0252A87FE +:101E4000F247BA973ECEF24796073247BA97114605 +:101E500097E50100938585773E85EF00D0232A879B +:101E6000F247BA973ECEF24796073247BA970D46E9 +:101E700097E50100938505773E85EF00D0212A87FD +:101E8000F247BA973ECE924781C7924723A00700F8 +:101E9000B533F2473E85B25045618280797106D6EE +:101EA00002CE97E70100938767779C4363950718F5 +:101EB00097E70100938787749C431387170097E780 +:101EC00001009387A77398C397E70100938707736F +:101ED0009C433ECCE247B9EF97E701009387476DFB +:101EE0009C439C4381C77370043001A097E70100B5 +:101EF0009387076C9C433ECA97E701009387876BE3 +:101F0000984397E701009387A76A98C397E7010072 +:101F10009387476A524798C397E701009387476FB3 +:101F20009C431387170097E701009387676E98C358 +:101F3000EF00102D97E701009387076E9C436247DF +:101F40006365F70C97E70100938787669C439C4382 +:101F500099E3854711A0814781CB97E701009387DB +:101F6000A76B7D5798C355A097E7010093874764F7 +:101F70009C43DC47DC473EC8C247DC433EC6624761 +:101F8000B2476379F70097E701009387E768324724 +:101F900098C3A5A8C24791073E85EFE08FEBC247E3 +:101FA0009C5791C7C247E1073E85EFE08FEAC247E1 +:101FB000D85797E701009387A7649C4363F9E7002C +:101FC000C247D85797E701009387876398C3C247F2 +:101FD000D857BA878A07BA978A0717E70100130705 +:101FE000274E3E97C2479107BE853A85EFE0CFDB8B +:101FF000C247D85797E701009387C73F9C43DC57F8 +:10200000E362F7F485473ECE35BF97E7010093873B +:10201000673E9C43D85797E601009386664ABA8785 +:102020008A07BA978A07B6979843854763F1E7020C +:1020300085473ECE29A897E701009387E75C9C433C +:102040001387170097E701009387075C98C397E70A +:1020500001009387A75B9C4399C385473ECEF24717 +:102060003E85B25045618280011106CE97E701009E +:102070009387C75A9C4381CB97E701009387075901 +:10208000054798C339A297E701009387275823A0F3 +:10209000070097E701009387E7359C439C5B3EC6AA +:1020A000B7A7A5A59387575A3EC4B2479C4322477A +:1020B0006314F702B24791079C432247631EF7005F +:1020C000B247A1079C4322476318F700B247B10704 +:1020D0009C4322476308F70497E701009387873101 +:1020E000984397E701009387E7309C439387470322 +:1020F000BE853A85EF20D00A35A097E70100938787 +:1021000027509C4381E77370043001A097E70100DA +:102110009387074F9C431387F7FF97E70100938747 +:10212000274E98C397E701009387874D984397E61A +:1021300001009386E638BA878A07BA978A07B69766 +:102140009C43C5DF97E701009387874B9843BA8785 +:102150008A07BA978A0717E7010013076736BA9705 +:102160003EC29247DC43D8439247D8C39247D843F4 +:102170009247A1076317F7009247DC43D843924781 +:10218000D8C39247DC43D84797E701009387872657 +:1021900098C30100F24005618280797106D62AC693 +:1021A0002EC4B24781E77370043001A097E70100A5 +:1021B000938747249C43E107BE853245EFE0CFC2B9 +:1021C00097E70100938707239C4391073E85EFE043 +:1021D0004FC82247FD576310F70297E70100938726 +:1021E00067219C439107BE8517E501001305853FD4 +:1021F000EFE08FBB19A897E70100938727409C4326 +:102200002247BA973ECE7245712B0100B25045610C +:102210008280797106D62AC62EC432C2B24781E7BF +:102220007370043001A097E701009387273F9C4318 +:1022300081E77370043001A097E701009387871B43 +:102240009C43A24637070080558F98CF97E701003F +:102250009387471A9C43E107BE853245EFE0CFB430 +:1022600097E70100938707199C4391073E85EFE0AC +:102270004FBE1247FD576310F70297E7010093879F +:1022800067179C439107BE8517E501001305853547 +:10229000EFE08FB119A897E70100938727369C4399 +:1022A0001247BA973ECE7245F5290100B2504561FA +:1022B0008280797106D62AC62EC432C2B24781E71F +:1022C0007370043001A097E701009387A7129C4325 +:1022D000E107BE853245EFE02FAD97E70100938718 +:1022E00067119C4391073E85EFE0AFB612478547E3 +:1022F0006310F70297E701009387C70F9C4391078C +:10230000BE8517E501001305E52DEFE0EFA919A83B +:1023100097E701009387872E9C432247BA973ECECA +:10232000724549210100B25045618280797106D61B +:102330002AC6B247DC47DC473ECCE24781E77370F0 +:10234000043001A0E247E1073E85EFE08FB097E758 +:1023500001009387A72C9C43A1EBE24791073E85A0 +:10236000EFE02FAFE247D85797E70100938747295F +:102370009C4363F9E700E247D85797E7010093874A +:10238000272898C3E247D857BA878A07BA978A0797 +:1023900017E701001307C7123E97E2479107BE8572 +:1023A0003A85EFE06FA011A8E247E107BE8517E587 +:1023B000010013056520EFE02F9FE247D85797E70C +:1023C0000100938727039C43DC5763FBE7008547A5 +:1023D0003ECE97E7010093876723054798C311A076 +:1023E00002CEF2473E85B25045618280797106D6B1 +:1023F0002AC62EC497E70100938747229C4381E7B2 +:102400007370043001A02247B70700805D8FB24788 +:1024100098C3B247DC473ECCE24781E77370043093 +:1024200001A03245EFE0EFA2E24791073E85EFE0E1 +:102430004FA2E247D85797E701009387671C9C4358 +:1024400063F9E700E247D85797E701009387471BF6 +:1024500098C3E247D857BA878A07BA978A0717E717 +:1024600001001307E7053E97E2479107BE853A85CD +:10247000EFE08F93E247D85797E70100938787F7FC +:102480009C43DC5763FBE70085473ECE97E701009E +:102490009387C717054798C311A002CEF2473E8520 +:1024A000B2504561828041112AC6B24781E77370FC +:1024B000043001A097E70100938787159843B2473E +:1024C00098C397E70100938767139843B247D8C32F +:1024D000010041018280797106D62AC62EC4B24716 +:1024E00081E77370043001A0A24781E77370043064 +:1024F00001A01D2F97E70100938747109C433ECC16 +:10250000A2479843FD576314F70002CE91A8B24743 +:10251000984397E701009387A70F9C43630AF7004E +:10252000B247DC4362476365F70085473ECE0DA89E +:10253000B247DC4362471D8FA2479C436370F7029A +:10254000A2479843B247D443E247B387F6403E9749 +:10255000A24798C33245813F02CE19A085473ECE9F +:10256000DD2DF2473E85B2504561828097E701003C +:102570009387C709054798C30100828001112AC6C5 +:10258000B24799C7B2473ECCE247FC473ECE11A0C6 +:1025900002CEF2473E850561828001112AC62EC413 +:1025A000B24791C7B2473ECEF2472247F8C7010073 +:1025B00005618280011106CE2AC6852297E70100B7 +:1025C000938707F098438547E3F9E7FEEFD01FE4D0 +:1025D000EDB7397106DE2ACE2ECC32CA36C8BA879C +:1025E0002317F10002D625A06247B257BA9703C756 +:1025F0000700F246B257B697238AE7026247B257FE +:10260000BA9783C7070089CBB25785073ED63257A2 +:10261000BD47E3FBE7FC11A00100F247A3810704DB +:102620005247A54763F4E700A5473ECAF247524721 +:10263000D8D7F2475247B8CBF24723AA0704F2474C +:1026400091073E85EFD05FF5F247E1073E85EFD079 +:10265000BFF4F247724798CB2947D2471D8FF24704 +:1026600098CFF2477247D8D3F24723A20704F24724 +:1026700023AC0704F24723AE07040100F2502161A6 +:102680008280011106CE02C60DA03247BA878A07A2 +:10269000BA978A0717E70100130787E2BA973E85C2 +:1026A000EFD05FECB24785073EC63247A547E3FE51 +:1026B000E7FC17E50100130525EDEFD0BFEA17E5AC +:1026C00001001305A5EDEFD0FFE917E501001305A3 +:1026D000A5EEEFD03FE917E50100130525EFEFD098 +:1026E0007FE817E501001305E5EFEFD0BFE797E7B7 +:1026F00001009387E7EB17E701001307E7E898C3AA +:1027000097E70100938707EB17E70100130707E935 +:1027100098C30100F24005618280011106CE8DA8A8 +:10272000EFF00FCC97E70100938747EA9C4393B7FC +:10273000170093F7F70F3EC6EFF04FCCB247A9EB67 +:10274000E52197E70100938767E8DC47DC473EC453 +:10275000A24791073E85EFD0DFEF97E7010093870F +:10276000A7E99C431387F7FF97E701009387C7E822 +:1027700098C397E701009387A7E69C431387F7FF69 +:1027800097E701009387C7E598C3F1212245912C73 +:1027900097E701009387C7E49C43D9F30100F24017 +:1027A00005618280011106CE2AC697E70100938752 +:1027B00067C49C433247D8C397E70100938707E477 +:1027C0009C4332476372F70297E70100938787DEE5 +:1027D000984397E701009387E7C19C439107BE8523 +:1027E0003A85EFD07FE035A897E70100938747DC73 +:1027F000984397E701009387E7BF9C439107BE8505 +:102800003A85EFD07FDE97E701009387E7E09C43AE +:1028100032476378F70097E701009387E7DF324795 +:1028200098C30100F24005618280797106D6AA87BB +:102830002EC42317F100A24789EB8357E1008A07D2 +:102840003E85EF104051AA8711A0A2473ECCE24737 +:1028500085C313050006EF1000502ACEF24789C742 +:10286000F247624798DB31A06245EF10C06111A0CA +:1028700002CEF24781CFF247985B8357E1008A0787 +:102880003E869305500A3A85EF104075F2473E8523 +:10289000B25045618280397106DE22DC2AC62EC420 +:1028A00032C202D6A2479C43638D070EA2473ED494 +:1028B000A257DC43D843A257D8C3A257D843A25744 +:1028C000A1076317F700A257DC43D843A257D8C328 +:1028D000A257DC43DC473ED2A2473ED08257DC43BE +:1028E000D8438257D8C38257D8438257A10763176A +:1028F000F7008257DC43D8438257D8C38257DC4362 +:10290000DC473ECEB25796073247BA97724798C314 +:10291000B25796073247BA97724713074703D8C38F +:10292000B25796073247BA977247384798C7B25797 +:1029300096073247BA971247D8C7B2579607324719 +:10294000BA977247585798CB12478D47631BF700C9 +:10295000F2479C5799C7B25796073247BA9709472B +:10296000D8C7B25796073247BA977247384BD8CB79 +:10297000B25796073247BA9723AC0700F247945BE9 +:10298000B257960732473304F70036850D20AA87E1 +:10299000231EF400B25785073ED672479257E31DB7 +:1029A000F7F2B2573E85F2506254216182800111E4 +:1029B0002AC602CE39A0B24785073EC6F247850730 +:1029C0003ECEB24703C707009307500AE305F7FE60 +:1029D000F24789833ECEF247C207C1833E85056137 +:1029E0008280011106CE2AC6B2479C5B3E85EF105D +:1029F00080493245EF1020490100F2400561828094 +:102A0000411197E701009387A7BA9C439C4399E340 +:102A1000854711A0814781CB97E701009387C7BF06 +:102A20007D5798C305A097E70100938767B89C433B +:102A3000DC47DC473EC6B247D84397E7010093879F +:102A4000A7BD98C3010041018280411197E70100B1 +:102A50009387479A9C433EC6B2473E854101828098 +:102A6000411197E701009387E7B99C4381E78547C8 +:102A70003EC619A897E70100938747BA9C4381E7B0 +:102A800089473EC611A002C6B2473E8541018280F9 +:102A9000797106D62AC6B2473ECEB247F9C3F2478D +:102AA000D85797E701009387E7949C43DC57637AF4 +:102AB000F70AF2479C4F63CC070097E70100938722 +:102AC00067939C43DC5729471D8FF24798CFF24705 +:102AD000D44BF247D857BA878A07BA978A0717E7BD +:102AE00001001307E79DBA976394F600854711A08C +:102AF0008147A5C3F24791073E85EFD09FB597E781 +:102B000001009387278F9C43D857F247D8D7F247C5 +:102B1000D85797E701009387A7AE9C4363F9E70076 +:102B2000F247D85797E70100938787AD98C3F247DC +:102B3000D857BA878A07BA978A0717E70100130799 +:102B400027983E97F2479107BE853A85EFD0DFA5DB +:102B500009A897E701009387E7899C43D857F24774 +:102B6000D8D70100B25045618280797106D62AC655 +:102B7000B2473ECC02CEB247DDC397E70100938750 +:102B800067879C4362476305F7007370043001A0B8 +:102B9000E247FC4B81E77370043001A0E247FC4B35 +:102BA0001387F7FFE247F8CBE247D857E247BC4B21 +:102BB0006307F706E247FC4BBDE3E24791073E851A +:102BC000EFD03FA9E247B84BE247D8D7E247DC57FE +:102BD00029471D8FE24798CFE247D85797E7010072 +:102BE000938707A29C4363F9E700E247D85797E72A +:102BF00001009387E7A098C3E247D857BA878A07AE +:102C0000BA978A0717E701001307878B3E97E247B9 +:102C10009107BE853A85EFD03F9985473ECEF24772 +:102C20003E85B250456182807370043097E70100A1 +:102C30009387479D9C4391CF97D701009387877BCC +:102C40009C43F8430507F8C397D701009387877A19 +:102C50009C430100828097E701009387A79A9C43D9 +:102C60008DCB97D701009387E7789C43FC4395C3AE +:102C700097D70100938707789C43F8437D17F8C3E3 +:102C800097D70100938707779C43FC4399E37360D0 +:102C9000043001008280411197D701009387877526 +:102CA0009C439C4F3EC697D701009387A7749C43D3 +:102CB000D85797D701009387E7739C43A94633877A +:102CC000E64098CFB2473E854101828097D7010008 +:102CD000938747729C4389CB97D70100938787716D +:102CE0009C43F84B0507F8CB97D701009387877073 +:102CF0009C433E858280797106D62AC62EC42D3724 +:102D000097D701009387076F9C43BC4FADE397D7DC +:102D100001009387276E9C430547F8CFA247A1CBBC +:102D200097D701009387076D9C4391073E85EFD0AD +:102D30005F922247FD576310F70297D701009387F0 +:102D4000676B9C439107BE8517E5010013058589D4 +:102D5000EFD09F8519A897E701009387278A9C43A6 +:102D60002247BA973ECE7245353CEFD02FEAE53583 +:102D7000653D97D701009387E7679C43BC4F3ECCE6 +:102D8000E2479DC3B24789CB97D7010093878766F7 +:102D90009C4323AC070409A897D701009387876554 +:102DA0009C43B84F7D17B8CF97D7010093878764AE +:102DB0009C4323AE07044535E2473E85B25045614A +:102DC0008280797106D62AC62EC432C236C0A93D89 +:102DD00097D70100938707629C43F84F8947630D9B +:102DE000F70697D701009387E7609C43B44F3247BB +:102DF0001347F7FF758FB8CF97D701009387875F89 +:102E00009C430547F8CF8247A1CB97D70100938712 +:102E1000675E9C4391073E85EFD0BF830247FD5715 +:102E20006310F70297D701009387C75C9C43910713 +:102E3000BE8517D501001305E57AEFD0EFF619A886 +:102E400097D701009387877B9C430247BA973ECC74 +:102E50006245893AEFD08FDBFD3BF933924789CB4E +:102E600097D70100938707599C43B84F924798C35F +:102E700097D70100938707589C43F84F8547631401 +:102E8000F70002CE31A897D701009387A7569C433D +:102E9000B44F22471347F7FF758FB8CF85473ECE13 +:102EA00097D70100938707559C4323AE07046533EA +:102EB000F2473E85B25045618280797106D62AC6B6 +:102EC0002EC432C236C085473ECEB24781E773700A +:102ED000043001A0B2473ECC813B824789C7E2471C +:102EE000B84F824798C3E247FC4F3ECAE2470947C2 +:102EF000F8CF1247914763EEE704924713972700F4 +:102F000097D7010093878710BA97984397D7010006 +:102F10009387C70FBA978287E247B84FA2475D8F62 +:102F2000E247B8CF3DA0E247BC4F13871700E24706 +:102F3000B8CF05A0E2472247B8CF21A8524789471A +:102F40006306F700E2472247B8CF21A002CE11A0C6 +:102F5000010052478547631AF706E24791073E850D +:102F6000EFD02FEFE247D85797D7010093874769F3 +:102F70009C4363F9E700E247D85797D7010093874E +:102F8000276898C3E247D857BA878A07BA978A074B +:102F900017D701001307C7523E97E2479107BE8536 +:102FA0003A85EFD06FE0E2479C5781C773700430D9 +:102FB00001A0E247D85797D701009387A7439C43C6 +:102FC000DC5763F4E700EFD06FC47131F2473E8500 +:102FD000B25045618280397106DE2ACE2ECC32CACB +:102FE00036C83AC685473ED6F24781E7737004304B +:102FF00001A0F2473ED4EFD04FCFAA873ED2C247BE +:1030000089C7A257B84FC24798C3A257FC4F3ED0BA +:10301000A2570947F8CF5247914763EEE704D247DA +:103020001397270097D70100938787FFBA97984394 +:1030300097D701009387C7FEBA978287A257B84FE8 +:10304000E2475D8FA257B8CF3DA0A257BC4F138770 +:103050001700A257B8CF05A0A2576247B8CF21A842 +:10306000025789476306F700A2576247B8CF21A0ED +:1030700002D611A0010002578547631DF708A25729 +:103080009C5781C77370043001A097D701009387C4 +:10309000E7589C43A1EBA25791073E85EFD06FDB29 +:1030A000A257D85797D70100938787559C4363F958 +:1030B000E700A257D85797D701009387675498C362 +:1030C000A257D857BA878A07BA978A0717D7010035 +:1030D0001307073F3E97A2579107BE853A85EFD069 +:1030E000AFCC11A8A257E107BE8517D50100130583 +:1030F000A54CEFD06FCBA257D85797D70100938735 +:10310000672F9C43DC5763F7E700B24781C7B2479C +:10311000054798C392573E85EFD02FBCB2573E85E6 +:10312000F25021618280797106D62AC62EC4B24738 +:1031300081E77370043001A0B2473ECEEFD0EFBA02 +:10314000AA873ECCF247FC4F3ECAF2470947F8CF68 +:10315000F247BC4F13871700F247B8CF5247854755 +:10316000631DF708F2479C5781C77370043001A0B4 +:1031700097D701009387874A9C43A1EBF2479107B9 +:103180003E85EFD00FCDF247D85797D701009387F0 +:1031900027479C4363F9E700F247D85797D70100C8 +:1031A0009387074698C3F247D857BA878A07BA97D2 +:1031B0008A0717D701001307A7303E97F2479107F8 +:1031C000BE853A85EFD04FBE11A8F247E107BE8514 +:1031D00017D501001305453EEFD00FBDF247D85774 +:1031E00097D70100938707219C43DC5763F7E700DB +:1031F000A24781C7A247054798C3E2473E85EFD063 +:10320000CFAD0100B25045618280797106D62AC6E1 +:10321000B2473ECCE24799E797D701009387871DD5 +:103220009C4311A0E2473ECC0134E247F84F894766 +:103230006318F700E24723AE070485473ECE11A08E +:1032400002CE113CF2473E85B250456182800111A9 +:1032500006CE02C61D2B97D701009387673F9C437C +:103260009DC38148014881470947814613060040B4 +:1032700097D50100938505DC170500001305051B94 +:10328000EFE0AF852AC6B24781E77370043001A032 +:10329000B2473E85F24005618280397106DE2ACE52 +:1032A0002ECC32CA36C83AC6E24799E302D62DA8D8 +:1032B0001305C002EF00302A2AD6B25795C7F12174 +:1032C000B257724798C3B257624798CFB257524726 +:1032D000D8CFB257424798D3B2573247D8D3B25714 +:1032E00091073E85EFD04FABE24781E77370043022 +:1032F00001A0B2573E85F25021618280397106DE0D +:103300002ACE2ECC32CA36C83AC602D6F24781E758 +:103310007370043001A097D70100938767339C43F3 +:10332000B5CBE2473ED0D2473ED2F2473ED46247C9 +:10333000954763C4E704EFF0AFF22A87894763102B +:10334000F70297D701009387A7309C431810814656 +:103350003246BA853E85EFD0AFE02AD625A897D76A +:1033600001009387E72E9C43181081460146BA85D9 +:103370003E85EFD0EFDE2AD631A897D7010093879C +:10338000272D9C43181081464246BA853E85EFD0D2 +:103390000FF32AD6B2573E85F25021618280011187 +:1033A0002AC6B2473ECEB24781E77370043001A00F +:1033B000F2479C433E8505618280797106D62AC614 +:1033C0002EC497D70100938727289C43DC47DC470E +:1033D0003ECEF24791073E85EFD0AFA7F247D84FD8 +:1033E0008547631BF702F247984FB247BA97B24638 +:1033F0002246BE857245B9222A878547631EF7009B +:1034000001478146324681457245CD3D2ACCE2478F +:1034100081E77370043001A0F247DC537245829754 +:103420000100B25045618280797106D62AC63C08F7 +:103430003E8541282ACEE247BE8572451920692281 +:10344000FDB7797106D62AC62EC4EFE06FF93C08A5 +:103450003E8545282ACEE247B9EFA24799EB32478D +:10346000F24763E8E700EFE06FF9F2453245B13724 +:10347000A9A0A24781CF97D701009387271D9C431E +:103480009C4393B7170093F7F70F3EC497D70100FB +:103490009387071C94433247F247B307F74022460D +:1034A000BE853685EFD0FFDDEFE04FF5AA8791E7C7 +:1034B000EFC0DFF519A0EFE06FF40100B2504561F5 +:1034C000828001112AC697D701009387E7179C4392 +:1034D0009C4393B7170093F7F70F3E87B24798C303 +:1034E000B2479C4391EB97D701009387E7159C4324 +:1034F000DC479C433ECE11A002CEF2473E850561DB +:103500008280797106D62AC6EFE01F842ACE97D72B +:103510000100938727149C4372476377F700692A59 +:10352000B247054798C321A0B24723A0070097D709 +:10353000010093872712724798C3F2473E85B25025 +:1035400045618280797106D62AC62EC432C236C041 +:1035500002CEB2472247D8C3B247324798CB224760 +:10356000924763E8E702124782471D8FB2479C4F9C +:103570006365F70085473ECE99A097D701009387F2 +:10358000E70C9843B2479107BE853A85EFD0CF85C7 +:103590003DA0124782476379F7002247824763655F +:1035A000F70085473ECE21A897D701009387C7092A +:1035B0009843B2479107BE853A85EFD0EF82F24734 +:1035C0003E85B25045618280797106D6D9A0A24766 +:1035D00063C0070CC2473ECEF247DC4B91C7F247AF +:1035E00091073E85EFD0EF865C003E85193F2ACCDF +:1035F000A2472547636FF7081397270097D7010065 +:103600009387C7A3BA97984397D70100938707A3D7 +:10361000BA9782873247F2479C4FBA973247BA86A9 +:103620006246BE857245393F2A8785476313F70690 +:10363000F247DC5372458297F247D84F8547631AA9 +:10364000F7043247F2479C4FBA97014781463E86BE +:103650008145724565312ACAD24785EF73700430BF +:1036600001A03247F24798CFF2479C4F81E7737031 +:10367000043001A0F247984FE247BA97E24662460B +:10368000BE857245C13531A07245EF00C07F11A0E3 +:10369000010097D701009387A7FB9C433800814620 +:1036A0000146BA853E85EFD06FD8AA87E39107F22D +:1036B0000100B25045618280797106D671A097D71A +:1036C0000100938767F89C43DC479C433ECC97D727 +:1036D0000100938767F79C43DC47DC473ECAD2472B +:1036E00091073E85EFC0FFF6D247DC5352458297E3 +:1036F000D247D84F85476319F704D2479C4F62479A +:10370000BA973EC84247E24763F4E702D2474247CE +:10371000D8C3D247524798CB97D701009387C7F2B7 +:103720009843D2479107BE853A85EFC0FFEB29A8A1 +:1037300001478146624681455245C9362AC6B2478D +:1037400081E77370043001A097D701009387C7EF1A +:103750009C439C43ADF797D701009387E7EE9C43CA +:103760003ECE97D70100938767EE984397D7010025 +:10377000938787ED98C397D70100938727ED72470A +:1037800098C30100B25045618280411106C6EFF036 +:10379000AFC997D701009387A7EB9C43C9E717D51B +:1037A00001001305E5E7EFC0FFDB17D501001305A6 +:1037B00065E8EFC03FDB97D701009387E7E817D7AD +:1037C00001001307E7E598C397D70100938707E83F +:1037D00017D70100130707E698C30146B145114505 +:1037E000EFC07FF72A8797D70100938767E698C3D2 +:1037F00097D701009387C7E59C4381E77370043036 +:1038000001A097D701009387A7E49C4389CF97D75E +:1038100001009387E7E39C4397D501009385858456 +:103820003E85EFD05F9CEFF00FC30100B240410135 +:103830008280797106D62AC6B2473ECEB24781E76A +:103840007370043001A0EFF02FBEF247DC4BB337AA +:10385000F00093F7F70F3ECCEFF0EFBFE2473E8565 +:10386000B25045618280797106D62AC6B2473ECEF3 +:10387000B24781E77370043001A0EFF0EFBAF2476E +:103880009C533ECCEFF02FBDE2473E85B2504561E0 +:103890008280797106D62AC62EC4B2473ECEB24780 +:1038A00081E77370043001A0EFF00FB8F2472247B0 +:1038B00098D3EFF04FBA0100B250456182800111F8 +:1038C00006CE714549292AC6B24789CBB24723A003 +:1038D0000700B24791073E85EFC0DFC8B2473E857B +:1038E000F24005618280397106DE2AC62EC432C2DA +:1038F00036C0B2473ED402D21247B70700FFF98F55 +:1039000081C77370043001A0924781E7737004305F +:1039100001A0EFF0EF94AA8799E3824799E38547E6 +:1039200011A0814781E77370043001A0EFE04FAB35 +:10393000A2579C433ED0A245324585240257A24758 +:103940005D8F9247F98F12476310F7020257A24723 +:10395000D98F3ED6A2579843924793C7F7FF7D8FE2 +:10396000A25798C302C025A0824799CFA257938639 +:1039700047001247B7070005D98F0246BE85368536 +:10398000EFE03F8902D621A0A2579C433ED6EFE04C +:10399000EFA62ACE8247B1CBF24799E3EFC01FA72B +:1039A000EFF06FAF2AD63257B7070002F98F85EBD9 +:1039B000EFF08FA7A2579C433ED632579247F98F1C +:1039C0001247631AF700A2579843924793C7F7FF2D +:1039D0007D8FA25798C3EFF00FA885473ED232578C +:1039E000B7070001FD17F98F3ED6B2573E85F2505A +:1039F000216182805D7186C62ACE2ECC32CA36C83D +:103A00003AC6F2473EDA02DC02D8F24781E7737029 +:103A1000043001A06247B70700FFF98F81C77370B8 +:103A2000043001A0E24781E77370043001A0EFF099 +:103A30002F83AA8799E3B24799E3854711A081476D +:103A400081E77370043001A0EFE08F99D2579C4357 +:103A50003ED64246E245325575242AD4A25799CF24 +:103A6000B2573EDE02C6D247A9CBD2579843E247AF +:103A700093C7F7FF7D8FD25798C381A0B24781E7E4 +:103A8000B2573EDE1DA8D24791C76257B707000163 +:103A9000D98F3EDCC24791C76257B7070004D98F60 +:103AA0003EDCD257938647006247E257D98F3246B1 +:103AB000BE853685EFE0EFF502DEEFE02F942AD2E7 +:103AC000B247B9CB925799E3EFC05F94EFF0AF9C48 +:103AD0002ADE7257B7070002F98F8DEBEFF0CF9413 +:103AE000D2579C433EDE4246E2457255212CAA87BE +:103AF00099CBD24789CBD2579843E24793C7F7FF78 +:103B00007D8FD25798C3EFF00F9502D87257B70741 +:103B10000001FD17F98F3EDEF2573E85B640616128 +:103B20008280797106D62AC62EC4B2473ECEB247ED +:103B300081E77370043001A02247B70700FFF98FB7 +:103B400081C77370043001A0EFF00F8EF2479C43E1 +:103B50003ECCF2479843A24793C7F7FF7D8FF247C9 +:103B600098C3EFF04F8FE2473E85B25045618280A7 +:103B7000797106D62AC6B2473ECEEFC01F97AA87F4 +:103B80003ECCF2479C433ECAE2473E85EFC0FF94DD +:103B9000D2473E85B250456182805D7186C62AC695 +:103BA0002EC402DCB2473ED802DAB24781E7737016 +:103BB000043001A02247B70700FFF98F81C7737057 +:103BC000043001A0C25791073ED6B257A1073ED498 +:103BD000EFE00F81B257DC473EDEC2579843A24761 +:103BE0005D8FC25798C3BDA8F257DC433ED2F2574F +:103BF0009C433ED002DA0257B70700FFF98F3ECE52 +:103C00000257B7070001FD17F98F3ED07247B7077B +:103C10000004F98F89EBC25798438257F98F89CFF7 +:103C200085473EDA11A8C25798438257F98F025749 +:103C30006314F70085473EDAD2579DC37247B70732 +:103C40000001F98F89C762578257D98F3EDCC2576E +:103C50009843B7070002D98FBE857255EFE00FF980 +:103C600092573EDE7257A257E310F7F8C2579843B7 +:103C7000E25793C7F7FF7D8FC25798C3EFD01FF865 +:103C8000C2579C433E85B64061618280797106D6F9 +:103C90002AC6B2473ECEF24791073ECCEFD05FF442 +:103CA0000DA0E247D847E247A1076315F7007370FC +:103CB000043001A0E247DC47B70500023E85EFE093 +:103CC000EFF2E2479C43F1FF7245752AEFD01FF3F4 +:103CD0000100B25045618280011106CE2AC62EC471 +:103CE000A24532455D3D0100F2400561828001112F +:103CF00006CE2AC62EC4A245324525350100F24023 +:103D00000561828001112AC62EC432C202CE9247BA +:103D100081EB3247A247F98F81CF85473ECE09A874 +:103D20003247A247F98F22476314F70085473ECEFA +:103D3000F2473E850561828001112AC6B2473ECC1A +:103D4000B24799E302CE21A0E2479C4F3ECEF24714 +:103D50003E8505618280397106DE2AC602D2EFD027 +:103D60003FE897670300938727909C4381EB552298 +:103D7000976703009387478F054798C3B24789CF5A +:103D8000A1473E87B247BA973EC6B2478D8B89C7D7 +:103D9000B247F19B91073EC6B247F9CB3247E56780 +:103DA000ED1763E7E70C976703009387E78A3ED434 +:103DB000976703009387478A9C433ED631A0B2574A +:103DC0003ED4B2579C433ED6B257DC43324763F5EC +:103DD000E700B2579C43E5F7325797670300938794 +:103DE00027886307F708A2579C432147BA973ED21A +:103DF000B2579843A25798C3B257D843B247B307B4 +:103E0000F740214706076378F7043257B247BA975D +:103E10003ECEB257D843B2471D8FF247D8C3B257F0 +:103E20003247D8C3F247DC433ECC97670300938701 +:103E3000A7823ED021A082579C433ED082579C430C +:103E4000DC436247E3E9E7FE82579843F24798C3B1 +:103E50008257724798C397C701009387E75A9843E0 +:103E6000B257DC431D8F97C701009387E75998C36A +:103E7000EFD0DFD8925799E3EF00F03192573E85AB +:103E8000F25021618280797106D62AC6B2473ECCB3 +:103E9000B247BDC3A147B307F0406247BA973ECCD3 +:103EA000E2473ECAEFD0DFD3D247DC433EC8975744 +:103EB00003009387677A3ECE21A0F2479C433ECE13 +:103EC000F2479C43DC434247E3E9E7FEF24798436D +:103ED000D24798C3F247524798C3D247D84397C7AF +:103EE0000100938767529C433E9797C701009387D1 +:103EF000A75198C3EFD09FD00100B2504561828096 +:103F000097C70100938747509C433E8582800100FC +:103F10008280411197C7010093874774F19B3EC689 +:103F20009757030093874773324798C39757030007 +:103F30009387877223A207009757030093874772DE +:103F400065677117D8C3975703009387677123A0DC +:103F50000700B2473EC4A24765677117D8C3A2479E +:103F6000175703001307C76F98C3010041018280F0 +:103F700001112AC62EC432C2A2473ECEB2473ECC61 +:103F80003247A247D98F8D8BA1E319A8F2479843F6 +:103F9000E24798C3E24791073ECCF24791073ECEF5 +:103FA0009247F5173247BA976247E361F7FE29A8AF +:103FB0007247930717003ECEE2479386170036CC30 +:103FC000034707002380E70032479247BA976247CA +:103FD000E360F7FEB2473E850561828001112AC683 +:103FE0002EC432C232479247D98F8D8B9DEFA247A4 +:103FF00093F7F70F3ECAD247A2075247D98F3ECA5E +:10400000D247C2075247D98F3ECAB2473ECE39A0E7 +:10401000F247138747003ACE524798C33247924738 +:10402000BA977247E366F7FE1DA0B2473ECC11A8CF +:10403000E247138717003ACC22471377F70F238004 +:10404000E70032479247BA976247E363F7FEB24709 +:104050003E850561828001112AC6B2473ECE21A06D +:10406000F24785073ECEF24783C70700F5FB72474C +:10407000B247B307F7403E850561828001112AC629 +:104080002EC4B247138717003AC683C70700A30F91 +:10409000F100A247138717003AC483C70700230F14 +:1040A000F1008347F10199C70347F1018347E1011B +:1040B000E309F7FC0347F1018347E101B307F74048 +:1040C0003E850561828001112AC62EC4B2473ECECC +:1040D00001002247930717003EC4F247938617005A +:1040E00036CE034707002380E70083C70700F5F3B8 +:1040F000B2473E850561828001112AC602CE02CCFC +:1041000021A0B24785073EC6B24703C70700930701 +:104110000002E308F7FEB24703C707009307D00287 +:104120006309F700B24703C707009307B0026311A2 +:10413000F704B24783C70700938737FD93B717008B +:1041400093F7F70F3ECCB24785073EC615A07247DE +:10415000BA878A07BA9786073ECEB24713871700F9 +:104160003AC683C70700938707FD7247BA973ECECA +:10417000B24783C70700E1FFE24789C7F247B307A9 +:10418000F04011A0F2473E850561828001112AC6E8 +:10419000975703009387074F9C4393861700175741 +:1041A00003001307274E14C332471377F70F9756B0 +:1041B000030093862651B6972380E7003247A9472C +:1041C000630BF700975703009387C74B98439307F8 +:1041D00000046315F704975703009387A74E3ECE5C +:1041E00005A8F247138717003ACE03C70700B707A1 +:1041F00010F0938707F098C3975703009387874879 +:104200009C431387F7FF975703009387A74798C3EB +:1042100097570300938707479C43E1F781473E8503 +:10422000056182804D7123261114232481142322D9 +:10423000911423202115232E3113232C4113232ADB +:1042400051132ACE2ECC32C836CA3AC63EC442C218 +:1042500023260112B2473E8A814AC24752485286FB +:10426000D6863E85C285EF10200DAA872E883E8611 +:10427000C2868327C112138717002326E1123287D3 +:104280008A07141AB69723AEE7EEB2473E848144FC +:10429000D247268763E8E702D24726876396E7007E +:1042A000C247228763E0E702B2473E8981494A86D6 +:1042B000CE864245D245EF00702AAA872E883EC896 +:1042C00042CA49BF010029A0F247E2451245829740 +:1042D000A2471387F7FF3AC40327C112E346F7FE4C +:1042E00025A88327C1128A07181ABA9703A7C7EF10 +:1042F0008327C1128A07141AB69783A6C7EFA5476A +:1043000063F5D7009307700519A093070003BA97C8 +:104310007247E2453E8502978327C1121387F7FF54 +:104320002326E112E34FF0FA01008320C114032495 +:10433000811483244114032901148329C113032AFE +:104340008113832A41137161828041112AC62EC4D0 +:10435000A2460547635ED700B2479C439D07E19B99 +:1043600093868700324714C303A847009C4335A0B7 +:10437000224719CB3247184313064700B24690C272 +:104380001843BA87014811A832471843130647005B +:10439000B24690C21843BA8701483E85C2854101A2 +:1043A000828041112AC62EC4A2460547635ED7000B +:1043B000B2479C439D07E19B93868700324714C315 +:1043C00003A847009C4305A8224701CF3247184362 +:1043D00013064700B24690C21843BA877D873A88D1 +:1043E00019A83247184313064700B24690C2184333 +:1043F000BA877D873A883E85C285410182803971BE +:1044000006DE22DC26DA2AC62EC432C236C011A845 +:1044100063070424924785073EC2B247A24522851E +:104420008297924783C707003E8493075002E311A7 +:10443000F4FE924785073EC292473EC893070002AA +:10444000A30BF100FD573ECEFD573ECC02D0924764 +:10445000138717003AC283C707003E849307D4FD31 +:10446000130750056364F71E1397270097C70100D1 +:10447000938747C0BA97984397C70100938787BF30 +:10448000BA9782879307D002A30BF100C9B79307AD +:104490000003A30BF10065BF02CC6247BA878A070D +:1044A000BA978607A297938707FD3ECC924783C7AA +:1044B00007003E849307F00263D6870293079003B8 +:1044C00063C28702924785073EC2C1BF82471387F6 +:1044D00047003AC09C433ECC39A0F247E3D907F6E7 +:1044E00002CEB5B70100F247E3D307F6E2473ECE6E +:1044F000FD573ECCA9BF825785073ED089BF824772 +:10450000138747003AC09C433247A2453E85029735 +:10451000B1A28247138747003AC0844389E497C415 +:1045200001009384A4B4F2476357F0040347710178 +:104530009307D0026301F704E247BE852685EF00AA +:10454000A07F2A87F247998F3ECE11A88347710139 +:104550003247A2453E850297F247FD173ECEF2470D +:10456000E346F0FE09A8B247A2452285829785045A +:10457000F247FD173ECE83C704003E841DC0E247CC +:10458000E3C307FEE247FD173ECCE247E3DD07FC4D +:1045900009A8B247A245130500028297F247FD170A +:1045A0003ECEF247E347F0FE55A88A8782553E8506 +:1045B000CD3BAA872E883ED442D6A2573258C28716 +:1045C00063D90702B247A2451305D0028297A255CC +:1045D000325681470148B386B740368533B5A700C8 +:1045E0003307C840B307A7403E87B6873A883ED412 +:1045F00042D6A9473ED21DA8A9473ED215A0A14741 +:104600003ED239A885473ED0B247A24513050003E4 +:104610008297B247A245130580078297C1473ED2D1 +:104620008A8782553E8515332AD42ED61257834762 +:1046300071013E88F2472256B256A2453245DD3618 +:1046400031A8B247A2452285829709A8B247A24560 +:10465000130550028297C2473EC20100D9B3010040 +:10466000F2506254D2542161828041112AC6B707A8 +:1046700010F0938707F2324798C301A05D7106D608 +:104680002AC62EDA32DC36DEBAC0BEC2C2C4C6C604 +:104690009C0891173ECEF247BE86324681451705EB +:1046A00000001305E5AEA13B81473E85B250616134 +:1046B000828001112AC62EC41EC2A2473ECEF247F6 +:1046C0009C4332471377F70F2380E700F2479C4360 +:1046D00013871700F24798C30100056182801D719E +:1046E00006DE2AC62EC4B2C4B6C6BAC8BECAC2CC7A +:1046F000C6CE9C103ED25C084C081706000013067C +:1047000086FB7D779346F7FF0565130505803388A3 +:10471000A5003378E8001368783B23A007013295A1 +:10472000698F13677733D8C333F7D50093154701E3 +:1047300037870300130737394D8F98C73377D60073 +:10474000931647013707030013077706558FD8C71D +:104750000F100000B2473ED69C10A1173ED422573E +:104760005C083E857C00BA862246BE854939B24740 +:1047700023800700B2473E87B257B307F7403E8514 +:10478000F250256182804111370510F0130545F480 +:1047900008412AC6370510F0130505F408412AC45C +:1047A000370510F0130545F40841B248E39EA8FC14 +:1047B00032452A8381431317030081462245AA8587 +:1047C0000146B3E7B6003368C700370710F013059A +:1047D00087F48965938585B50146B386B700B688A9 +:1047E000B3B8F8003307C800B387E8003E87B68740 +:1047F0003A881CC123220501010041018280411138 +:1048000006C651379307000873A047300100B24035 +:1048100041018280011106CE2AC617C50100130589 +:10482000E59AEFF0BFE50100F240056182800111D9 +:1048300006CE2AC617C501001305C599EFF01FE47F +:104840000100F24005618280797106D62AC602CE47 +:1048500029A00100F24785073ECE724785679387FE +:104860007738E3D8E7FE17C5010013052597EFF069 +:10487000FFE0F1BF411106C609450D2A0100B24013 +:1048800041018280011106CE02C6E12E2A878547AA +:10489000630CF70017C501001305C594EFF01FDE88 +:1048A000B24793E727003EC6B2473E85F240056116 +:1048B0008280011106CE02C6753F1707000013075C +:1048C0006704814605469305400617C50100130598 +:1048D0002593EFE09F9C2AC6B24799CBEFD0CFC675 +:1048E000AA87014781463E8685453245EFE01FA1F4 +:1048F00002C4EFD00FA581473E85F240056182805A +:10490000797106D62AC697C701009387E7AE9C4304 +:104910001387470697C70100938707AE98C397C7C9 +:1049200001009387A7AD9C431387470697C70100F3 +:104930009387C7AC98C397C701009387E7AB9843A9 +:104940009307F07C63D3E70497C701009387C7AA56 +:104950009C431387078397C701009387E7A998C3F0 +:1049600015372ACEF24799EB97C70100938707A91D +:10497000984385679387F77663DBE700F2473E85C8 +:10498000EFF0BFCEEFD0CFA321A0010011A0010016 +:10499000B250456182807370043001A001008280B2 +:1049A00041112AC62EC47370043001A05D7186C601 +:1049B0002AC685473EDE95473EDC9307803E3EDAB9 +:1049C00002D83145EFF02FB92AD6014689457255F4 +:1049D000EFB07FD82A87B25798C3B2575257D8C37F +:1049E000B257175703001307E7D198C73145EFF0C7 +:1049F0008FB62AD4B2579843A25798C3A2574257AA +:104A0000D8C3A25717570300130747D098C7814848 +:104A1000014881473247B2561306004097B501005E +:104A20009385C57E170500001305251CEFC0FF8A7E +:104A30008148014881470147A2561306004097B5B7 +:104A400001009385257D1705000013054513EFC070 +:104A5000DF883145EFF02FB02AD2014689457255E3 +:104A6000EFB07FCF2A87925798C392574257D8C347 +:104A7000925717570300130787C998C73145EFF0BE +:104A80008FAD2AD092579843825798C38257525776 +:104A9000D8C38257175703001307E7C698C7814842 +:104AA00001488147014792561306004097B501001F +:104AB0009385C5761705000013052513EFC0FF8108 +:104AC000814801488147324782561306004097B516 +:104AD000010093852575170500001305450AEFC0F1 +:104AE000CFFF3145EFF02FA72ACE01468945625509 +:104AF000EFB07FC62A87F24798C3F2475257D8C310 +:104B0000F247175703001307A7C098C73145EFF0C6 +:104B10008FA42ACCF2479843E24798C3E247525702 +:104B2000D8C3E24717570300130707BE98C7814849 +:104B3000014881470147F2461306004097B501003E +:104B40009385C56E1705000013056503EFC0EFF8E8 +:104B50008148014881470147E2461306004097B566 +:104B600001009385256D1705000013050508EFC0AA +:104B7000CFF60100B64061618280797106D62AC6FF +:104B8000231B0100231F0100B2473ECCE24798439C +:104B9000E247D043930761018146BE853A85EFB075 +:104BA0003FDC2A8785476306F7008547231FF1000E +:104BB000F1BF8317E10185E3E2479C4703D7070074 +:104BC0004207418742074183050742074183420765 +:104BD00041872390E700835761018507C207C1839E +:104BE000231BF10065B7797106D62AC6231F010081 +:104BF000231E0100B2473ECCE2479843E247D04330 +:104C0000930761018146BE853A85EFC02F822A87CE +:104C10008547E313F7FE835761010357E101630AF8 +:104C2000F70083576101231FF1008547231EF10020 +:104C3000E1B78317C10185E3E2479C4703D707002B +:104C400042074187420741830507420741834207E4 +:104C500041872390E7008357E1018507231FF10077 +:104C600061BF411185473EC602C475A817570300AE +:104C7000130747A9A2478607BA9783D70700139758 +:104C800007014187975603009386C6A8A247860767 +:104C9000B697839707006313F70002C61757030000 +:104CA000130747A6A2478607BA9783D7070013972B +:104CB00007014187975603009386C6A5A24786073A +:104CC000B6972390E70017570300130727A4A247BE +:104CD0008607BA9783D7070013970701418797562E +:104CE00003009386A6A3A2478607B697839707007B +:104CF0006313F70002C617570300130727A1A24743 +:104D00008607BA9783D707001397070141879756FD +:104D100003009386A6A0A2478607B6972390E700D4 +:104D2000A24785073EC422478947E3D1E7F4B2474B +:104D30003E85410182800000B305B5009307050060 +:104D40006386B70003C70700631607003385A740D3 +:104D500067800000938717006FF09FFE130101FD2D +:104D600023229102232A51012326110223248102A6 +:104D700023202103232E3101232C4101232861010B +:104D80002326710123248101232291012320A101E3 +:104D9000930A050093840500639E063813040600F9 +:104DA0009309050017B901001309494963F8C512B1 +:104DB000B7070100138B05006378F6101307F00F97 +:104DC0003337C70013173700B357E6003309F9002C +:104DD000834609003387E60093060002B386E64067 +:104DE000638C0600B394D40033D7EA003314D600A2 +:104DF000336B9700B399DA00935A040193850A0044 +:104E000013050B00EF00902A1309050093850A0093 +:104E1000931B040113050B00EF00D02493DB0B015F +:104E2000930405009305050013850B00EF00D020C7 +:104E30001319090193D70901B367F900138A040014 +:104E400063FEA700B3878700138AF4FF63E8870037 +:104E500063F6A700138AE4FFB3878700B384A740F3 +:104E600093850A0013850400EF0050241309050000 +:104E700093850A0013850400EF00D01E9399090161 +:104E800093040500930505001319090113850B0010 +:104E900093D90901EF00501AB36939011386040050 +:104EA00063FCA900B30934011386F4FF63E68900AB +:104EB00063F4A9001386E4FF13140A013364C400E9 +:104EC000130A00006F000013B70700011307000169 +:104ED000E36CF6EE130780016FF01FEF138A0600F4 +:104EE000631A06009305000013051000EF009017E9 +:104EF00013040500B7070100637EF4129307F00F57 +:104F000063F48700130A8000B35744013309F900A2 +:104F1000034709009306000233074701B386E640C2 +:104F200063940612B3848440130A1000135B0401D7 +:104F300093050B0013850400EF00501713090500BB +:104F400093050B0013850400931B0401EF009011DF +:104F500093DB0B01930405009305050013850B00FB +:104F6000EF00900D1319090193D70901B367F900F8 +:104F7000938A040063FEA700B3878700938AF4FF37 +:104F800063E8870063F6A700938AE4FFB38787008E +:104F9000B384A74093050B0013850400EF001011A4 +:104FA0001309050093050B0013850400EF00900B17 +:104FB000939909019304050093050500131909014C +:104FC00013850B0093D90901EF001007B36939016C +:104FD0001386040063FCA900B30934011386F4FFAF +:104FE00063E6890063F4A9001386E4FF13940A01C1 +:104FF0003364C4001305040093050A008320C10232 +:105000000324810283244102032901028329C1016F +:10501000032A8101832A4101032B0101832BC10053 +:10502000032C8100832C4100032D01001301010397 +:1050300067800000B7070001130A0001E366F4EC83 +:10504000130A80016FF05FEC3314D40033DAE4000C +:10505000B399DA0033D7EA00935A0401B394D40029 +:1050600093850A0013050A00336B9700EF001004C4 +:105070001309050093850A0013050A00931B040118 +:10508000EF00407E93DB0B019304050093050500C0 +:1050900013850B00EF00407A1319090113570B0118 +:1050A0003367E900138A0400637EA7003307870093 +:1050B000138AF4FF636887006376A700138AE4FF0E +:1050C00033078700B304A74093850A0013850400C3 +:1050D000EF00C07D1309050093850A0013850400C5 +:1050E000EF004078930405009305050013850B003D +:1050F000EF00807413170B011357070113190901EF +:10510000B367E9001387040063FEA700B387870035 +:105110001387F4FF63E8870063F6A7001387E4FFB3 +:10512000B3878700131A0A01B384A740336AEA00E1 +:105130006FF0DFDF63ECD51EB707010063F4F60400 +:105140001307F00FB335D7009395350033D7B6006A +:1051500097B701009387870EB387E70003C707005F +:10516000130A00023307B700330AEA4063160A0243 +:1051700013041000E3E096E833B6CA0013441600A7 +:105180006FF05FE7B707000193050001E3E0F6FC6D +:10519000930580016FF09FFBB35CE600B396460178 +:1051A000B3ECDC0033D4E40093DB0C01B39744018F +:1051B00033D7EA0093850B0013050400336BF70027 +:1051C000B3194601EF00806E1309050093850B00AB +:1051D00013050400139C0C01EF00C068135C0C0164 +:1051E000930405009305050013050C00EF00C0644F +:1051F0001319090113570B013367E90013840400E5 +:10520000637EA700330797011384F4FF6368970157 +:105210006376A7001384E4FF33079701B304A74024 +:1052200093850B0013850400EF0040681309050007 +:1052300093850B0013850400EF00C0629304050002 +:105240009305050013050C00EF00005F93170B0199 +:105250001319090193D70701B367F90013860400F6 +:1052600063FEA700B38797011386F4FF63E89701F5 +:1052700063F6A7001386E4FFB387970113140401B4 +:10528000B70B01003364C4001389FBFF337D240195 +:1052900033F92901B384A7409305090013050D00D4 +:1052A000EF008059935C040193050900130B05007E +:1052B00013850C00EF00405893D90901130C050029 +:1052C0009385090013850C00EF00005713090500B2 +:1052D0009385090013050D00EF0000563305850185 +:1052E00093570B013385A700637485013309790156 +:1052F00093570501B387270163E6F402E392F4BCF8 +:10530000B70701009387F7FF3375F5001315050103 +:10531000337BFB0033964A0133056501130A000015 +:10532000E37AA6CC1304F4FF6FF09FB9130A0000D0 +:10533000130400006FF01FCC130101FB2324810430 +:1053400023229104232E31032322910323261104C7 +:1053500023202105232C4103232A51032328610301 +:1053600023267103232481032320A103232EB101CB +:10537000930C050093890500130405009384050030 +:10538000639E062613090600138A060097BA0100D9 +:10539000938ACAEA63F4C514B70701006376F6126C +:1053A0009307F00F63F4C700130A8000B357460158 +:1053B000B38AFA0003C70A00130500023307470146 +:1053C000330AE540630C0A00B395490133D7EC007A +:1053D00033194601B364B70033944C01935A090161 +:1053E00093850A0013850400EF00404C93090500E3 +:1053F00093850A00131B090113850400EF00804602 +:10540000135B0B019305050013050B00EF00C04271 +:105410009399090193570401B3E7F90063FAA700D0 +:10542000B387270163E6270163F4A700B387270149 +:10543000B384A74093850A0013850400EF0000475A +:105440009309050093850A0013850400EF0080414D +:1054500013140401930505009399090113050B002A +:1054600013540401EF00403D33E48900637AA40043 +:1054700033042401636624016374A400330424010B +:105480003304A44033554401930500008320C10434 +:105490000324810483244104032901048329C103D3 +:1054A000032A8103832A4103032B0103832BC102B7 +:1054B000032C8102832C4102032D0102832DC101A3 +:1054C0001301010567800000B7070001130A0001FE +:1054D000E36EF6EC130A80016FF05FED631A0600CD +:1054E0009305000013051000EF00C03713090500F5 +:1054F000B7070100637AF90E9307F00F63F42701F1 +:10550000130A8000B3574901B38AFA0003C70A009F +:1055100013050002B384294133074701330AE540EC +:10552000E30E0AEA33194901B3DAE900B3954901F8 +:1055300033D7EC0093540901336BB70013850A008D +:1055400093850400EF0080369309050093850400DD +:10555000931B090113850A00EF00C03093DB0B0198 +:105560009305050013850B00EF00002D93990901A9 +:1055700093570B01B3E7F90033944C0163FAA7008A +:10558000B387270163E6270163F4A700B3872701E8 +:10559000B38AA7409385040013850A00EF00003109 +:1055A000930905009385040013850A00EF00802B02 +:1055B0009305050013850B00EF00002893150B01E0 +:1055C0009399090193D50501B3E5B90063FAA500E4 +:1055D000B385250163E6250163F4A500B3852501A4 +:1055E000B384A5406FF09FDFB7070001130A0001E5 +:1055F000E36AF9F0130A80016FF0DFF0E3E8D5E821 +:10560000B707010063FCF604930BF00F33B5DB0022 +:105610001315350033D7A60097B70100938707C24B +:10562000B387E70083CB070093050002B38BAB0081 +:10563000338B7541631E0B0263E4360163EACC00D1 +:105640003384CC40B386D94033B58C00B384A640B4 +:1056500013050400938504006FF05FE3B7070001B2 +:1056600013050001E3E8F6FA130580016FF09FFAD5 +:10567000B3966601335D7601336DDD0033D4790175 +:10568000B395690133DC7C0193540D01336CBC008C +:105690001305040093850400B31A6601EF0000218E +:1056A000130A0500938504001305040033996C0167 +:1056B000931C0D01EF00001B93DC0C01130405008B +:1056C0009305050013850C00EF000017131A0A015B +:1056D00013570C013367EA00130A0400637EA70026 +:1056E0003307A701130AF4FF6368A7016376A700D5 +:1056F000130AE4FF3307A701B309A7409385040009 +:1057000013850900EF00801A938504001304050037 +:1057100013850900EF0000159305050093040500AB +:1057200013850C00EF00401193150C0113140401B4 +:1057300093D50501B365B4001387040063FEA5008B +:10574000B385A5011387F4FF63E8A50163F6A500FF +:105750001387E4FFB385A501131A0A01B70C0100F2 +:10576000336AEA001384FCFFB3778A0033F48A00BB +:10577000B384A54013850700930504002326F10098 +:10578000135A0A01EF00400B93090500930504002A +:1057900013050A00EF00400A13DC0A01930D05000F +:1057A00093050C0013050A00EF0000098327C100D0 +:1057B000130A050093050C0013850700EF00C007CE +:1057C0003305B50113D709013307A7006374B70187 +:1057D000330A9A01B70701009387F7FF9355070132 +:1057E0003377F70013170701B3F7F900B3854501C5 +:1057F000B307F70063E6B400639EB400637CF9006E +:1058000033865741B3B7C700B385A541B385F5408B +:1058100093070600B307F9403339F900B385B44064 +:10582000B385254133947501B3D767013365F4001F +:10583000B3D565016FF09FC5130605001305000081 +:1058400093F61500638406003305C50093D5150053 +:1058500013161600E39605FE6780000063400506F8 +:1058600063C6050613860500930505001305F0FFC2 +:10587000630C060293061000637AB6006358C000FA +:105880001316160093961600E36AB6FE1305000081 +:1058900063E6C500B385C5403365D50093D61600D1 +:1058A00013561600E39606FE678000009382000000 +:1058B000EFF05FFB13850500678002003305A04011 +:1058C00063D80500B305B0406FF0DFF9B305B04011 +:1058D00093820000EFF01FF93305A04067800200BB +:1058E0009382000063CA0500634C0500EFF09FF748 +:1058F0001385050067800200B305B040E35805FE3C +:105900003305A040EFF01FF63305B040678002007A +:02000004800278 +:1000000049444C4500000000482FFEFF102FFEFF22 +:100010001E2FFEFF2C2FFEFF342FFEFF5830FEFF59 +:100020002030FEFF2E30FEFF3C30FEFF4430FEFF4E +:10003000546D722053766300DC35FEFFDC35FEFF25 +:10004000DC35FEFF5A36FEFF2A36FEFF5036FEFF35 +:10005000DC35FEFFDC35FEFF5A36FEFF2A36FEFF9A +:10006000546D725100000000286E756C6C29000000 +:10007000DE43FEFFDC45FEFFD245FEFFDC45FEFF12 +:10008000DC45FEFFDC45FEFFDC45FEFF5C44FEFF79 +:10009000DC45FEFFDC45FEFF1444FEFF6A44FEFF24 +:1000A000DC45FEFF1E44FEFF2844FEFF2844FEFF01 +:1000B0002844FEFF2844FEFF2844FEFF2844FEFF9C +:1000C0002844FEFF2844FEFF2844FEFFDC45FEFFD7 +:1000D000DC45FEFFDC45FEFFDC45FEFFDC45FEFFA8 +:1000E000DC45FEFFDC45FEFFDC45FEFFDC45FEFF98 +:1000F000DC45FEFFDC45FEFFDC45FEFFDC45FEFF88 +:10010000DC45FEFFDC45FEFFDC45FEFFDC45FEFF77 +:10011000DC45FEFFDC45FEFFDC45FEFFDC45FEFF67 +:10012000DC45FEFFDC45FEFFDC45FEFFDC45FEFF57 +:10013000DC45FEFFDC45FEFFDC45FEFFDC45FEFF47 +:10014000DC45FEFFDC45FEFFDC45FEFFDC45FEFF37 +:10015000DC45FEFFDC45FEFFDC45FEFFDC45FEFF27 +:10016000DC45FEFFDC45FEFFDC45FEFFDC45FEFF17 +:100170008E44FEFF3A45FEFFDC45FEFFDC45FEFFF8 +:10018000DC45FEFFDC45FEFFDC45FEFFDC45FEFFF7 +:10019000DC45FEFF8644FEFFDC45FEFFDC45FEFF3E +:1001A0008E45FEFF9445FEFFDC45FEFFDC45FEFF6D +:1001B000A244FEFFDC45FEFF8845FEFFDC45FEFF56 +:1001C000DC45FEFFAC45FEFF6D69616F750A0000FE +:1001D0006D69616F75320A0068756E6772790A0021 +:1001E0004572726F7220696E20426C6F636B512092 +:1001F0007461736B73200D0A00000000436865632F +:100200006B54696D6572000051436F6E734231002B +:100210005150726F6442320051436F6E734233002B +:100220005150726F644234005150726F6442350015 +:1002300051436F6E73423600000102020303030351 +:100240000404040404040404050505050505050566 +:100250000505050505050505060606060606060646 +:10026000060606060606060606060606060606062E +:100270000606060606060606070707070707070716 +:1002800007070707070707070707070707070707FE +:1002900007070707070707070707070707070707EE +:1002A00007070707070707070707070707070707DE +:1002B00007070707070707070808080808080808C6 +:1002C00008080808080808080808080808080808AE +:1002D000080808080808080808080808080808089E +:1002E000080808080808080808080808080808088E +:1002F000080808080808080808080808080808087E +:10030000080808080808080808080808080808086D +:10031000080808080808080808080808080808085D +:10032000080808080808080808080808080808084D +:08033000080808080808080885 +:100338001000000000000000017A5200017C010159 +:100348001B0D02004C00000018000000084AFEFFC8 +:10035800DC05000000440E30708903950781018890 +:100368000292049305940696089709980A990B9A9D +:100378000C0370020AC144C844C944D244D344D4CB +:1003880044D544D644D744D844D944DA440E00442A +:100398000B0000005000000068000000944FFEFFB2 +:1003A8000005000000440E507488028903930599E3 +:1003B8000B810192049406950796089709980A9A62 +:1003C8000C9B0D0320010AC144C844C944D244D33C +:1003D80044D444D544D644D744D844D944DA44DB39 +:0803E800440E00440B0000006C +:1003FC00AAAAAAAA08000000FC8F010009000000AC +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/BlockQ_rv32ic_O3.hex b/VexRiscv/src/test/resources/freertos/BlockQ_rv32ic_O3.hex new file mode 100644 index 0000000..40a8a6a --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/BlockQ_rv32ic_O3.hex @@ -0,0 +1,1701 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900021D4170502001305E556979503009385B3 +:1000A000257E01461122170502001305E549970533 +:1000B0000200938585510146C52817A50300130545 +:1000C000657C97B503009385A57B37B6ABAB13066C +:1000D000B6BAD92817B103001301C17AEF602038EE +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2823423A02200F32210340A +:1002400096DEEF00002B170102000321213302414B +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E23523A0120023A29D +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40502717010200032138 +:10033000E1240241F65273901234B72200009382F6 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A22783A0A4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2821223A0220086DEEF20F0 +:10046000602E17010200032161110241F6527390C0 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF407007EF10900A01E5B7 +:10050000B24041018280B24041016F20A023930795 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30B0207E +:1005B0008280411122C406C62A8426C2EF2030124E +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF2040586DD5EF2020783C44FD173C +:1005F0003CC43C44E344F0FEFD573CC4EF20701083 +:10060000EF20F00D7C40635BF0021C4885CB930427 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2000546DD5EF20E0735C +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20B00B29CD0111E8 +:1006500022CC2A8406CE26CA4AC84EC62E89EF204E +:10066000100824404C5C832904002685EF50D040BC +:100670007D57232C0402B3079540CE974E9578C042 +:1006800048C0232434015CC438C4631109021C48E7 +:100690008DE7EF201007F2406244D2444249B2494C +:1006A0000545056182807370043001A013050401C3 +:1006B000B93D13054402A13DE9BF13050401EF2034 +:1006C000804A8547E317F5FC9133E1B701E5737084 +:1006D000043001A0011126CA4AC84EC606CE22CC5B +:1006E000AA842E89B289A5C9EF5010391305550582 +:1006F000EF3020742A8435C5930745051CC144DCBE +:1007000023202405EF20A07D24404C5C0329040015 +:100710002685EF507036FD56232C0402B307954012 +:100720003307A900CA9774C058C05CC434C4130509 +:10073000040123242401E13B13054402C93BEF20BB +:10074000407C230834052285F2406244D244424969 +:10075000B2490561828013054005EF30806D2A841F +:1007600001E57370043001A000C051BF01E5737052 +:10077000043001A06375B5007370043001A041110D +:1007800022C409462E84814506C6893711C500DD7D +:10079000B2402244410182807370043001A05D7137 +:1007A00086C6A2C4A6C2CAC04EDE52DC56DA5AD8E9 +:1007B0005ED632C6630A051C6382051C89476399AD +:1007C000F600585D85476305F7007370043001A09B +:1007D0003689AE842A84EF20C05B11E5B24781C719 +:1007E0007370043001A0EF20806F185C5C5C014BDB +:1007F000894BFD59130A0401930A44026365F70CFF +:1008000063077919B2476381072463150B00280831 +:10081000EF208048EF20E06EEF10604FEF20206C5B +:100820007C4063943701232204043C4463943701E1 +:1008300023240404EF20E06C6C002808EF20E0473C +:10084000631E051EEF20A069185C5C5C630CF70A50 +:10085000EF20206BEF20A0683C44634FF00039A8E4 +:100860005685EF20403019C1EF2020503C44FD1741 +:100870003CC43C446354F0005C50FDF32324340535 +:10088000EF202068EF20A0657C40634FF00039A87E +:100890005285EF20402D19C1EF20204D7C40FD17DF +:1008A0007CC07C406354F0001C48FDF323223405D7 +:1008B000EF202065EF10A06A054BEF204062185C26 +:1008C0005C5CE37FF7F230406305060C631F09129E +:1008D0000844A685EF30206E1C4434405840B6973B +:1008E0001CC463EAE70A1C5C14405850850714C412 +:1008F0001CDC5DC713054402EF20E0268547631129 +:10090000F50AC9A8EF20E05FB2455285EF206007E5 +:10091000EF20E05C3C44634FF00039A85685EF209F +:10092000802419C1EF2060443C44FD173CC43C4482 +:100930006354F0005C50FDF323243405EF20605C29 +:10094000EF20E0597C40634FF00039A85285EF203A +:10095000802119C1EF2060417C40FD177CC07C40A4 +:100960006354F0001C48FDF323223405EF20605946 +:10097000EF10E05E31F1593C054B81B73C41E38F0C +:1009800007E27370043001A07370043001A030409E +:1009900021E61C4085C71C5C585085071CDC39FBD0 +:1009A000EF2020560545B640264496440649F259A4 +:1009B000625AD25A425BB25B616182804840EF204A +:1009C00060491C5C58502322040085071CDCE3139B +:1009D00007F279D5253CE9B74844A685EF30A05DFC +:1009E0003C4058441440B307F0403E9758C4637CE1 +:1009F000D7025840BA975CC409475C506306E902C5 +:100A0000185C050718DCC9DFF5B54844A685EF304A +:100A1000805A3C4058441440B307F0403E9758C4B5 +:100A2000E37CD7FCF9B75C50185C79DB185C7D1768 +:100A300018DC185C050718DCA5D76DBD2285953E2E +:100A4000EF10E051014585B7EF20A04B0145A1BF54 +:100A5000411126C2AA841305400522C406C6EF3000 +:100A6000403D2A8415CD232C0502FD577CC1054746 +:100A7000410558DC3CC42322040023200400232425 +:100A80000400232604002320040423089404413492 +:100A900013054402AD3C8146014681452285013360 +:100AA0002285B24022449244410182800DC5411109 +:100AB00022C426C206C64AC0032945002A84EF2064 +:100AC000802C8144630CA900B2402244268502494F +:100AD0009244410182807370043001A05C448544DB +:100AE000FD175CC4F5F381460146814522854539F1 +:100AF000E1BF31CDA1C9011122CC26CA4AC852C4D6 +:100B000006CE4EC68947B68432892E8A2A846399D6 +:100B1000F600585D8547630FF7047370043001A039 +:100B20006D32185C5C5CAA896366F70281444E856D +:100B3000513AF240624426854249D244B249224A9F +:100B4000056182803C41C5DB7370043001A0737085 +:100B5000043001A0304041E21C40D5CB1C5C7D57E5 +:100B600085071CDC3C446387E7083C4485448507D3 +:100B70003CC475BF993A185C5C5CAA89636DF70246 +:100B8000304079DA4844D285EF30E0423C40584466 +:100B90001440B307F0403E9758C46375D7005840DF +:100BA000BA975CC48947E39BF4FA1C5CC5DB1C5C08 +:100BB000FD171CDC65B7304045D24844D285EF3084 +:100BC000803F3C4058441440B307F0403E9758C41F +:100BD000E367D7FCD9BFDDF40844D285EF30A03DF0 +:100BE0001C4434405840B6971CC4E3E9E7F61C4067 +:100BF0001CC4ADB75C5085449DDB13054402EF1067 +:100C0000907615D5E30509F2232099000DB74840E9 +:100C1000EF2040242322040091B721CD3C4181C71D +:100C20007370043001A01C41A1CB011122CC26CA53 +:100C30002A844AC84EC606CE2E894138185C5C5CB0 +:100C4000AA898144637DF7001C5C7D5785071CDC05 +:100C50003C446388E7023C44854485073CC44E8598 +:100C60009530F240624426854249D244B24905613A +:100C700082807370043001A05C41C5DB7370043066 +:100C800001A05C5099E38544D9BF13054402EF10DD +:100C9000906D75D9E30909FE85472320F900E5B772 +:100CA000397106DE22DC26DA4AD84ED652D456D224 +:100CB00032C66302051C638A051AB68AAE892A8485 +:100CC000EF20200D11E5B24781C77370043001A0F9 +:100CD000EF20E0201C5C63920714B247638E070A82 +:100CE0002808EF10707BFD5413094402130A040115 +:100CF000EF202021EF10A001EF20601E7C406394C4 +:100D00009700232204043C446394970023240404A2 +:100D1000EF20201F6C002808EF10307A63130518AD +:100D2000EF20E01B1C5CADCFEF20A01DEF20201BAF +:100D30003C44634FF00039A84A85EF10D06219C1D6 +:100D4000EF20A0023C44FD173CC43C446354F00037 +:100D50005C50FDF324C4EF20C01AEF2040187C4003 +:100D6000634FF00039A85285EF10F05F19C1EF1002 +:100D7000D07F7C40FD177CC07C406354F0001C4851 +:100D8000FDF364C0EF20E017EF10601DEF20201589 +:100D90001C5CC1E7B247A9FFEF20A016014555A092 +:100DA000EF2020161C40DDC7B2454A85EF10703D8C +:100DB000EF20E0123C44634FF00039A84A85EF1061 +:100DC000905A19C1EF10707A3C44FD173CC43C4462 +:100DD0006354F0005C50FDF324C4EF208012EF2038 +:100DE00000107C40634FF00039A85285EF10B057D7 +:100DF00019C1EF1090777C40FD177CC07C40635494 +:100E0000F0001C48FDF364C0EF20A00FEF10201588 +:100E100031C9EF20C00C1C5CB5DFCE85228544446F +:100E2000EFF04FF7639C0A041C5C1840FD171CDCB4 +:100E300001E7EF20801148C01C48A1EFEF20600CB3 +:100E40000545F2506254D2544259B259225A925A2C +:100E500021618280EF20A0084840EF109075EF20BC +:100E6000400A99B7EFF08FDA6DB73C41E38707E4AA +:100E70007370043001A07370043001A05C5044C44E +:100E8000D5DF13054402EF10104E4DD9EFF00FD807 +:100E900075B713050401EF10104D8547E310F5FAFF +:100EA000F5B72285EFF0EFF0EF10600B014551BF71 +:100EB0001DC9411122C44AC006C626C244412E891A +:100EC0002A84EF10506C6383A40281464A868145D0 +:100ED0002285F93385476300F502B24022449244EB +:100EE0000249410182807370043001A05C440545D1 +:100EF00085075CC4DDB75C4485075CC4F9BF15CDCC +:100F0000ADC9011122CC26CA4AC84EC652C42A8491 +:100F100006CE3289AE89EFF04FDB1C5C2A8A814411 +:100F200085E35285EFF00FDAF240624426854249AC +:100F3000D244B249224A056182807370043001A014 +:100F4000304001CE4C445C40B2954CC463E4F500A3 +:100F50000C404CC44E85EF3000061C5C7D57FD17DD +:100F60001CDC7C40638CE7007C40854485077CC0AA +:100F70004DBF3C41D9D77370043001A01C4899E3A0 +:100F8000854445B713050401EF10F03D75D9E30919 +:100F900009FE85472320F900E5B721C93C41A1CDD1 +:100FA000A1CB011122CC26CA4AC852C42A8406CE3B +:100FB0004EC62E8AEFF06FD11C5C2A89814491CBFA +:100FC0008329C400D2852285EFF0CFDC8544232617 +:100FD00034014A85EFF00FCFF24062442685424942 +:100FE000D244B249224A056182807370043001A064 +:100FF0007370043001A0EDDF7370043001A001E5CF +:101000007370043001A0411122C42A8406C6EF1077 +:10101000106D005CEF10F06EB24022852244410159 +:10102000828001E57370043001A0411106C622C41C +:101030002A84EF10D06A1C5C405C1D8CEF10706C31 +:101040002285B24022444101828019C1085D82801C +:101050007370043001A01DCD978703009387877EAE +:10106000D843630CE502D847630CE504D84B63070B +:10107000E504D84F6302E504D853630DE502D85761 +:101080006308E502D85B6303E502D85F630EE50001 +:101090006F20506C7370043001A001470E07BA979F +:1010A00023A007006F20106B1D47CDBF1947FDB768 +:1010B0001547EDB71147DDB70D47CDB70947F9BF64 +:1010C0000547E9BF684582806CC5828003450505F8 +:1010D000828009C5085D1335150082807370043065 +:1010E00001A019C51C5D485D1D8D1335150082805A +:1010F0007370043001A0978703009387A774984307 +:1011000005C3984705C7984B05C7984F05C798531F +:1011100005C7985705C7985B15C7985F15C38280A8 +:1011200001470E07BA978CC3C8C382800547D5BF55 +:101130000947C5BF0D47F5B71147E5B71547D5B7FF +:101140001D47C5B71947F1BF978703009387876F7E +:10115000D8436308E502D847630BE502D84B630A1E +:10116000E502D84F6309E502D8536308E502D85772 +:101170006307E502D85B6308E502D85F6303A70253 +:10118000828001470E07BA9723A007008280054797 +:10119000D5BF0947C5BF0D47F5B71147E5B7154797 +:1011A000D5B71D47C5B71947F1BF411122C426C2A3 +:1011B0004AC02A8406C6AE843289EF105052784065 +:1011C000FD576314F700232204043844FD576314C9 +:1011D000F70023240404EF10D0521C5C99E74A86E0 +:1011E000A68513054402EF10D00CEF10504F3C447D +:1011F000635BF0025C5085CB9304440209A83C4435 +:10120000FD173CC43C446350F0025C5089CF2685F6 +:10121000EF1070156DD5EF1050353C44FD173CC4F0 +:101220003C44E344F0FEFD573CC4EF10904DEF10FA +:10123000104B7C40635BF0021C4885CB9304040197 +:1012400009A87C40FD177CC07C406350F0021C481C +:1012500089CF2685EF1030116DD5EF1010317C400D +:10126000FD177CC07C40E344F0FEFD577CC0224467 +:10127000B2409244024941016F10B0481DC17971DA +:101280004ECE52CC06D63E8A22D426D24AD056CA58 +:101290005AC85EC6A547BA8963F8E7007370043080 +:1012A00001A07370043001A02A8BAE843289B68B02 +:1012B000C28A6307082213050006EF2090372A84AC +:1012C000630B05200A09232855034A869305500A13 +:1012D0005685EF20505C03C704001C587119230A7F +:1012E000E40203C704003E991379C9FF79C783C795 +:1012F0001400A30AF40283C71400E1C383C72400C7 +:10130000230BF40283C72400CDCB83C73400A30B87 +:10131000F40283C73400D5C383C74400230CF4020E +:1013200083C74400D9CB83C75400A30CF40283C7FE +:101330005400C1C783C76400230DF40283C764004F +:10134000ADCF83C77400A30DF40283C77400B5C783 +:1013500083C78400230EF40283C78400B9CF83C7F8 +:101360009400A30EF40283C79400A1CB83C7A4000A +:10137000230FF40283C7A400A9C383C7B400A30F3B +:10138000F40283C7B40095CB83C7C4002300F404E0 +:1013900083C7C4009DC383C7D400A300F40483C7DC +:1013A000D40081CF83C7E4002301F40483C7E400A1 +:1013B00089C783C7F400A301F404930A4400568547 +:1013C000A30104042326340323283405232A040418 +:1013D000EFF02F9513058401EFF0AF94A947B38781 +:1013E0003741232C040400C81CCC40D02322040421 +:1013F000232E04045E86DA854A85EFF0AF8D08C09F +:1014000063040A0023208A007370043017F9010076 +:10141000130909158327090097F401009384041622 +:1014200091C798409C407C4385077CC317F7010017 +:101430001307C7131C43850797F6010023A8F6126C +:101440009C40C5CB8327090017FB0100130B4B23DE +:1014500089EB9C40DC5763E6F90097F7010023AF66 +:10146000871097F701009387A70E9C4317F7010099 +:101470001307470F48541843850797F6010023A91F +:10148000F60C3CC46376A70097F7010023ACA70CC9 +:10149000D145EF40705ED6855A95EFF0EF888327EF +:1014A000090081C79C40FC43B5E38327090005443C +:1014B00099C79C40DC5763F43701EFE03FF5228584 +:1014C000B250225492540259F249624AD24A424BD3 +:1014D000B24B456182805685EF20D0277D54C5B739 +:1014E00013152600EF20F014AA8AE31605DC7D54BC +:1014F000F9B797F7010023A38708184385476301CD +:10150000F70217FB0100130BAB17A1BF98409440E3 +:101510007C43FD177CC3FC42C9FB7360043071B788 +:10152000014A17FB0100130BAB15A94B5285D1459E +:10153000EF409054050A5A95EFE07FFDE3187AFFDB +:1015400097FB0100938B4B205E85EFE05FFC17FA61 +:101550000100130AAA205285EFE07FFB17F5010076 +:1015600013050521EFE0BFFA17F5010013058521EA +:10157000EFE0FFF917F5010013050522EFE03FF951 +:1015800097F7010023AA77FF97F7010023A447FFED +:10159000C9BD411122C406C626C24AC02A8473703E +:1015A000043097F401009384A4FB9C40F9E311E418 +:1015B00097F70100938787FC8043130944004A850D +:1015C000EFE01FFB1C5489C713058401EFE05FFAAD +:1015D000CA8517F501001305E51AEFE0FFF497F748 +:1015E00001009387E7F8984397F70100938747F640 +:1015F0009C43050797F6010023ACE6F69840850763 +:1016000097F6010023A6F6F401CB97F70100938724 +:10161000E7F69843784349EF9C40B1C797F701003C +:101620009387C7F59843630F870873700430984019 +:1016300039EB17F70100130727F414439442A5E28E +:101640007D5797F6010023A3E6F0984009CF984311 +:10165000784311CB984394437C43FD177CC3FC42F1 +:1016600099E373600430B24022449244024941013C +:10167000828097F70100938767F098439C437C43EF +:1016800085077CC32DB794439843F8420507F8C2F9 +:1016900017F70100130747EE14439442D5D21843BD +:1016A00058475847584397F6010023A1E6EA71BF0F +:1016B000984394437C43FD177CC3FC42B1FF7360A5 +:1016C000043099BF97F70100938707E89C4381C7CF +:1016D0007370043001A02244B24092440249410197 +:1016E0006FE0DFD241C517F70100130727E9144364 +:1016F0008147638BA606411106C622C426C27370B9 +:10170000043097F601009386A6E59C4291C71043EA +:101710001C437C4285077CC29C42AA84404981C705 +:101720001C43FC43B9E797F701009387E7E49C4328 +:10173000638B870497F701009387C7E39C43638417 +:10174000870497F70100938727056309F40297F749 +:101750000100938727036301F4028547B2402244C6 +:1017600092443E85410182803E85828073700430C0 +:1017700001A0EF40304445BF9147CDB79C5493B78B +:1017800017008907E1BF8947D1BF7370043097F70D +:1017900001009387E7DC984309EF15C59C43485542 +:1017A00081CB97F70100938767DD9843784315E372 +:1017B000828017F70100130767DC14431843F842CF +:1017C0000507F8C261FD17F70100130727DB08437F +:1017D000F1B7984394437C43FD177CC3FC42E9FB7B +:1017E000736004308280411122C42A8406C6EFE06F +:1017F000DFCD11E497F70100938747D88043405429 +:10180000EFE05FCCB2402285224441018280A547AF +:1018100063F5B7007370043001A0011122CC06CE2D +:1018200026CA4AC84EC652C42A847370043017F9B7 +:1018300001001309E9D283270900CDEF71C43C48A8 +:101840006388F50817F70100130747D363FCB70057 +:101850001443638C860C18434457B3B4950093C467 +:10186000140029A00443818C93B41400585463835A +:10187000E70A1C4C2CC863C60700A947B385B740CC +:101880000CCC93172700BA97584897F90100938911 +:1018900029DF8A07CE97631AF702130A440052859C +:1018A000EFE01FCD97F701009387C7CB48549C43C7 +:1018B00063F6A70097F7010023A6A7CAD145EF401A +:1018C000B01BD2854E95EFE03FC699C0EFE01FB444 +:1018D0008327090081CB97F70100938727CA9C4390 +:1018E000FC439DEBF2406244D2444249B249224A51 +:1018F0000561828097F70100938747C898439C430E +:101900007C4385077CC305FC97F70100938707C7D5 +:10191000804335B74CD4B1BF6244F240D24442490F +:10192000B249224A05616F40F028814481B725C140 +:10193000011126CA97F40100938444C49C4006CE4A +:1019400022CC4AC84EC6638DA7027370043017F9C3 +:1019500001001309E9C08327090091C798409C4002 +:101960007C4385077CC3584997F701009387C7E2FA +:101970006302F7028327090081C79C40FC43A5EB63 +:10198000F2406244D2444249B24905618280737098 +:10199000043001A01C5517F70100130767DDE38B26 +:1019A000E7FCE9FB930945002A844E85EFE05FBC24 +:1019B00097F70100938707BB48549C4363F6A70041 +:1019C00097F7010023A0A7BAD145EF40F00A97F797 +:1019D00001009387E7CA3E95CE85EFE0FFB49C40B7 +:1019E0005854DC57E368F7F8EFE05FA261B7624450 +:1019F000F240D2444249B24905616F40B01B15CD57 +:101A0000011122CC26CA4EC62A8406CE4AC8EFE06F +:101A1000DFAB584897F70100938707D8AA8981441C +:101A20006300F7024E85EFE0FFA9F240624426858D +:101A30004249D244B249056182807370043001A0EA +:101A40001C5417F501001305A5D2E38DA7FCF9FB83 +:101A500097F70100938747AF9C43A9EB97F70100E5 +:101A60009387C7B19C43445413094400DC574A850B +:101A7000B3B4F400EFE0DFAF97F70100938787AED0 +:101A800048549C4393C4140063F6A70097F70100E1 +:101A900023AAA7ACD145EF40207E97F7010093879A +:101AA00027BECA853E95EFE03FA8ADBF93058401F0 +:101AB000EFE09FA785BF0111056506CE22CC26CA9F +:101AC0004AC84EC652C456C25AC0EF208036630E72 +:101AD000051AAA8413050006EF20A0352A84630A9C +:101AE000051C05669305500A04D92685EF20A05AE7 +:101AF00004588567F117BE94B7474C4593879744C0 +:101B0000130A44005CD85285230C0402A301040488 +:101B10002326040223280404232A0404EFE07FA0E0 +:101B200013058401EFE0FF9FA947232C0404F198DB +:101B300000C81CCC40D023220404232E04040146F8 +:101B4000971500009385E5B12685EFE0BF9808C0A2 +:101B50007370043017F90100130989A08327090065 +:101B600097F40100938484A191C798409C407C43E2 +:101B700085077CC317F701001307479F1C438507A0 +:101B800097F6010023A4F69E9C40C9C38327090051 +:101B900097F901009389C9AE81EB9C40DC5789E736 +:101BA00097F7010023AC879C97F701009387479A2A +:101BB000984397F601009386E69A5C549442050791 +:101BC00017F601002326E69838C463F6F60017F7E7 +:101BD00001002329F798139527003E950A05D28521 +:101BE0004E95EFE07F948327090081C79C40FC431A +:101BF000DDE78327090091C39C40EF10F04985473A +:101C00006305F50C59E17370043001A097F70100EA +:101C100023A687961843854797F90100938949A61B +:101C2000E314F7F8CE8A17FB0100130BEBB15685CE +:101C3000D10AEFE0DF8DE31C5BFF17F50100130510 +:101C4000A5B0EFE0DF8C97FA0100938A2AB15685A0 +:101C5000EFE0FF8B17F50100130585B1EFE03F8B37 +:101C600017F50100130505B2EFE07F8A17F50100B3 +:101C7000130585B2EFE0BF8997F7010023AE678FA8 +:101C800097F7010023A8578F05B7F2406244D2446A +:101C90004249B249224A924A024B056182809840E9 +:101CA00094407C43FD177CC3FC42A1F77360043071 +:101CB00089B76244F2404249B249224A924A024BF1 +:101CC0002685D24405616F20E02873700430FD57EB +:101CD00017F70100232CF786624497F7010023A130 +:101CE000A788F240D2444249B249224A924A024B62 +:101CF00097F7010023AA078605616FE08FDA7370FA +:101D0000043097F7010023AD07846FE0CFE717F7A2 +:101D10000100130767831C4385071CC3828073700F +:101D2000043097F701009387A783984305E317F7DB +:101D300001001307678308439C4381CB97F7010099 +:101D40009387C7839843784301EF828017F7010098 +:101D50001307C78214431843F8420507F8C2C1BFEE +:101D6000984394437C43FD177CC3FC42F9FF7360A6 +:101D700004308280411106C622C4EFE00FF597E7D8 +:101D800001009387677E8043EFE0CFF3B240228566 +:101D900022444101828097E701009387277D884391 +:101DA0008280797106D622D426D24AD04ECE52CC29 +:101DB00056CA5AC85EC697E701009387E7789C43E6 +:101DC000B1EF17E701001307277A1C43850797E651 +:101DD000010023ABF678832A0700638F0A0697E792 +:101DE00001009387A7769C43814463F1FA0897F931 +:101DF00001009389E98817EA0100130A2A788327EA +:101E00000A008546D85793172700BA978A07BE99C4 +:101E100083A7090063FFF600854421A897E7010026 +:101E20009387C7739C438144850717E701002327E5 +:101E3000F77297E70100938727729C4391C385440B +:101E4000B2502254268502599254F249624AD24A2B +:101E5000424BB24B4561828017E401001304C47108 +:101E60001C409C43DDC37370043001A017E40100E3 +:101E70001304847097F901009389898017EA01009F +:101E8000130ACA6F17EB0100130BCB6D1C409C4368 +:101E9000B5C31C40DC4703A9C70083274900930B47 +:101EA00049005E8563EEFA04EFE08FEC8327890238 +:101EB0001305890199C3EFE0AFEB8327C90203271C +:101EC0000B00DE85139527003E950A054E95637637 +:101ED000F70017E701002327F768EFE0EFE4832717 +:101EE0000A000327C902DC57E362F7FA1C40854465 +:101EF0009C43C5F3FD5717E701002329F76401B799 +:101F000017E701002324F764DDBD17E7010013077D +:101F100067661C40184397E6010023AFE66417E7A5 +:101F200001002329F76497E701009387A7629C4388 +:101F3000850717E70100232FF7601C409C4399E7B2 +:101F4000FD5717E701002323F76051BD1C40DC4714 +:101F5000DC47DC4317E70100232AF75E49B597E722 +:101F600001009387675E984301E77370043001A016 +:101F7000011106CE22CC26CA4AC84EC652C456C249 +:101F80005AC07370043097E401009384645D9840F4 +:101F900061EB98437D1797E6010023A7E65A9C431F +:101FA000D9EB97E701009387675C9C43C9C797EA21 +:101FB0000100938AEA6C17EA0100130AAA5A17E98A +:101FC00001001309A95B854919A83385EA00EFE0F0 +:101FD000AFD5832709005854DC576379F70483A7EA +:101FE0000A0FC1CF83A7CA0FC04713058401EFE0D2 +:101FF0002FD8130B44005A85EFE08FD75C5483260B +:102000000A00DA85139527003E950A052A8756951A +:10201000E3FDF6FA17E701002326F754EFE0CFD0EF +:10202000832709005854DC57E36BF7FA97E7010060 +:1020300023A437536DB701449C4081CB97E701003F +:102040009387C7539C43FC4395E72285F240624443 +:10205000D2444249B249224A924A024B05618280E7 +:1020600017E701001307875114431843F842050787 +:10207000F8C205B7EF400034C9BF17E401001304EC +:10208000E44D1C4095C31C40054999CF193B09C537 +:1020900097E7010023A2274D1C40FD1717E7010019 +:1020A000232EF74A1C40FDF397E701009387C74AA8 +:1020B00098438547E311F7F8EFE04FB50544ADBF0E +:1020C00011CD81E57370043001A017E701001307FB +:1020D000A7471C4399C77370043001A07370043084 +:1020E00001A0411122C406C614431841850617E613 +:1020F0000100232BD64497E601009386E6469442DE +:102100003304B70000C163F7E602637FE40063FDB8 +:10211000860097E701009387674688431105EFE043 +:102120002FC52285EF40C0221D3D01C9B240224487 +:1021300041018280E36FE4FCD9BF2244B2404101F7 +:102140006FE0CFAC11C917E701001307E73F1C434D +:1021500091C77370043001A06FE04FAB411106C608 +:1021600022C41843AA87050797E6010023AEE63C80 +:1021700097E601009386864017E701001307C73EE4 +:10218000184388423304F7001105EFE06FBE228543 +:10219000EF40001CE93311E52244B24041016FE0F9 +:1021A000EFA6B240224441018280411122C406C6FA +:1021B00097E70100938747399C430144850717E758 +:1021C00001002323F73897E701009387273A9C43C0 +:1021D00063E0F51217EE0100130E4E5697E2010070 +:1021E0009382424901440147854F1303500A21A0BD +:1021F000311E638DC20B83278EFFBA86F5DB8327E2 +:10220000CEFFDC43232EFEFE638AC70F03AFC70059 +:10221000DC432A97814E232EFEFE6385C70783A8E1 +:10222000C70093874803232017015CC383A7880452 +:102230002326F7011CC783A7C8021CCB83A7080568 +:10224000232C07005CCB03A8080383470800639096 +:102250006704C287850783C60700B3850741E38B00 +:1022600066FE8981C205C181231EB700850E130752 +:10227000070263061F038327CEFFDC43232EFEFEE7 +:10228000E39FC7F983274E00232EFEFE49BF8145F9 +:10229000231EB700850E13070702E31E1FFD769469 +:1022A00013175400311EBA86E397C2F597E7010071 +:1022B0009387872C03AE070083270E00639D070ECC +:1022C00097E701009387072B03AE070083270E00D3 +:1022D0006392071817EE0100130E8E3A83274E10F3 +:1022E0009DE383278E116395072019C223200600E2 +:1022F000BD312285B24022444101828083274E00B5 +:10230000232EFEFE21B783278E1017EF0100130F37 +:10231000EF47DC4317E701002328F7466380E733E4 +:1023200083A2C700DC43AA96814E17E70100232D44 +:10233000F744914F1303500A6388E70783A8C70047 +:102340009387480323A01601DCC283A7880423A631 +:10235000F6019CC683A7C8029CCA83A7080523ACC4 +:102360000600DCCA03A80803834708006391672AB4 +:10237000C287850703C70700B3850741E30B67FEE4 +:1023800093D72500C207C183239EF600850E93864E +:1023900006026386122983278E10DC4317E70100AB +:1023A0002324F73EE39CE7F983270E1117E701008A +:1023B000232CF73C61B783274E00130F8E00D443C4 +:1023C0002322DE006388E629B307E500D84283A212 +:1023D000C600814E2322EE00894F1303500A630684 +:1023E000EF068328C7001387480323A01701D8C32B +:1023F00003A7880423A6F70198C703A7C80298CBB0 +:1024000003A7080523AC0700D8CB03A8080303479C +:1024100008006318671C4287050783460700B30559 +:102420000741E38B66FE13D7250042074183239EB5 +:10243000E700850E93870702638D121B83264E00EB +:10244000D8422322EE00E31EEFF80327CE0023221A +:10245000EE0041BF83274E00130F8E00DC43232282 +:10246000FE006384E71F83A2C700DC43AA96814E67 +:102470002322FE00894F1303500A6306FF0683A838 +:10248000C7009387480323A01601DCC283A78804F2 +:1024900023A6F6019CC683A7C8029CCA83A7080589 +:1024A00023AC0600DCCA03A8080383470800639630 +:1024B0006710C287850703C70700B3850741E30B91 +:1024C00067FE93D72500C207C183239EF600850EC1 +:1024D00093860602638B120F83274E00DC43232270 +:1024E000FE00E31EFFF88327CE002322FE0041BF3B +:1024F0008327CE1197EE0100938E8E2ADC4317E7D7 +:102500000100232DF7286384D71383AFC700DC4372 +:102510003307D500014397E6010023A1F6280D4FAC +:1025200089429308500A6387D707C8479307450332 +:1025300008C35CC33C452326E7011CC75C551CCB84 +:102540001C5599C3232657003C49232C07005CCB1C +:10255000032805038347080063951705C28785078D +:1025600083C60700B3850741E38B16FF93D7250089 +:10257000C207C183231EF700050313070702638AFE +:10258000AF028327CE11DC4397E6010023A8F62093 +:10259000E39DD7F983274E1297E6010023A0F6208A +:1025A00069B78147231EF700050313070702E39A63 +:1025B000AFFC1A94E31C06D225BB8147239EF6008C +:1025C000850E93860602E39912F117EE0100130EB1 +:1025D0002E0B83274E10769493165400E38307D076 +:1025E0001DB30147239EE700850E93870702E397FB +:1025F00012E597E701009387E7F703AE07007694AB +:102600009316540083270E00E38607CCA1B58147BB +:10261000239EF600850E93860602E39E12D783273B +:102620008E11769493165400E38107CCD1B583279D +:102630004E1217E701002323F716C1BD83270E11A1 +:1026400017E701002322F714E1B98327CE002322E4 +:10265000FE0011BD8326CE002322DE00B5B37971C2 +:1026600026D24AD04ECE52CC56CA5AC85EC606D6DC +:1026700022D497E90100938929ED17E90100130994 +:10268000290017EA0100130AAAED97EA0100938ACC +:10269000EAEE97EB0100938B6BED97E401009384D6 +:1026A00024ED054B21A883A70900032449108507C1 +:1026B00017E70100232AF7E85D3011E89C40E5F7B1 +:1026C00083270900E37CFBFEEFD05FD4C5BF7370A6 +:1026D000043083270A00B9E383270911C047130593 +:1026E0004400EFD0FFE883A70B00FD1717E70100B8 +:1026F000232EF7E69C40FD1717E70100232AF7E693 +:1027000083270A0089C783A70A00FC4385E308588A +:10271000EF1050042285EF10F0034DB703A70A0015 +:1027200083A70A007C4385077CC37DB703A70A0003 +:1027300083A60A007C43FD177CC3FC42E9FB73605F +:102740000430F1B797E70100938707E09C43DDE78A +:10275000411106C622C426C297E7010023AE07DE58 +:1027600017E70100130787E11C43B7A6A5A59386C9 +:10277000565A9C5B8C43639BD500D0436318B600CC +:1027800094476395C600DC476388D70008430C4331 +:1027900093854503EF20807317E70100130787DC5B +:1027A000144317E401001304A4ED11A81C43A9CF9E +:1027B0001C43FD1797E6010023A6F6DA144393970E +:1027C0002600B6978A07A2979C43EDD30843931738 +:1027D0002500AA978A073307F4005443A107A2975C +:1027E000C44244C36385F402DC44B240224417E788 +:1027F00001002325F7D8924441018280854717E7DD +:102800000100232BF7D482807370043001A0C440F0 +:10281000D145EF307026229544C1F9B701114AC85D +:1028200006CE22CC26CA4EC62A897370043097E49D +:1028300001009384E4D29C4017E40100130404D403 +:10284000D9E76314090003290400930949004E8560 +:10285000EFD01FD28327890289C713058901EFD0E2 +:102860003FD1CE8517E50100130505F3EFD0DFCB8F +:102870009C4081C71C40FC43ADE31C40638B270791 +:102880009C409DCF737004309C40C1EB97E70100E2 +:10289000938787CE9843184325EBFD5717E7010030 +:1028A0002326F7CA9C4089CF1C40FC4391CB18409B +:1028B00014407C43FD177CC3FC4299E373600430F1 +:1028C000F2406244D2444249B249056182801840D4 +:1028D0001C407C4385077CC3ADB7184014407C4343 +:1028E000FD177CC3FC42D1FB736004301C40E399AC +:1028F00027F99C40A1C397E701009387E7C49C4355 +:10290000ADCB7370043001A09C43DC47DC47DC4353 +:1029100017E70100232CF7C271B718401C407C4315 +:1029200085077CC397E70100938707C59843184341 +:102930002DD7D9BF97E70100938747C317E7010059 +:10294000130707D49C4303278711631DF700F24048 +:10295000624497E7010023A307C2D2444249B24927 +:10296000056182806244F240D2444249B249056125 +:10297000D1BB6244F240D2444249B24905616FD0B2 +:10298000FFA83DC9411126C297E40100938404BF0A +:102990004AC02E898C4006C622C4E105EFD05FBA3A +:1029A00088401105EFD0DFBCFD57630AF90497E7B3 +:1029B0000100938767BB804398409C434A9440C37F +:1029C000636CF40497E70100938707BB88438C404E +:1029D0009105EFD0FFB697E70100938727B79C4397 +:1029E0006376F40097E7010023A287B6B240224441 +:1029F00092440249410182807370043001A08C40EE +:102A00002244B2409244024917E501001305C5D89B +:102A1000910541016FD05FB197E70100938787B5BA +:102A2000884322448C40B2409244024991054101BE +:102A30006FD01FB111C997E701009387E7B09C439E +:102A400099E77370043001A07370043001A0411144 +:102A500026C297E40100938464B29840AE878C400C +:102A6000B7060080D58F06C622C41CCFE10532848C +:102A7000EFD09FAB88401105EFD09FAFFD57630E9D +:102A8000F40097E70100938727AE8843B240924451 +:102A90002295224441016F30B00B8C402244B24059 +:102AA000924417E50100130525CF910541016FD030 +:102AB000BFA725CD411126C297E40100938404AC41 +:102AC0004AC02E898C4006C622C4E1053284EFD06C +:102AD000BFA588401105EFD0BFA98547630AF4045C +:102AE00097E70100938747A8804398409C434A9406 +:102AF00040C3636CF40497E701009387E7A788431A +:102B00008C409105EFD0DFA397E70100938707A4DE +:102B10009C436376F40097E7010023A987A2B240A3 +:102B2000224492440249410182807370043001A022 +:102B30008C402244B2409244024917E5010013053B +:102B4000A5C5910541016FD03F9E97E7010093878E +:102B500067A2884322448C40B240924402499105C6 +:102B600041016FD0FF9D411106C622C426C25C45BB +:102B7000C04735CC930484012685EFD07F9F97E72B +:102B800001009387679C9C43B5E793044400268526 +:102B9000EFD01F9E97E701009387C79C58549C4332 +:102BA00063F6E70097E7010023AEE79A9317270043 +:102BB000BA978A0717E50100130585ACA6853E95EF +:102BC000EFD09F9697E701009387479B9C4358540B +:102BD0000145DC5763F8E700854717E70100232D1F +:102BE000F7960545B24022449244410182807370B9 +:102BF000043001A0A68517E50100130565B7EFD0E5 +:102C0000BF92C9B797E70100938707949C4381E778 +:102C10007370043001A0411106C622C426C2B70752 +:102C200000804045CD8F1CC12DC4EFD07F9493040C +:102C300044002685EFD0DF9397E7010093878792C2 +:102C400058549C4363F6E70097E7010023ACE790F4 +:102C500093172700BA978A0717E50100130545A2C5 +:102C60003E95A685EFD05F8C97E70100938707918B +:102C70009C4358540145DC5763F8E700854717E744 +:102C80000100232BF78C0545B240224492444101B8 +:102C900082807370043001A011CD97E70100938703 +:102CA000678B984397E701009387078C9C4318C173 +:102CB0005CC182807370043001A055C1D1CD411137 +:102CC00006C622C42A877370043097E801009388EF +:102CD000288983A7080091CB97E701009387078A8B +:102CE00094439C43FC428507FCC217E30100130395 +:102CF000A3879C4103260300FD560144638FD7023E +:102D000017EE0100130E0E8503250E0003280700A1 +:102D100054436305A80005446371D6023308D640C6 +:102D20000544637CF80003250E00918F0326030001 +:102D3000B6979CC108C350C3014483A7080081CB48 +:102D400097E70100938787839C43FC4381EF2285AB +:102D5000B2402244410182807370043001A073703C +:102D6000043001A0EF300065DDB7854717D70100BB +:102D70002324F77E828019C16845828001458280C4 +:102D800011C16CC5828097D701009387277F884344 +:102D9000828097D701009387A77C9C43054589CB08 +:102DA00097D701009387477A8843133515000605A6 +:102DB000828031CD011126CA97D401009384047C0E +:102DC0009C4006CE22CC4AC84EC65855DC57637983 +:102DD000F7021C4D63C707009C40D457A947958F45 +:102DE0001CCD93172700BA97584917E9010013091A +:102DF00029898A07CA97630DF7009C40DC575CD588 +:102E0000F2406244D2444249B249056182808280E4 +:102E1000930945002A844E85EFD08FF59C4017D743 +:102E20000100130727741843DC575CD46376F7005E +:102E300017D701002328F772139527003E956244A7 +:102E40000A05F240D244CE854A95B249424905610D +:102E50006FD08FED19CD411106C622C426C297D777 +:102E600001009387A77180436307A4007370043047 +:102E700001A0014582807C4881E77370043001A085 +:102E800054543848FD177CC86383E60099C7014550 +:102E9000B24022449244410182809304440026853A +:102EA000EFD00FED284897D701009387A76B984381 +:102EB000A947898F48D41CCC6376A70097D7010017 +:102EC00023A2A76AD145EF30203B97D70100938713 +:102ED000277B3E95A685EFD02FE5054555BF73703E +:102EE000043097D701009387A7679C4391CB97D76E +:102EF00001009387A76898439C437C4385077CC364 +:102F0000828097D701009387A7659C438DC397D78D +:102F100001009387A7669843784311CB9843944365 +:102F20007C43FD177CC3FC4299E3736004308280CC +:102F300097D7010093878764904394439843A947A8 +:102F4000D456084E958F1CCF828097D70100938767 +:102F5000E762984309C79443F84A0507F8CA8843CB +:102F6000828079714AD006D622D426D24ECE2A89C2 +:102F70007370043097D401009384845E9C4017D40E +:102F800001001304A45FBDE31C40BC4F89E71C4053 +:102F90000547F8CFD1E19C4081C71C40FC43F1EBD1 +:102FA000737004309C408DEF1C40A84F11C5630422 +:102FB00009021C4023AC07041C4023AE07049C40BC +:102FC00081C71C40FC438DEBB250225492540259ED +:102FD000F2494561828018403C4FFD173CCFE9BF64 +:102FE00018401C407C4385077CC37DBF18401C40B3 +:102FF0007C4385077CC349BF184014407C43FD17C0 +:103000007CC3FC42F1F373600430B25022549254FA +:103010000259F2494561828008402EC61105EFD061 +:103020002FD5B245FD576380F50697D701009387EA +:10303000A75383A9070018409C43AE992322370168 +:1030400063ECF90497D701009387075388430C403A +:103050009105EFD0EFCE97D701009387274F9C4380 +:1030600063F6F90097D7010023A2374FEFD00FBACC +:103070001DB7184014407C43FD177CC3FC428DF300 +:103080007360043031BF0C4017D501001305C570C3 +:103090009105EFD06FC9D9BF97D701009387874DAE +:1030A00088430C409105EFD0AFC9C9B779714AD0B8 +:1030B0004ECE06D622D426D252CC2E89B289737037 +:1030C000043097D401009384A4499C4017D4010094 +:1030D0001304C44AF9EF18408947784F630CF7008E +:1030E0001C401345F5FFB84F798DA8CF1C4005470C +:1030F000F8CFADE69C4081C71C40FC43F5E37370FC +:1031000004309C40B1E7638609001C40BC4F23A0FB +:10311000F900184085470145784F630BF7001C40C4 +:103120001349F9FF0545B84F3379E90023AC27056A +:103130001C4023AE07049C4081C71C40FC43C1E3F4 +:10314000B250225492540259F249624A4561828037 +:1031500018401C407C4385077CC375B7084036C6C1 +:103160001105EFD0EFC0B246FD576385F60897D73B +:1031700001009387673F03AA070018409C43369AD3 +:10318000232247016363FA0897D701009387C73E5C +:1031900088430C409105EFD0AFBA97D701009387D1 +:1031A000E73A9C436370FA0697D7010023A0473B98 +:1031B00091A818401C407C4385077CC329BF184058 +:1031C00014407C43FD177CC3FC42BDFB736004309C +:1031D000B250225492540259F249624A45618280A7 +:1031E000184014407C43FD177CC3FC4289FB73608C +:1031F000043031B70C4017D501001305E55991058E +:10320000EFD08FB2EFD08FA0F5B597D7010093879D +:10321000673688430C409105EFD08FB2E5B751C1B6 +:10322000411106C622C426C24AC07370043097D426 +:1032300001009384E4329C40B9EB99C23C4D9CC29E +:10324000B2878946704D74CD2E872A846386D7064F +:1032500063FCF6048D456387B70091456395B7001D +:103260006300D60C38CC8547630FF60405449C40B8 +:1032700081CB97D70100938767309C43FC43A9E338 +:103280002285B2402244924402494101828097D76C +:1032900001009387A72E98439C437C4385077CC3FA +:1032A00069BF7370043001A08546E39ED7FA3C4D98 +:1032B00033E7B70038CD45BF3C4D85073CCD65B7FA +:1032C000EF30400F75BF130944004A85EFD04FAA75 +:1032D00097D701009387072948549C4363F6A700BA +:1032E00097D7010023A0A728D145EF20F07897D7E2 +:1032F00001009387E7383E95CA85EFD0EFA21C54B2 +:1033000081C77370043001A097D701009387072706 +:103310009C435854DC57E3FBE7F4EFD02F8FB9B749 +:103320000144B1B739CD011122CC26CA4AC84EC6D4 +:1033300052C456C206CEBA89368AB2842E892A84ED +:10334000EFD0AF98AA8A63050A003C4C2320FA000C +:10335000784C89477CCC6389F40263F4970C8D46E2 +:103360006387D40091466396D400630AF70A232C3E +:1033700024058547631EF7061C5499CB73700430EF +:1033800001A07370043001A03C4C85073CCCD5B73C +:1033900097D701009387471B9C43ADEB93044400F0 +:1033A0002685EFD0EF9C97D701009387A71B485441 +:1033B0009C4363F6A70097D7010023A5A71AD14520 +:1033C000EF20906B97D701009387872BA6853E95BA +:1033D000EFD08F9597D701009387471A9C43585495 +:1033E000DC5763F7E70063850900854723A0F900F0 +:1033F00005445685EFD00F8D2285F2406244D244B9 +:103400004249B249224A924A056182809305840169 +:1034100017D501001305C535EFD00F9165BF0144E5 +:10342000C9BF8547E397F4F43C4C33E92701232CCB +:10343000240581B74DC1011122CC26CA2A844EC66B +:1034400006CE4AC8AE84EFD04F888947744C7CCCF6 +:103450003C4C0547AA8985073CCC6395E6061C547D +:1034600081C77370043001A097D701009387C70DFF +:103470009C43ADE7130944004A85EFD06F8F97D77F +:1034800001009387270E48549C4363F6A70097D703 +:10349000010023A9A70CD145EF20105E97D70100AA +:1034A0009387071ECA853E95EFD00F8897D70100F6 +:1034B0009387C70C9C435854DC5763F5E70099C0C9 +:1034C00085479CC06244F240D24442494E85B2498D +:1034D00005616FC03FFF7370043001A09305840144 +:1034E00017D501001305C528EFD00F84C1B7AA87EF +:1034F00029C57370043017D70100130767061443FA +:103500009DE2F04F894601456315D60023AE0704BE +:1035100005451C4381CB97D7010093872706984325 +:10352000784315E3828097D6010093862605904262 +:1035300094427442850674C2E9B797D70100938715 +:10354000E7039C437DB7984394437C43FD177CC3BA +:10355000FC42E9FB7360043082805D71A6C2CAC080 +:1035600052DC56DA5AD85ED686C6A2C44EDE62D483 +:1035700066D26AD017D901001309490117DB01008F +:10358000130B0B0097D401009384C4FF97DA01005A +:10359000938A8AFF054AA54B832709009843630154 +:1035A0000712DC4783A90700EFE06FF6EFE02FF783 +:1035B00083270B002A8C6362F50A97D7010023A1A9 +:1035C000A7FC01466370351B8840B3858941EFD065 +:1035D000DFBDEFE0DF9863010512884081460146B8 +:1035E0002C00EFD0EFEB4DD9A247E3C807FEC2494C +:1035F00083A7490189C713854900EFC07FF7EFE032 +:103600000FF283270B002A8C636DF508A24717D7AA +:103610000100232787F7E3E2FBFCB317FA0013F757 +:103620000721631A071613F7770C6318071E93F721 +:103630000702C5D74E85EF00F01145B7DC47C047FC +:1036400083AC0700930944004E85EFC07FF25C50C5 +:10365000228582975C4C6384470B8327090098433B +:1036600071FF03A70A0097D6010023AB86F197D616 +:10367000010023ABF6F097D7010023A9E7F0EFE0B4 +:103680001F8EA1BFDC47C04703AD0700930C440069 +:103690006685EFC0FFED5C50228582975C4C6386A7 +:1036A000470983270900984371FF03A70A0097D6AB +:1036B000010023ABF6EC97D7010023A9E7ECB9B7DB +:1036C000EFE0EFE4EFE0AFE583270B002A8CE36641 +:1036D000F5F883A70A00884081499043B385894162 +:1036E00017D70100232E87E913361600EFD0FFAB62 +:1036F000EFE0FF86E31305EEEFC05FD1F9BD1C4C90 +:10370000CE85E69763E9FC049C4089CF02CA66CC6B +:1037100022CEEFF00FE88840814601464C08EFD0FA +:103720000F8805FD7370043001A01C4CE685EA97F4 +:10373000636CFD049C4089CF02CA6ACC22CEEFF0B4 +:103740004FE58840814601464C08EFD04F8531F95E +:103750007370043001A0032509005CC000C8EFC0ED +:103760003FDEE5BDEFE0AFFF83270900DC47C04740 +:10377000930C44006685EFC0BFDF5C4C638F47034A +:103780005C502285829791BD032509005CC000C86A +:10379000EFC01FDB39B7B24723ACF900A5CFE297E2 +:1037A00023A2F90023A8390193854900636FFC0423 +:1037B00003A50A00EFC0DFD80DB51C4C00C8338745 +:1037C000F90058C0636DEC02330C3C416363FC02AA +:1037D0009C4089CF02CA4ECC22CEEFF08FDB8840CE +:1037E000814601464C08EFC09FFB59F973700430C5 +:1037F00001A003A50A00E685EFC09FD451B70325B9 +:103800000900E685EFC0DFD3A5BF03250900EFC09F +:103810003FD3E1B37370043001A0B24783A689019E +:1038200023A839013387D70023A2E9006364EC049D +:10383000B307FC4063EBD70483A749024E85829708 +:1038400083A7C901E39B47D983A68901B247984062 +:10385000B69711CF02CA3ECC4ECEEFF08FD3884040 +:10386000814601464C08EFC09FF3E31805D67370FC +:10387000043001A06374FC00E370F7FC0325090029 +:1038800093854900EFC0DFCB89BB03A50A00938570 +:103890004900EFC0FFCA91B3411122C406C626C237 +:1038A0004AC017D401001304E4CDEFF04FE31C40ED +:1038B00095CBEFF00FE51C409DC38148014881473F +:1038C000094781461306004097D50100938505AF4F +:1038D000170500001305A5C8EFD05F9A39E973708A +:1038E000043001A017D90100130949EC4A85EFC043 +:1038F0001FC297D401009384A4EC2685EFC03FC17A +:103900000146B145114597D7010023A127C997D793 +:10391000010023AB97C6EFC07FDB17D7010023233D +:10392000A7C611CD97D501009385C5A8EFD0AFFCF0 +:1039300049B7B240224492440249410182807370E7 +:10394000043001A0A5C9797156CAAA8A1305C0021C +:1039500022D426D24AD04ECE52CC06D65AC85EC603 +:103960003A89B689328AAE84E9212A8405C9EFF002 +:103970000FD797D701009387E7C09C439DCFEFF007 +:103980004FD8130544002320540104CC232E4401B6 +:103990002320340323222403EFC0BFB82285B25072 +:1039A000225492540259F249624AD24A424BB24BD3 +:1039B000456182807370043001A097DB0100938B16 +:1039C000EBDE5E85EFC0BFB417DB0100130B4BDFEE +:1039D0005A85EFC0DFB30146B145114597D70100C5 +:1039E00023A677BB97D7010023A067BBEFC01FCEEC +:1039F00017D701002328A7B801C997D501009385DF +:103A0000659BEFD04FEFA5BF7370043001A039C59F +:103A1000011122CC06CE26CA17D40100130484B6A5 +:103A2000832804002A88014563850802BA842EC2CF +:103A3000368732C442C6954663C5B602EFF06FB50D +:103A40008947630BF5020840814601464C00EFC0F0 +:103A50001FD5F2406244D244056182807370043005 +:103A600001A04C0081463A864685EFD08F88F2400F +:103A70006244D244056182800840814626864C001B +:103A8000EFC0FFD1F9B719C10841828073700430CB +:103A900001A001E57370043001A0411106C622C4E3 +:103AA0002A84EFF0CFC348483334A000EFF06FC54D +:103AB0002285B24022444101828001E573700430C6 +:103AC00001A0411122C42A8406C6EFF04FC1005064 +:103AD000EFF02FC3B240228522444101828001E5EC +:103AE0007370043001A0411122C426C22E84AA841E +:103AF00006C6EFF0CFBE80D02244B24092444101CE +:103B00006FF02FC04111714522C406C61D262A84BC +:103B100011C5232005001105EFC07F9F2285B2400B +:103B200022444101828001CDB70700FFED8F81C79C +:103B30007370043001A099E57370043001A07370B4 +:103B4000043001A0011122CC4AC84EC652C456C24C +:103B500006CE26CABA893689B28A2E842A8AEFF01E +:103B60004FA311E5638509007370043001A0EFE0F5 +:103B70000F9A83240A00B37794006316090295C74D +:103B800063870A009347F4FFE58F2320FA00EFE0F4 +:103B90000FBDF240624426854249D244B249224ACE +:103BA000924A05618280E30DF4FCE38209FEB3359D +:103BB0005001E20563050900B7070004DD8D4E865C +:103BC000C18D13054A00EFE0FFE6EFE04FB919E1C0 +:103BD000EFC0DF83EFF0CFB593176500AA8963D8F4 +:103BE0000700B7040001FD14B3F499005DB7EFF0CE +:103BF0000FAF83290A00B3773401631E090089CB14 +:103C000063880A009347F4FFB3F737012320FA00D3 +:103C1000EFF02FAFF9B7E31DF4FEDDB701C9B70729 +:103C200000FFED8F99C77370043001A073700430EA +:103C300001A0411122C42A8406C626C24AC02E8988 +:103C4000EFF0EFA904409345F9FFB3F795001CC0CE +:103C5000EFF02FABB2402244268502499244410145 +:103C60008280411122C42A8406C6EFC01F8600400C +:103C7000EFC05F85B240228522444101828009C99C +:103C8000370700FFB3F7E50099C77370043001A050 +:103C90007370043001A06F20A073B70700FFF18F8D +:103CA00081C77370043001A001E67370043001A075 +:103CB000011122CC4AC84EC652C406CE26CA368945 +:103CC000AE892A8A3284EFF0CF8C21E163050900A6 +:103CD0007370043001A0EFE08F8383240A00CE8547 +:103CE0005285B3E49900613FB37794006307F4060B +:103CF00083240A00EFE0AFA6F240624426854249E1 +:103D0000D244B249224A05618280EFE04F80832489 +:103D10000A00CE855285B3E434019537B3F78400A9 +:103D2000638D8702E30609FCB70500054A86C18D4D +:103D300013054A00EFE01FD0EFE06FA219E1EFC0DA +:103D4000EFECEFF0EF9E93176500AA8463DF0700A6 +:103D5000B7070001FD17FD8C45B783270A00134400 +:103D6000F4FFE18F2320FA0071B7EFF04F9783241F +:103D70000A00B3779400639787009347F4FFE58FB9 +:103D80002320FA00EFF0EF97E1B7411122C406C6F5 +:103D90002A8426C2EFD0BFF75C4085C3084893044D +:103DA000C4006316950015A0084863009502B70586 +:103DB0000002EFE03FE55C40E5FB2285612A2244FA +:103DC000B240924441016FE08F997370043001A0BA +:103DD00009C9370700FFB3F7E50099C773700430CE +:103DE00001A07370043001A06F20805E01C9B70785 +:103DF00000FFED8F99C77370043001A07370043019 +:103E000001A0411122C426C206C62A84AE84EFF066 +:103E10000F8D184093C7F4FFF98F1CC02244B240A5 +:103E2000924441016FF0EF8D19C1084D8280014528 +:103E30008280411122C406C62A84EFD05FED97C765 +:103E400001009387E7749C43A1EBE56717D7010056 +:103E500013078798F11797C6010023A1E67417C7C7 +:103E60000100232BF77217D701002329F79697C774 +:103E700001009387277217D70100232FF7948547F6 +:103E800017C70100232E077017C7010023240770EE +:103E900017C70100232EF76E19E8EFE04F8CEF10E3 +:103EA000600201442285B240224441018280130510 +:103EB000840093773500C1EFE5671307F5FFE91735 +:103EC000E3EDE7FC97C601009386466D9C4229A06E +:103ED000984311C7BE86BA87D843E36BA7FE17C7BE +:103EE00001001307276BE38AE7FA984341461384DE +:103EF000870098C2D843B306A7406378D6023388B8 +:103F0000A7002322D800C8C38325480097C6010014 +:103F10009386C66811A0BA8698425043E36DB6FEF8 +:103F20002320E80023A00601D84397C70100938708 +:103F3000276A9C43998F17C70100232BF768EFE08E +:103F40000F8231DC2285B240224441018280719986 +:103F500011059DB729C9411122C406C62A84EFD094 +:103F60001FDB930584FF0326C4FF17C70100130757 +:103F7000E76211A03E871C43D443E3EDC6FE232C29 +:103F8000F4FE0CC397C70100938787649843832787 +:103F9000C4FF2244B240BA9717C70100232AF76230 +:103FA00041016FD0DFFB828097C701009387476292 +:103FB0008843828082803367B5000D8BAA871DE716 +:103FC0009308D6FFAA982A87AE866371150303A8C3 +:103FD000060011079106232E07FFE36A17FF934798 +:103FE000F5FFC697F19B9107BE95AA972A9663F3B2 +:103FF000C70A9386450013884700B3B6D70033B885 +:10400000050133E7F50093C61600134818000D8B21 +:10401000B3E6060113371700758F330EF64093860B +:10402000170029CF1337AE0031EB13532E002E8823 +:10403000BE860147832808000507110823A0160142 +:104040009106E36967FE1377CEFFBA95BA976302CC +:10405000EE0483C60500138717002380D700637A18 +:10406000C70283C6150013872700A380D700637299 +:10407000C70203C725002381E7008280B307F6400B +:10408000AE97850503C7F5FF8506238FE6FEE39A05 +:10409000F5FE82808280B367C5008D8BB308C500B2 +:1040A000E9C36371150FB307A0408D8B13873700E9 +:1040B000954693F5F50F130815003383A8407D1638 +:1040C0006373D70015476363E60CD5CF2300B500B3 +:1040D0000547638DE700A300B5000D4713082500D1 +:1040E0006396E700130835002301B5001396850099 +:1040F0004D8E13970501518F3303F340939685013D +:10410000D98E13562300AA97014794C30507910738 +:10411000E36DC7FE1377C3FFB307E8006307E30649 +:104120002380B70013871700637C1703A380B700B1 +:1041300013872700637617032381B700138737009F +:1041400063701703A381B70013874700637A1701D1 +:104150002382B7001387570063741701A382B70047 +:10416000828093F5F50F13978500D98D139705017C +:104170004D8FE37715FFAA87910723AEE7FEE3EDA6 +:1041800017FF828082802A8895B78280AA8749BFDC +:10419000834705002A8799C7050583470500EDFF7A +:1041A000198D82800145828005058347F5FF8505CD +:1041B00003C7F5FF91C7E389E7FE3385E7408280B7 +:1041C0008147E5BFAA87850503C7F5FF8507A38F4C +:1041D000E7FE75FB82808346050093070002639724 +:1041E000F600050583460500E38DF6FE938756FD30 +:1041F00093F7D70F85C7AA87814585CE01458507E7 +:10420000138606FD1317250083C607003A95060599 +:104210003295F5F699C13305A0408280938536FD2D +:104220008346150093B5150093071500E1FA014583 +:10423000D5B7014582809C412380A7009C4185071A +:104240009CC1828097C601009386C6359C42175751 +:1042500003001307275B13861700BA972380A70074 +:1042600097C5010023A0C534A9476308F50093074B +:1042700000046305F6000145828075DE370610F004 +:1042800005078347F7FF2320F6F09C42FD1797C5EB +:10429000010023A9F530EDF7014582804D712322FD +:1042A000911423202115232A51132324811323261B +:1042B000111423248114232E3113232C411323287A +:1042C000611323267113232291132320A113232E7C +:1042D000B111AA842E8932C6130C5002A54A834715 +:1042E000090063808703B9CB804011A0A1CB2300D4 +:1042F000F40080400509050480C083470900E39766 +:1043000087FF0346190093081900C686130D0002A3 +:10431000FD597D5A0148130550059307D6FD93F7C3 +:10432000F70F138916006363F52A17C701001307F7 +:1043300067CD8A07BA979C43BA9782878320C114B6 +:104340000324811483244114032901148329C113F4 +:10435000032A8113832A4113032B0113832BC112D8 +:10436000032C8112832C4112032D0112832DC111C4 +:1043700071618280A14C02C4854763D50715B2479D +:104380008040938B770093F68BFF03AB060083A9E5 +:104390004600938786003EC6668681465A85CE854E +:1043A000EF10901D2AC8814763853723930D410183 +:1043B000854B668681465A85CE85EF10203E814624 +:1043C00066862A8BAE89EF10301BA24723A0AD0072 +:1043D00093861B00910D63843701B68BD9BFE37EB2 +:1043E0009BFF63DD4601A2872380A70180407D1AE1 +:1043F000930714009CC03E84E3C846FF93962B00AD +:104400001C08BE9631A8938777052300F4008040EE +:10441000FD1B93871B00050480C0F116E351F0ECEF +:104420009C42E3E2FAFE93870703C5B79C409306DC +:10443000000332472380D7009C4093064700C14CBD +:104440001386170090C013068007A380C700804022 +:1044500002C4BA87050480C003AB0700814936C691 +:1044600025BFB24783AC0700938B470063840C1CC5 +:10447000635F40199307D0026319FD1683C70C00D0 +:1044800085C363DC09189440850C7D1A2380F600EF +:104490008040050480C083C70C00E5F7635D4001E0 +:1044A0008040930700022300F40080407D1A050439 +:1044B00080C0E31A0AFE5EC61DB502C48547A94C3A +:1044C000E3CF07EBB24780409386470071B703C63E +:1044D0001600CA8699B59C40930650022380D700E7 +:1044E0008040050480C0E5BBC14C02C471B5B24731 +:1044F00003C61600CA8683A9070091073EC6E35E7D +:104500000AE04E8AFD5911BD03C61600130DD002F4 +:10451000CA8621B59347FAFFFD8703C61600337A92 +:10452000FA00CA86DDBB03C61600130D0003CA8657 +:10453000EDB383C51600930906FDCA86938705FD72 +:104540002E86E3EEFAFA93972900BE99850686092E +:10455000AE9983C50600938909FD938705FD2E86D4 +:10456000E3F3FAFE69BF32479C40144311073AC691 +:104570002380D7008040050480C095B3854763D36E +:10458000070BB24793867700E19A938786003EC671 +:1045900083A946009C4263DC090A94401306D002BA +:1045A000B307F0402380C6008040B336F000B30963 +:1045B0003041050480C03E8BB389D940A94C02C468 +:1045C000E1BB03C616000508CA8681BB9C4046892C +:1045D00021B7E37D9BDD8547814B8546E3C547E1F8 +:1045E00031BD97CC0100938CECDDCE856685EF0064 +:1045F0003043330AAA40635C400180402300A40199 +:1046000080407D1A050480C0E31A0AFE014A83C770 +:104610000C00E38207EAE3C809E6FD197D57E3943D +:10462000E9E6ADBDB247938647009C4336C693D9B1 +:10463000F74195B7635640019307D002E313FDFAA3 +:1046400097CC0100938C0CD8930780021DBD3E8B44 +:10465000A94C02C4804089B34D71232E3113B70990 +:1046600000409387F9FF2324811423229114232CE3 +:104670004113232A51132326111423202115232803 +:1046800061132326711323248113232291132320E2 +:10469000A113232EB1112A8A2EC417C401001304BA +:1046A00064F0975A0300938AEA1597C40100938433 +:1046B000E4AA3EC693055002294513080004B70634 +:1046C00010F083470A006386B704C1C71840050A83 +:1046D00013061700569797C8010023A5C8EC2300BE +:1046E000F7006382A706E31E06FD175703001307B2 +:1046F000671105078347F7FF23A0F6F01C40FD175D +:1047000017C601002320F6EAEDF783470A00E39E6F +:10471000B7FA83461A0013051A002A87930B000282 +:104720007D5B7D5C014893055005A5489387D6FDC8 +:1047300093F7F70F130A170063E7F5348A07A69774 +:104740009C43A6978287175703001307A70B55F2C0 +:104750008DBF8320C11403248114832441140329B1 +:1047600001148329C113032A8113832A4113032BC4 +:104770000113832BC112032C8112832C4112032DB0 +:104780000112832DC11171618280A14C014D8547B9 +:1047900063DB0719A2479D07E19B83AD070003ABCD +:1047A0004700138787003AC4668681466E85DA859E +:1047B000EF10805C2AC863066D35130941018549F5 +:1047C000668681466E85DA85EF00507D6686814675 +:1047D000AA8D2E8BEF10405A2320A90085091109BC +:1047E000E3106DFFE3FE9DFD13060004B70610F015 +:1047F00063D189031C4013871700D69797C5010022 +:1048000023A2E5DA23807701630CC7047D1CE3C390 +:1048100089FFB2476800A548B386F9008A061C08DC +:10482000BE96294813030004370610F0E384A6E877 +:104830009C429305000363F4F8009305700518404B +:10484000AE9793051700569717CE0100232CBED4C0 +:104850002300F7006380072B638C6526F116F9B7F8 +:1048600017570300130707FA05078347F7FF23A02D +:10487000F6F01C40FD1797C5010023A5F5D2EDF712 +:104880007D1C71B71C40130600041387170097C5E1 +:10489000010023A9E5D0B386FA0093050003238025 +:1048A000B600630FC73C890797C6010023ACF6CE5C +:1048B0005697930680072300D70017570300130766 +:1048C00067F4B70610F0639EC70005078347F7FF3C +:1048D00023A0F6F01C40FD1717C601002324F6CCD8 +:1048E000EDF7A247C14C014D91072247014B832DA3 +:1048F00007003EC455BDA24783AC0700138947009B +:10490000638E0C3A635480219307D002639EFB2E82 +:1049100003C70C00BA856310072025AC8547A94C56 +:10492000014DE3C907E7A2479107C1B7A2478346F4 +:104930001700528703AB070091073EC4E3580CDE13 +:104940005A8C7D5BE5B383461700930BD0025287E8 +:10495000F1BB9347FCFFFD8783461700337CFC00C7 +:104960005287E9B383461700930B000352877DBB40 +:1049700003461700138B06FD5287930706FDB28688 +:10498000E3EEF8FA93172B00DA9705078607B2973C +:1049900003460700138B07FD930706FDB286E3F37A +:1049A000F8FE69BFA2451C4029469841938617002E +:1049B000D69717C501002327D5BE2380E7001389AA +:1049C00045006300C72A930700046387F6204AC4A2 +:1049D000D5B1854763DE0729A24713877700619B1E +:1049E000930787003EC4032B47001C43635A0B30D8 +:1049F0001840130600049306170097C5010023A36F +:104A0000D5BA56979305D0022300B7006387C62C0A +:104A1000B307F0403337F000330B6041BE8D330BEA +:104A2000EB40A94C014D49B3834617000508528756 +:104A3000F5B9834617005287D5B91C409306000488 +:104A40001387170017C60100232EE6B4D697130666 +:104A500050022380C700E31FD7C417570300130772 +:104A600067DAB70610F005078347F7FF23A0F6F0D3 +:104A70001C40FD1717C601002326F6B2EDF71DB93D +:104A8000C14C014D29B31C40930600042A8A1387A8 +:104A9000170017C601002327E6B0D6971306500269 +:104AA0002380C700E318D7C017570300130787D523 +:104AB000B70610F005078347F7FF23A0F6F01C4068 +:104AC000FD1717C60100232FF6ACEDF72A8ADDB6D5 +:104AD00017570300130707D305078347F7FF232062 +:104AE000F6F01C40FD1797C5010023ADF5AAEDF7C0 +:104AF000F1162DBB175703001307C7D0F1FDF116B0 +:104B000035B3E3FC9DCB8549C5B1014C03C70C000F +:104B1000BA85E30E07EAFD58294513080004B706D5 +:104B200010F063570B0A1C4013861700D69717C363 +:104B300001002329C3A62380E7006384A50663043C +:104B40000609850C03C70C007D1CBA8579FBE35070 +:104B500080E91C409305000213060004B70610F01C +:104B600021A07D1CE3050CE613871700D697238050 +:104B7000B70017C501002327E5A2BA87E313C7FED4 +:104B800017570300130707C805078347F7FF23A03C +:104B9000F6F01C40FD1717C501002325F5A0EDF721 +:104BA000C9B7175703001307E7C541DE0507834759 +:104BB000F7FF23A0F6F01C40FD1717C601002323C2 +:104BC000F69EEDF7BDBF175703001307A7C3F9BF44 +:104BD0007D1BE31A1BF5A5BF17570300130787C2F8 +:104BE000B70610F005078347F7FF23A0F6F01C4037 +:104BF000FD1717C601002327F69AEDF74AC45DBCDE +:104C000097BC0100938C0C7CDA856685112D330CE2 +:104C1000AC40E35D80EF1C4013060004B70610F0C3 +:104C200021A07D1CE3030CEE13871700D697238089 +:104C3000770197C5010023A7E596BA87E313C7FE5E +:104C400017570300130707BC05078347F7FF23A087 +:104C5000F6F01C40FD1797C5010023A5F594EDF76C +:104C6000C9B7175703001307E7B9BDFA4AC499B487 +:104C7000A247138747009C433AC413DBF741BDB3F7 +:104C800017570300130707B8B70610F00507834747 +:104C9000F7FF23A0F6F01C40FD1717C601002323E1 +:104CA000F690EDF7854717C70100232DF78E930780 +:104CB0008007175703002307F7B425B16356800117 +:104CC0009307D002E39EFBF297BC0100938C8C6F9C +:104CD00013078002930580023DBD9756030093861B +:104CE00066B2370610F0850603C7F6FF2320E6F00C +:104CF00018407D1797C5010023A6E58A6DF709BB0B +:104D0000BE8DA94C014D4DB4B70710F023A0A7F2FA +:104D100001A03971130341022ED29A8506CE32D4F6 +:104D200036D63AD83EDA42DC46DE1AC63532F24092 +:104D30000145216182805D711303810322D42AC65B +:104D400032DC2A841A86680006D6BEC236DEBAC0B5 +:104D5000C2C4C6C61ACEEFF06FD4B2472380070094 +:104D60003245B250018D225461618280B70710F044 +:104D700083A647F403A607F403A747F4E31AD7FE74 +:104D80008966938686B5B29633B6C600B305E6004B +:104D900023A4D7F423A6B7F48280B70710F003A7A3 +:104DA00047F403A607F483A647F4E31AD7FE8966FF +:104DB000938686B5B29633B6C60023A4D7F4B3055E +:104DC000E60023A6B7F49307000873A0473082805B +:104DD000411122C406C697B701009387277D17B4F7 +:104DE00001001304647C98431C401306F07C930676 +:104DF00047069387470697B5010023A9D57A97B54B +:104E0000010023A3F57A6346D600B2402244410153 +:104E100082801307478997B7010023A9E778F52413 +:104E20008547631FF500184085679387F77601452E +:104E3000E3DDE7FCD13D2244B24041016FC03FECCD +:104E400017B5010013058558E9350945753D22441C +:104E5000B24041016FC0BFEA17B501001305C55844 +:104E60006FF03FEB17B50100130585586FF07FEA2F +:104E7000411122C426C206C6856417B4010013047A +:104E8000A457938784380100FD17F5FF2285EFF0C2 +:104E90005FE8C5BF0945D9A8411106C6BD2405472D +:104EA00081476316E500B2403E854101828017B517 +:104EB00001001305A551EFF0DFE5B24089473E85BB +:104EC000410182807370043001A0828073700430CD +:104ED00001A0011122CC26CA4AC806CE2A84231773 +:104EE00001000149854411A0054950400840814610 +:104EF0009305E100EFB0BF8AE31895FE631A09003D +:104F00001844835707008507C207C1872310F7009D +:104F10008357E10085072317F100C1BF797122D4BF +:104F200026D24AD04ECE06D62A84814981440549EC +:104F30005040084081469305E100EFB07FD6E31969 +:104F400025FF8357E10063859700BE848549CDB76F +:104F50008504639A09001844835707008507C20730 +:104F6000C1872310F700C204C180D9B701114EC612 +:104F7000AA89314506CE22CC26CA4AC8EFE07FEB8B +:104F80002A84014689450545EFB04FF41309803E58 +:104F900097B701009387476308C0232224011CC4EC +:104FA0003145EFE01FE9AA8408404E87A28688C0F9 +:104FB00017B501001305C56088C4814801488147C1 +:104FC0001306004097B501009385454423A20400D1 +:104FD000170500001305C5F4EFC04FAAA686814847 +:104FE0000148014781471306004097B501009385AA +:104FF000654217050000130505EEEFC02FA83145E7 +:10500000EFE03FE32A84014689450545EFB00FEC08 +:1050100097B701009387E75A08C01CC423220400F5 +:105020003145EFE01FE1AA840840A28623A22401B3 +:1050300088C017B501001305455988C48148014847 +:10504000814701471306004097B501009385053D50 +:10505000170500001305C5ECEFC04FA24E87A686CA +:105060008148014881471306004097B501009385A8 +:10507000653B17050000130505E6EFC02FA031457D +:10508000EFE03FDB2A84014689451545EFB00FE488 +:1050900097B701009387075308C0232224011CC43B +:1050A0003145EFE01FD9AA840840A28623A224013B +:1050B00088C017B501001305655188C481480148AF +:1050C000814701471306004097B5010093850536D7 +:1050D00017050000130525E0EFC04F9A6244F24027 +:1050E0004249B249A686D2448148014881470147D6 +:1050F0001306004097B501009385C53317050000DE +:10510000130505E205616FC06F9741114AC222C6BF +:1051100026C44EC017B501001305054B17B8010092 +:105120001308084A83540500835E0500835908006C +:1051300017B901001309C947035E0800035425008D +:105140008315090017B7010013070747035325000C +:10515000831707008353280003162700C2098358CA +:10516000280093D9094183524500C2044204035FD9 +:10517000450083162900835F4800C184B38535410B +:10518000418403174700858F018EB335B000C203F9 +:1051900003154900B305B04093D30341B337F00082 +:1051A0003336C000C202ED8F3306C040B38676406E +:1051B00093D2024193950F01F18FB336D00003567D +:1051C000480033075740C1853244B306D0403337D7 +:1051D000E0000D8D3307E040F58F3335A000F98FE7 +:1051E0003305A04017B701002318D73D17B70100BA +:1051F0002310C73D17B701002311673C17B7010003 +:105200002319173B17B70100231AE73B17B7010013 +:105210002312C73AA244124982497D8D41018280FE +:10522000B305B500930705006386B70003C7070001 +:10523000631607003385A740678000009387170037 +:105240006FF09FFE130101FB23229104232C4103E5 +:1052500023229103232611042324810423202105E2 +:10526000232E3103232A51032328610323267103AC +:10527000232481032320A103232EB101930C0500D5 +:10528000138A05009304000063DE05003305A04087 +:105290003337A000B305B040930C0500338AE540D6 +:1052A0009304F0FF63DA06003306C040B337C00052 +:1052B000B306D040B386F640930A060093890600F1 +:1052C00013840C0013090A006396062817BB01001B +:1052D000130B4BFE6370CA16B70701006372F61416 +:1052E0009307F00F63F4C70093098000B3573601AA +:1052F000330BFB0083470B0013050002B387370114 +:10530000B309F540638C0900B3153A01B3D7FC002B +:10531000B31A360133E9B70033943C0113DB0A01B9 +:1053200093050B0013050900EF00507C130A0500DC +:1053300093050B00939B0A0113050900EF0090767B +:1053400093DB0B019305050013850B00EF00D07272 +:10535000131A0A0193570401B367FA0063FAA7000E +:10536000B387570163E6570163F4A700B38757017A +:105370003389A74093050B0013050900EF00107750 +:10538000130A050093050B0013050900EF00907147 +:105390001314040193050500131A0A0113850B0069 +:1053A00013540401EF00506D33648A00637AA40043 +:1053B00033045401636654016374A400330454013C +:1053C0003304A4403354340193050000638A04007D +:1053D00033048040B3378000B305B040B385F54057 +:1053E000130504008320C1040324810483244104A1 +:1053F000032901048329C103032A8103832A41036A +:10540000032B0103832BC102032C8102832C410255 +:10541000032D0102832DC1011301010567800000E6 +:10542000B707000193090001E362F6EC93098001DC +:105430006FF0DFEB631A0600930500001305100000 +:10544000EF005066930A0500B707010063FAFA0EF1 +:105450009307F00F63F4570193098000B3D73A0123 +:10546000330BFB0083470B001305000233095A413D +:10547000B3873701B309F540E38209EAB39A3A01E9 +:10548000335BFA00B3153A01B3D7FC0093DB0A0192 +:1054900033E9B70013050B0093850B00EF0010658F +:1054A000130A050093850B00139C0A0113050B00DA +:1054B000EF00505F135C0C019305050013050C0011 +:1054C000EF00905B131A0A0193570901B367FA00C2 +:1054D00033943C0163FAA700B387570163E6570191 +:1054E00063F4A700B3875701338BA74093850B0064 +:1054F00013050B00EF00905F130A050093850B0066 +:1055000013050B00EF00105A9305050013050C005E +:10551000EF00905693160901131A0A0193D606015B +:10552000B366DA0063FAA600B386560163E6560155 +:1055300063F4A600B38656013389A6406FF01FDEE0 +:10554000B707000193090001E3EAFAF0930980012B +:105550006FF0DFF06376DA0093050A006FF01FE763 +:10556000B707010063FAF604930BF00F33B5DB00C5 +:105570001315350033D7A60097B70100938787D35B +:10558000B387E70083CB070093050002B38BAB0022 +:10559000338B7541631C0B0263E4460163EACC0064 +:1055A0003384CC40B306DA4033B98C0033892641CA +:1055B000930509006FF09FE1B70700011305000193 +:1055C000E3EAF6FA130580016FF0DFFAB39666019D +:1055D000335D7601336DDD00B35D7A01B3156A0189 +:1055E00033DC7C0113540D01336CBC0013850D00BA +:1055F00093050400B3196601EF00504F930A0500AC +:105600009305040013850D0033996C01931C0D0163 +:10561000EF00504993DC0C01130A050093050500C7 +:1056200013850C00EF005045939A0A0113570C01A3 +:1056300033E7EA00930D0A00637EA7003307A70152 +:10564000930DFAFF6368A7016376A700930DEAFF45 +:105650003307A701330AA7409305040013050A0086 +:10566000EF00D04893050400930A050013050A00D3 +:10567000EF005043930505001304050013850C004B +:10568000EF00903F93150C01939A0A0193D5050101 +:10569000B3E5BA001307040063FEA500B385A501B6 +:1056A0001307F4FF63E8A50163F6A5001307E4FF01 +:1056B000B385A501939D0D01B70C0100B3EDED007D +:1056C0001384FCFFB3F78D0033F48900338AA540BF +:1056D00013850700930504002326F10093DD0D01D7 +:1056E000EF00903993050400930A050013850D001F +:1056F000EF00903813DC090193050C002324A1006E +:1057000013850D00EF0050378327C10013040C00F0 +:1057100093050400130C050013850700EF00D03536 +:105720008326810013D70A013305D5003307A7006C +:105730006374D700330C9C01B70701009387F7FF10 +:10574000935507013377F70013170701B3F7FA00F2 +:10575000B3858501B307F7006366BA00631EBA001C +:10576000637CF90033863741B3B7C700B385A541E1 +:10577000B385F54093070600B307F9403339F900C4 +:10578000B305BA40B385254133947501B3D767019A +:105790003364F400B3D565016FF05FC3130101FDFD +:1057A00023229102232A510123261102232481025C +:1057B00023202103232E3101232C410123286101C1 +:1057C0002326710123248101232291012320A10199 +:1057D000930A050093840500639E063813040600AF +:1057E0009309050017B901001309C9AC63F8C51284 +:1057F000B7070100138B05006378F6101307F00F4D +:105800003337C70013173700B357E6003309F900E1 +:10581000834609003387E60093060002B386E6401C +:10582000638C0600B394D40033D7EA003314D60057 +:10583000336B9700B399DA00935A040193850A00F9 +:1058400013050B00EF00902A1309050093850A0049 +:10585000931B040113050B00EF00D02493DB0B0115 +:10586000930405009305050013850B00EF00D0207D +:105870001319090193D70901B367F900138A0400CA +:1058800063FEA700B3878700138AF4FF63E88700ED +:1058900063F6A700138AE4FFB3878700B384A740A9 +:1058A00093850A0013850400EF00502413090500B6 +:1058B00093850A0013850400EF00D01E9399090117 +:1058C00093040500930505001319090113850B00C6 +:1058D00093D90901EF00501AB36939011386040006 +:1058E00063FCA900B30934011386F4FF63E6890061 +:1058F00063F4A9001386E4FF13140A013364C4009F +:10590000130A00006F000013B7070001130700011E +:10591000E36CF6EE130780016FF01FEF138A0600A9 +:10592000631A06009305000013051000EF0090179E +:1059300013040500B7070100637EF4129307F00F0C +:1059400063F48700130A8000B35744013309F90058 +:10595000034709009306000233074701B386E64078 +:1059600063940612B3848440130A1000135B04018D +:1059700093050B0013850400EF0050171309050071 +:1059800093050B0013850400931B0401EF00901195 +:1059900093DB0B01930405009305050013850B00B1 +:1059A000EF00900D1319090193D70901B367F900AE +:1059B000938A040063FEA700B3878700938AF4FFED +:1059C00063E8870063F6A700938AE4FFB387870044 +:1059D000B384A74093050B0013850400EF0010115A +:1059E0001309050093050B0013850400EF00900BCD +:1059F0009399090193040500930505001319090102 +:105A000013850B0093D90901EF001007B369390121 +:105A10001386040063FCA900B30934011386F4FF64 +:105A200063E6890063F4A9001386E4FF13940A0176 +:105A30003364C4001305040093050A008320C102E7 +:105A40000324810283244102032901028329C10125 +:105A5000032A8101832A4101032B0101832BC10009 +:105A6000032C8100832C4100032D0100130101034D +:105A700067800000B7070001130A0001E366F4EC39 +:105A8000130A80016FF05FEC3314D40033DAE400C2 +:105A9000B399DA0033D7EA00935A0401B394D400DF +:105AA00093850A0013050A00336B9700EF0010047A +:105AB0001309050093850A0013050A00931B0401CE +:105AC000EF00407E93DB0B01930405009305050076 +:105AD00013850B00EF00407A1319090113570B01CE +:105AE0003367E900138A0400637EA7003307870049 +:105AF000138AF4FF636887006376A700138AE4FFC4 +:105B000033078700B304A74093850A001385040078 +:105B1000EF00C07D1309050093850A00138504007A +:105B2000EF004078930405009305050013850B00F2 +:105B3000EF00807413170B011357070113190901A4 +:105B4000B367E9001387040063FEA700B3878700EB +:105B50001387F4FF63E8870063F6A7001387E4FF69 +:105B6000B3878700131A0A01B384A740336AEA0097 +:105B70006FF0DFDF63ECD51EB707010063F4F604B6 +:105B80001307F00FB335D7009395350033D7B60020 +:105B900097A7010093870772B387E70003C7070041 +:105BA000130A00023307B700330AEA4063160A02F9 +:105BB00013041000E3E096E833B6CA00134416005D +:105BC0006FF05FE7B707000193050001E3E0F6FC23 +:105BD000930580016FF09FFBB35CE600B39646012E +:105BE000B3ECDC0033D4E40093DB0C01B397440145 +:105BF00033D7EA0093850B0013050400336BF700DD +:105C0000B3194601EF00806E1309050093850B0060 +:105C100013050400139C0C01EF00C068135C0C0119 +:105C2000930405009305050013050C00EF00C06404 +:105C30001319090113570B013367E900138404009A +:105C4000637EA700330797011384F4FF636897010D +:105C50006376A7001384E4FF33079701B304A740DA +:105C600093850B0013850400EF00406813090500BD +:105C700093850B0013850400EF00C06293040500B8 +:105C80009305050013050C00EF00005F93170B014F +:105C90001319090193D70701B367F90013860400AC +:105CA00063FEA700B38797011386F4FF63E89701AB +:105CB00063F6A7001386E4FFB3879701131404016A +:105CC000B70B01003364C4001389FBFF337D24014B +:105CD00033F92901B384A7409305090013050D008A +:105CE000EF008059935C040193050900130B050034 +:105CF00013850C00EF00405893D90901130C0500DF +:105D00009385090013850C00EF0000571309050067 +:105D10009385090013050D00EF000056330585013A +:105D200093570B013385A70063748501330979010B +:105D300093570501B387270163E6F402E392F4BCAD +:105D4000B70701009387F7FF3375F50013150501B9 +:105D5000337BFB0033964A0133056501130A0000CB +:105D6000E37AA6CC1304F4FF6FF09FB9130A000086 +:105D7000130400006FF01FCC130101FB23248104E6 +:105D800023229104232E310323229103232611047D +:105D900023202105232C4103232A510323286103B7 +:105DA00023267103232481032320A103232EB10181 +:105DB000930C0500938905001304050093840500E6 +:105DC000639E062613090600138A060097AA01009F +:105DD000938A4A4E63F4C514B70701006376F6123E +:105DE0009307F00F63F4C700130A8000B35746010E +:105DF000B38AFA0003C70A001305000233074701FC +:105E0000330AE540630C0A00B395490133D7EC002F +:105E100033194601B364B70033944C01935A090116 +:105E200093850A0013850400EF00404C9309050098 +:105E300093850A00131B090113850400EF008046B7 +:105E4000135B0B019305050013050B00EF00C04227 +:105E50009399090193570401B3E7F90063FAA70086 +:105E6000B387270163E6270163F4A700B3872701FF +:105E7000B384A74093850A0013850400EF00004710 +:105E80009309050093850A0013850400EF00804103 +:105E900013140401930505009399090113050B00E0 +:105EA00013540401EF00403D33E48900637AA400F9 +:105EB00033042401636624016374A40033042401C1 +:105EC0003304A44033554401930500008320C104EA +:105ED0000324810483244104032901048329C10389 +:105EE000032A8103832A4103032B0103832BC1026D +:105EF000032C8102832C4102032D0102832DC10159 +:105F00001301010567800000B7070001130A0001B3 +:105F1000E36EF6EC130A80016FF05FED631A060082 +:105F20009305000013051000EF00C03713090500AA +:105F3000B7070100637AF90E9307F00F63F42701A6 +:105F4000130A8000B3574901B38AFA0003C70A0055 +:105F500013050002B384294133074701330AE540A2 +:105F6000E30E0AEA33194901B3DAE900B3954901AE +:105F700033D7EC0093540901336BB70013850A0043 +:105F800093850400EF008036930905009385040093 +:105F9000931B090113850A00EF00C03093DB0B014E +:105FA0009305050013850B00EF00002D939909015F +:105FB00093570B01B3E7F90033944C0163FAA70040 +:105FC000B387270163E6270163F4A700B38727019E +:105FD000B38AA7409385040013850A00EF000031BF +:105FE000930905009385040013850A00EF00802BB8 +:105FF0009305050013850B00EF00002893150B0196 +:106000009399090193D50501B3E5B90063FAA50099 +:10601000B385250163E6250163F4A500B385250159 +:10602000B384A5406FF09FDFB7070001130A00019A +:10603000E36AF9F0130A80016FF0DFF0E3E8D5E8D6 +:10604000B707010063FCF604930BF00F33B5DB00D8 +:106050001315350033D7A60097A70100938787252E +:10606000B387E70083CB070093050002B38BAB0037 +:10607000338B7541631E0B0263E4360163EACC0087 +:106080003384CC40B386D94033B58C00B384A6406A +:1060900013050400938504006FF05FE3B707000168 +:1060A00013050001E3E8F6FA130580016FF09FFA8B +:1060B000B3966601335D7601336DDD0033D479012B +:1060C000B395690133DC7C0193540D01336CBC0042 +:1060D0001305040093850400B31A6601EF00002144 +:1060E000130A0500938504001305040033996C011D +:1060F000931C0D01EF00001B93DC0C011304050041 +:106100009305050013850C00EF000017131A0A0110 +:1061100013570C013367EA00130A0400637EA700DB +:106120003307A701130AF4FF6368A7016376A7008A +:10613000130AE4FF3307A701B309A74093850400BE +:1061400013850900EF00801A9385040013040500ED +:1061500013850900EF000015930505009304050061 +:1061600013850C00EF00401193150C01131404016A +:1061700093D50501B365B4001387040063FEA50041 +:10618000B385A5011387F4FF63E8A50163F6A500B5 +:106190001387E4FFB385A501131A0A01B70C0100A8 +:1061A000336AEA001384FCFFB3778A0033F48A0071 +:1061B000B384A54013850700930504002326F1004E +:1061C000135A0A01EF00400B9309050093050400E0 +:1061D00013050A00EF00400A13DC0A01930D0500C5 +:1061E00093050C0013050A00EF0000098327C10086 +:1061F000130A050093050C0013850700EF00C00784 +:106200003305B50113D709013307A7006374B7013C +:10621000330A9A01B70701009387F7FF93550701E7 +:106220003377F70013170701B3F7F900B38545017A +:10623000B307F70063E6B400639EB400637CF90023 +:1062400033865741B3B7C700B385A541B385F54041 +:1062500093070600B307F9403339F900B385B4401A +:10626000B385254133947501B3D767013365F400D5 +:10627000B3D565016FF09FC5130605001305000037 +:1062800093F61500638406003305C50093D5150009 +:1062900013161600E39605FE6780000063400506AE +:1062A00063C6050613860500930505001305F0FF78 +:1062B000630C060293061000637AB6006358C000B0 +:1062C0001316160093961600E36AB6FE1305000037 +:1062D00063E6C500B385C5403365D50093D6160087 +:1062E00013561600E39606FE6780000093820000B6 +:1062F000EFF05FFB13850500678002003305A040C7 +:1063000063D80500B305B0406FF0DFF9B305B040C6 +:1063100093820000EFF01FF93305A0406780020070 +:106320009382000063CA0500634C0500EFF09FF7FD +:106330001385050067800200B305B040E35805FEF1 +:106340003305A040EFF01FF63305B0406780020030 +:1063500097A7010093878722944317A7010013078B +:10636000A7201843C8C2637BE50017A701001307E5 +:10637000672008438C4391056FA08F9C411122C474 +:1063800006C617A701001307271F2A8408438C435A +:106390009105EFA0EF9A97A701009387271B9C43D5 +:1063A0006376F40097A7010023A2871AB240224423 +:1063B0004101828097A701009387471C984394432B +:1063C0007C43FD177CC3FC4299E3736004308280F8 +:1063D000011122CC26CA2A84AE844AC84EC652C4B1 +:1063E00056C206CEEFB0BF921C4003290401B70984 +:1063F0000001B3E59700930AC4000CC08144FD1965 +:10640000370A00021840638C2A03032609004A85D4 +:10641000B3654701B376360193175600758F03298C +:10642000490063C4070001E7F1BFE39DE6FC931751 +:10643000760063D30700D58CEFC0CFFCE1B793C4DF +:10644000F4FFF98C04C0EFB09FB10840F240624401 +:10645000D2444249B249224A924A0561828041119E +:10646000094506C622C4EFE07FB017F7FFFF130708 +:106470006796814605469305400617A5010013055A +:1064800025F8EFD02FCC19C92A84EFB05F892A866E +:106490000147814685452285EFD06FD7EFB0AFE148 +:0A64A000B240224401454101828010 +:02000004800278 +:10000000CE44FEFFCC45FEFFD644FEFFCC45FEFFAE +:10001000CC45FEFFCC45FEFFCC45FEFFEE44FEFF87 +:10002000CC45FEFFCC45FEFF0845FEFF1445FEFF14 +:10003000CC45FEFF2645FEFF3245FEFF3245FEFF62 +:100040003245FEFF3245FEFF3245FEFF3245FEFFE0 +:100050003245FEFF3245FEFF3245FEFFCC45FEFF36 +:10006000CC45FEFFCC45FEFFCC45FEFFCC45FEFF58 +:10007000CC45FEFFCC45FEFFCC45FEFFCC45FEFF48 +:10008000CC45FEFFCC45FEFFCC45FEFFCC45FEFF38 +:10009000CC45FEFFCC45FEFFCC45FEFFCC45FEFF28 +:1000A000CC45FEFFCC45FEFFCC45FEFFCC45FEFF18 +:1000B000CC45FEFFCC45FEFFCC45FEFFCC45FEFF08 +:1000C000CC45FEFFCC45FEFFCC45FEFFCC45FEFFF8 +:1000D000CC45FEFFCC45FEFFCC45FEFFCC45FEFFE8 +:1000E000CC45FEFFCC45FEFFCC45FEFFCC45FEFFD8 +:1000F000CC45FEFFCC45FEFFCC45FEFFCC45FEFFC8 +:100100006645FEFF7C45FEFFCC45FEFFCC45FEFF6D +:10011000CC45FEFFCC45FEFFCC45FEFFCC45FEFFA7 +:10012000CC45FEFFC245FEFFCC45FEFFCC45FEFFA1 +:100130007443FEFF2C44FEFFCC45FEFFCC45FEFF82 +:100140006244FEFFCC45FEFFBA44FEFFCC45FEFFF5 +:10015000CC45FEFFE844FEFFDA48FEFF2E49FEFFD5 +:10016000E248FEFF2E49FEFF2E49FEFF2E49FEFF0C +:100170002E49FEFFD447FEFF2E49FEFF2E49FEFF0B +:10018000EE47FEFFFA47FEFF2E49FEFF0C48FEFF3A +:100190001848FEFF1848FEFF1848FEFF1848FEFFEB +:1001A0001848FEFF1848FEFF1848FEFF1848FEFFDB +:1001B0001848FEFF2E49FEFF2E49FEFF2E49FEFF86 +:1001C0002E49FEFF2E49FEFF2E49FEFF2E49FEFF5F +:1001D0002E49FEFF2E49FEFF2E49FEFF2E49FEFF4F +:1001E0002E49FEFF2E49FEFF2E49FEFF2E49FEFF3F +:1001F0002E49FEFF2E49FEFF2E49FEFF2E49FEFF2F +:100200002E49FEFF2E49FEFF2E49FEFF2E49FEFF1E +:100210002E49FEFF2E49FEFF2E49FEFF2E49FEFF0E +:100220002E49FEFF2E49FEFF2E49FEFF2E49FEFFFE +:100230002E49FEFF2E49FEFF2E49FEFF2E49FEFFEE +:100240002E49FEFF2E49FEFF2E49FEFF2E49FEFFDE +:100250002E49FEFF2E49FEFF4C48FEFF7A48FEFF66 +:100260002E49FEFF2E49FEFF2E49FEFF2E49FEFFBE +:100270002E49FEFF2E49FEFF2E49FEFFD048FEFF0D +:100280002E49FEFF2E49FEFF3246FEFF2C47FEFFA1 +:100290002E49FEFF2E49FEFF9E47FEFF2E49FEFF20 +:1002A000C447FEFF2E49FEFF2E49FEFF2849FEFFF0 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020426C6F636B51207461736B73200D0A44 +:1003E000000000006D69616F750A00006D69616F42 +:1003F00075320A0068756E6772790A004368656332 +:100400006B54696D6572000051436F6E7342310029 +:100410005150726F6442320051436F6E7342330029 +:100420005150726F644234005150726F6442350013 +:0804300051436F6E7342360068 +:100438001000000000000000017A5200017C010158 +:100448001B0D02005000000018000000F04DFEFFD8 +:100458005805000000440E507489039406990B81D6 +:1004680001880292049305950796089709980A9AB5 +:100478000C9B0D036C010AC144C844C944D244D33F +:1004880044D444D544D644D744D844D944DA44DB88 +:10049800440E00440B0000004C0000006C000000FB +:1004A800F452FEFFDC05000000440E30708903950D +:1004B8000781018802920493059406960897099883 +:1004C8000A990B9A0C0370020AC144C844C944D261 +:1004D80044D344D444D544D644D744D844D944DA40 +:1004E800440E00440B00000050000000BC00000057 +:1004F8008058FEFF0005000000440E5074880289F1 +:10050800039305990B810192049406950796089721 +:1005180009980A9A0C9B0D0320010AC144C844C9D2 +:1005280044D244D344D444D544D644D744D844D9F7 +:0C05380044DA44DB440E00440B000000D9 +:0805CC00FC8F01000900000092 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/BlockQ_rv32im_O3.hex b/VexRiscv/src/test/resources/freertos/BlockQ_rv32im_O3.hex new file mode 100644 index 0000000..16721d4 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/BlockQ_rv32im_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/BlockQ_rv32imac_O3.hex b/VexRiscv/src/test/resources/freertos/BlockQ_rv32imac_O3.hex new file mode 100644 index 0000000..00fc307 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/BlockQ_rv32imac_O3.hex @@ -0,0 +1,1577 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900061C8170502001305E54A9795030093858B +:1000A000257201461122170502001305253E97050A +:1000B00002009385C5450146C52817A50300130511 +:1000C000657097B503009385A56F37B6ABAB130684 +:1000D000B6BAD92817B103001301C16EEF507048AA +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2C22823A02200F3221034D6 +:1002400096DEEF00002B1701020003216127024117 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E22923A0120023A2A9 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40B025170102000321DA +:1003300021190241F65273901234B72200009382C1 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A21B83A0B0 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2C20623A0220086DEEF20BC +:10046000402D170102000321A1050241F6527390AD +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF40D005EF10700901E57A +:10050000B24041018280B24041016F2080229307B6 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30101F1F +:1005B0008280411122C406C62A8426C2EF209010F0 +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF20A0566DD5EF2080763C44FD1780 +:1005F0003CC43C44E344F0FEFD573CC4EF20D00E25 +:10060000EF20500C7C40635BF0021C4885CB9304C8 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2060526DD5EF2040729F +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20100A29C5411151 +:1006500022C426C22A8406C6AE84EF20B0063440E7 +:100660005C5C1840232C0402B387F6027D5670C0F0 +:1006700018C430C4B386D740BA9736975CC058C404 +:1006800091EC1C489DE3EF203006B2402244924496 +:100690000545410182807370043001A013050401F7 +:1006A000BD3513054402A535F9BF13050401EF203C +:1006B000E0498547E319F5FC913BF1B701E573701B +:1006C000043001A0011126CA4AC84EC606CE22CC6B +:1006D000B2892E89AA84A5C53305B502130555052F +:1006E000EF3080732A8435C1930745051CC144DC73 +:1006F00023202405EF20007D34405C5C18407D56AB +:10070000B387F602232C040270C018C430C413054A +:100710000401B386D740BA9736975CC058C4C53B2E +:1007200013054402ED33EF20207C23083405228595 +:10073000F2406244D2444249B249056182801305C5 +:100740004005EF30606D2A8401E57370043001A02C +:1007500000C071BF01E57370043001A06375B5007E +:100760007370043001A0411122C409462E848145D2 +:1007700006C6A93711C500DDB2402244410182807E +:100780007370043001A05D7186C6A2C4A6C2CAC03F +:100790004EDE52DC56DA5AD85ED632C6630A051CE3 +:1007A0006382051C89476399F600585D8547630598 +:1007B000F7007370043001A03689AE842A84EF20DC +:1007C000A05B11E5B24781C77370043001A0EF2030 +:1007D000606F185C5C5C014B894BFD59130A040186 +:1007E000930A44026365F70C63077919B247638182 +:1007F000072463150B002808EF206048EF20C06E27 +:10080000EF10C04FEF20006C7C406394370123222F +:1008100004043C446394370123240404EF20C06C97 +:100820006C002808EF20C047631E051EEF2080697A +:10083000185C5C5C630CF70AEF20006BEF208068AB +:100840003C44634FF00039A85685EF20203019C191 +:10085000EF2000503C44FD173CC43C446354F0007E +:100860005C50FDF323243405EF200068EF20806501 +:100870007C40634FF00039A85285EF20202D19C12C +:10088000EF20004D7C40FD177CC07C406354F0009D +:100890001C48FDF323223405EF200065EF10006BA8 +:1008A000054BEF202062185C5C5CE37FF7F2304080 +:1008B0006305060C631F09120844A685EF30006E1D +:1008C0001C4434405840B6971CC463EAE70A1C5CD9 +:1008D00014405850850714C41CDC5DC7130544023E +:1008E000EF20C02685476311F50AC9A8EF20C05F35 +:1008F000B2455285EF204007EF20C05C3C44634F77 +:10090000F00039A85685EF20602419C1EF2040443B +:100910003C44FD173CC43C446354F0005C50FDF380 +:1009200023243405EF20405CEF20C0597C40634F06 +:10093000F00039A85285EF20602119C1EF20404115 +:100940007C40FD177CC07C406354F0001C48FDF3E4 +:1009500023223405EF204059EF10405F31F17D3400 +:10096000054B81B73C41E38F07E27370043001A06F +:100970007370043001A0304021E61C4085C71C5C28 +:10098000585085071CDC39FBEF2000560545B64062 +:10099000264496440649F259625AD25A425BB25BE7 +:1009A000616182804840EF2040491C5C58502322FE +:1009B000040085071CDCE31307F279D5813CE9B715 +:1009C0004844A685EF30805D3C4058441440B3074E +:1009D000F0403E9758C4637CD7025840BA975CC435 +:1009E00009475C506306E902185C050718DCC9DF9B +:1009F000F5B54844A685EF30605A3C405844144051 +:100A0000B307F0403E9758C4E37CD7FCF9B75C507D +:100A1000185C79DB185C7D1718DC185C050718DC9E +:100A2000A5D76DBD22857136EF104052014585B7BF +:100A3000EF20804B0145A1BF411126C2AA841305B6 +:100A4000400522C406C6EF30203D2A8415CD232C54 +:100A50000502FD577CC10547410558DC3CC42322F3 +:100A60000400232004002324040023260400232060 +:100A7000040423089404613C13054402493C814664 +:100A800001468145228501332285B24022449244A9 +:100A9000410182800DC5411122C426C206C64AC04A +:100AA000032945002A84EF20602C8144630CA900AF +:100AB000B2402244268502499244410182807370EB +:100AC000043001A05C448544FD175CC4F5F3814605 +:100AD0000146814522854539E1BF31CDA1C90111CA +:100AE00022CC26CA4AC852C406CE4EC68947B6840E +:100AF00032892E8A2A846399F600585D8547630FF0 +:100B0000F7047370043001A0C932185C5C5CAA89D8 +:100B10006366F70281444E857532F2406244268551 +:100B20004249D244B249224A056182803C41C5DB38 +:100B30007370043001A07370043001A0304041E2B2 +:100B40001C40D5CB1C5C7D5785071CDC3C4463876F +:100B5000E7083C44854485073CC475BFBD32185C3A +:100B60005C5CAA89636DF702304079DA4844D2852B +:100B7000EF30C0423C4058441440B307F0403E9729 +:100B800058C46375D7005840BA975CC48947E39B43 +:100B9000F4FA1C5CC5DB1C5CFD171CDC65B730403F +:100BA00045D24844D285EF30603F3C405844144021 +:100BB000B307F0403E9758C4E367D7FCD9BFDDF4D4 +:100BC0000844D285EF30803D1C4434405840B697ED +:100BD0001CC4E3E9E7F61C401CC4ADB75C50854477 +:100BE0009DDB13054402EF10707615D5E30509F27D +:100BF000232099000DB74840EF2020242322040031 +:100C000091B721CD3C4181C77370043001A01C41D4 +:100C1000A1CB011122CC26CA2A844AC84EC606CED0 +:100C20002E896530185C5C5CAA898144637DF7007D +:100C30001C5C7D5785071CDC3C446388E7023C4410 +:100C4000854485073CC44E85B538F240624426850C +:100C50004249D244B249056182807370043001A0D8 +:100C60005C41C5DB7370043001A05C5099E385449E +:100C7000D9BF13054402EF10706D75D9E30909FE61 +:100C800085472320F900E5B7397106DE22DC26DA34 +:100C90004AD84ED652D456D232C66302051C638A55 +:100CA000051AB68AAE892A84EF20000D11E5B247F5 +:100CB00081C77370043001A0EF20C0201C5C6392D8 +:100CC0000714B247638E070A2808EF10507BFD54C3 +:100CD00013094402130A0401EF200021EF1000025F +:100CE000EF20401E7C4063949700232204043C4480 +:100CF0006394970023240404EF20001F6C0028084D +:100D0000EF10107A63130518EF20C01B1C5CADCFE9 +:100D1000EF20801DEF20001B3C44634FF00039A8FA +:100D20004A85EF10B06219C1EF2080023C44FD17E4 +:100D30003CC43C446354F0005C50FDF324C4EF20F9 +:100D4000A01AEF2020187C40634FF00039A852858C +:100D5000EF10D05F19C1EF10B07F7C40FD177CC051 +:100D60007C406354F0001C48FDF364C0EF20C017C2 +:100D7000EF10C01DEF2000151C5CC1E7B247A9FFB2 +:100D8000EF208016014555A0EF2000161C40DDC75E +:100D9000B2454A85EF10503DEF20C0123C44634FEE +:100DA000F00039A84A85EF10705A19C1EF10507A37 +:100DB0003C44FD173CC43C446354F0005C50FDF3DC +:100DC00024C4EF206012EF20E00F7C40634FF0005E +:100DD00039A85285EF10905719C1EF1070777C40F9 +:100DE000FD177CC07C406354F0001C48FDF364C0D8 +:100DF000EF20800FEF10801531C9EF20A00C1C5C94 +:100E0000B5DFCE8522854444EFF0CFF8639C0A0419 +:100E10001C5C1840FD171CDC01E7EF20601148C086 +:100E20001C48A1EFEF20400C0545F2506254D2540B +:100E30004259B259225A925A21618280EF20800889 +:100E40004840EF107075EF20200A99B7EFF00FDCE3 +:100E50006DB73C41E38707E47370043001A0737001 +:100E6000043001A05C5044C4D5DF13054402EF10E8 +:100E7000F04D4DD9EFF08FD975B713050401EF1080 +:100E8000F04C8547E310F5FAF5B72285EFF06FF2E5 +:100E9000EF10C00B014551BF1DC9411122C44AC00A +:100EA00006C626C244412E892A84EF10306C638323 +:100EB000A40281464A8681452285F933854763002D +:100EC000F502B2402244924402494101828073708B +:100ED000043001A05C44054585075CC4DDB75C4473 +:100EE00085075CC4F9BF15CDADC9011122CC26CA56 +:100EF0004AC84EC652C42A8406CE3289AE89EFF063 +:100F0000CFDC1C5C2A8A814485E35285EFF08FDBBD +:100F1000F240624426854249D244B249224A0561E0 +:100F200082807370043001A0304001CE4C445C409C +:100F3000B2954CC463E4F5000C404CC44E85EF30D0 +:100F4000E0051C5C7D57FD171CDC7C40638CE700D2 +:100F50007C40854485077CC04DBF3C41D9D7737028 +:100F6000043001A01C4899E3854445B713050401EA +:100F7000EF10D03D75D9E30909FE85472320F9001C +:100F8000E5B721C93C41A1CDA1CB011122CC26CA94 +:100F90004AC852C42A8406CE4EC62E8AEFF0EFD23B +:100FA0001C5C2A89814491CB8329C400D285228587 +:100FB000EFF04FDE8544232634014A85EFF08FD0D1 +:100FC000F240624426854249D244B249224A056130 +:100FD00082807370043001A07370043001A0EDDFD3 +:100FE0007370043001A001E57370043001A0411159 +:100FF00022C42A8406C6EF10F06C005CEF10D06E9D +:10100000B240228522444101828001E573700430A0 +:1010100001A0411106C622C42A84EF10B06A1C5CEC +:10102000405C1D8CEF10506C2285B240224441017F +:10103000828019C1085D82807370043001A01DCDCB +:101040009787030093870774D843630CE502D8475A +:10105000630CE504D84B6307E504D84F6302E5044D +:10106000D853630DE502D8576308E502D85B6303E4 +:10107000E502D85F630EE5006F20306C73700430BA +:1010800001A001470E07BA9723A007006F20F06A5E +:101090001D47CDBF1947FDB71547EDB71147DDB760 +:1010A0000D47CDB70947F9BF0547E9BF68458280BD +:1010B0006CC5828003450505828009C5085D13352E +:1010C000150082807370043001A019C51C5D485D55 +:1010D0001D8D1335150082807370043001A0978731 +:1010E00003009387276A984305C3984705C7984B21 +:1010F00005C7984F05C7985305C7985705C7985B0C +:1011000015C7985F15C3828001470E07BA978CC335 +:10111000C8C382800547D5BF0947C5BF0D47F5B78E +:101120001147E5B71547D5B71D47C5B71947F1BFF3 +:101130009787030093870765D8436308E502D8477C +:10114000630BE502D84B630AE502D84F6309E50259 +:10115000D8536308E502D8576307E502D85B6308F4 +:10116000E502D85F6303A702828001470E07BA97A2 +:1011700023A0070082800547D5BF0947C5BF0D479B +:10118000F5B71147E5B71547D5B71D47C5B7194797 +:10119000F1BF411122C426C24AC02A8406C6AE84C9 +:1011A0003289EF1030527840FD576314F700232244 +:1011B00004043844FD576314F70023240404EF109B +:1011C000B0521C5C99E74A86A68513054402EF10CD +:1011D000B00CEF10304F3C44635BF0025C5085CBA9 +:1011E0009304440209A83C44FD173CC43C446350AA +:1011F000F0025C5089CF2685EF1050156DD5EF10A9 +:1012000030353C44FD173CC43C44E344F0FEFD57FC +:101210003CC4EF10704DEF10F04A7C40635BF0026D +:101220001C4885CB9304040109A87C40FD177CC0B1 +:101230007C406350F0021C4889CF2685EF101011C6 +:101240006DD5EF10F0307C40FD177CC07C40E3444E +:10125000F0FEFD577CC02244B24092440249410155 +:101260006F10904805C579714ECE52CC06D63E8A95 +:1012700022D426D24AD056CA5AC85EC662C4A547EE +:10128000BA8963F8E7007370043001A0737004300A +:1012900001A0AA8AAE843289B68B428B63090822E8 +:1012A00013050006EF2050372A84630D05200A0934 +:1012B000232865034A869305500A5A85EF20105C5F +:1012C00003C704001C587119230AE40203C7040071 +:1012D0003E991379C9FF79C783C71400A30AF402A2 +:1012E00083C71400E1C383C72400230BF40283C720 +:1012F0002400CDCB83C73400A30BF40283C7340092 +:10130000D5C383C74400230CF40283C74400D9CB60 +:1013100083C75400A30CF40283C75400C1C783C71A +:101320006400230DF40283C76400ADCF83C774004B +:10133000A30DF40283C77400B5C783C78400230ECE +:10134000F40283C78400B9CF83C79400A30EF402CC +:1013500083C79400A1CB83C7A400230FF40283C7E3 +:10136000A400A9C383C7B400A30FF40283C7B400C9 +:1013700095CB83C7C4002300F40483C7C4009DC376 +:1013800083C7D400A300F40483C7D40081CF83C7EC +:10139000E4002301F40483C7E40089C783C7F40091 +:1013A000A301F404130B44005A85A301040423266B +:1013B000340323283405232A0404EFF08F96130501 +:1013C0008401EFF00F96A947B3873741232C04041B +:1013D00000C81CCC40D023220404232E04045E86C3 +:1013E000D6854A85EFF00F8F08C063040A002320DA +:1013F0008A007370043017F901001309A90A8327C2 +:10140000090097F401009384A40B91C798409C4075 +:101410007C4385077CC317F70100130767091C434A +:10142000850797F6010023A5F6089C40D5CB8327B6 +:10143000090017FA0100130AAA1889EB9C40DC572F +:1014400063E6F90097F7010023AC870697F70100E0 +:1014500093874704984397F601009386E6045C540B +:101460009442050717F601002326E60238C463F606 +:10147000F60017F701002329F7025147B387E70267 +:10148000DA853305FA00EFF02F8A8327090081C738 +:101490009C40FC43BDE383270900054499C79C4059 +:1014A000DC5763F43701EFE07FF62285B250225417 +:1014B00092540259F249624AD24A424BB24B224CF0 +:1014C000456182805A85EF2050277D54F9BF13155E +:1014D0002600EF2070142A8BE31405DC7D54F1B74D +:1014E00097F7010023AE87FC184385476301F70295 +:1014F00017FA0100130ACA0C91BF984094407C432C +:10150000FD177CC3FC42C1FB7360043069B7814A9C +:1015100017FA0100130ACA0A514CA94B33858A03F2 +:10152000850A5295EFE0BFFEE39A7AFF97FB010030 +:10153000938B8B155E85EFE09FFD97FA0100938AF0 +:10154000EA155685EFE0BFFC17F5010013054516B7 +:10155000EFE0FFFB17F501001305C516EFE03FFBB9 +:1015600017F5010013054517EFE07FFA97F7010023 +:1015700023A677F597F7010023A057F5C1BD4111C8 +:1015800022C406C626C24AC02A847370043097F467 +:101590000100938424F19C40F9E311E497F70100E2 +:1015A000938707F28043130944004A85EFE05FFC0C +:1015B0001C5489C713058401EFE09FFBCA8517F50A +:1015C000010013052510EFE03FF697F70100938720 +:1015D00067EE984397F701009387C7EB9C43050795 +:1015E00097F6010023A8E6EC9840850797F60100DE +:1015F00023A2F6EA01CB97F70100938767EC9843A3 +:10160000784349EF9C40B1C797F70100938747EBB8 +:101610009843630F870873700430984039EB17F7CD +:1016200001001307A7E914439442A5E27D5797F6FA +:10163000010023AFE6E4984009CF9843784311CBEB +:10164000984394437C43FD177CC3FC4299E3736049 +:101650000430B2402244924402494101828097F70B +:1016600001009387E7E598439C437C4385077CC34F +:101670002DB794439843F8420507F8C217F70100C5 +:101680001307C7E314439442D5D218435847584729 +:10169000584397F6010023ADE6DE71BF98439443AB +:1016A0007C43FD177CC3FC42B1FF7360043099BFDB +:1016B00097F70100938787DD9C4381C773700430DF +:1016C00001A02244B2409244024941016FE01FD47C +:1016D00041C517F701001307A7DE14438147638B49 +:1016E000A606411106C622C426C27370043097F6BE +:1016F0000100938626DB9C4291C710431C437C4229 +:1017000085077CC29C42AA84404981C71C43FC4394 +:10171000B9E797F70100938767DA9C43638B8704E7 +:1017200097F70100938747D99C436384870497F711 +:101730000100938767FA6309F40297F70100938722 +:1017400067F86301F4028547B240224492443E8523 +:10175000410182803E8582807370043001A0EF4099 +:10176000A05545BF9147CDB79C5493B71700890743 +:10177000E1BF8947D1BF7370043097F701009387A9 +:1017800067D2984309EF15C59C43485581CB97F71D +:1017900001009387E7D29843784315E3828017F7D7 +:1017A00001001307E7D114431843F8420507F8C2B4 +:1017B00061FD17F701001307A7D00843F1B798435D +:1017C00094437C43FD177CC3FC42E9FB7360043007 +:1017D0008280411122C42A8406C6EFE01FCF11E4A3 +:1017E00097F701009387C7CD80434054EFE09FCD2A +:1017F000B2402285224441018280A54763F5B700AB +:101800007370043001A0011122CC06CE26CA4AC84A +:101810004EC652C42A847370043017F901001309AC +:1018200069C883270900D5EF79C43C486389F50866 +:1018300017F701001307C7C863FCB7001443638D93 +:10184000860C18434457B3B4950093C4140029A0E0 +:101850000443818C93B4140058546384E70A1C4CED +:101860002CC863C60700A947B385B7400CCC9317B3 +:101870002700BA97584897F90100938969D48A07D5 +:10188000CE97631BF702130A44005285EFE05FCE48 +:1018900017F70100130747C15C5418436376F7003C +:1018A00017F701002322F7C05147B387E702D2851B +:1018B0003385F900EFE05FC799C0EFE03FB58327BC +:1018C000090081CB97F70100938787BF9C43FC43B6 +:1018D0009DEBF2406244D2444249B249224A05613A +:1018E000828097F701009387A7BD98439C437C4370 +:1018F00085077CC31DF897F70100938767BC804379 +:101900002DB74CD4A9BF6244F240D2444249B249F7 +:10191000224A05616F40403A81443DBF25C1011113 +:1019200026CA97F401009384A4B99C4006CE22CC29 +:101930004AC84EC6638DA7027370043017F90100C0 +:10194000130949B68327090091C798409C407C43FE +:1019500085077CC3584997F701009387E7D763024F +:10196000F7028327090081C79C40FC43A5EBF240A6 +:101970006244D2444249B2490561828073700430A6 +:1019800001A01C5517F70100130787D2E38BE7FC72 +:10199000E9FB930945002A844E85EFE07FBD17F7E8 +:1019A0000100130767B05C5418436376F70017F71C +:1019B0000100232BF7AE5147B387E70217F501006B +:1019C000130505C0CE853E95EFE01FB69C405854E8 +:1019D000DC57E368F7F8EFE07FA361B76244F240B9 +:1019E000D2444249B24905616F40002D15CD011125 +:1019F00022CC26CA4AC82A8406CE4EC6EFE0FFACE7 +:101A0000584897F70100938727CD2A8981446300BE +:101A1000F7024A85EFE01FABF24062442685424957 +:101A2000D244B249056182807370043001A01C5415 +:101A300017F501001305C5C7E38DA7FCF9FB97F760 +:101A400001009387A7A49C43A9EB97F70100938714 +:101A500027A79C43445493094400DC574E85B3B4F4 +:101A6000F400EFE0FFB017F701001307E7A35C54A1 +:101A7000184393C414006376F70017F70100232579 +:101A8000F7A25147B387E70217F50100130545B3E5 +:101A9000CE853E95EFE05FA9ADBF93058401EFE0F1 +:101AA000BFA885BF0111056506CE22CC26CA4AC84B +:101AB0004EC652C456C25AC0EF200036630E051AF5 +:101AC000AA8413050006EF2020352A84630A051C2A +:101AD00005669305500A04D92685EF20205A04583C +:101AE0008567F117BE94B7474C4593879744130A0F +:101AF00044005CD85285230C0402A301040423266D +:101B0000040223280404232A0404EFE09FA1130500 +:101B10008401EFE01FA1A947232C0404F19800C819 +:101B20001CCC40D023220404232E04040146971524 +:101B300000009385E5B12685EFE0DF9908C073705A +:101B4000043017F901001309E9958327090097F478 +:101B500001009384E49691C798409C407C4385079C +:101B60007CC317F701001307A7941C43850797F65A +:101B7000010023AFF6929C40C9C38327090097F95F +:101B800001009389E9A381EB9C40DC5789E797F733 +:101B9000010023A7879297F701009387A78F9843A7 +:101BA00097F60100938646905C549442050717F619 +:101BB00001002321E68E38C463F6F60017F7010012 +:101BC0002324F78E139527003E950A05D2854E955E +:101BD000EFE09F958327090081C79C40FC43DDE728 +:101BE0008327090091C39C40EF1070498547630526 +:101BF000F50C59E17370043001A097F7010023A19F +:101C0000878C1843854797F901009389699BE314F2 +:101C1000F7F8CE8A17FB0100130B0BA75685D10AE4 +:101C2000EFE0FF8EE31C5BFF17F501001305C5A570 +:101C3000EFE0FF8D97FA0100938A4AA65685EFE000 +:101C40001F8D17F501001305A5A6EFE05F8C17F5B2 +:101C50000100130525A7EFE09F8B17F50100130581 +:101C6000A5A7EFE0DF8A97F7010023A9678597F71B +:101C7000010023A3578505B7F2406244D24442498C +:101C8000B249224A924A024B0561828098409440B0 +:101C90007C43FD177CC3FC42A1F77360043089B715 +:101CA0006244F2404249B249224A924A024B268596 +:101CB000D24405616F20602873700430FD5717E728 +:101CC00001002327F77C624497E7010023ACA77C3F +:101CD000F240D2444249B249224A924A024B97E723 +:101CE000010023A5077C05616FE0AFDB7370043052 +:101CF00097E7010023A8077A6FE0EFE817E70100F4 +:101D00001307C7781C4385071CC382807370043097 +:101D100097E7010093870779984305E317E70100E8 +:101D20001307C77808439C4381CB97E7010093874B +:101D300027799843784301EF828017E70100130762 +:101D4000277814431843F8420507F8C2C1BF9843E7 +:101D500094437C43FD177CC3FC42F9FF736004305D +:101D60008280411106C622C4EFE02FF697E70100FA +:101D70009387C7738043EFE0EFF4B240228522449B +:101D80004101828097E701009387877288438280B0 +:101D9000797106D622D426D24AD04ECE52CC56CA1B +:101DA0005AC85EC697E701009387476E9C43B1EF20 +:101DB00017E701001307876F1C43850797E60100AB +:101DC00023A6F66E832A0700638F0A0697E70100B1 +:101DD0009387076C9C43814463F1FA0897E90100FB +:101DE0009389097E17EA0100130A8A6D83270A0086 +:101DF0008546D85793172700BA978A07BE9983A7B5 +:101E0000090063FFF600854421A897E70100938746 +:101E100027699C438144850717E701002322F7685F +:101E200097E70100938787679C4391C38544B2502D +:101E30002254268502599254F249624AD24A424BB0 +:101E4000B24B4561828017E40100130424671C40F3 +:101E50009C43DDC37370043001A017E40100130438 +:101E6000E46597E901009389A97517EA0100130A4F +:101E70002A6517EB0100130B2B631C409C43B5C371 +:101E80001C40DC4703A9C70083274900930B490086 +:101E90005E8563EEFA04EFE0AFED83278902130558 +:101EA000890199C3EFE0CFEC8327C90203270B0018 +:101EB000DE85139527003E950A054E956376F7005B +:101EC00017E701002322F75EEFE00FE683270A0001 +:101ED0000327C902DC57E362F7FA1C4085449C43A0 +:101EE000C5F3FD5717E701002324F75A01B717E799 +:101EF0000100232FF758DDBD17E701001307C75B6B +:101F00001C40184397E6010023AAE65A17E7010090 +:101F10002324F75A97E70100938707589C438507C6 +:101F200017E70100232AF7561C409C4399E7FD5709 +:101F300017E70100232EF75451BD1C40DC47DC4756 +:101F4000DC4317E701002325F75449B597E7010063 +:101F50009387C753984301E77370043001A00111C0 +:101F600006CE22CC26CA4AC84EC652C456C25AC051 +:101F70007370043097E401009384C452984061EB7D +:101F800098437D1797E6010023A2E6509C43D9EBC6 +:101F900097E701009387C7519C43C9C797EA01009F +:101FA000938A0A6217EA0100130A0A5017E901002E +:101FB00013090951854919A83385EA00EFE0CFD606 +:101FC000832709005854DC576379F70483A70A0F65 +:101FD000C1CF83A7CA0FC04713058401EFE04FD9D3 +:101FE000130B44005A85EFE0AFD85C5483260A00F7 +:101FF000DA85139527003E950A052A875695E3FD55 +:10200000F6FA17E701002321F74AEFE0EFD1832723 +:1020100009005854DC57E36BF7FA97E7010023AF48 +:1020200037476DB701449C4081CB97E70100938708 +:1020300027499C43FC4395E72285F2406244D24401 +:102040004249B249224A924A024B0561828017E70F +:1020500001001307E74614431843F8420507F8C286 +:1020600005B7EF307045C9BF17E4010013044443BE +:102070001C4095C31C40054999CF193B09C597E7FA +:10208000010023AD27411C40FD1717E7010023295C +:10209000F7401C40FDF397E70100938727409843E2 +:1020A0008547E311F7F8EFE06FB60544ADBF11CDFA +:1020B00081E57370043001A017E701001307073DA5 +:1020C0001C4399C77370043001A07370043001A0E1 +:1020D000411122C406C614431841850617E60100C3 +:1020E0002326D63A97E601009386463C9442330471 +:1020F000B70000C163F7E602637FE40063FD86007A +:1021000097E701009387C73B88431105EFE04FC66F +:102110002285EF3030341D3D01C9B24022444101D7 +:102120008280E36FE4FCD9BF2244B24041016FE0FA +:10213000EFAD11C917E70100130747351C4391C7DD +:102140007370043001A06FE06FAC411106C622C469 +:102150001843AA87050797E6010023A9E63297E608 +:1021600001009386E63517E701001307273418436B +:1021700088423304F7001105EFE08FBF2285EF306E +:10218000702DE93311E52244B24041016FE00FA800 +:10219000B240224441018280411122C406C697E721 +:1021A00001009387A72E9C430144850717E7010090 +:1021B000232EF72C97E701009387872F9C4363E03A +:1021C000F51217EE0100130E6E4B97E20100938299 +:1021D000623E01440147854F1303500A21A0311E7E +:1021E000638DC20B83278EFFBA86F5DB8327CEFF74 +:1021F000DC43232EFEFE638AC70F03AFC700DC4318 +:102200002A97814E232EFEFE6385C70783A8C70049 +:1022100093874803232017015CC383A788042326E0 +:10222000F7011CC783A7C8021CCB83A70805232C72 +:1022300007005CCB03A8080383470800639067048A +:10224000C287850783C60700B3850741E38B66FE17 +:102250008981C205C181231EB700850E13070702BD +:1022600063061F038327CEFFDC43232EFEFEE39F7E +:10227000C7F983274E00232EFEFE49BF8145231E4A +:10228000B700850E13070702E31E1FFD7694131790 +:102290005400311EBA86E397C2F597E70100938791 +:1022A000E72103AE070083270E00639D070E97E723 +:1022B00001009387672003AE070083270E00639217 +:1022C000071817EE0100130EAE2F83274E109DE363 +:1022D00083278E116395072019C223200600BD3184 +:1022E0002285B24022444101828083274E00232E62 +:1022F000FEFE21B783278E1017EF0100130F0F3D4D +:10230000DC4317E701002321F73C6380E73383A216 +:10231000C700DC43AA96814E17E701002326F73A4F +:10232000914F1303500A6388E70783A8C700938778 +:10233000480323A01601DCC283A7880423A6F60164 +:102340009CC683A7C8029CCA83A7080523AC0600C5 +:10235000DCCA03A80803834708006391672AC28781 +:10236000850703C70700B3850741E30B67FE93D7D3 +:102370002500C207C183239EF600850E93860602C0 +:102380006386122983278E10DC4317E70100232D73 +:10239000F732E39CE7F983270E1117E701002325A5 +:1023A000F73261B783274E00130F8E00D4432322E8 +:1023B000DE006388E629B307E500D84283A2C600A1 +:1023C000814E2322EE00894F1303500A6306EF0665 +:1023D0008328C7001387480323A01701D8C303A786 +:1023E000880423A6F70198C703A7C80298CB03A7C0 +:1023F000080523AC0700D8CB03A80803034708004F +:102400006318671C4287050783460700B305074129 +:10241000E38B66FE13D7250042074183239EE70026 +:10242000850E93870702638D121B83264E00D842C8 +:102430002322EE00E31EEFF80327CE002322EE0056 +:1024400041BF83274E00130F8E00DC432322FE0082 +:102450006384E71F83A2C700DC43AA96814E232230 +:10246000FE00894F1303500A6306FF0683A8C700C6 +:102470009387480323A01601DCC283A7880423A600 +:10248000F6019CC683A7C8029CCA83A7080523AC93 +:102490000600DCCA03A80803834708006396671098 +:1024A000C287850703C70700B3850741E30B67FEB3 +:1024B00093D72500C207C183239EF600850E93861D +:1024C0000602638B120F83274E00DC432322FE009B +:1024D000E31EFFF88327CE002322FE0041BF83279F +:1024E000CE1197EE0100938EAE1FDC4317E701007B +:1024F0002326F71E6384D71383AFC700DC4333075B +:10250000D500014397E6010023AAF61C0D4F89422E +:102510009308500A6387D707C8479307450308C342 +:102520005CC33C452326E7011CC75C551CCB1C55EE +:1025300099C3232657003C49232C07005CCB032872 +:1025400005038347080063951705C287850783C67F +:102550000700B3850741E38B16FF93D72500C20719 +:10256000C183231EF700050313070702638AAF0226 +:102570008327CE11DC4397E6010023A1F616E39DE5 +:10258000D7F983274E1297E6010023A9F61469B7FD +:102590008147231EF700050313070702E39AAFFCE8 +:1025A0001A94E31C06D225BB8147239EF600850EB4 +:1025B00093860602E39912F117EE0100130E4E0006 +:1025C00083274E10769493165400E38307D01DB3EF +:1025D0000147239EE700850E93870702E39712E5E4 +:1025E00097E70100938747ED03AE070076949316B3 +:1025F000540083270E00E38607CCA1B58147239EB4 +:10260000F600850E93860602E39E12D783278E116D +:10261000769493165400E38107CCD1B583274E12EC +:1026200017E70100232CF70AC1BD83270E1117E716 +:102630000100232BF708E1B98327CE002322FE00F7 +:1026400011BD8326CE002322DE00B5B3797126D2D8 +:102650004AD04ECE52CC56CA5AC85EC606D622D4EE +:1026600097E90100938989E217E90100130949F507 +:1026700017EA0100130A0AE397EA0100938A4AE481 +:1026800097EB0100938BCBE297E40100938484E203 +:10269000054B21A883A7090003244910850717E7E4 +:1026A00001002325F7DE5D3011E89C40E5F7832724 +:1026B0000900E37CFBFEEFD07FD5C5BF737004300B +:1026C00083270A00B9E383270911C0471305440093 +:1026D000EFD01FEA83A70B00FD1717E7010023299E +:1026E000F7DC9C40FD1717E701002325F7DC832763 +:1026F0000A0089C783A70A00FC4385E30858EF1046 +:10270000D0032285EF1070034DB703A70A0083A7FB +:102710000A007C4385077CC37DB703A70A0083A614 +:102720000A007C43FD177CC3FC42E9FB7360043064 +:10273000F1B797E70100938767D59C43DDE341112B +:1027400006C697E7010023AB07D417E7010013077C +:1027500027D71C43B7A6A5A59386565A9C5B8C43E6 +:10276000639BD500D0436318B60094476395C600B9 +:10277000DC476388D70008430C4393854503EF206B +:10278000E07297E60100938626D2904217E7010097 +:10279000130707E311A89C42B9CB9C42FD1717E62B +:1027A00001002323F6D0904293172600B2978A07A0 +:1027B000BA979C43EDD3904293172600B2978A07AD +:1027C000B305F700D441A107BA97D442D4C16383BB +:1027D000F602DC46B24017E701002323F7CE4101A1 +:1027E0008280854717E70100232AF7CA8280737029 +:1027F000043001A0D1473306F602D442329754C3C5 +:10280000C9BF01114AC806CE22CC26CA4EC62A89A3 +:102810007370043097E401009384C4C89C4017E4AB +:1028200001001304E4C9D9E7631409000329040073 +:10283000930949004E85EFD0BFD38327890289C70A +:1028400013058901EFD0DFD2CE8517E5010013050E +:10285000A5E8EFD07FCD9C4081C71C40FC43ADE391 +:102860001C40638B27079C409DCF737004309C40B5 +:10287000C1EB97E70100938767C49843184325EBA2 +:10288000FD5717E701002325F7C09C4089CF1C4066 +:10289000FC4391CB184014407C43FD177CC3FC42A1 +:1028A00099E373600430F2406244D2444249B24931 +:1028B0000561828018401C407C4385077CC3ADB70E +:1028C000184014407C43FD177CC3FC42D1FB73606D +:1028D00004301C40E39927F99C40A1C397E701000D +:1028E0009387C7BA9C43ADCB7370043001A09C435F +:1028F000DC47DC47DC4317E70100232BF7B871B74F +:1029000018401C407C4385077CC397E701009387F0 +:10291000E7BA984318432DD7D9BF97E701009387AB +:1029200027B917E701001307A7C99C43032787119D +:10293000631DF700F240624497E7010023A207B845 +:10294000D2444249B249056182806244F240D24495 +:102950004249B2490561F1BB6244F240D244424966 +:10296000B24905616FD09FAA3DC9411126C297E4C3 +:1029700001009384E4B44AC02E898C4006C622C468 +:10298000E105EFD0FFBB88401105EFD07FBEFD57BA +:10299000630AF90497E70100938747B180439840A1 +:1029A0009C434A9440C3636CF40497E70100938707 +:1029B000E7B088438C409105EFD09FB897E70100BE +:1029C000938707AD9C436376F40097E7010023A14A +:1029D00087ACB24022449244024941018280737024 +:1029E000043001A08C402244B2409244024917E5D1 +:1029F0000100130565CE910541016FD0FFB297E745 +:102A00000100938767AB884322448C40B2409244D4 +:102A10000249910541016FD0BFB211C997E701008A +:102A20009387C7A69C4399E77370043001A0737025 +:102A3000043001A0411126C297E40100938444A808 +:102A40009840AE878C40B7060080D58F06C622C45A +:102A50001CCFE1053284EFD03FAD88401105EFD0A7 +:102A60003FB1FD57630EF40097E70100938707A479 +:102A70008843B24092442295224441016F30801D28 +:102A80008C402244B240924417E501001305C5C4AE +:102A9000910541016FD05FA925CD411126C297E470 +:102AA00001009384E4A14AC02E898C4006C622C44A +:102AB000E1053284EFD05FA788401105EFD05FAB0E +:102AC0008547630AF40497E701009387279E8043B4 +:102AD00098409C434A9440C3636CF40497E7010018 +:102AE0009387C79D88438C409105EFD07FA597E7DA +:102AF00001009387E7999C436376F40097E7010010 +:102B000023A88798B240224492440249410182801E +:102B10007370043001A08C402244B24092440249B8 +:102B200017E50100130545BB910541016FD0DF9FFB +:102B300097E7010093874798884322448C40B2402E +:102B400092440249910541016FD09F9F411106C6F1 +:102B500022C426C25C45C04735CC93048401268537 +:102B6000EFD01FA197E70100938747929C43B5E7F9 +:102B7000930444002685EFD0BF9F97E70100938719 +:102B8000A79258549C4363F6E70097E7010023ADF2 +:102B9000E79093172700BA978A0717E501001305F6 +:102BA00025A2A6853E95EFD03F9897E70100938731 +:102BB00027919C4358540145DC5763F8E70085474B +:102BC00017E70100232CF78C0545B24022449244BC +:102BD000410182807370043001A0A68517E50100D1 +:102BE000130505ADEFD05F94C9B797E70100938750 +:102BF000E7899C4381E77370043001A0411106C648 +:102C000022C426C2B70700804045CD8F1CC12DC409 +:102C1000EFD01F96930444002685EFD07F9597E769 +:102C200001009387678858549C4363F6E70097E751 +:102C3000010023ABE78693172700BA978A0717E5A9 +:102C400001001305E5973E95A685EFD0FF8D97E728 +:102C500001009387E7869C4358540145DC5763F88D +:102C6000E700854717E70100232AF7820545B240B0 +:102C700022449244410182807370043001A011CD3E +:102C800097E7010093874781984397E7010093876F +:102C9000E7819C4318C15CC182807370043001A03D +:102CA00055C1D1CD411106C622C42A8773700430A4 +:102CB00097D801009388087F83A7080091CB97D706 +:102CC00001009387E77F94439C43FC428507FCC245 +:102CD00017D301001303837D9C4103260300FD5697 +:102CE0000144638FD70217DE0100130EEE7A03252D +:102CF0000E000328070054436305A80005446371D0 +:102D0000D6023308D6400544637CF80003250E0044 +:102D1000918F03260300B6979CC108C350C301449A +:102D200083A7080081CB97D70100938767799C43DD +:102D3000FC4381EF2285B2402244410182807370BE +:102D4000043001A07370043001A0EF20F076DDB7ED +:102D5000854717D701002323F774828019C168457E +:102D600082800145828011C16CC5828097D70100A5 +:102D7000938707758843828097D70100938787726E +:102D80009C43054589CB97D70100938727708843DB +:102D9000133515000605828031CD011126CA97D45E +:102DA00001009384E4719C4006CE22CC4AC84EC6F2 +:102DB0005855DC576379F7021C4D63C707009C40E8 +:102DC000D457A947958F1CCD93172700BA97584918 +:102DD00017D901001309C97E8A07CA97630DF70046 +:102DE0009C40DC575CD5F2406244D2444249B2492F +:102DF000056182808280930945002A844E85EFD048 +:102E00002FF79C4017D701001307076A1843DC57B8 +:102E10005CD46376F70017D701002327F768139572 +:102E200027003E9562440A05F240D244CE854A9579 +:102E3000B249424905616FD02FEF19CD411106C645 +:102E400022C426C297D70100938787678043630710 +:102E5000A4007370043001A0014582807C4881E7A2 +:102E60007370043001A054543848FD177CC8638344 +:102E7000E60099C70145B240224492444101828054 +:102E8000930444002685EFD0AFEE3C4817D70100ED +:102E900013078761144329471D8F5CD418CC63F650 +:102EA000F60017D701002321F7605147B387E702E7 +:102EB00017D501001305C570A6853E95EFD0CFE666 +:102EC000054555BF7370043097D701009387875D20 +:102ED0009C4391CB97D701009387875E98439C438F +:102EE0007C4385077CC3828097D701009387875BEB +:102EF0009C438DC397D701009387875C98437843A1 +:102F000011CB984394437C43FD177CC3FC4299E367 +:102F100073600430828097D701009387675A90438B +:102F200094439843A947D456084E958F1CCF82806E +:102F300097D701009387C758984309C79443F84A25 +:102F40000507F8CA8843828079714AD006D622D410 +:102F500026D24ECE2A897370043097D40100938410 +:102F600064549C4017D4010013048455BDE31C40F5 +:102F7000BC4F89E71C400547F8CFD1E19C4081C791 +:102F80001C40FC43F1EB737004309C408DEF1C40FF +:102F9000A84F11C5630409021C4023AC07041C4060 +:102FA00023AE07049C4081C71C40FC438DEBB2500C +:102FB000225492540259F2494561828018403C4F94 +:102FC000FD173CCFE9BF18401C407C4385077CC3FC +:102FD0007DBF18401C407C4385077CC349BF184017 +:102FE00014407C43FD177CC3FC42F1F37360043052 +:102FF000B250225492540259F249456182800840ED +:103000002EC61105EFD0CFD6B245FD576380F50629 +:1030100097D701009387874983A9070018409C43ED +:10302000AE992322370163ECF90497D70100938707 +:10303000E74888430C409105EFD08FD097D7010027 +:10304000938707459C4363F6F90097D7010023A1B6 +:103050003745EFD0AFBB1DB7184014407C43FD1778 +:103060007CC3FC428DF37360043031BF0C4017D534 +:103070000100130565669105EFD00FCBD9BF97D737 +:1030800001009387674388430C409105EFD04FCBF5 +:10309000C9B779714AD04ECE06D622D426D252CCA8 +:1030A0002E89B2897370043097D401009384843FD1 +:1030B0009C4017D401001304A440F9EF184089473D +:1030C000784F630CF7001C401345F5FFB84F798D1E +:1030D000A8CF1C400547F8CFADE69C4081C71C40F7 +:1030E000FC43F5E3737004309C40B1E7638609004C +:1030F0001C40BC4F23A0F900184085470145784F7C +:10310000630BF7001C401349F9FF0545B84F3379AD +:10311000E90023AC27051C4023AE07049C4081C76F +:103120001C40FC43C1E3B250225492540259F2496C +:10313000624A4561828018401C407C4385077CC3FD +:1031400075B7084036C61105EFD08FC2B246FD579D +:103150006385F60897D701009387473503AA0700D0 +:1031600018409C43369A232247016363FA0897D795 +:1031700001009387A73488430C409105EFD04FBCE2 +:1031800097D701009387C7309C436370FA0697D79F +:10319000010023AF472F91A818401C407C438507AE +:1031A0007CC329BF184014407C43FD177CC3FC42FC +:1031B000BDFB73600430B250225492540259F2495C +:1031C000624A45618280184014407C43FD177CC3ED +:1031D000FC4289FB7360043031B70C4017D5010005 +:1031E0001305854F9105EFD02FB4EFD02FA2F5B581 +:1031F00097D701009387472C88430C409105EFD067 +:103200002FB4E5B751C1411106C622C426C24AC037 +:103210007370043097D401009384C4289C40B9EBA8 +:1032200099C23C4D9CC2B2878946704D74CD2E87A1 +:103230002A846386D70663FCF6048D456387B7004E +:1032400091456395B7006300D60C38CC8547630F72 +:10325000F60405449C4081CB97D70100938747260D +:103260009C43FC43A9E32285B24022449244024994 +:103270004101828097D701009387872498439C431C +:103280007C4385077CC369BF7370043001A0854609 +:10329000E39ED7FA3C4D33E7B70038CD45BF3C4DF0 +:1032A00085073CCD65B7EF20302175BF1309440079 +:1032B0004A85EFD0EFAB17D701001307E71E5C5428 +:1032C00018436376F70017D70100232FF71C5147E7 +:1032D000B387E70217D501001305852ECA853E95F1 +:1032E000EFD08FA41C5481C77370043001A097D70E +:1032F00001009387E71C9C435854DC57E3FBE7F439 +:10330000EFD0CF90B9B70144B1B739CD011122CC7C +:1033100026CA4AC84EC652C456C206CEBA89368A92 +:10332000B2842E892A84EFD04F9AAA8A63050A00B4 +:103330003C4C2320FA00784C89477CCC6389F4020A +:1033400063F4970C8D466387D40091466396D4004E +:10335000630AF70A232C24058547631EF7061C54CD +:1033600099CB7370043001A07370043001A03C4C01 +:1033700085073CCCD5B797D70100938727119C438D +:10338000ADEB930444002685EFD08F9E17D7010044 +:10339000130787115C5418436376F70017D70100B1 +:1033A0002324F7105147B387E70217D5010013050F +:1033B0002521A6853E95EFD02F9797D701009387BB +:1033C00027109C435854DC5763F7E70063850900D6 +:1033D000854723A0F90005445685EFD0AF8E22859E +:1033E000F2406244D2444249B249224A924A0561BB +:1033F00082809305840117D501001305652BEFD05A +:10340000AF9265BF0144C9BF8547E397F4F43C4CD4 +:1034100033E92701232C240581B74DC1011122CCAA +:1034200026CA2A844AC806CE4EC6AE84EFD0EF899B +:103430008947744C7CCC3C4C05472A8985073CCC99 +:103440006395E6061C5481C77370043001A097D7BA +:1034500001009387A7039C43ADE7930944004E8581 +:10346000EFD00F9117D70100130707045C541843DE +:103470006376F70017D701002328F7025147B38777 +:10348000E70217D501001305A513CE853E95EFD0B1 +:10349000AF8997D701009387A7029C435854DC5704 +:1034A00063F5E70099C085479CC06244F240D2446E +:1034B000B2494A85424905616FD0CF8073700430AC +:1034C00001A09305840117D501001305651EEFD0F7 +:1034D000AF85C1B7AA8729C57370043017D701001B +:1034E000130747FC14439DE2F04F894601456315DD +:1034F000D60023AE070405451C4381CB97D70100B6 +:10350000938707FC9843784315E3828097D60100A0 +:10351000938606FB904294427442850674C2E9B7D2 +:1035200097D701009387C7F99C437DB7984394438D +:103530007C43FD177CC3FC42E9FB7360043082804E +:103540005D71A6C2CAC052DC56DA5AD85ED686C6AB +:10355000A2C44EDE62D466D26AD017D90100130924 +:1035600029F717DB0100130BEBF597D401009384C7 +:10357000A4F597DA0100938A6AF5054AA54B8327DB +:103580000900984363010712DC4783A90700EFE0B5 +:10359000EFF6EFE0AFF783270B002A8C6362F50AA2 +:1035A00097D7010023A0A7F201466370351B88401E +:1035B000B3858941EFD0FFBDEFE05F99630105124C +:1035C0008840814601462C00EFD00FEC4DD9A24730 +:1035D000E3C807FEC24983A7490189C7138549008B +:1035E000EFC01FF9EFE08FF283270B002A8C636D89 +:1035F000F508A24717D70100232687EDE3E2FBFC7D +:10360000B317FA0013F70721631A071613F7770C9D +:103610006318071E93F70702C5D74E85EF00F01118 +:1036200045B7DC47C04783AC0700930944004E858B +:10363000EFC01FF45C50228582975C4C6384470B7B +:1036400083270900984371FF03A70A0097D601005A +:1036500023AA86E797D6010023AAF6E697D70100AA +:1036600023A8E7E6EFE09F8EA1BFDC47C04703AD8C +:103670000700930C44006685EFC09FEF5C502285E5 +:1036800082975C4C6386470983270900984371FF42 +:1036900003A70A0097D6010023AAF6E297D70100F4 +:1036A00023A8E7E2B9B7EFE06FE5EFE02FE6832765 +:1036B0000B002A8CE366F5F883A70A00884081494D +:1036C0009043B385894117D70100232D87DF133637 +:1036D0001600EFD01FACEFE07F87E31305EEEFC0DD +:1036E000FFD2F9BD1C4CCE85E69763E9FC049C40F3 +:1036F00089CF02CA66CC22CEEFF00FE8884081461F +:1037000001464C08EFD02F8805FD7370043001A0EE +:103710001C4CE685EA97636CFD049C4089CF02CA85 +:103720006ACC22CEEFF04FE58840814601464C0836 +:10373000EFD06F8531F97370043001A003250900C3 +:103740005CC000C8EFC0DFDFE5BDEFE03F8083274E +:103750000900DC47C047930C44006685EFC05FE179 +:103760005C4C638F47035C502285829791BD032593 +:1037700009005CC000C8EFC0BFDC39B7B24723AC5A +:10378000F900A5CFE29723A2F90023A83901938578 +:103790004900636FFC0403A50A00EFC07FDA0DB592 +:1037A0001C4C00C83387F90058C0636DEC02330C21 +:1037B0003C416363FC029C4089CF02CA4ECC22CEBE +:1037C000EFF08FDB8840814601464C08EFC0BFFB1D +:1037D00059F97370043001A003A50A00E685EFC013 +:1037E0003FD651B703250900E685EFC07FD5A5BFB9 +:1037F00003250900EFC0DFD4E1B37370043001A0EA +:10380000B24783A6890123A839013387D70023A2B1 +:10381000E9006364EC04B307FC4063EBD70483A7BF +:1038200049024E85829783A7C901E39B47D983A6A6 +:103830008901B2479840B69711CF02CA3ECC4ECE0E +:10384000EFF08FD38840814601464C08EFC0BFF3AC +:10385000E31805D67370043001A06374FC00E370B4 +:10386000F7FC0325090093854900EFC07FCD89BB94 +:1038700003A50A0093854900EFC09FCC91B3411185 +:1038800022C406C626C24AC017D401001304C4C30A +:10389000EFF04FE31C4095CBEFF00FE51C409DC3CC +:1038A000814801488147094781461306004097D562 +:1038B00001009385A5B0170500001305A5C8EFD03A +:1038C0007F9A39E97370043001A017D901001309F8 +:1038D000E9E14A85EFC0BFC397D40100938444E275 +:1038E0002685EFC0DFC20146B145114597D70100DB +:1038F00023A027BF97D7010023AA97BCEFC01FDCE6 +:1039000017D701002322A7BC11CD97D501009385BD +:1039100065AAEFD0CFFC49B7B24022449244024995 +:10392000410182807370043001A0A5C9797156CA23 +:10393000AA8A1305C00222D426D24AD04ECE52CC37 +:1039400006D65AC85EC63A89B689328AAE84E9215B +:103950002A8405C9EFF00FD797D701009387C7B620 +:103960009C439DCFEFF04FD8130544002320540112 +:1039700004CC232E44012320340323222403EFC04C +:103980005FBA2285B250225492540259F249624AD7 +:10399000D24A424BB24B456182807370043001A021 +:1039A00097DB0100938B8BD45E85EFC05FB617DB8E +:1039B0000100130BEBD45A85EFC07FB50146B1452A +:1039C000114597D7010023A577B197D7010023AF01 +:1039D00067AFEFC0BFCE17D701002327A7AE01C93D +:1039E00097D501009385059DEFD06FEFA5BF73704C +:1039F000043001A039C5011122CC06CE26CA17D445 +:103A00000100130464AC832804002A8801456385FF +:103A10000802BA842EC2368732C442C6954663C5B0 +:103A2000B602EFF06FB58947630BF5020840814697 +:103A300001464C00EFC03FD5F2406244D2440561DC +:103A400082807370043001A04C0081463A8646851E +:103A5000EFD0AF88F2406244D244056182800840D2 +:103A6000814626864C00EFC01FD2F9B719C1084124 +:103A700082807370043001A001E57370043001A0EE +:103A8000411106C622C42A84EFF0CFC3484833341C +:103A9000A000EFF06FC52285B24022444101828030 +:103AA00001E57370043001A0411122C42A8406C6C6 +:103AB000EFF04FC10050EFF02FC3B24022852244F7 +:103AC0004101828001E57370043001A0411122C4DC +:103AD00026C22E84AA8406C6EFF0CFBE80D0224430 +:103AE000B240924441016FF02FC04111714522C490 +:103AF00006C61D262A8411C5232005001105EFC026 +:103B00001FA12285B24022444101828001CDB70726 +:103B100000FFED8F81C77370043001A099E57370C9 +:103B2000043001A07370043001A0011122CC4AC8F6 +:103B30004EC652C456C206CE26CABA893689B28A41 +:103B40002E842A8AEFF04FA311E563850900737074 +:103B5000043001A0EFE08F9A83240A00B377940029 +:103B60006316090295C763870A009347F4FFE58F40 +:103B70002320FA00EFE08FBDF240624426854249DF +:103B8000D244B249224A924A05618280E30DF4FC94 +:103B9000E38209FEB3355001E20563050900B7076A +:103BA0000004DD8D4E86C18D13054A00EFE0FFE66F +:103BB000EFE0CFB919E1EFC07F85EFF0CFB59317F4 +:103BC0006500AA8963D80700B7040001FD14B3F4A7 +:103BD00099005DB7EFF00FAF83290A00B377340186 +:103BE000631E090089CB63880A009347F4FFB3F78B +:103BF00037012320FA00EFF02FAFF9B7E31DF4FEF1 +:103C0000DDB701C9B70700FFED8F99C773700430A6 +:103C100001A07370043001A0411122C42A8406C699 +:103C200026C24AC02E89EFF0EFA904409345F9FF60 +:103C3000B3F795001CC0EFF02FABB24022442685AD +:103C40000249924441018280411122C42A8406C65D +:103C5000EFC0BF870040EFC0FF86B24022852244FC +:103C60004101828009C9370700FFB3F7E50099C712 +:103C70007370043001A07370043001A06F208005C0 +:103C8000B70700FFF18F81C77370043001A001E610 +:103C90007370043001A0011122CC4AC84EC652C430 +:103CA00006CE26CA3689AE892A8A3284EFF0CF8CB6 +:103CB00021E1630509007370043001A0EFE00F8477 +:103CC00083240A00CE855285B3E49900613FB3771F +:103CD00094006307F40683240A00EFE02FA7F24064 +:103CE000624426854249D244B249224A0561828013 +:103CF000EFE0CF8083240A00CE855285B3E43401FF +:103D00009537B3F78400638D8702E30609FCB70596 +:103D100000054A86C18D13054A00EFE01FD0EFE091 +:103D2000EFA219E1EFC08FEEEFF0EF9E9317650061 +:103D3000AA8463DF0700B7070001FD17FD8C45B7B4 +:103D400083270A001344F4FFE18F2320FA0071B7A0 +:103D5000EFF04F9783240A00B377940063978700AE +:103D60009347F4FFE58F2320FA00EFF0EF97E1B7D8 +:103D7000411122C406C62A8426C2EFD03FF85C4017 +:103D800085C308489304C4006316950015A008482D +:103D900063009502B7050002EFE03FE55C40E5FBFC +:103DA0002285612A2244B240924441016FE00F9A79 +:103DB0007370043001A009C9370700FFB3F7E500AD +:103DC00099C77370043001A07370043001A06F10A4 +:103DD000707001C9B70700FFED8F99C77370043089 +:103DE00001A07370043001A0411122C426C206C68E +:103DF0002A84AE84EFF00F8D184093C7F4FFF98F3B +:103E00001CC02244B240924441016FF0EF8D19C1B1 +:103E1000084D828001458280411122C406C62A8451 +:103E2000EFD0DFED97C701009387C76A9C43A1EBF2 +:103E3000E56717D701001307278EF11797C6010012 +:103E400023A0E66A17C70100232AF76817D70100E5 +:103E50002326F78C97C701009387076817D70100BF +:103E6000232CF78A854717C70100232D076617C73C +:103E700001002323076617C70100232DF76419E803 +:103E8000EFE0CF8CEF10000201442285B2402244C3 +:103E9000410182801305840093773500C1EFE56707 +:103EA0001307F5FFE917E3EDE7FC97C601009386DA +:103EB00026639C4229A0984311C7BE86BA87D8437F +:103EC000E36BA7FE17C7010013070761E38AE7FA50 +:103ED000984341461384870098C2D843B306A7404D +:103EE0006378D6023388A7002322D800C8C383256D +:103EF000480097C601009386A65E11A0BA86984234 +:103F00005043E36DB6FE2320E80023A00601D8430A +:103F100097C70100938707609C43998F17C70100DB +:103F2000232AF75EEFE08F8231DC2285B240224403 +:103F300041018280719911059DB729C9411122C49F +:103F400006C62A84EFD09FDB930584FF0326C4FFB7 +:103F500017C701001307C75811A03E871C43D4435D +:103F6000E3EDC6FE232CF4FE0CC397C70100938734 +:103F7000675A98438327C4FF2244B240BA9717C7B1 +:103F800001002329F75841016FD05FFC828097C759 +:103F90000100938727588843828082803367B50069 +:103FA0000D8BAA871DE79308D6FFAA982A87AE86AD +:103FB0006371150303A8060011079106232E07FF5E +:103FC000E36A17FF9347F5FFC697F19B9107BE95EC +:103FD000AA972A9663F3C70A938645001388470079 +:103FE000B3B6D70033B8050133E7F50093C6160022 +:103FF000134818000D8BB3E6060113371700758FB1 +:10400000330EF6409386170029CF1337AE0031EBFD +:1040100013532E002E88BE8601478328080005070B +:10402000110823A016019106E36967FE1377CEFFFE +:10403000BA95BA976302EE0483C60500138717008A +:104040002380D700637AC70283C615001387270031 +:10405000A380D7006372C70203C725002381E7004E +:104060008280B307F640AE97850503C7F5FF850646 +:10407000238FE6FEE39AF5FE82808280B367C50057 +:104080008D8BB308C500E9C36371150FB307A0405A +:104090008D8B13873700954693F5F50F13081500A0 +:1040A0003383A8407D166373D70015476363E60C1E +:1040B000D5CF2300B5000547638DE700A300B50009 +:1040C0000D47130825006396E70013083500230108 +:1040D000B500139685004D8E13970501518F33035C +:1040E000F34093968501D98E13562300AA97014772 +:1040F00094C305079107E36DC7FE1377C3FFB307AA +:10410000E8006307E3062380B70013871700637C8A +:104110001703A380B7001387270063761703238153 +:10412000B7001387370063701703A381B7001387A5 +:104130004700637A17012382B7001387570063741F +:104140001701A382B700828093F5F50F13978500BE +:10415000D98D139705014D8FE37715FFAA87910736 +:1041600023AEE7FEE3ED17FF828082802A8895B7B1 +:104170008280AA8749BF834705002A8799C705051A +:1041800083470500EDFF198D82800145828005057A +:104190008347F5FF850503C7F5FF91C7E389E7FE70 +:1041A0003385E74082808147E5BFAA87850503C73D +:1041B000F5FF8507A38FE7FE75FB82808346050028 +:1041C000930700026397F600050583460500E38D1B +:1041D000F6FE938756FD93F7D70F85C7AA878145CB +:1041E00085CE01458507138606FD1317250083C676 +:1041F00007003A9506053295F5F699C13305A040BA +:104200008280938536FD8346150093B5150093078C +:104210001500E1FA0145D5B7014582809C41238014 +:10422000A7009C4185079CC1828097C601009386A8 +:10423000A62B9C42175703001307C750138617007D +:10424000BA972380A70097C5010023AFC528A947C7 +:104250006308F500930700046305F60001458280BA +:1042600075DE370610F005078347F7FF2320F6F0C9 +:104270009C42FD1797C5010023A8F526EDF70145DF +:1042800082804D712322911423202115232A51135A +:10429000232481132326111423248114232E311364 +:1042A000232C4113232861132326711323229113F6 +:1042B0002320A113232EB111AA842E8932C6130CF8 +:1042C0005002A54A8347090063808703B9CB804029 +:1042D00011A0A1CB2300F40080400509050480C093 +:1042E00083470900E39787FF0346190093081900E5 +:1042F000C686130D0002FD597D5A0148130550056D +:104300009307D6FD93F7F70F138916006363F52A19 +:1043100017C70100130707CF8A07BA979C43BA97BC +:1043200082878320C1140324811483244114032928 +:1043300001148329C113032A8113832A4113032BF8 +:104340000113832BC112032C8112832C4112032DE4 +:104350000112832DC11171618280A14C02C4854775 +:1043600063D50715B2478040938B770093F68BFF98 +:1043700003AB060083A94600938786003EC6668687 +:1043800081465A85CE85EF10A0572AC8814763859C +:104390003723930D4101854B668681465A85CE852C +:1043A000EF102020814666862A8BAE89EF1040559B +:1043B000A24723A0AD0093861B00910D63843701B3 +:1043C000B68BD9BFE37E9BFF63DD4601A2872380C6 +:1043D000A70180407D1A930714009CC03E84E3C867 +:1043E00046FF93962B001C08BE9631A8938777054D +:1043F0002300F4008040FD1B93871B00050480C050 +:10440000F116E351F0EC9C42E3E2FAFE93870703D6 +:10441000C5B79C409306000332472380D7009C40D9 +:1044200093064700C14C1386170090C013068007FF +:10443000A380C700804002C4BA87050480C003ABD4 +:104440000700814936C625BFB24783AC0700938B6E +:10445000470063840C1C635F40199307D002631903 +:10446000FD1683C70C0085C363DC09189440850CD6 +:104470007D1A2380F6008040050480C083C70C00AD +:10448000E5F7635D40018040930700022300F400DC +:1044900080407D1A050480C0E31A0AFE5EC61DB581 +:1044A00002C48547A94CE3CF07EBB247804093860F +:1044B000470071B703C61600CA8699B59C4093069B +:1044C00050022380D7008040050480C0E5BBC14C6A +:1044D00002C471B5B24703C61600CA8683A9070095 +:1044E00091073EC6E35E0AE04E8AFD5911BD03C640 +:1044F0001600130DD002CA8621B59347FAFFFD8737 +:1045000003C61600337AFA00CA86DDBB03C616005E +:10451000130D0003CA86EDB383C51600930906FD8B +:10452000CA86938705FD2E86E3EEFAFA9397290053 +:10453000BE9985068609AE9983C50600938909FD53 +:10454000938705FD2E86E3F3FAFE69BF32479C4050 +:10455000144311073AC62380D7008040050480C069 +:1045600095B3854763D3070BB24793867700E19AEB +:10457000938786003EC683A946009C4263DC090AF5 +:1045800094401306D002B307F0402380C600804059 +:10459000B336F000B3093041050480C03E8BB389C7 +:1045A000D940A94C02C4E1BB03C616000508CA865F +:1045B00081BB9C40468921B7E37D9BDD8547814BCC +:1045C0008546E3C547E131BD97CC0100938C8CDF74 +:1045D000CE856685EF00D042330AAA40635C400175 +:1045E00080402300A40180407D1A050480C0E31AA6 +:1045F0000AFE014A83C70C00E38207EAE3C809E622 +:10460000FD197D57E394E9E6ADBDB24793864700B7 +:104610009C4336C693D9F74195B76356400193073B +:10462000D002E313FDFA97CC0100938CACD9930729 +:1046300080021DBD3E8BA94C02C4804089B34D71E0 +:10464000232E3113B70900409387F9FF23248114E7 +:1046500023229114232C4113232A511323261114AE +:10466000232021152328611323267113232481136A +:10467000232291132320A113232EB1112A8A2EC4A1 +:1046800017C40100130444E6975A0300938A8A0B67 +:1046900097C40100938484AC3EC69305500229451B +:1046A00013080004B70610F083470A006386B704B6 +:1046B000C1C71840050A13061700569797C801008E +:1046C00023A4C8E22300F7006382A706E31E06FDC9 +:1046D000175703001307070705078347F7FF23A0B2 +:1046E000F6F01C40FD1717C60100232FF6DEEDF78C +:1046F00083470A00E39EB7FA83461A0013051A009F +:104700002A87930B00027D5B7D5C01489305500571 +:10471000A5489387D6FD93F7F70F130A170063E7B1 +:10472000F5348A07A6979C43A697828717570300FC +:104730001307470155F28DBF8320C1140324811450 +:1047400083244114032901148329C113032A8113EB +:10475000832A4113032B0113832BC112032C8112D3 +:10476000832C4112032D0112832DC11171618280AE +:10477000A14C014D854763DB0719A2479D07E19BCB +:1047800083AD070003AB4700138787003AC46686F2 +:1047900081466E85DA85EF10A0162AC863066D354E +:1047A000130941018549668681466E85DA85EF00E9 +:1047B000505F66868146AA8D2E8BEF1060142320F1 +:1047C000A90085091109E3106DFFE3FE9DFD1306A5 +:1047D0000004B70610F063D189031C40138717004B +:1047E000D69797C5010023A1E5D023807701630CFC +:1047F000C7047D1CE3C389FFB2476800A548B386A0 +:10480000F9008A061C08BE962948130300043706DF +:1048100010F0E384A6E89C429305000363F4F800DB +:10482000930570051840AE9793051700569717CE5D +:104830000100232BBECA2300F7006380072B638C83 +:104840006526F116F9B7175703001307A7EF0507F9 +:104850008347F7FF23A0F6F01C40FD1797C5010022 +:1048600023A4F5C8EDF77D1C71B71C4013060004A6 +:104870001387170097C5010023A8E5C6B386FA0081 +:10488000930500032380B600630FC73C890797C6D2 +:10489000010023ABF6C45697930680072300D70088 +:1048A00017570300130707EAB70610F0639EC70007 +:1048B00005078347F7FF23A0F6F01C40FD1717C636 +:1048C00001002323F6C2EDF7A247C14C014D910729 +:1048D0002247014B832D07003EC455BDA24783AC40 +:1048E000070013894700638E0C3A635480219307B5 +:1048F000D002639EFB2E03C70C00BA85631007200D +:1049000025AC8547A94C014DE3C907E7A2479107AC +:10491000C1B7A24783461700528703AB0700910730 +:104920003EC4E3580CDE5A8C7D5BE5B3834617002A +:10493000930BD0025287F1BB9347FCFFFD87834660 +:104940001700337CFC005287E9B383461700930BB2 +:10495000000352877DBB03461700138B06FD528769 +:10496000930706FDB286E3EEF8FA93172B00DA9769 +:1049700005078607B29703460700138B07FD9307C9 +:1049800006FDB286E3F3F8FE69BFA2451C40294646 +:10499000984193861700D69717C501002326D5B4F2 +:1049A0002380E700138945006300C72A93070004AA +:1049B0006387F6204AC4D5B1854763DE0729A2473D +:1049C00013877700619B930787003EC4032B470042 +:1049D0001C43635A0B30184013060004930617005B +:1049E00097C5010023A2D5B056979305D0022300A6 +:1049F000B7006387C62CB307F0403337F000330BA2 +:104A00006041BE8D330BEB40A94C014D49B3834649 +:104A1000170005085287F5B9834617005287D5B9A4 +:104A20001C40930600041387170017C60100232DAE +:104A3000E6AAD697130650022380C700E31FD7C407 +:104A400017570300130707D0B70610F00507834771 +:104A5000F7FF23A0F6F01C40FD1717C60100232521 +:104A6000F6A8EDF71DB9C14C014D29B31C409306C2 +:104A700000042A8A1387170017C601002326E6A61A +:104A8000D697130650022380C700E318D7C01757E4 +:104A90000300130727CBB70610F005078347F7FF7E +:104AA00023A0F6F01C40FD1717C60100232EF6A226 +:104AB000EDF72A8ADDB6175703001307A7C80507C5 +:104AC0008347F7FF2320F6F01C40FD1797C5010030 +:104AD00023ACF5A0EDF7F1162DBB17570300130714 +:104AE00067C6F1FDF11635B3E3FC9DCB8549C5B131 +:104AF000014C03C70C00BA85E30E07EAFD582945AF +:104B000013080004B70610F063570B0A1C40138605 +:104B10001700D69717C301002328C39C2380E70002 +:104B20006384A50663040609850C03C70C007D1C7D +:104B3000BA8579FBE35080E91C4093050002130617 +:104B40000004B70610F021A07D1CE3050CE61387D6 +:104B50001700D6972380B70017C501002326E598D4 +:104B6000BA87E313C7FE175703001307A7BD05074E +:104B70008347F7FF23A0F6F01C40FD1717C501007F +:104B80002324F596EDF7C9B717570300130787BB22 +:104B900041DE05078347F7FF23A0F6F01C40FD1711 +:104BA00017C601002322F694EDF7BDBF1757030087 +:104BB000130747B9F9BF7D1BE31A1BF5A5BF1757AC +:104BC0000300130727B8B70610F005078347F7FF60 +:104BD00023A0F6F01C40FD1717C601002326F6900F +:104BE000EDF74AC45DBC97BC0100938CAC7DDA85BF +:104BF00066853925330CAC40E35D80EF1C4013061D +:104C00000004B70610F021A07D1CE3030CEE13870F +:104C10001700D6972380770197C5010023A6E58C5E +:104C2000BA87E313C7FE175703001307A7B1050799 +:104C30008347F7FF23A0F6F01C40FD1797C501003E +:104C400023A4F58AEDF7C9B717570300130787AFF9 +:104C5000BDFA4AC499B4A247138747009C433AC49B +:104C600013DBF741BDB3175703001307A7ADB70612 +:104C700010F005078347F7FF23A0F6F01C40FD174F +:104C800017C601002322F686EDF7854717C70100F6 +:104C9000232CF78493078007175703002304F7AAF0 +:104CA00025B1635680019307D002E39EFBF297BCC7 +:104CB0000100938C2C7113078002930580023DBD87 +:104CC00097560300938606A8370610F0850603C79B +:104CD000F6FF2320E6F018407D1797C5010023A5B5 +:104CE000E5806DF709BBBE8DA94C014D4DB4B707EA +:104CF00010F023A0A7F201A03971130341022ED2B4 +:104D00009A8506CE32D436D63AD83EDA42DC46DE32 +:104D10001AC63532F2400145216182805D7113036C +:104D2000810322D42AC632DC2A841A86680006D679 +:104D3000BEC236DEBAC0C2C4C6C61ACEEFF06FD449 +:104D4000B247238007003245B250018D2254616181 +:104D50008280B70710F083A647F403A607F403A7E1 +:104D600047F4E31AD7FE8966938686B5B29633B6C2 +:104D7000C600B305E60023A4D7F423A6B7F48280C7 +:104D8000B70710F003A747F403A607F483A647F478 +:104D9000E31AD7FE8966938686B5B29633B6C60007 +:104DA00023A4D7F4B305E60023A6B7F493070008BD +:104DB00073A047308280411122C406C697B7010014 +:104DC0009387077317B401001304447298431C407F +:104DD0001306F07C930647069387470697B50100B4 +:104DE00023A8D57097B5010023A2F5706346D600BD +:104DF000B2402244410182801307478997B70100DE +:104E000023A8E76EDD248547631FF50018408567FA +:104E10009387F7760145E3DDE7FCD13D2244B240BC +:104E200041016FC0BFEC17B501001305255AE935E4 +:104E30000945753D2244B24041016FC03FEB17B5B3 +:104E400001001305655A4DBD17B501001305455AFC +:104E500065B5411122C426C206C6856417B4010097 +:104E600013048459938784380100FD17F5FF2285C8 +:104E70006135CDBF0945D9A8411106C6BD240547F6 +:104E800081476316E500B2403E854101828017B537 +:104E900001001305A553EFF03FE6B24089473E8578 +:104EA000410182807370043001A0828073700430ED +:104EB00001A0011122CC26CA4AC806CE2A84231793 +:104EC00001000149854411A0054950400840814630 +:104ED0009305E100EFB03F8BE31895FE631A0900DC +:104EE0001844835707008507C207C1872310F700BE +:104EF0008357E10085072317F100C1BF797122D4E0 +:104F000026D24AD04ECE06D62A848149814405490C +:104F10005040084081469305E100EFB0FFD6E31909 +:104F200025FF8357E10063859700BE848549CDB78F +:104F30008504639A09001844835707008507C20750 +:104F4000C1872310F700C204C180D9B701114EC632 +:104F5000AA89314506CE22CC26CA4AC8EFE0DFEB4B +:104F60002A84014689450545EFB04FF51309803E77 +:104F700097B701009387875908C0232224011CC4D6 +:104F80003145EFE07FE9AA8408404E87A28688C0B9 +:104F900017B501001305055788C4814801488147AA +:104FA0001306004097B501009385454623A20400EF +:104FB000170500001305C5F4EFC0CFAAA6868148E7 +:104FC0000148014781471306004097B501009385CA +:104FD000654417050000130505EEEFC0AFA8314585 +:104FE000EFE09FE32A84014689450545EFB00FEDC8 +:104FF00097B701009387275108C01CC423220400DF +:105000003145EFE07FE1AA840840A28623A2240173 +:1050100088C017B501001305854F88C48148014831 +:10502000814701471306004097B501009385053F6E +:10503000170500001305C5ECEFC0CFA24E87A6866A +:105040008148014881471306004097B501009385C8 +:10505000653D17050000130505E6EFC0AFA031451B +:10506000EFE09FDB2A84014689451545EFB00FE547 +:1050700097B701009387474908C0232224011CC425 +:105080003145EFE07FD9AA840840A28623A22401FB +:1050900088C017B501001305A54788C48148014899 +:1050A000814701471306004097B5010093850538F5 +:1050B00017050000130525E0EFC0CF9A6244F240C7 +:1050C0004249B249A686D2448148014881470147F6 +:1050D0001306004097B501009385C53517050000FC +:1050E000130505E205616FC0EF9741114AC222C660 +:1050F00026C44EC017B501001305454117B801007D +:105100001308484083540500835E05008359080056 +:1051100017B901001309093E035E08000354250076 +:105120008315090017B701001307473D03532500F6 +:10513000831707008353280003162700C2098358EA +:10514000280093D9094183524500C2044204035FF9 +:10515000450083162900835F4800C184B38535412B +:10516000418403174700858F018EB335B000C20319 +:1051700003154900B305B04093D30341B337F000A2 +:105180003336C000C202ED8F3306C040B38676408E +:1051900093D2024193950F01F18FB336D00003569D +:1051A000480033075740C1853244B306D0403337F7 +:1051B000E0000D8D3307E040F58F3335A000F98F07 +:1051C0003305A04017B70100231AD73317B70100E2 +:1051D0002312C73317B701002313673217B7010033 +:1051E000231B173117B70100231CE73117B7010044 +:1051F0002314C730A244124982497D8D4101828027 +:10520000AA95AA876385B70003C7070001E733851E +:10521000A74082808507FDB7814863DA0500330522 +:10522000A040B337A000B305B0409D8DFD5863D9B1 +:1052300006003306C040B337C000B306D0409D8E91 +:1052400032883683AA872E87639F061C97B6010093 +:105250009386460663F1C50C416E6377C60B130E49 +:10526000F00F6373CE002143335E6600F29603CEE7 +:1052700006001A9E130300023303C341630C0300AC +:10528000B3956500335EC501331866003367BE0011 +:10529000B3176500135E0801B355C7031315080162 +:1052A000418193D607013376C703B305B5024206A1 +:1052B000D18E63F8B600C29663E5060163F3B600CB +:1052C000C2968D8E33F7C603C207C183B3D6C60319 +:1052D000B306D50213150701C98F63F8D700C2972B +:1052E00063E5070163F3D700C297958F33D5670055 +:1052F0008145638A0800B307A0403337F000B30547 +:10530000B0403E85998D8280370E00014143E36DA8 +:10531000C6F5614391BF01E605483358680241670D +:105320006373E8081307F00F6373070121433357D2 +:105330006800BA9603C606001A96130300023303E8 +:10534000C34063190306B38505419356080113153D +:105350000801418113D6070133F7D502B3D5D50231 +:105360004207518FB305B5026378B7004297636572 +:1053700007016373B7004297B305B74033F7D5020F +:10538000C207C183B3D5D502B305B5021315070112 +:10539000C98F63F8B700C29763E5070163F3B700ED +:1053A000C2978D8FA1B7370700014143E361E8F849 +:1053B0006143B5BF3318680033DEC500935E080152 +:1053C0003356C500B31765003355DE03B39565004A +:1053D0004D8E93150801C181935606013377DE0384 +:1053E0003385A5024207558F6378A700429763650E +:1053F00007016373A7004297B306A74033F7D603AC +:1054000042064182B3D6D6034207B386D502B365BE +:10541000C70063F8D500C29563E5050163F3D500C5 +:10542000C295958D1DB7E3E6D5EC416863F5060599 +:10543000930EF00F33B8DE000E0833DE060117B30B +:105440000100130323E77293834E0300130E00023F +:10545000C29E330EDE4163170E0263E4B600636939 +:10546000C500B307C540958D3335F5003387A5409A +:105470003E85BA85BDBD370300014148E3EF66FABA +:10548000614865BF3357D601B396C601D98EB3576D +:10549000D50133D7D501B395C501CD8F93D506017D +:1054A0003373B702139F0601135F0F0113D807016F +:1054B0003316C6013315C5013357B70242033368AB +:1054C0000301B30FEF023A83637CF80136981303AC +:1054D000F7FF6367D8006375F8011303E7FF369899 +:1054E0003308F841B37FB8023358B802C20FB3058E +:1054F0000F03139F0701135F0F0133EFEF01428783 +:10550000637CBF00369F1307F8FF6367DF00637596 +:10551000BF001307E8FF369F4203C16F3363E30008 +:105520009387FFFF13580601330FBF40B375F30095 +:1055300013530301F18F3387F502B307F302B385E9 +:10554000050333030303BE95135807012E986373B5 +:10555000F8007E93C167FD17935508013378F80072 +:1055600042087D8F9A9542976366BF00631BBF0018 +:105570006379E5003306C7403337C700958D998DB1 +:1055800032873307E5403335E500B305BF40898DE9 +:10559000B397D5013357C70133E5E700B3D5C5014C +:1055A00089BBAE87328836872A836396062097B8F0 +:1055B0000100938828D063FEC50A41676374E60A38 +:1055C0001307F00F3337C7000E07B356E600B6983F +:1055D00083C60800369793060002998E99CAB3973E +:1055E000D7003357E5003318D600B365F7003313FF +:1055F000D50013550801B3D7A5021316080141823F +:105600009356030133F7A502B305F6024207D98E7C +:105610003E8763FCB600C2961387F7FF63E7060177 +:1056200063F5B6001387E7FFC2968D8EB3F7A60227 +:10563000420313530301B3D6A602C20733E3670044 +:10564000B305D6023685637BB30042931385F6FF1C +:10565000636603016374B3001385E6FF4207498F55 +:1056600081454DA8B70600014147E360D6F6614782 +:10567000A9BF01E6854633D8C602C166637ED80855 +:105680009306F00F63F306012147B356E800B6987E +:1056900083C60800BA9613070002158F49E7B3873F +:1056A00007418545135608019318080193D808014E +:1056B0009356030133F7C702B3D7C7024207D98E07 +:1056C0003385F8023E8763FCA600C2961387F7FF76 +:1056D00063E7060163F5A6001387E7FFC296898E8C +:1056E000B3F7C602420313530301B3D6C602C2077F +:1056F00033E36700B388D8023685637B1301429396 +:105700001385F6FF63660301637413011385E6FFD7 +:105710004207498F3A858280B70600014147E36618 +:10572000D8F661479DB73318E800B3D5D7003313D7 +:10573000E500B356D50013550801B397E70033F7DA +:10574000A502B3E8F60093170801C18313D6080138 +:10575000B3D5A5024207518FB386B7022E86637C6C +:10576000D70042971386F5FF636707016375D7007B +:105770001386E5FF4297B306D74033F7A602C20867 +:1057800093D80801B3D6A6024207B385D702B36700 +:105790001701368763FCB700C2971387F6FF63E7EC +:1057A000070163F5B7001387E6FFC2978D8F931546 +:1057B0000601D98DC5BD63E2D514416763FEE602DB +:1057C0001308F00F3337D8000E0733D8E60097B52B +:1057D0000100938525AEC29503C80500930500021C +:1057E0003A98B385054185E10547E3E5F6F233359F +:1057F000C5001347150039BFB70500014147E3E66F +:10580000B6FC6147D9B733570601B396B600D98EB7 +:1058100093DE060133D70701B378D703B397B700F8 +:10582000335805013363F80093970601C183135879 +:1058300003013316B6003357D703C20833E8080113 +:10584000338FE7023A8E637CE8013698130EF7FF38 +:105850006367D8006375E801130EE7FF36983308D5 +:10586000E841B378D8033358D803C208B38E07038E +:1058700093170301C183B3E7F800428763FCD701A4 +:10588000B6971307F8FF63E7D70063F5D70113074F +:10589000E8FFB697420EB387D741C16E3367EE007B +:1058A0001388FEFF3373070193580701337806010D +:1058B0004182330E03033388080393560E013303EA +:1058C000C30242939A96B388C80263F30601F6981E +:1058D00013D60601B29863E01703E39317D9C167A3 +:1058E000FD17FD8EC206337EFE003315B500F2961D +:1058F0008145E371D5E27D17A5B38145014719BD07 +:10590000B2883687AA872E886398061C97B601004E +:105910009386469A63F8C50A4163637E660813035B +:10592000F00F6373C30021473353E6009A9603CE0A +:105930000600130300023A9E3303C341630C0300C5 +:10594000B3956500335EC501B31866003368BE00C9 +:10595000B317650013D608013377C8021395080101 +:10596000418193D607013358C8024207D98E3308C4 +:10597000050363F80601C69663E5160163F30601A5 +:10598000C696B386064133F7C602C207C183B3D6B3 +:10599000C602B306D50213150701C98F63F8D700F5 +:1059A000C69763E5170163F3D700C697958F33D584 +:1059B000670081458280370300014147E36666F650 +:1059C00061479DB701E60546B3581603416663F388 +:1059D000C8081306F00F63731601214733D6E80099 +:1059E000B29603CE0600130300023A9E3303C3416E +:1059F00063190306B385154113D7080113950801F0 +:105A0000418113D60701B3F6E502B3D5E502C2061C +:105A1000D18EB305B50263F8B600C69663E51601EC +:105A200063F3B600C696B385B640B3F6E502C20787 +:105A3000C183B3D5E502B305B50213950601C98F3D +:105A400063F8B700C69763E5170163F3B700C6971D +:105A50008D8FB1BF370600014147E3E1C8F86147C8 +:105A6000B5BFB398680033D7C501B3176500335E7F +:105A7000C50113D50801B376A702B3956500336E4F +:105A8000BE0093950801C18113560E013357A7023A +:105A9000C206D18E3387E50263F8E600C69663E559 +:105AA000160163F3E600C6963386E640B376A60297 +:105AB000420E135E0E013356A602C2063386C5029D +:105AC000B3E5C60163F8C500C69563E5150163F348 +:105AD000C500C695918D0DB7E3EED5EC416763F532 +:105AE000E604930EF00F33B7DE000E0733D3E60063 +:105AF00097A801009388087C9A9883CE0800130E1B +:105B00000002BA9E330EDE4163170E0263E4B60054 +:105B10006369C500B307C540958D3335F5003388FB +:105B2000A5403E85C28579B5B70800014147E3EF3E +:105B300016FB614765BF3357D601B396C601D98EB0 +:105B4000B357D50133D7D501B395C501CD8F93D5C3 +:105B500006013373B702139F0601135F0F0193D839 +:105B600007013316C6013315C5013357B702420387 +:105B7000B36813013308EF023A8363FC0801B69857 +:105B80001303F7FF63E7D80063F508011303E7FF8A +:105B9000B698B3880841B3FFB802B3D8B802C20FB1 +:105BA000B3051F03139F0701135F0F0133EFEF01CD +:105BB0004687637CBF00369F1387F8FF6367DF006B +:105BC0006375BF001387E8FF369F4203C1683363E4 +:105BD000E3009387F8FF13580601330FBF40B375F6 +:105BE000F30013530301F18F3387F502B307F30278 +:105BF000B385050333030303BE95135807012E989D +:105C00006373F8004693C167FD1793550801337815 +:105C1000F80042087D8F9A9542976366BF00631B28 +:105C2000BF006379E5003306C7403337C700958D61 +:105C3000998D32873307E5403335E500B305BF4022 +:105C4000898DB397D5013357C70133E5E700B3D545 +:105C5000C5018DB397B7010093878786944317B723 +:105C600001001307A7841843C8C2637BE50017B778 +:105C700001001307678408438C4391056FA05F8C74 +:105C8000411122C406C617B70100130727832A84CF +:105C900008438C439105EFA0BF8A97A70100938723 +:105CA000277F9C436376F40097A7010023A2877E99 +:105CB000B24022444101828097B701009387478018 +:105CC000984394437C43FD177CC3FC4299E3736083 +:105CD00004308280011122CC26CA2A84AE844AC8AC +:105CE0004EC652C456C206CEEFC04F811C40032997 +:105CF0000401B7090001B3E59700930AC4000CC082 +:105D00008144FD19370A00021840638C2A030326D8 +:105D100009004A85B3654701B376360193175600EB +:105D2000758F0329490063C4070001E7F1BFE39DB4 +:105D3000E6FC9317760063D30700D58CEFC0FFEA2B +:105D4000E1B793C4F4FFF98C04C0EFC02FA0084062 +:105D5000F2406244D2444249B249224A924A056121 +:105D600082804111094506C622C4EFF02F9E17F725 +:105D7000FFFF13078704814605469305400617A5D4 +:105D800001001305E567EFD05FBA19C92A84EFB0A7 +:105D9000FFF72A860147814685452285EFD09FC5BA +:0E5DA000EFB05FD0B240224401454101828045 +:02000004800278 +:10000000B444FEFFB245FEFFBC44FEFFB245FEFF16 +:10001000B245FEFFB245FEFFB245FEFFD444FEFFEF +:10002000B245FEFFB245FEFFEE44FEFFFA44FEFF7E +:10003000B245FEFF0C45FEFF1845FEFF1845FEFFCA +:100040001845FEFF1845FEFF1845FEFF1845FEFF48 +:100050001845FEFF1845FEFF1845FEFFB245FEFF9E +:10006000B245FEFFB245FEFFB245FEFFB245FEFFC0 +:10007000B245FEFFB245FEFFB245FEFFB245FEFFB0 +:10008000B245FEFFB245FEFFB245FEFFB245FEFFA0 +:10009000B245FEFFB245FEFFB245FEFFB245FEFF90 +:1000A000B245FEFFB245FEFFB245FEFFB245FEFF80 +:1000B000B245FEFFB245FEFFB245FEFFB245FEFF70 +:1000C000B245FEFFB245FEFFB245FEFFB245FEFF60 +:1000D000B245FEFFB245FEFFB245FEFFB245FEFF50 +:1000E000B245FEFFB245FEFFB245FEFFB245FEFF40 +:1000F000B245FEFFB245FEFFB245FEFFB245FEFF30 +:100100004C45FEFF6245FEFFB245FEFFB245FEFFD5 +:10011000B245FEFFB245FEFFB245FEFFB245FEFF0F +:10012000B245FEFFA845FEFFB245FEFFB245FEFF09 +:100130005A43FEFF1244FEFFB245FEFFB245FEFFEA +:100140004844FEFFB245FEFFA044FEFFB245FEFF5D +:10015000B245FEFFCE44FEFFC048FEFF1449FEFF3D +:10016000C848FEFF1449FEFF1449FEFF1449FEFF74 +:100170001449FEFFBA47FEFF1449FEFF1449FEFF73 +:10018000D447FEFFE047FEFF1449FEFFF247FEFFA3 +:10019000FE47FEFFFE47FEFFFE47FEFFFE47FEFF57 +:1001A000FE47FEFFFE47FEFFFE47FEFFFE47FEFF47 +:1001B000FE47FEFF1449FEFF1449FEFF1449FEFFEF +:1001C0001449FEFF1449FEFF1449FEFF1449FEFFC7 +:1001D0001449FEFF1449FEFF1449FEFF1449FEFFB7 +:1001E0001449FEFF1449FEFF1449FEFF1449FEFFA7 +:1001F0001449FEFF1449FEFF1449FEFF1449FEFF97 +:100200001449FEFF1449FEFF1449FEFF1449FEFF86 +:100210001449FEFF1449FEFF1449FEFF1449FEFF76 +:100220001449FEFF1449FEFF1449FEFF1449FEFF66 +:100230001449FEFF1449FEFF1449FEFF1449FEFF56 +:100240001449FEFF1449FEFF1449FEFF1449FEFF46 +:100250001449FEFF1449FEFF3248FEFF6048FEFFCE +:100260001449FEFF1449FEFF1449FEFF1449FEFF26 +:100270001449FEFF1449FEFF1449FEFFB648FEFF75 +:100280001449FEFF1449FEFF1846FEFF1247FEFF09 +:100290001449FEFF1449FEFF8447FEFF1449FEFF88 +:1002A000AA47FEFF1449FEFF1449FEFF0E49FEFF58 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020426C6F636B51207461736B73200D0A44 +:1003E000000000006D69616F750A00006D69616F42 +:1003F00075320A0068756E6772790A004368656332 +:100400006B54696D6572000051436F6E7342310029 +:100410005150726F6442320051436F6E7342330029 +:100420005150726F644234005150726F6442350013 +:0804300051436F6E7342360068 +:100438001000000000000000017A5200017C010158 +:100448001B0D02001000000018000000C44DFEFF44 +:100458008A03000000000000100000002C000000CB +:100468003A51FEFF5E03000000000000100000008B +:10047800400000008454FEFF540300000000000008 +:08051000FC8F0100090000004E +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/EventGroupsDemo_rv32i_O0.hex b/VexRiscv/src/test/resources/freertos/EventGroupsDemo_rv32i_O0.hex new file mode 100644 index 0000000..4c691b9 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/EventGroupsDemo_rv32i_O0.hex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diff --git a/VexRiscv/src/test/resources/freertos/EventGroupsDemo_rv32i_O3.hex b/VexRiscv/src/test/resources/freertos/EventGroupsDemo_rv32i_O3.hex new file mode 100644 index 0000000..677da85 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/EventGroupsDemo_rv32i_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/EventGroupsDemo_rv32ic_O0.hex b/VexRiscv/src/test/resources/freertos/EventGroupsDemo_rv32ic_O0.hex new file mode 100644 index 0000000..d454e12 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/EventGroupsDemo_rv32ic_O0.hex @@ -0,0 +1,1659 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000E1B5170502001305E53697950300938532 +:1000A00025690146112217050200130565339705DE +:1000B0000200938565330146C52817A50300130583 +:1000C000656797B503009385A56637B6ABAB130696 +:1000D000B6BAD92817B103001301C165EF40501318 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2C21A23A02200F3221034E4 +:1002400096DEEF00603017010200032161190241C0 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E21523A0120023A2BD +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40005E17010200032151 +:10033000210B0241F65273901234B72200009382CF +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A20783A0C4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2C2F823A0220086DEEF10DA +:10046000B040170102000321A1F70241F652739038 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F0961730020309707BF +:1004C00002009387A7F29843FD576305F700737006 +:1004D000043001A07370043001A041112AC6B24754 +:1004E000739047300100410182804111F327403071 +:1004F0003EC673F04330B2473E85410182804111D0 +:100500002AC62EC432C2B247F1173EC62247B247AE +:1005100098C3B247938787FA3EC61247B24798C33B +:10052000B247A1173EC68E873E87B24798C3B247EF +:10053000D1173EC6170700001307A7F8B24798C3A4 +:10054000B2473E8541018280411106C6EF4020340A +:10055000EF10D014AA8799C3EF1010310100B240F8 +:100560004101828041112AC6B24713878700B247F2 +:10057000D8C3B2477D5798C7B24713878700B247A1 +:10058000D8C7B24713878700B24798CBB24723A09A +:10059000070001004101828041112AC6B24723A809 +:1005A000070001004101828001112AC62EC4B24712 +:1005B000DC433ECEA2477247D8C3F2479847A247D2 +:1005C00098C7F2479C472247D8C3F247224798C7AB +:1005D000A247324798CBB2479C4313871700B247D4 +:1005E00098C301000561828001112AC62EC4A2476A +:1005F0009C433ECC6247FD576316F700B2479C4BC5 +:100600003ECE31A8B247A1073ECE21A0F247DC433F +:100610003ECEF247DC439C436247E379F7FEF24764 +:10062000D843A247D8C3A247DC43224798C7A24772 +:10063000724798C7F2472247D8C3A247324798CBA0 +:10064000B2479C4313871700B24798C30100056166 +:10065000828001112AC6B2479C4B3ECEB247DC4392 +:100660003247184798C7B2479C4732475843D8C3C8 +:10067000F247DC4332476316F700B2479847F24728 +:10068000D8C3B24723A80700F2479C431387F7FF5C +:10069000F24798C3F2479C433E8505618280797199 +:1006A00006D622D42AC62EC4B2473ECEF24781E7F0 +:1006B0007370043001A0EF202057F2478043F247C7 +:1006C000D85FF247BC43BE853A85EF50B03CAA875D +:1006D0003307F400F247D8C3F24723AC0702F247CE +:1006E0009843F24798C7F2478043F247DC5F13878D +:1006F000F7FFF247BC43BE853A85EF50B039AA8771 +:100700003307F400F247D8C7F2477D57F8C3F247E2 +:100710007D57B8C7A24799EFF2479C4B8DC7F24768 +:10072000C1073E85EF1090402A878547631DF7007B +:10073000F13911A8F247C1073E852D35F24793875D +:1007400047023E850535EF20005185473E85B25072 +:10075000225445618280797106D62AC62EC4B2879A +:10076000A303F10002CCB24781E77370043001A00B +:10077000A24799E302CE01A8A2453245EF5090313D +:10078000AA8785073ECEF247938747053E85EF301F +:10079000006D2ACAD24785CFA24789E7D247524780 +:1007A00098C331A0D24713874705D24798C3D24791 +:1007B0003247D8DFD2472247B8C385455245C535B1 +:1007C000D247034771002388E704D2473ECCE24773 +:1007D00081E77370043001A0E2473E85B250456165 +:1007E0008280797106D6AA87A307F1001305400518 +:1007F000EF30E0662ACEF247B9CFF24723A20700D6 +:10080000F24723A00700F24723A40700F24723A6DC +:100810000700F24723AC0702F2470547D8DFF2474B +:1008200023A00704F2477D57F8C3F2477D57B8C7A6 +:10083000F2470347F1002388E704F247C1073E85EA +:100840001533F247938747023E85293B814601468F +:10085000814572453122F2473E85B2504561828022 +:10086000797106D622D42AC6B2473ECCE24781E748 +:100870007370043001A0E247C043EF20001DAA8737 +:100880006313F402E247DC471387F7FFE247D8C758 +:10089000E247DC4791E78146014681456245C92030 +:1008A00085473ECE11A002CEF2473E85B25022547B +:1008B00045618280797106D622D42AC62EC4B247F9 +:1008C0003ECCE24781E77370043001A0E247C043A9 +:1008D000EF20A017AA87631BF400E247DC471387C9 +:1008E0001700E247D8C785473ECE0DA08146224675 +:1008F00081456245252E2ACE724785476318F70049 +:10090000E247DC4713871700E247D8C7F2473E8526 +:10091000B250225445618280797106D62AC62EC40F +:10092000B24781E77370043001A02247B24763F5F4 +:10093000E7007370043001A0094681453245213D2E +:100940002ACEF24781C7F247224798DFF24781E774 +:100950007370043001A0F2473E85B2504561828039 +:10096000397106DE2AC62EC432C236C002D6B2475C +:100970003ED4A25781E77370043001A0A24781E7FB +:10098000A257BC4399E3854711A0814781E7737063 +:10099000043001A0024789476317F700A257D85FC8 +:1009A00085476314F700854711A0814781E773707D +:1009B000043001A0EF20C00AAA8799E3924799E387 +:1009C000854711A0814781E77370043001A0EF20B3 +:1009D000A025A257985FA257DC5F6366F700024725 +:1009E0008947631DF7020246A245225565232AD294 +:1009F000A257DC5389CFA257938747023E85EF1059 +:100A0000F0122A8785476317F700093421A092570F +:100A100091C3ED3AEF202024854771A8924789E7DA +:100A2000EF206023814741A8B25799E77C083E85B3 +:100A3000EF10702785473ED6EF20E021EF10401AD7 +:100A4000EF20801EA257F843FD576315F700A25709 +:100A500023A20704A257B847FD576315F700A25712 +:100A600023A40704EF20201F58007C08BA853E8588 +:100A7000EF107026AA879DEB2255EF006071AA87C0 +:100A80008DC3A257C1071247BA853E85EF10E070AB +:100A900022551525EF108016AA87E39A07F2BD327A +:100AA0003DB72255092DEF10601515B722552125A8 +:100AB000EF10C01481473E85F25021618280797128 +:100AC00006D62AC62EC432C236C0B2473ECCE24752 +:100AD00081E77370043001A0A24781E7E247BC437D +:100AE00099E3854711A0814781E77370043001A025 +:100AF000024789476317F700E247D85F85476314C9 +:100B0000F700854711A0814781E77370043001A089 +:100B1000E93AAA873ECAE247985FE247DC5F63662C +:100B2000F700024789476315F7040246A24562456C +:100B30009521E247B847FD576313F702E247DC53BC +:100B40008DC7E247938747023E85EF10207EAA8734 +:100B500089CF924799CB9247054798C339A0E2477E +:100B6000BC4713871700E247B8C785473ECE11A0A0 +:100B700002CED2473E859532F2473E85B25045615E +:100B80008280797106D62AC62EC4B2473ECCE2478F +:100B900081E77370043001A0E247BC4381C77370E2 +:100BA000043001A0E2479C4381E7E247DC4399E33C +:100BB000854711A0814781E77370043001A0353269 +:100BC000AA873ECAE247985FE247DC5F6377F70493 +:100BD000E2479C5F13871700E24798DFE247B84778 +:100BE000FD576313F702E247DC538DC7E247938753 +:100BF00047023E85EF108073AA8789CFA24799CB21 +:100C0000A247054798C339A0E247BC47138717009E +:100C1000E247B8C785473ECE11A002CED2473E85F7 +:100C20006D38F2473E85B25045618280397106DEEB +:100C30002AC62EC432C236C002D6B2473ED4A2570C +:100C400081E77370043001A0A24781E7A257BC433B +:100C500099E3854711A0814781E77370043001A0B3 +:100C6000EF101060AA8799E3924799E3854711A096 +:100C7000814781E77370043001A0EF10F07AA2572A +:100C80009C5FB5CBA257DC473ED2A2452255F92E38 +:100C9000824795EFA2579C5F1387F7FFA25798DF13 +:100CA000A2579C4391E7EF2060022A87A257D8C33E +:100CB000A2579C4B8DCFA257C1073E85EF1000670E +:100CC0002A8785476315F702EFF04FF40DA0A2576E +:100CD0001257D8C7A257DC5399CBA2579387470224 +:100CE0003E85EF10A064AA8799C3EFF02FF2EF10B2 +:100CF000907685475DA8924789E7EF10D0758147C8 +:100D00006DA0B25799E77C083E85EF10C079854702 +:100D10003ED6EF105074EF00B06CEF10F070A25799 +:100D2000F843FD576315F700A25723A20704A25703 +:100D3000B847FD576315F700A25723A40704EF1027 +:100D4000907158007C08BA853E85EF10C078AA875C +:100D5000A1EB2255CD2EAA879DCFA2579C4391EBA4 +:100D6000EF10906CA257DC433E85EF107052EF10ED +:100D7000906EA257938747021247BA853E85EF10BF +:100D8000C04122550D2EEF007067AA87E39707EE4A +:100D9000EFF0CFE7DDB52255392EEF003066F1BD1B +:100DA0002255112EEF00906581473E85F25021615A +:100DB0008280797106D62AC62EC432C2B2473ECC92 +:100DC000E24781E77370043001A0A24781E7E24760 +:100DD000BC4399E3854711A0814781E773700430D4 +:100DE00001A0EFF08FF0AA873ECAE2479C5FA1CB3B +:100DF000A2456245A52CE2479C5F1387F7FFE247B7 +:100E000098DFE247F843FD576312F702E2479C4B35 +:100E100085C7E247C1073E85EF104051AA8789CFB9 +:100E2000924799CB9247054798C339A0E247FC43C4 +:100E300013871700E247F8C385473ECE11A002CEC4 +:100E4000D2473E85EFF06FE9F2473E85B2504561EB +:100E50008280797106D62AC62EC4B2473ECCE247BC +:100E600081E77370043001A0A24781E7E247BC43E9 +:100E700099E3854711A0814781E77370043001A091 +:100E8000E247BC4381E77370043001A0EFF0EFE567 +:100E9000AA873ECAE2479C5F89CFE247DC473EC84B +:100EA000A2456245E122E2474247D8C785473ECE88 +:100EB00011A002CED2473E85EFF02FE2F2473E85E9 +:100EC000B25045618280797106D62AC6B24781E761 +:100ED0007370043001A0EF103055B2479C5F3ECED6 +:100EE000EF107057F2473E85B250456182807971AC +:100EF00006D62AC6B2473ECEF24781E77370043069 +:100F000001A0EF107052F247D85FF2479C5FB30721 +:100F1000F7403ECCEF103054E2473E85B250456179 +:100F2000828001112AC6B24781E77370043001A0A4 +:100F3000B2479C5F3ECEF2473E8505618280797163 +:100F400006D62AC6B2473ECEF24781E77370043018 +:100F500001A07245E5247245EF3060030100B250F4 +:100F60004561828041112AC6B247FC473E85410156 +:100F7000828041112AC62EC4B2472247F8C7010019 +:100F80004101828041112AC6B24783C707053E85C9 +:100F900041018280797106D62AC62EC432C202CEA1 +:100FA000B247BC4391EFB2479C43D5E7B247DC431D +:100FB0003E85EF10903B2ACEB24723A2070061A8DE +:100FC000924785EFB2479847B247BC433E86A24559 +:100FD0003A85EF30600AB2479847B247BC433E9724 +:100FE000B24798C7B2479847B247DC436365F706F4 +:100FF000B2479843B24798C7B9A8B247D847B24753 +:10100000BC433E86A2453A85EF300007B247D84739 +:10101000B247BC43B307F0403E97B247D8C7B24788 +:10102000D847B2479C43637BF700B247D843B247E7 +:10103000BC43B307F0403E97B247D8C71247894731 +:10104000631BF700B2479C5F99C7B2479C5F138749 +:10105000F7FFB24798DFB2479C5F13871700B2478C +:1010600098DFF2473E85B25045618280011106CE7D +:101070002AC62EC4B247BC439DCBB247D847B2471D +:10108000BC433E97B247D8C7B247D847B247DC43C4 +:101090006366F700B2479843B247D8C7B247D8470C +:1010A000B247BC433E86BA852245EF20F07C010062 +:1010B000F24005618280011106CE2AC6EF10D036BB +:1010C00025A0B247DC5395C7B247938747023E85B8 +:1010D000EF10C025AA8799C3EF104049B247BC471B +:1010E0001387F7FFB247B8C7B247BC47E34BF0FCE2 +:1010F00011A00100B2477D57B8C7EF10D035EF10EF +:10110000B0321DA0B2479C4B8DC7B247C1073E8588 +:10111000EF10C021AA8799C3EF104045B247FC43A6 +:101120001387F7FFB247F8C3B247FC43E34CF0FC28 +:1011300011A00100B2477D57F8C3EF10D031010074 +:10114000F24005618280797106D62AC6EF10D02D53 +:10115000B2479C5F81E785473ECE11A002CEEF10DB +:10116000902FF2473E85B2504561828001112AC618 +:10117000B24781E77370043001A0B2479C5F81E7FA +:1011800085473ECE11A002CEF2473E8505618280A2 +:10119000797106D62AC6EF103029B247985FB24758 +:1011A000DC5F6315F70085473ECE11A002CEEF103D +:1011B000902AF2473E85B2504561828001112AC6CD +:1011C000B24781E77370043001A0B247985FB2471D +:1011D000DC5F6315F70085473ECE11A002CEF247D3 +:1011E0003E850561828001112AC62EC402CE81A0EF +:1011F0001787030013070750F2478E07BA979C43DF +:1012000085E7178703001307E74EF2478E07BA9763 +:10121000224798C3178703001307C74DF2478E076D +:10122000BA973247D8C301A8F24785073ECE724726 +:101230009D47E3FFE7FA01000561828001112AC69C +:1012400002CE0DA8178703001307C74AF2478E077F +:10125000BA97DC433247631CF70017870300130774 +:101260006749F2478E07BA9723A0070001A8F24703 +:1012700085073ECE72479D47E3F6E7FC0100056116 +:101280008280797106D62AC62EC432C2B2473ECEBB +:10129000EF109019F247F843FD576315F700F24736 +:1012A00023A20704F247B847FD576315F700F2473A +:1012B00023A40704EF10301AF2479C5F89EBF24732 +:1012C000938747021246A2453E85EF00907E724505 +:1012D000DD330100B25045618280397106DE2ACECD +:1012E0002ECC36C83AC63EC442C246C0B287231B83 +:1012F000F100F24781E77370043001A03247A5473F +:1013000063F5E7007370043001A0835761019245D3 +:101310003E85EF1080512AD4A257638F0712A2573F +:10132000985B83566101B7070040FD17B6978A079F +:10133000BA973ED29257F19B3ED292578D8B81C77E +:101340007370043001A0835761013E8782463246A4 +:10135000E2452255EF10E0274246F2451255EFF0E4 +:101360000F9A2A87A25798C3A24781C7A24722573C +:1013700098C3EF10700B97F701009387E7239C4306 +:101380001387170097F701009387072398C397F7F0 +:1013900001009387E7049C4395E397F70100938747 +:1013A0002704225798C397F701009387E7209843B3 +:1013B0008547631AF702EF10C02C35A097F701009C +:1013C000938747209C4385E397F701009387470164 +:1013D0009C43DC5732476368F70097F70100938717 +:1013E0002700225798C397F701009387A71E9C43B5 +:1013F0001387170097F701009387C71D98C397F7C6 +:1014000001009387271D9843A257B8C7A257D85702 +:1014100097F701009387C71A9C4363F9E700A25727 +:10142000D85797F701009387A71998C3A257D857A1 +:10143000BA878A07BA978A0717F701001307470484 +:101440003E97A2579107BE853A85EFF0EF95854705 +:101450003ED6EF10500019A0FD573ED632578547B3 +:101460006313F70297F701009387C7159C4381CF59 +:1014700097F701009387C7F69C43DC57324763F424 +:10148000E700EFE0BFF8B2573E85F250216182805D +:10149000797106D62AC6EF102079B24799E797F7F7 +:1014A00001009387E7F39C4311A0B2473ECEF24779 +:1014B00091073E85EFF0EF99F2479C5791C7F247AD +:1014C000E1073E85EFF0EF98F2479107BE8517F5EB +:1014D00001001305A50BEFF02F8D97F701009387FF +:1014E000270C9C431387170097F701009387470B3E +:1014F00098C397F701009387E70D9C431387170064 +:1015000097F701009387070D98C3EF10C07497F702 +:1015100001009387270B9C439DCB97F7010093878E +:1015200027EC9C437247631EF70097F701009387EF +:10153000E70A9C4381C77370043001A0EFE01FED00 +:1015400039A0EF10606EEF10A04BEF10C0700100DB +:10155000B25045618280797106D62AC62EC402CE69 +:10156000B24781E77370043001A0A24781E773702E +:10157000043001A097F70100938747069C4381C779 +:101580007370043001A0A92D97F70100938707031A +:101590009C433ECCB2479C432247BA973ECAB247CF +:1015A0009C436247637EF700B2479C435247637493 +:1015B000F7025247E24763F0E70285473ECE21A893 +:1015C000B2479C4352476366F7005247E24763F4D1 +:1015D000E70085473ECEB247524798C3F24789CFCE +:1015E00097F701009387C7DF9C4391073E85EFF093 +:1015F0004F865245EF10001B11252AC8C24799E3B8 +:10160000EFE0DFE00100B25045618280797106D6DB +:101610002AC602CEB247A9C397F70100938707FCF9 +:101620009C4381C77370043001A05D2B97F70100C4 +:101630009387C7F89C433247BA973ECC97F701008F +:10164000938707DA9C4391073E85EFF08F806245D0 +:10165000EF10401565232ACEF24799E3EFE01FDB38 +:101660000100B25045618280797106D62AC6B24720 +:101670003ECCE24781E77370043001A097F7010088 +:10168000938707D69C4362476314F70002CEBDA040 +:10169000EF108059E247DC4B3ECAEF10C05B97F772 +:1016A00001009387E7EC9C435247630AF70097F7E2 +:1016B0000100938727EC9C4352476315F700894745 +:1016C0003ECE2DA8524797F701009387A7ED631BE5 +:1016D000F700E2479C5781E78D473ECE05A089473A +:1016E0003ECE29A8524797F70100938727EA631552 +:1016F000F70091473ECE19A085473ECEF2473E8582 +:10170000B25045618280797106D62AC6EF10C05169 +:10171000B24799E797F70100938787CC9C4311A0C4 +:10172000B2473ECEF247DC573ECCEF10C052E24704 +:101730003E85B25045618280797106D62AC6EFE0B7 +:10174000DFDAAA873ECEB24799E797F70100938781 +:1017500027C99C4311A0B2473ECCE247DC573ECAA2 +:10176000F2473E85EFE07FD7D2473E85B2504561D4 +:101770008280797106D62AC62EC402CE2247A5479A +:1017800063F5E7007370043001A02247A54763F4B6 +:10179000E700A5473EC4EF102049B24799E797F705 +:1017A00001009387E7C39C4311A0B2473ECCE247B8 +:1017B000BC4B3ECA5247A247630AF70E2247D247A4 +:1017C00063F6E70297F70100938787C19C4362475E +:1017D0006308F70297F70100938787C09C43DC57A3 +:1017E0002247636FF70085473ECE19A897F701009F +:1017F000938707BF9C4362476314F70085473ECE3B +:10180000E247DC573EC8E247B84BE247DC57631576 +:10181000F700E2472247D8D7E2472247B8CBE24752 +:101820009C4F63C707002947A2471D8FE24798CF07 +:10183000E247D44B4247BA878A07BA978A0717F715 +:1018400001001307E7C3BA976394F600854711A018 +:101850008147B9C7E24791073E85EFE09FDFE24746 +:10186000D85797F701009387A7D59C4363F9E70002 +:10187000E247D85797F70100938787D498C3E24788 +:10188000D857BA878A07BA978A0717F7010013074C +:1018900027BF3E97E2479107BE853A85EFE0DFD04C +:1018A000724785476314F700EFE05FB6EF10A03A88 +:1018B0000100B25045618280797106D62AC6EF10C8 +:1018C000A036B24799E797F70100938767B19C4329 +:1018D00011A0B2473ECEF24791073E85EFE07FD799 +:1018E000F2479C5791C7F247E1073E85EFE07FD66C +:1018F000F2479107BE8517F501001305A5CAEFE071 +:10190000BFCAEF10403597F70100938767AD9C433E +:1019100072476319F70497F701009387A7CA9C439E +:1019200089CF97F70100938767CB9C4381C773707A +:10193000043001A0EFE09FAD91A097F701009387DD +:1019400067C6984397F70100938707C79C436319BD +:10195000F70097F701009387A7A823A0070039A8ED +:10196000212729A897F701009387C7C59C4399C7EA +:10197000EF10802BEF10C008EF10E02D0100B250E7 +:101980004561828001112AC602CEB2473ECCB247E1 +:1019900081E77370043001A0E247D84B97F701004C +:1019A000938747C06314F700854711A0814795C30B +:1019B000E247985797F70100938707BC630BF7003E +:1019C000E2479C5799E3854711A0814799C3854712 +:1019D0003ECEF2473E8505618280797106D62AC6E1 +:1019E000B2473ECEB24781E77370043001A0F247A0 +:1019F000C9C797F701009387A79E9C437247630E60 +:101A0000F706EF1060227245B53F2A8785476314B9 +:101A1000F706F24791073E85EFE0BFC3F247D8577C +:101A200097F701009387C7B99C4363F9E700F24732 +:101A3000D85797F701009387A7B898C3F247D857AC +:101A4000BA878A07BA978A0717F70100130747A3CF +:101A50003E97F2479107BE853A85EFE0FFB4F24723 +:101A6000D85797F701009387A7979C43DC57636487 +:101A7000F700EFE0BF99EF10001E0100B250456182 +:101A80008280797106D62AC602CEB2473ECCB247D2 +:101A900081E77370043001A0EFE03FA5AA873ECA3A +:101AA0006245CD352A8785476314F70897F701000B +:101AB0009387C7B29C43A5E7E247D85797F7010041 +:101AC000938707929C43DC576364F70085473ECEBB +:101AD000E24791073E85EFE0DFB7E247D85797F737 +:101AE00001009387E7AD9C4363F9E700E247D857CD +:101AF00097F701009387C7AC98C3E247D857BA87D6 +:101B00008A07BA978A0717F70100130767973E9766 +:101B1000E2479107BE853A85EFE01FA911A8E24789 +:101B2000E107BE8517F50100130505A5EFE0DFA766 +:101B3000D2473E85EFE07F9AF2473E85B25045613D +:101B40008280011106CE81480148814701478146C4 +:101B50001306004097E501009385C54A171500005C +:101B6000130585A5EFF06FF72AC632478547631541 +:101B7000F700EF10C06D2AC6324785476319F70298 +:101B80007370043097F70100938707A57D5798C3BA +:101B900097F70100938707A3054798C397F70100BC +:101BA0009387C7A123A00700EFE0AFEF31A0B247B2 +:101BB00081E77370043001A00100F240056182806A +:101BC000411106C67370043097F701009387879F11 +:101BD00023A00700EFE02FFB0100B240410182800B +:101BE00097F701009387879F9C431387170097F708 +:101BF00001009387A79E98C301008280011106CE41 +:101C000002C697F701009387679D9C4381E7737035 +:101C1000043001A0EF10400197F701009387079C63 +:101C20009C431387F7FF97F701009387279B98C37F +:101C300097F701009387879A9C436390071097F763 +:101C40000100938767979C436389070E59A097F714 +:101C5000010093876792DC47DC473EC4A247E10757 +:101C60003E85EFE01F9FA24791073E85EFE07F9EF4 +:101C7000A247D85797F70100938787949C4363F94D +:101C8000E700A247D85797F701009387679398C357 +:101C9000A247D857BA878A07BA978A0717E7010079 +:101CA0001307077E3E97A2479107BE853A85EFE06E +:101CB000BF8FA247D85797E70100938767729C436D +:101CC000DC576368F70097F7010093872790054773 +:101CD00098C397F701009387278A9C43ADFB97F73A +:101CE00001009387678E9C438DCF35A0452AAA8734 +:101CF00099C797F701009387678D054798C397F7B2 +:101D000001009387678C9C431387F7FF97F70100C7 +:101D10009387878B98C397F701009387E78A9C4343 +:101D2000F1F797F701009387678A98438547631611 +:101D3000F70085473EC6EFE06FEDEF00D071B24788 +:101D40003E85F24005618280011106CEEF00D06D24 +:101D500097F70100938787869C433EC6EF00B06FDC +:101D6000B2473E85F24005618280011106CEEFE068 +:101D7000CFF7AA873EC697F70100938727849C4335 +:101D80003EC4B2473E85EFE04FF5A2473E85F240A4 +:101D90000561828097F70100938707829C433E8507 +:101DA0008280797106D62AC62EC432C202CEA947D5 +:101DB0003ECC3D3597F70100938707809C4322472F +:101DC0006368F70CE247FD173ECCF24796073247AF +:101DD000B306F7006247BA878A07BA978A0717E7F8 +:101DE00001001307E769BA970546BE853685EF00FF +:101DF000902A2A87F247BA973ECEE247E1F7F247A8 +:101E0000960732473E9797E70100938767769C4392 +:101E10000946BE853A85EF0010282A87F247BA970F +:101E20003ECEF247960732473E9797E701009387E9 +:101E300067749C430946BE853A85EF00D0252A8702 +:101E4000F247BA973ECEF24796073247BA97114605 +:101E500097E50100938585733E85EF00D0232A879F +:101E6000F247BA973ECEF24796073247BA970D46E9 +:101E700097E50100938505733E85EF00D0212A8701 +:101E8000F247BA973ECE924781C7924723A00700F8 +:101E9000B533F2473E85B25045618280797106D6EE +:101EA00002CE97E70100938767739C4363950718F9 +:101EB00097E70100938787709C431387170097E784 +:101EC00001009387A76F98C397E701009387076F77 +:101ED0009C433ECCE247B9EF97E7010093874769FF +:101EE0009C439C4381C77370043001A097E70100B5 +:101EF000938707689C433ECA97E7010093878767EB +:101F0000984397E701009387A76698C397E7010076 +:101F100093874766524798C397E701009387476BBB +:101F20009C431387170097E701009387676A98C35C +:101F3000EF00102D97E701009387076A9C436247E3 +:101F40006365F70C97E70100938787629C439C4386 +:101F500099E3854711A0814781CB97E701009387DB +:101F6000A7677D5798C355A097E7010093874760FF +:101F70009C43DC47DC473EC8C247DC433EC6624761 +:101F8000B2476379F70097E701009387E764324728 +:101F900098C3A5A8C24791073E85EFE08FEBC247E3 +:101FA0009C5791C7C247E1073E85EFE08FEAC247E1 +:101FB000D85797E701009387A7609C4363F9E70030 +:101FC000C247D85797E701009387875F98C3C247F6 +:101FD000D857BA878A07BA978A0717E70100130705 +:101FE000274A3E97C2479107BE853A85EFE0CFDB8F +:101FF000C247D85797E701009387873E9C43DC5739 +:10200000E362F7F485473ECE35BF97E7010093873B +:10201000273D9C43D85797E6010093866646BA87CA +:102020008A07BA978A07B6979843854763F1E7020C +:1020300085473ECE29A897E701009387E7589C4340 +:102040001387170097E701009387075898C397E70E +:1020500001009387A7579C4399C385473ECEF2471B +:102060003E85B25045618280011106CE97E701009E +:102070009387C7569C4381CB97E701009387075509 +:10208000054798C339A297E701009387275423A0F7 +:10209000070097E701009387A7349C439C5B3EC6EB +:1020A000B7A7A5A59387575A3EC4B2479C4322477A +:1020B0006314F702B24791079C432247631EF7005F +:1020C000B247A1079C4322476318F700B247B10704 +:1020D0009C4322476308F70497E701009387473042 +:1020E000984397E701009387A72F9C439387470363 +:1020F000BE853A85EF20B02035A097E70100938791 +:10210000274C9C4381E77370043001A097E70100DE +:102110009387074B9C431387F7FF97E7010093874B +:10212000274A98C397E7010093878749984397E622 +:1021300001009386E634BA878A07BA978A07B6976A +:102140009C43C5DF97E70100938787479843BA8789 +:102150008A07BA978A0717E7010013076732BA9709 +:102160003EC29247DC43D8439247D8C39247D843F4 +:102170009247A1076317F7009247DC43D843924781 +:10218000D8C39247DC43D84797E701009387472598 +:1021900098C30100F24005618280797106D62AC693 +:1021A0002EC4B24781E77370043001A097E70100A5 +:1021B000938707239C43E107BE853245EFE0CFC2FA +:1021C00097E701009387C7219C4391073E85EFE085 +:1021D0004FC82247FD576310F70297E70100938726 +:1021E00027209C439107BE8517E501001305853B19 +:1021F000EFE08FBB19A897E701009387273C9C432A +:102200002247BA973ECE7245712B0100B25045610C +:102210008280797106D62AC62EC432C2B24781E7BF +:102220007370043001A097E701009387273B9C431C +:1022300081E77370043001A097E701009387471A84 +:102240009C43A24637070080558F98CF97E701003F +:10225000938707199C43E107BE853245EFE0CFB471 +:1022600097E701009387C7179C4391073E85EFE0EE +:102270004FBE1247FD576310F70297E7010093879F +:1022800027169C439107BE8517E50100130585318C +:10229000EFE08FB119A897E70100938727329C439D +:1022A0001247BA973ECE7245F5290100B2504561FA +:1022B0008280797106D62AC62EC432C2B24781E71F +:1022C0007370043001A097E70100938767119C4366 +:1022D000E107BE853245EFE02FAD97E70100938718 +:1022E00027109C4391073E85EFE0AFB61247854724 +:1022F0006310F70297E701009387870E9C439107CD +:10230000BE8517E501001305E529EFE0EFA919A83F +:1023100097E701009387872A9C432247BA973ECECE +:10232000724549210100B25045618280797106D61B +:102330002AC6B247DC47DC473ECCE24781E77370F0 +:10234000043001A0E247E1073E85EFE08FB097E758 +:1023500001009387A7289C43A1EBE24791073E85A4 +:10236000EFE02FAFE247D85797E701009387472563 +:102370009C4363F9E700E247D85797E7010093874A +:10238000272498C3E247D857BA878A07BA978A079B +:1023900017E701001307C70E3E97E2479107BE8576 +:1023A0003A85EFE06FA011A8E247E107BE8517E587 +:1023B00001001305651CEFE02F9FE247D85797E710 +:1023C00001009387E7019C43DC5763FBE7008547E7 +:1023D0003ECE97E701009387671F054798C311A07A +:1023E00002CEF2473E85B25045618280797106D6B1 +:1023F0002AC62EC497E701009387471E9C4381E7B6 +:102400007370043001A02247B70700805D8FB24788 +:1024100098C3B247DC473ECCE24781E77370043093 +:1024200001A03245EFE0EFA2E24791073E85EFE0E1 +:102430004FA2E247D85797E70100938767189C435C +:1024400063F9E700E247D85797E7010093874717FA +:1024500098C3E247D857BA878A07BA978A0717E717 +:1024600001001307E7013E97E2479107BE853A85D1 +:10247000EFE08F93E247D85797E70100938747F63D +:102480009C43DC5763FBE70085473ECE97E701009E +:102490009387C713054798C311A002CEF2473E8524 +:1024A000B2504561828041112AC6B24781E77370FC +:1024B000043001A097E70100938787119843B24742 +:1024C00098C397E701009387670F9843B247D8C333 +:1024D000010041018280797106D62AC62EC4B24716 +:1024E00081E77370043001A0A24781E77370043064 +:1024F00001A01D2F97E701009387470C9C433ECC1A +:10250000A2479843FD576314F70002CE91A8B24743 +:10251000984397E701009387A70B9C43630AF70052 +:10252000B247DC4362476365F70085473ECE0DA89E +:10253000B247DC4362471D8FA2479C436370F7029A +:10254000A2479843B247D443E247B387F6403E9749 +:10255000A24798C33245813F02CE19A085473ECE9F +:10256000DD2DF2473E85B2504561828097E701003C +:102570009387C705054798C30100828001112AC6C9 +:10258000B24799C7B2473ECCE247FC473ECE11A0C6 +:1025900002CEF2473E850561828001112AC62EC413 +:1025A000B24791C7B2473ECEF2472247F8C7010073 +:1025B00005618280011106CE2AC6852297E70100B7 +:1025C000938707EC98438547E3F9E7FEEFD01FE4D4 +:1025D000EDB7397106DE2ACE2ECC32CA36C8BA879C +:1025E0002317F10002D625A06247B257BA9703C756 +:1025F0000700F246B257B697238AE7026247B257FE +:10260000BA9783C7070089CBB25785073ED63257A2 +:10261000BD47E3FBE7FC11A00100F247A3810704DB +:102620005247A54763F4E700A5473ECAF247524721 +:10263000D8D7F2475247B8CBF24723AA0704F2474C +:1026400091073E85EFD05FF5F247E1073E85EFD079 +:10265000BFF4F247724798CB2947D2471D8FF24704 +:1026600098CFF2477247D8D3F24723A20704F24724 +:1026700023AC0704F24723AE07040100F2502161A6 +:102680008280011106CE02C60DA03247BA878A07A2 +:10269000BA978A0717E70100130787DEBA973E85C6 +:1026A000EFD05FECB24785073EC63247A547E3FE51 +:1026B000E7FC17E50100130525E9EFD0BFEA17E5B0 +:1026C00001001305A5E9EFD0FFE917E501001305A7 +:1026D000A5EAEFD03FE917E50100130525EBEFD0A0 +:1026E0007FE817E501001305E5EBEFD0BFE797E7BB +:1026F00001009387E7E717E701001307E7E498C3B2 +:1027000097E70100938707E717E70100130707E53D +:1027100098C30100F24005618280011106CE8DA8A8 +:10272000EFF00FCC97E70100938747E69C4393B700 +:10273000170093F7F70F3EC6EFF04FCCB247A9EB67 +:10274000E52197E70100938767E4DC47DC473EC457 +:10275000A24791073E85EFD0DFEF97E7010093870F +:10276000A7E59C431387F7FF97E701009387C7E42A +:1027700098C397E701009387A7E29C431387F7FF6D +:1027800097E701009387C7E198C3F1212245912C77 +:1027900097E701009387C7E09C43D9F30100F2401B +:1027A00005618280011106CE2AC697E70100938752 +:1027B00027C39C433247D8C397E70100938707E0BC +:1027C0009C4332476372F70297E70100938787DAE9 +:1027D000984397E701009387A7C09C439107BE8564 +:1027E0003A85EFD07FE035A897E70100938747D877 +:1027F000984397E701009387A7BE9C439107BE8546 +:102800003A85EFD07FDE97E701009387E7DC9C43B2 +:1028100032476378F70097E701009387E7DB324799 +:1028200098C30100F24005618280797106D6AA87BB +:102830002EC42317F100A24789EB8357E1008A07D2 +:102840003E85EF10C061AA8711A0A2473ECCE247A7 +:1028500085C313050006EF1080602ACEF24789C7B2 +:10286000F247624798DB31A06245EF10407211A039 +:1028700002CEF24781CFF247985B8357E1008A0787 +:102880003E869305500A3A85EF10D005F2473E8503 +:10289000B25045618280397106DE22DC2AC62EC420 +:1028A00032C202D6A2479C43638D070EA2473ED494 +:1028B000A257DC43D843A257D8C3A257D843A25744 +:1028C000A1076317F700A257DC43D843A257D8C328 +:1028D000A257DC43DC473ED2A2473ED08257DC43BE +:1028E000D8438257D8C38257D8438257A10763176A +:1028F000F7008257DC43D8438257D8C38257DC4362 +:10290000DC473ECEB25796073247BA97724798C314 +:10291000B25796073247BA97724713074703D8C38F +:10292000B25796073247BA977247384798C7B25797 +:1029300096073247BA971247D8C7B2579607324719 +:10294000BA977247585798CB12478D47631BF700C9 +:10295000F2479C5799C7B25796073247BA9709472B +:10296000D8C7B25796073247BA977247384BD8CB79 +:10297000B25796073247BA9723AC0700F247945BE9 +:10298000B257960732473304F70036850D20AA87E1 +:10299000231EF400B25785073ED672479257E31DB7 +:1029A000F7F2B2573E85F2506254216182800111E4 +:1029B0002AC602CE39A0B24785073EC6F247850730 +:1029C0003ECEB24703C707009307500AE305F7FE60 +:1029D000F24789833ECEF247C207C1833E85056137 +:1029E0008280011106CE2AC6B2479C5B3E85EF105D +:1029F000005A3245EF10A0590100F2400561828073 +:102A0000411197E701009387A7B69C439C4399E344 +:102A1000854711A0814781CB97E701009387C7BB0A +:102A20007D5798C305A097E70100938767B49C433F +:102A3000DC47DC473EC6B247D84397E7010093879F +:102A4000A7B998C3010041018280411197E70100B5 +:102A5000938707999C433EC6B2473E8541018280D9 +:102A6000411197E701009387E7B59C4381E78547CC +:102A70003EC619A897E70100938747B69C4381E7B4 +:102A800089473EC611A002C6B2473E8541018280F9 +:102A9000797106D62AC6B2473ECEB247F9C3F2478D +:102AA000D85797E701009387A7939C43DC57637A35 +:102AB000F70AF2479C4F63CC070097E70100938722 +:102AC00027929C43DC5729471D8FF24798CFF24746 +:102AD000D44BF247D857BA878A07BA978A0717E7BD +:102AE00001001307E799BA976394F600854711A090 +:102AF0008147A5C3F24791073E85EFD09FB597E781 +:102B000001009387E78D9C43D857F247D8D7F24707 +:102B1000D85797E701009387A7AA9C4363F9E7007A +:102B2000F247D85797E70100938787A998C3F247E0 +:102B3000D857BA878A07BA978A0717E70100130799 +:102B400027943E97F2479107BE853A85EFD0DFA5DF +:102B500009A897E701009387A7889C43D857F247B5 +:102B6000D8D70100B25045618280797106D62AC655 +:102B7000B2473ECC02CEB247DDC397E70100938750 +:102B800027869C4362476305F7007370043001A0F9 +:102B9000E247FC4B81E77370043001A0E247FC4B35 +:102BA0001387F7FFE247F8CBE247D857E247BC4B21 +:102BB0006307F706E247FC4BBDE3E24791073E851A +:102BC000EFD03FA9E247B84BE247D8D7E247DC57FE +:102BD00029471D8FE24798CFE247D85797E7010072 +:102BE0009387079E9C4363F9E700E247D85797E72E +:102BF00001009387E79C98C3E247D857BA878A07B2 +:102C0000BA978A0717E70100130787873E97E247BD +:102C10009107BE853A85EFD03F9985473ECEF24772 +:102C20003E85B250456182807370043097E70100A1 +:102C3000938747999C4391CF97D701009387477A11 +:102C40009C43F8430507F8C397D70100938747795A +:102C50009C430100828097E701009387A7969C43DD +:102C60008DCB97D701009387A7779C43FC4395C3EF +:102C700097D701009387C7769C43F8437D17F8C325 +:102C800097D701009387C7759C43FC4399E3736012 +:102C9000043001008280411197D701009387477467 +:102CA0009C439C4F3EC697D70100938767739C4314 +:102CB000D85797D701009387A7729C43A9463387BB +:102CC000E64098CFB2473E854101828097D7010008 +:102CD000938707719C4389CB97D7010093874770EF +:102CE0009C43F84B0507F8CB97D701009387476FB4 +:102CF0009C433E858280797106D62AC62EC42D3724 +:102D000097D701009387C76D9C43BC4FADE397D71E +:102D100001009387E76C9C430547F8CFA247A1CBFE +:102D200097D701009387C76B9C4391073E85EFD0EF +:102D30005F922247FD576310F70297D701009387F0 +:102D4000276A9C439107BE8517E501001305858519 +:102D5000EFD09F8519A897E70100938727869C43AA +:102D60002247BA973ECE7245353CEFD02FEAE53583 +:102D7000653D97D701009387A7669C43BC4F3ECC27 +:102D8000E2479DC3B24789CB97D701009387476538 +:102D90009C4323AC070409A897D701009387476495 +:102DA0009C43B84F7D17B8CF97D7010093874763EF +:102DB0009C4323AE07044535E2473E85B25045614A +:102DC0008280797106D62AC62EC432C236C0A93D89 +:102DD00097D701009387C7609C43F84F8947630DDD +:102DE000F70697D701009387A75F9C43B44F3247FC +:102DF0001347F7FF758FB8CF97D701009387475ECA +:102E00009C430547F8CF8247A1CB97D70100938712 +:102E1000275D9C4391073E85EFD0BF830247FD5756 +:102E20006310F70297D701009387875B9C43910754 +:102E3000BE8517D501001305E576EFD0EFF619A88A +:102E400097D70100938787779C430247BA973ECC78 +:102E50006245893AEFD08FDBFD3BF933924789CB4E +:102E600097D701009387C7579C43B84F924798C3A1 +:102E700097D701009387C7569C43F84F8547631443 +:102E8000F70002CE31A897D70100938767559C437E +:102E9000B44F22471347F7FF758FB8CF85473ECE13 +:102EA00097D701009387C7539C4323AE070465332C +:102EB000F2473E85B25045618280797106D62AC6B6 +:102EC0002EC432C236C085473ECEB24781E773700A +:102ED000043001A0B2473ECC813B824789C7E2471C +:102EE000B84F824798C3E247FC4F3ECAE2470947C2 +:102EF000F8CF1247914763EEE704924713972700F4 +:102F000097D7010093878710BA97984397D7010006 +:102F10009387C70FBA978287E247B84FA2475D8F62 +:102F2000E247B8CF3DA0E247BC4F13871700E24706 +:102F3000B8CF05A0E2472247B8CF21A8524789471A +:102F40006306F700E2472247B8CF21A002CE11A0C6 +:102F5000010052478547631AF706E24791073E850D +:102F6000EFD02FEFE247D85797D7010093874765F7 +:102F70009C4363F9E700E247D85797D7010093874E +:102F8000276498C3E247D857BA878A07BA978A074F +:102F900017D701001307C74E3E97E2479107BE853A +:102FA0003A85EFD06FE0E2479C5781C773700430D9 +:102FB00001A0E247D85797D70100938767429C4307 +:102FC000DC5763F4E700EFD06FC47131F2473E8500 +:102FD000B25045618280397106DE2ACE2ECC32CACB +:102FE00036C83AC685473ED6F24781E7737004304B +:102FF00001A0F2473ED4EFD04FCFAA873ED2C247BE +:1030000089C7A257B84FC24798C3A257FC4F3ED0BA +:10301000A2570947F8CF5247914763EEE704D247DA +:103020001397270097D70100938787FFBA97984394 +:1030300097D701009387C7FEBA978287A257B84FE8 +:10304000E2475D8FA257B8CF3DA0A257BC4F138770 +:103050001700A257B8CF05A0A2576247B8CF21A842 +:10306000025789476306F700A2576247B8CF21A0ED +:1030700002D611A0010002578547631DF708A25729 +:103080009C5781C77370043001A097D701009387C4 +:10309000E7549C43A1EBA25791073E85EFD06FDB2D +:1030A000A257D85797D70100938787519C4363F95C +:1030B000E700A257D85797D701009387675098C366 +:1030C000A257D857BA878A07BA978A0717D7010035 +:1030D0001307073B3E97A2579107BE853A85EFD06D +:1030E000AFCC11A8A257E107BE8517D50100130583 +:1030F000A548EFD06FCBA257D85797D70100938739 +:10310000272E9C43DC5763F7E700B24781C7B247DD +:10311000054798C392573E85EFD02FBCB2573E85E6 +:10312000F25021618280797106D62AC62EC4B24738 +:1031300081E77370043001A0B2473ECEEFD0EFBA02 +:10314000AA873ECCF247FC4F3ECAF2470947F8CF68 +:10315000F247BC4F13871700F247B8CF5247854755 +:10316000631DF708F2479C5781C77370043001A0B4 +:1031700097D70100938787469C43A1EBF2479107BD +:103180003E85EFD00FCDF247D85797D701009387F0 +:1031900027439C4363F9E700F247D85797D70100CC +:1031A0009387074298C3F247D857BA878A07BA97D6 +:1031B0008A0717D701001307A72C3E97F2479107FC +:1031C000BE853A85EFD04FBE11A8F247E107BE8514 +:1031D00017D501001305453AEFD00FBDF247D85778 +:1031E00097D701009387C71F9C43DC5763F7E7001D +:1031F000A24781C7A247054798C3E2473E85EFD063 +:10320000CFAD0100B25045618280797106D62AC6E1 +:10321000B2473ECCE24799E797D701009387471C16 +:103220009C4311A0E2473ECC0134E247F84F894766 +:103230006318F700E24723AE070485473ECE11A08E +:1032400002CE113CF2473E85B250456182800111A9 +:1032500006CE02C6B92B97D701009387673B9C43E4 +:103260009DC38148014881470947814613060040B4 +:1032700097D50100938505DC170500001305051B94 +:10328000EFE0AF852AC6B24781E77370043001A032 +:10329000B2473E85F24005618280397106DE2ACE52 +:1032A0002ECC32CA36C83AC6E24799E302D62DA8D8 +:1032B0001305C002EF00B03A2AD6B25795C7D529F8 +:1032C000B257724798C3B257624798CFB257524726 +:1032D000D8CFB257424798D3B2573247D8D3B25714 +:1032E00091073E85EFD04FABE24781E77370043022 +:1032F00001A0B2573E85F250216182805D7186C681 +:103300002ACE2ECC32CA36C83AC602DEF24781E750 +:103310007370043001A097D701009387672F9C43F7 +:10332000B5CBE2473ED6D2473ED8F2473EDA6247B7 +:10333000954763C4E704EFF0AFF22A87894763102B +:10334000F70297D701009387A72C9C4378108146FA +:103350003246BA853E85EFD0AFE02ADE25A897D762 +:1033600001009387E72A9C43781081460146BA857D +:103370003E85EFD0EFDE2ADE31A897D70100938794 +:1033800027299C43781081464246BA853E85EFD076 +:103390000FF32ADEF2573E85B6406161828001114B +:1033A0002AC6B2473ECEB24781E77370043001A00F +:1033B000F2479C433E8505618280797106D62AC614 +:1033C0002EC497D70100938727249C43DC47DC4712 +:1033D0003ECEF24791073E85EFD0AFA7F247D84FD8 +:1033E0008547631BF702F247984FB247BA97B24638 +:1033F0002246BE857245B9222A878547631EF7009B +:1034000001478146324681457245CD3D2ACCE2478F +:1034100081E77370043001A0F247DC537245829754 +:103420000100B25045618280797106D62AC63C08F7 +:103430003E8541282ACEE247BE8572451920692281 +:10344000FDB7797106D62AC62EC4EFE06FF93C08A5 +:103450003E8545282ACEE247B9EFA24799EB32478D +:10346000F24763E8E700EFE06FF9F2453245B13724 +:10347000A9A0A24781CF97D70100938727199C4322 +:103480009C4393B7170093F7F70F3EC497D70100FB +:103490009387071894433247F247B307F740224611 +:1034A000BE853685EFD0FFDDEFE04FF5AA8791E7C7 +:1034B000EFC0DFF519A0EFE06FF40100B2504561F5 +:1034C000828001112AC697D701009387E7139C4396 +:1034D0009C4393B7170093F7F70F3E87B24798C303 +:1034E000B2479C4391EB97D701009387E7119C4328 +:1034F000DC479C433ECE11A002CEF2473E850561DB +:103500008280797106D62AC6EFE01F842ACE97D72B +:103510000100938727109C4372476377F700C92205 +:10352000B247054798C321A0B24723A0070097D709 +:1035300001009387270E724798C3F2473E85B25029 +:1035400045618280797106D62AC62EC432C236C041 +:1035500002CEB2472247D8C3B247324798CB224760 +:10356000924763E8E702124782471D8FB2479C4F9C +:103570006365F70085473ECE99A097D701009387F2 +:10358000E7089843B2479107BE853A85EFD0CF85CB +:103590003DA0124782476379F7002247824763655F +:1035A000F70085473ECE21A897D701009387C7052E +:1035B0009843B2479107BE853A85EFD0EF82F24734 +:1035C0003E85B25045618280397106DEFDA0C2475A +:1035D00063D307021C0891073ED6B25781E7737088 +:1035E000043001A0B2579443B257D843B2579C4716 +:1035F000BE853A858296C24763C0070CE2473ED437 +:10360000A257DC4B91C7A25791073E85EFD06F843C +:103610007C003E85FD352AD2C2472547636FF708F7 +:103620001397270097D70100938747A1BA9798432C +:1036300097D70100938787A0BA9782875247A257EE +:103640009C4FBA975247BA861256BE852255DD3D29 +:103650002A8785476313F706A257DC5322558297C2 +:10366000A257D84F8547631AF7045247A2579C4F79 +:10367000BA97014781463E868145225541312AD07D +:10368000825785EF7370043001A05247A25798CF3C +:10369000A2579C4F81E77370043001A0A257984F46 +:1036A0009257BA9792561256BE852255613D31A067 +:1036B0002255EF00D00D11A0010097D7010093878C +:1036C00027F59C43180881460146BA853E85EFD010 +:1036D000EFD5AA87E39D07EE0100F25021618280B9 +:1036E000797106D671A097D701009387E7F19C43C3 +:1036F000DC479C433ECC97D701009387E7F09C437F +:10370000DC47DC473ECAD24791073E85EFC07FF4D5 +:10371000D247DC5352458297D247D84F8547631929 +:10372000F704D2479C4F6247BA973EC84247E247E8 +:1037300063F4E702D2474247D8C3D247524798CBF7 +:1037400097D70100938747EC9843D2479107BE85EE +:103750003A85EFC07FE929A8014781466246814545 +:103760005245693E2AC6B24781E77370043001A012 +:1037700097D70100938747E99C439C43ADF797D7C0 +:103780000100938767E89C433ECE97D7010093875B +:10379000E7E7984397D70100938707E798C397D740 +:1037A00001009387A7E6724798C30100B2504561B4 +:1037B0008280411106C6EFF02FC797D7010093878B +:1037C00027E59C43C9E717D50100130565E1EFC064 +:1037D0007FD917D501001305E5E1EFC0BFD897D712 +:1037E0000100938767E217D70100130767DF98C3CB +:1037F00097D70100938787E117D70100130787DF69 +:1038000098C30146C1451145EFC0FFF42A8797D7F9 +:1038100001009387E7DF98C397D70100938747DFBD +:103820009C4381E77370043001A097D70100938710 +:1038300027DE9C4389CF97D70100938767DD9C43A0 +:1038400097D50100938505823E85EFD0DF99EFF093 +:103850008FC00100B24041018280797106D62AC62C +:10386000B2473ECEB24781E77370043001A0EFF05B +:10387000AFBBF247DC4BB337F00093F7F70F3ECC0A +:10388000EFF06FBDE2473E85B250456182807971AD +:1038900006D62AC6B2473ECEB24781E773700430DF +:1038A00001A0EFF06FB8F2479C533ECCEFF0AFBAF7 +:1038B000E2473E85B25045618280797106D62AC6BC +:1038C0002EC4B2473ECEB24781E77370043001A0E8 +:1038D000EFF08FB5F247224798D3EFF0CFB7010052 +:1038E000B25045618280397106DE2AC62EC432C2CA +:1038F00036C0F9573ECEB2473ED0A2473ED292479D +:103900003ED497D701009387A7D09C43780881467F +:103910000246BA853E85EFD08F9A2AD6B2573E85A9 +:10392000F25021618280397106DE2AC62EC432C26D +:1039300036C097D701009387A7CD9C4381E773706A +:10394000043001A0FD573ECEB2473ED0A2473ED242 +:1039500092473ED497D70100938787CB9C43780842 +:1039600081460246BA853E85EFC09FFF2AD6B257F0 +:103970003E85F25021618280011106CE7145C5213C +:103980002AC6B24789CBB24723A00700B2479107A6 +:103990003E85EFC03FBDB2473E85F2400561828063 +:1039A000397106DE2AC62EC432C236C0B2473ED4B2 +:1039B00002D21247B70700FFF98F81C77370043036 +:1039C00001A0924781E77370043001A0EFF04F89A6 +:1039D000AA8799E3824799E3854711A0814781E748 +:1039E0007370043001A0EFE0AF9FA2579C433ED01C +:1039F000A245324559240257A2475D8F9247F98F5D +:103A000012476310F7020257A247D98F3ED6A2573A +:103A10009843924793C7F7FF7D8FA25798C302C080 +:103A200025A0824799CFA257938647001247B70730 +:103A30000005D98F0246BE853685EFE08FFD02D6A0 +:103A400021A0A2579C433ED6EFE04F9B2ACE82474F +:103A5000B1CBF24799E3EFC07F9BEFF0CFA32AD61B +:103A60003257B7070002F98F85EBEFF0EF9BA257B3 +:103A70009C433ED632579247F98F1247631AF7009C +:103A8000A2579843924793C7F7FF7D8FA25798C3D9 +:103A9000EFF06F9C85473ED23257B7070001FD1704 +:103AA000F98F3ED6B2573E85F250216182805D711A +:103AB00086C62ACE2ECC32CA36C83AC6F2473EDA7D +:103AC00002DC02D8F24781E77370043001A062473C +:103AD000B70700FFF98F81C77370043001A0E24778 +:103AE00081E77370043001A0EFE09FF7AA8799E3A4 +:103AF000B24799E3854711A0814781E7737004308D +:103B000001A0EFE0EF8DD2579C433ED64246E245FE +:103B10003255C92C2AD4A25799CFB2573EDE02C6DD +:103B2000D247A9CBD2579843E24793C7F7FF7D8F7F +:103B3000D25798C381A0B24781E7B2573EDE1DA895 +:103B4000D24791C76257B7070001D98F3EDCC24701 +:103B500091C76257B7070004D98F3EDCD2579386CE +:103B600047006247E257D98F3246BE853685EFE07F +:103B70004FEA02DEEFE08F882AD2B247B9CB9257E4 +:103B800099E3EFC0BF88EFF00F912ADE7257B707B5 +:103B90000002F98F8DEBEFF02F89D2579C433EDE68 +:103BA0004246E24572553D2CAA8799CBD24789CB34 +:103BB000D2579843E24793C7F7FF7D8FD25798C3F8 +:103BC000EFF06F8902D87257B7070001FD17F98F20 +:103BD0003EDEF2573E85B64061618280797106D63D +:103BE0002AC62EC4B2473ECEB24781E77370043076 +:103BF00001A02247B70700FFF98F81C77370043017 +:103C000001A0EFF06F82F2479C433ECCF24798430D +:103C1000A24793C7F7FF7D8FF24798C3EFF0AF83BA +:103C2000E2473E85B25045618280797106D62AC648 +:103C30002EC481462246B2451705000013056519BA +:103C4000EFF07FCA2ACEF2473E85B25045618280AE +:103C5000797106D62AC6B2473ECEEFC01F89AA8721 +:103C60003ECCF2479C433ECAE2473E85EFC0FF860A +:103C7000D2473E85B250456182805D7186C62AC6B4 +:103C80002EC402DCB2473ED802DAB24781E7737035 +:103C9000043001A02247B70700FFF98F81C7737076 +:103CA000043001A0C25791073ED6B257A1073ED4B7 +:103CB000EFD01FF3B257DC473EDEC2579843A2470E +:103CC0005D8FC25798C3BDA8F257DC433ED2F2576E +:103CD0009C433ED002DA0257B70700FFF98F3ECE71 +:103CE0000257B7070001FD17F98F3ED07247B7079B +:103CF0000004F98F89EBC25798438257F98F89CF17 +:103D000085473EDA11A8C25798438257F98F025768 +:103D10006314F70085473EDAD2579DC37247B70751 +:103D20000001F98F89C762578257D98F3EDCC2578D +:103D30009843B7070002D98FBE857255EFE00FEBAD +:103D400092573EDE7257A257E310F7F8C2579843D6 +:103D5000E25793C7F7FF7D8FC25798C3EFD01FEA92 +:103D6000C2579C433E85B64061618280797106D618 +:103D70002AC6B2473ECEF24791073ECCEFD05FE66F +:103D80000DA0E247D847E247A1076315F70073701B +:103D9000043001A0E247DC47B70500023E85EFE0B2 +:103DA000EFE4E2479C43F1FF7245D522EFD01FE5D7 +:103DB0000100B25045618280011106CE2AC62EC490 +:103DC000A24532455D3D0100F2400561828001114E +:103DD00006CE2AC62EC4A245324509350100F2405E +:103DE0000561828001112AC62EC432C202CE9247DA +:103DF00081EB3247A247F98F81CF85473ECE09A894 +:103E00003247A247F98F22476314F70085473ECE19 +:103E1000F2473E8505618280797106D62AC62EC496 +:103E200032C292462246B24517050000130505F935 +:103E3000EFF07FAB2ACEF2473E85B25045618280DB +:103E400001112AC6B2473ECCB24799E302CE21A067 +:103E5000E2479C4F3ECEF2473E8505618280397134 +:103E600006DE2AC602D2EFD0BFD79757030093874A +:103E7000A77B9C4381EB5522975703009387C77A12 +:103E8000054798C3B24789CFA1473E87B247BA9743 +:103E90003EC6B2478D8B89C7B247F19B91073EC69C +:103EA000B247F9CB3247E567ED1763E7E70C975761 +:103EB0000300938767763ED4975703009387C775AF +:103EC0009C433ED631A0B2573ED4B2579C433ED617 +:103ED000B257DC43324763F5E700B2579C43E5F73E +:103EE0003257975703009387A7736307F708A257C2 +:103EF0009C432147BA973ED2B2579843A25798C3E2 +:103F0000B257D843B247B307F74021470607637853 +:103F1000F7043257B247BA973ECEB257D843B247AA +:103F20001D8FF247D8C3B2573247D8C3F247DC439C +:103F30003ECC975703009387276E3ED021A082572F +:103F40009C433ED082579C43DC436247E3E9E7FE53 +:103F500082579843F24798C38257724798C397C7CE +:103F60000100938727499843B257DC431D8F97C7B9 +:103F700001009387274898C3EFD05FC8925799E311 +:103F8000EF00503792573E85F2502161828079715F +:103F900006D62AC6B2473ECCB247BDC3A147B30737 +:103FA000F0406247BA973ECCE2473ECAEFD05FC3CB +:103FB000D247DC433EC8975703009387E7653ECE60 +:103FC00021A0F2479C433ECEF2479C43DC4342474C +:103FD000E3E9E7FEF2479843D24798C3F2475247D6 +:103FE00098C3D247D84397C701009387A7409C4303 +:103FF0003E9797C701009387E73F98C3EFD01FC054 +:104000000100B2504561828097C701009387873EC7 +:104010009C433E85828001008280411197C7010048 +:104020009387C75FF19B3EC6975703009387C75E90 +:10403000324798C3975703009387075E23A2070070 +:10404000975703009387C75D65677117D8C3975764 +:1040500003009387E75C23A00700B2473EC4A24752 +:1040600065677117D8C3A247175703001307475B4B +:1040700098C301004101828001112AC62EC432C2B8 +:10408000A2473ECEB2473ECC3247A247D98F8D8B56 +:10409000A1E319A8F2479843E24798C3E247910782 +:1040A0003ECCF24791073ECE9247F5173247BA977A +:1040B0006247E361F7FE29A87247930717003ECED7 +:1040C000E2479386170036CC034707002380E700BA +:1040D00032479247BA976247E360F7FEB2473E85A0 +:1040E0000561828001112AC62EC432C2324792472E +:1040F000D98F8D8B9DEFA24793F7F70F3ECAD2471A +:10410000A2075247D98F3ECAD247C2075247D98F1A +:104110003ECAB2473ECE39A0F247138747003ACE97 +:10412000524798C332479247BA977247E366F7FE01 +:104130001DA0B2473ECC11A8E247138717003ACC26 +:1041400022471377F70F2380E70032479247BA9749 +:104150006247E363F7FEB2473E8505618280011145 +:104160002AC6B2473ECE21A0F24785073ECEF2478F +:1041700083C70700F5FB7247B247B307F7403E8598 +:104180000561828001112AC62EC4B2471387170029 +:104190003AC683C70700A30FF100A2471387170091 +:1041A0003AC483C70700230FF1008347F10199C781 +:1041B0000347F1018347E101E309F7FC0347F101FC +:1041C0008347E101B307F7403E8505618280011115 +:1041D0002AC62EC4B2473ECE0100224793071700DD +:1041E0003EC4F2479386170036CE0347070023806C +:1041F000E70083C70700F5F3B2473E85056182807B +:1042000001112AC602CE02CC21A0B24785073EC6C4 +:10421000B24703C7070093070002E308F7FEB2475F +:1042200003C707009307D0026309F700B24703C72B +:1042300007009307B0026311F704B24783C7070072 +:10424000938737FD93B7170093F7F70F3ECCB2472C +:1042500085073EC615A07247BA878A07BA978607B0 +:104260003ECEB247138717003AC683C7070093872D +:1042700007FD7247BA973ECEB24783C70700E1FFFA +:10428000E24789C7F247B307F04011A0F2473E85E5 +:104290000561828001112AC6975703009387873AE8 +:1042A0009C4393861700175703001307A73914C3BD +:1042B00032471377F70F975603009386A63CB697BD +:1042C0002380E7003247A947630BF70097570300A5 +:1042D000938747379843930700046315F70497576C +:1042E00003009387273A3ECE05A8F24713871700AD +:1042F0003ACE03C70700B70710F0938707F098C3BB +:1043000097570300938707349C431387F7FF97570A +:1043100003009387273398C3975703009387873207 +:104320009C43E1F781473E85056182804D712326DC +:104330001114232481142322911423202115232EC8 +:104340003113232C4113232A51132ACE2ECC32C8E9 +:1043500036CA3AC63EC442C223260112B2473E8A3A +:10436000814AC24752485286D6863E85C285EF10A2 +:104370007022AA872E883E86C2868327C1121387A1 +:1043800017002326E11232878A07141AB69723AE44 +:10439000E7EEB2473E848144D247268763E8E702CE +:1043A000D24726876396E700C247228763E0E70289 +:1043B000B2473E8981494A86CE864245D245EF10B2 +:1043C000A03FAA872E883EC842CA49BF010029A043 +:1043D000F247E24512458297A2471387F7FF3AC496 +:1043E0000327C112E346F7FE25A88327C1128A07D7 +:1043F000181ABA9703A7C7EF8327C1128A07141A9E +:10440000B69783A6C7EFA54763F5D7009307700556 +:1044100019A093070003BA977247E2453E850297B9 +:104420008327C1121387F7FF2326E112E34FF0FA27 +:1044300001008320C114032481148324411403291F +:1044400001148329C113032A8113832A4113716143 +:10445000828041112AC62EC4A2460547635ED7005A +:10446000B2479C439D07E19B93868700324714C364 +:1044700003A847009C4335A0224719CB3247184375 +:1044800013064700B24690C21843BA87014811A8E4 +:104490003247184313064700B24690C21843BA8702 +:1044A00001483E85C2854101828041112AC62EC441 +:1044B000A2460547635ED700B2479C439D07E19B38 +:1044C00093868700324714C303A847009C4305A87E +:1044D000224701CF3247184313064700B24690C225 +:1044E0001843BA877D873A8819A8324718431306BC +:1044F0004700B24690C21843BA877D873A883E8506 +:10450000C28541018280397106DE22DC26DA2AC6A4 +:104510002EC432C236C011A863070424924785070F +:104520003EC2B247A24522858297924783C70700C1 +:104530003E8493075002E311F4FE924785073EC282 +:1045400092473EC893070002A30BF100FD573ECEF1 +:10455000FD573ECC02D09247138717003AC283C75B +:1045600007003E849307D4FD130750056364F71ECC +:104570001397270097C701009387C7AFBA9798434F +:1045800097C70100938707AFBA9782879307D00236 +:10459000A30BF100C9B793070003A30BF10065BF9C +:1045A00002CC6247BA878A07BA978607A297938791 +:1045B00007FD3ECC924783C707003E849307F00275 +:1045C00063D687029307900363C2870292478507E9 +:1045D0003EC2C1BF8247138747003AC09C433ECCCE +:1045E00039A0F247E3D907F602CEB5B70100F2478A +:1045F000E3D307F6E2473ECEFD573ECCA9BF825734 +:1046000085073ED089BF8247138747003AC09C4345 +:104610003247A2453E850297B1A2824713874700E1 +:104620003AC0844389E497C40100938424A4F247E8 +:104630006357F004034771019307D0026301F70445 +:10464000E247BE852685EF10E0142A87F247998F4E +:104650003ECE11A8834771013247A2453E8502979D +:10466000F247FD173ECEF247E346F0FE09A8B247F7 +:10467000A245228582978504F247FD173ECE83C767 +:1046800004003E841DC0E247E3C307FEE247FD1776 +:104690003ECCE247E3DD07FC09A8B247A24513057B +:1046A00000028297F247FD173ECEF247E347F0FE45 +:1046B00055A88A8782553E85CD3BAA872E883ED451 +:1046C00042D6A2573258C28763D90702B247A245E1 +:1046D0001305D0028297A255325681470148B3860E +:1046E000B740368533B5A7003307C840B307A740A6 +:1046F0003E87B6873A883ED442D6A9473ED21DA807 +:10470000A9473ED215A0A1473ED239A885473ED041 +:10471000B247A245130500038297B247A24513058D +:1047200080078297C1473ED28A8782553E851533DE +:104730002AD42ED61257834771013E88F24722565B +:10474000B256A2453245DD3631A8B247A245228590 +:10475000829709A8B247A245130550028297C24723 +:104760003EC20100D9B30100F2506254D25421611B +:10477000828041112AC6B70710F0938707F23247AB +:1047800098C301A05D7106D62AC62EDA32DC36DE69 +:10479000BAC0BEC2C2C4C6C69C0891173ECEF2477C +:1047A000BE8632468145170500001305E5AEA13BE4 +:1047B00081473E85B2506161828001112AC62EC4B4 +:1047C0001EC2A2473ECEF2479C4332471377F70FF3 +:1047D0002380E700F2479C4313871700F24798C3F2 +:1047E0000100056182801D7106DE2AC62EC4B2C496 +:1047F000B6C6BAC8BECAC2CCC6CE9C103ED25C08F1 +:104800004C0817060000130686FB7D779346F7FFDA +:104810000565130505803388A5003378E800136823 +:10482000783B23A007013295698F13677733D8C38C +:1048300033F7D5009315470137870300130737393E +:104840004D8F98C73377D60093164701370703007B +:1048500013077706558FD8C70F100000B2473ED612 +:104860009C10A1173ED422575C083E857C00BA8676 +:104870002246BE854939B24723800700B2473E87AA +:10488000B257B307F7403E85F2502561828041114F +:10489000370510F0130545F408412AC6370510F016 +:1048A000130505F408412AC4370510F0130545F433 +:1048B0000841B248E39EA8FC32452A83814313177E +:1048C000030081462245AA850146B3E7B600336856 +:1048D000C700370710F0130587F48565938505FA3F +:1048E0000146B386B700B688B3B8F8003307C800EE +:1048F000B387E8003E87B6873A881CC123220501AA +:10490000010041018280411106C65137930700081A +:1049100073A047300100B24041018280011106CEF0 +:104920002AC617C501001305658AEFF0BFE501002F +:10493000F24005618280011106CE2AC617C501002A +:1049400013054589EFF01FE40100F2400561828004 +:10495000797106D62AC602CE29A00100F247850742 +:104960003ECE7247856793877738E3D8E7FE17C551 +:1049700001001305A586EFF0FFE0F1BF797106D6BF +:104980002AC602CE31A0EF009043F24785073ECE03 +:104990007247CD47E3D9E7FE0100B25045618280FE +:1049A000011106CE9D2217070000130767FD8146FF +:1049B00005469305200317C501001305A582EFE006 +:1049C000DF8D2AC6EFD04FB8AA87014781463E86C1 +:1049D00085453245EFE09F920100F24005618280FB +:1049E000011106CE02C6EF0050512A878547630C9D +:1049F000F70017B501001305657FEFF0BFD8B24788 +:104A000093E727003EC6B2473E85F24005618280AB +:104A1000011106CE02C669371707000013076704A5 +:104A2000814605469305400617B501001305857DAF +:104A3000EFE0BF862AC6B24799CBEFD0EFB0AA8786 +:104A4000014781463E8685453245EFE03F8B02C4F3 +:104A5000EFD02F8F81473E85F240056182807971CA +:104A600006D62AC697C701009387C7979C4313872A +:104A7000470697C701009387E79698C397C7010039 +:104A8000938787969C431387470697C701009387B0 +:104A9000A79598C397C701009387C7949843930736 +:104AA000F07C63D3E70497C701009387A7939C43E7 +:104AB0001387078397C701009387C79298C30D3761 +:104AC0002ACEF24799EB97C701009387E791984365 +:104AD00085679387F77663DBE700F2473E85EFF063 +:104AE0005FC9EFD0EF8D21A0010011A00100B250ED +:104AF000456182807370043001A001008280411101 +:104B00002AC62EC47370043001A0011106CE7C00A9 +:104B100081480148054781461306004097B50100CA +:104B20009385056F1705000013050523EFC0EFFA05 +:104B3000B24681480148814701471306004097B5B6 +:104B400001009385656D1705000013058509EFC009 +:104B5000CFF881480148975703009387E7B7054787 +:104B600091461306004097B501009385656B1705C4 +:104B7000000013054515EFC04FF6814801489757CF +:104B800003009387A7B50547A1461306004097B5D4 +:104B900001009385E568170500001305C512EFC0F5 +:104BA000CFF397570300938767B39C4381E77370F4 +:104BB000043001A0EFE05FDC2A879757030093875A +:104BC00067B198C3975703009387C7B09C4381E7A9 +:104BD0007370043001A00100F24005618280797198 +:104BE00006D62AC6B2473ECCEFE01FD92A87975790 +:104BF00003009387E7AD98C397570300938747ADAA +:104C00009C4381E77370043001A0EF00D0032ACEEB +:104C1000EFE09FD62A8797570300938767AB98C327 +:104C2000975703009387C7AA9C4381E773700430AA +:104C300001A0E2457245FD232ACEE24572456D2C66 +:104C40002ACE975703009387A7A89C433E85EFF091 +:104C5000EF916245EFC05FA12A878D476304F7009B +:104C600085473ECE97570300938707A79C433E8511 +:104C7000EFC09F9F2A878D476304F70085473ECE8C +:104C800097570300938787A59C433E85EFC0DF9D20 +:104C90002A878D476304F70085473ECEF24789EFA8 +:104CA00097570300938707A29C43138717009757D2 +:104CB0000300938727A198C3F247B9DB73700430D0 +:104CC00001A0797106D62AC6EF00C072B2473ECE67 +:104CD0000145EFC07FBE975703009387679F9C43B2 +:104CE000FD563D46F2453E85EFE09FCB2ACCE2479C +:104CF00013F7F700BD476305F7007370043001A098 +:104D00000145EFC07FBB975703009387679C9C4387 +:104D1000FD563D46F2453E85EFE09FC82ACCE2476E +:104D200013F7F700BD476305F7007370043001A067 +:104D3000975703009387C7999C437D578546014643 +:104D4000BD453E85EFE0BFD62ACCE247D1D3737094 +:104D5000043001A0797106D62AC602CE0145EFC003 +:104D6000BFB5975703009387A7969C437D57854609 +:104D7000054689453E85EFE09FD32ACC62479307DD +:104D8000200A6304F70085473ECE975703009387B8 +:104D900027949C437D57854601469305200A3E850E +:104DA000EFE0FFD02ACCE24713F7270A9307200A47 +:104DB0006304F70085473ECE0145EFC0FFAF97572C +:104DC00003009387E7909C437D57854605469305EE +:104DD000200A3E85EFE0BFCD2ACC62479307F00F53 +:104DE0006304F70085473ECE0145EFC0FFAC9757FF +:104DF00003009387E78D9C43FD563D4689453E85DC +:104E0000EFE01FBA2ACCE24713F7F700BD4763046F +:104E1000F70085473ECE975703009387678B9C43E7 +:104E200081453E85EFE07FE5AA8799C385473ECE61 +:104E3000975703009387C7899C4381453E85EFE0E0 +:104E4000FFD9AA8799C385473ECEF24789EF975786 +:104E50000300938767879C431387170097570300C6 +:104E60009387878698C30145EFC01FA59757030016 +:104E7000938707869C43FD563D4689453E85EFE076 +:104E80003FB22ACCE24713F7F700BD476304F700AF +:104E900085473ECE97570300938787839C43814580 +:104EA0003E85EFE09FDDAA8799C385473ECE9757A1 +:104EB00003009387E7819C437D5785460146BD45A6 +:104EC0003E85EFE0DFBE2ACCE24799C385473ECE60 +:104ED000F24789EF974703009387077F9C43138727 +:104EE0001700974703009387277E98C3F247E3870D +:104EF00007E67370043001A0797106D62AC62EC465 +:104F00002245EFC06FF62A878D476304F700854777 +:104F10003EC6974703009387277C9C433E85EFC09E +:104F2000AFF42A878D476304F70085473EC697474D +:104F300003009387A77A9C433E85EFC0EFF22A8750 +:104F40008D476304F70085473EC697470300938764 +:104F500027789C43B9453E85EFE03FD29747030051 +:104F6000938707779C43FD56054685453E85EFE0D0 +:104F70003FA32ACEF247858B99E385473EC69747E4 +:104F800003009387E7749C4381453E85EFE01FC58E +:104F90002A87B9476304F70085473EC69747030051 +:104FA000938707739C43B9453E85EFE03FC397471E +:104FB00003009387E7719C4381453E85EFE01FC264 +:104FC000AA8799C385473EC62245EFC01FA19747D0 +:104FD0000300938767709C433E85EFC01FA09747EF +:104FE00003009387A76F9C433E85EFC01F9F224518 +:104FF000EFC08FE72A8789476304F70085473EC6DD +:10500000974703009387476D9C433E85EFC0CFE5EC +:105010002A8789476304F70085473EC69747030000 +:105020009387C76B9C433E85EFC00FE42A8789476F +:105030006304F70085473EC6974703009387476997 +:105040009C43FD563D4685453E85EFE07F952ACE43 +:10505000F24713F7F700BD476304F70085473EC6E4 +:10506000974703009387C7669C4381453E85EFE0E1 +:10507000FFB6AA8799C385473EC62245EFC0CFDE5B +:105080002A878D476304F70085473EC6974703008C +:10509000938787649C433E85EFC00FDD2A878D4749 +:1050A0006304F70085473EC697470300938707636D +:1050B0009C433E85EFC04FDB2A878D476304F70092 +:1050C00085473EC62245EFC05F919747030093870F +:1050D000A7609C433E85EFC05F909747030093878E +:1050E000E75F9C433E85EFC05F8F2245EFC0CFD77F +:1050F0002A8789476304F70085473EC69747030020 +:105100009387875D9C433E85EFC00FD62A878947EA +:105110006304F70085473EC6974703009387075C03 +:105120009C433E85EFC04FD42A8789476304F7002C +:1051300085473EC689450145EFC0AFE39747030069 +:10514000938707599C43FD563D4685453E85EFE0D4 +:105150003F852ACEF24713F7F700BD476304F700F7 +:1051600085473EC697470300938787569C438145F2 +:105170003E85EFE0BFA6AA8799C385473EC6224574 +:10518000EFC08FCE2A8785476304F70085473EC668 +:1051900097470300938747549C433E85EFC0CFCC8D +:1051A0002A8785476304F70085473EC69747030073 +:1051B0009387C7529C433E85EFC00FCB2A87854714 +:1051C0006304F70085473EC681450145EFC06FDAAD +:1051D0002245EFC06FC92A8789476304F7008547D6 +:1051E0003EC6974703009387274F9C433E85EFC0F9 +:1051F000AFC72A8789476304F70085473EC69747AC +:1052000003009387A74D9C433E85EFC0EFC52A87D7 +:1052100089476304F70085473EC6B2473E85B250D2 +:1052200045618280797106D62AC62EC42245EFC018 +:10523000CFFA2245EFC04FC32A8789476304F7009E +:1052400085473EC697470300938787489C4393054D +:10525000200A3E85EFE07FA29747030093874747E8 +:105260009C430147814601469305F00F3E85EFE0E0 +:105270001F842ACE72479307000A6304F70085470C +:105280003EC62245EFC04FBE2A8789476304F70018 +:1052900085473EC697470300938787439C43930502 +:1052A000D00F3E85EFE07F9D9747030093874742ED +:1052B0009C430147814601469305F00F3E85EFE090 +:1052C0000FFF2ACE72479307D00F6304F70085477C +:1052D0003EC62245EFC04FB92A8789476304F700CD +:1052E00085473EC6974703009387873E9C43894581 +:1052F0003E85EFE09F982245EFC00FB72A878D4784 +:105300006304F70085473EC6974703009387473CF1 +:105310009C4381453E85EFE05F962A879307F00F17 +:105320006304F70085473EC6974703009387473AD3 +:105330009C4389453E85EFE07F8A2A879307F00FDB +:105340006304F70085473EC62245EFC00FE92245BA +:10535000EFC08FB12A8789476304F70085473EC6AF +:10536000974703009387C7369C4389453E85EFE006 +:10537000DF902245EFC04FAF2A878D476304F700C7 +:1053800085473EC697470300938787349C43014770 +:10539000814601469305F00F3E85EFE04FF12ACE9E +:1053A00072479307D0056304F70085473EC69747C9 +:1053B00003009387E7319C439305F00F3E85EFE0B0 +:1053C000FF812A879307D0056304F70085473EC60F +:1053D000974703009387C72F9C4381453E85EFE0A5 +:1053E000EFFFAA8799C385473EC6B2473E85B250B4 +:1053F00045618280011106CEEFD02FE52A879747BD +:1054000003009387672D9C436315F7008D473EC6C5 +:1054100019A095473EC60145EFC00FCA9747030044 +:105420009387072B9C437D5781460546B2453E85B1 +:10543000EFE0EFE72AC4A24791C3F1BF01000100EA +:10544000F24005618280011106CE02C69747030033 +:10545000938787289C433E85EFC00FA12A878D47FD +:105460006304F70085473EC69747030093870727E5 +:105470009C433E85EFC04F9F2A878D476304F7000A +:1054800085473EC685473EC4CDA89747030093870E +:10549000A7249C433E85EFC04FD4974703009387D2 +:1054A000E7239C433E85EFC04FD397470300938784 +:1054B000A7229C433E85EFC02F9B2A878947630420 +:1054C000F70085473EC697470300938727219C43F3 +:1054D0003E85EFC06F992A8789476304F7008547A7 +:1054E0003EC6974703009387A71E9C43A2453E856F +:1054F000EFE0AFF8A2478D8B85E3974703009387D2 +:10550000A71D9C433E85EFC02F962A8789476303DA +:10551000F70285473EC639A8974703009387C71B04 +:105520009C433E85EFC04F942A878D476304F70064 +:1055300085473EC6A247958B85E39747030093872F +:10554000E7199C433E85EFC02F922A878947630362 +:10555000F70285473EC639A8974703009387071887 +:105560009C433E85EFC04F902A878D476304F70028 +:1055700085473EC6A24786073EC422479307F00FE1 +:10558000E3F5E7F097470300938707159C433E85B3 +:10559000EFC0AFC497470300938747149C433E85F1 +:1055A000EFC0AFC397470300938787129C433E85A4 +:1055B000EFE0CFFBB2473E85F24005618280797112 +:1055C00006D6930740063ECE9307800C3ECC930749 +:1055D000C0123ECAC9473EC8974703009387471089 +:1055E0009C4313871700974703009387670F98C35F +:1055F000974703009387C70E9C437247631AF704CB +:10560000974703009387070D9C433E85EFE04FE4E7 +:105610002AC6B24781CB974703009387A70C05475B +:1056200098C3C1A8974703009387C70A9C430146C4 +:10563000C2453E85EFE04FFE2AC422478547630AF4 +:10564000F70A974703009387E709054798C355A0D2 +:10565000974703009387C7089C4362476316F70286 +:1056600097470300938707079C433E85EFE04FDE93 +:105670002AC63247C247630EF7069747030093874F +:105680006706054798C3B5A097470300938747056A +:105690009C435247631FF704974703009387870390 +:1056A0009C43C2453E85EFE04FD8AA873EC63247AD +:1056B00085476308F700974703009387A7020547CC +:1056C00098C3974703009387A70123A00700974734 +:1056D0000300938727019C4389EF97470300938733 +:1056E000E7FE9C431387170097470300938707FE45 +:1056F00098C30100B25045618280411185473EC682 +:1057000097470300938747FE984397470300938783 +:1057100067FB9C436313F70002C697470300938718 +:1057200067FA984397470300938707FC98C3974706 +:1057300003009387A7FB9843974703009387C7F815 +:105740009C436313F70002C6974703009387C7F78C +:10575000984397470300938767F998C397470300D7 +:10576000938707F9984397470300938727F69C434D +:105770006313F70002C697470300938727F5984302 +:10578000974703009387C7F698C3B2473E85410108 +:1057900082800000B305B500930705006386B7005B +:1057A00003C70700631607003385A7406780000022 +:1057B000938717006FF09FFE130101FD23229102D2 +:1057C000232A5101232611022324810223202103AD +:1057D000232E3101232C410123286101232671014D +:1057E00023248101232291012320A101930A050092 +:1057F00093840500639E0638130406009309050090 +:1058000017B90100130949A263F8C512B7070100CF +:10581000138B05006378F6101307F00F3337C700BA +:1058200013173700B357E6003309F9008346090020 +:105830003387E60093060002B386E640638C0600D9 +:10584000B394D40033D7EA003314D600336B9700F7 +:10585000B399DA00935A040193850A0013050B00EB +:10586000EF00902A1309050093850A00931B040199 +:1058700013050B00EF00D02493DB0B01930405000C +:105880009305050013850B00EF00D02013190901C3 +:1058900093D70901B367F900138A040063FEA700D8 +:1058A000B3878700138AF4FF63E8870063F6A700D5 +:1058B000138AE4FFB3878700B384A74093850A0067 +:1058C00013850400EF0050241309050093850A0096 +:1058D00013850400EF00D01E93990901930405007D +:1058E000930505001319090113850B0093D90901CC +:1058F000EF00501AB36939011386040063FCA90054 +:10590000B30934011386F4FF63E6890063F4A90048 +:105910001386E4FF13140A013364C400130A000061 +:105920006F000013B707000113070001E36CF6EEE8 +:10593000130780016FF01FEF138A0600631A060039 +:105940009305000013051000EF00901713040500E5 +:10595000B7070100637EF4129307F00F63F487002A +:10596000130A8000B35744013309F90003470900C3 +:105970009306000233074701B386E640639406129C +:10598000B3848440130A1000135B040193050B00D9 +:1059900013850400EF0050171309050093050B0051 +:1059A00013850400931B0401EF00901193DB0B019E +:1059B000930405009305050013850B00EF00900D7F +:1059C0001319090193D70901B367F900938A0400F9 +:1059D00063FEA700B3878700938AF4FF63E887001C +:1059E00063F6A700938AE4FFB3878700B384A740D8 +:1059F00093050B0013850400EF0010111309050037 +:105A000093050B0013850400EF00900B9399090197 +:105A100093040500930505001319090113850B0074 +:105A200093D90901EF001007B36939011386040007 +:105A300063FCA900B30934011386F4FF63E689000F +:105A400063F4A9001386E4FF13940A013364C400CD +:105A50001305040093050A008320C1020324810278 +:105A600083244102032901028329C101032A810100 +:105A7000832A4101032B0101832BC100032C8100E8 +:105A8000832C4100032D01001301010367800000F6 +:105A9000B7070001130A0001E366F4EC130A800162 +:105AA0006FF05FEC3314D40033DAE400B399DA001A +:105AB00033D7EA00935A0401B394D40093850A00C3 +:105AC00013050A00336B9700EF001004130905005B +:105AD00093850A0013050A00931B0401EF00407E22 +:105AE00093DB0B01930405009305050013850B0060 +:105AF000EF00407A1319090113570B013367E900CE +:105B0000138A0400637EA70033078700138AF4FF1B +:105B1000636887006376A700138AE4FF3307870072 +:105B2000B304A74093850A0013850400EF00C07DED +:105B30001309050093850A0013850400EF004078DF +:105B4000930405009305050013850B00EF00807496 +:105B500013170B011357070113190901B367E90064 +:105B60001387040063FEA700B38787001387F4FF41 +:105B700063E8870063F6A7001387E4FFB387870015 +:105B8000131A0A01B384A740336AEA006FF0DFDF1B +:105B900063ECD51EB707010063F4F6041307F00F9A +:105BA000B335D7009395350033D7B60097A70100DA +:105BB00093878767B387E70003C70700130A0002CC +:105BC0003307B700330AEA4063160A0213041000D1 +:105BD000E3E096E833B6CA00134416006FF05FE7BF +:105BE000B707000193050001E3E0F6FC930580018F +:105BF0006FF09FFBB35CE600B3964601B3ECDC00AC +:105C000033D4E40093DB0C01B397440133D7EA00AB +:105C100093850B0013050400336BF700B31946019D +:105C2000EF00806E1309050093850B001305040037 +:105C3000139C0C01EF00C068135C0C019304050079 +:105C40009305050013050C00EF00C064131909014A +:105C500013570B013367E90013840400637EA70028 +:105C6000330797011384F4FF636897016376A700F5 +:105C70001384E4FF33079701B304A74093850B0017 +:105C800013850400EF0040681309050093850B009D +:105C900013850400EF00C06293040500930505001E +:105CA00013050C00EF00005F93170B011319090196 +:105CB00093D70701B367F9001386040063FEA700BA +:105CC000B38797011386F4FF63E8970163F6A70093 +:105CD0001386E4FFB387970113140401B70B010087 +:105CE0003364C4001389FBFF337D240133F9290198 +:105CF000B384A7409305090013050D00EF008059F8 +:105D0000935C040193050900130B050013850C0037 +:105D1000EF00405893D90901130C05009385090041 +:105D200013850C00EF000057130905009385090047 +:105D300013050D00EF0000563305850193570B0145 +:105D40003385A700637485013309790193570501F1 +:105D5000B387270163E6F402E392F4BCB7070100BE +:105D60009387F7FF3375F50013150501337BFB00AF +:105D700033964A0133056501130A0000E37AA6CC85 +:105D80001304F4FF6FF09FB9130A0000130400001E +:105D90006FF01FCC130101FB232481042322910403 +:105DA000232E3103232291032326110423202105CE +:105DB000232C4103232A5103232861032326710343 +:105DC000232481032320A103232EB101930C05007A +:105DD000938905001304050093840500639E06263D +:105DE00013090600138A060097AA0100938ACA4382 +:105DF00063F4C514B70701006376F6129307F00F3A +:105E000063F4C700130A8000B3574601B38AFA004F +:105E100003C70A001305000233074701330AE540B0 +:105E2000630C0A00B395490133D7EC0033194601DE +:105E3000B364B70033944C01935A090193850A0067 +:105E400013850400EF00404C9309050093850A0078 +:105E5000131B090113850400EF008046135B0B013F +:105E60009305050013050B00EF00C042939909014B +:105E700093570401B3E7F90063FAA700B38727013A +:105E800063E6270163F4A700B3872701B384A74023 +:105E900093850A0013850400EF000047930905006D +:105EA00093850A0013850400EF0080411314040158 +:105EB000930505009399090113050B001354040180 +:105EC000EF00403D33E48900637AA40033042401E9 +:105ED000636624016374A400330424013304A440E2 +:105EE00033554401930500008320C1040324810439 +:105EF00083244104032901048329C103032A810364 +:105F0000832A4103032B0103832BC102032C81024B +:105F1000832C4102032D0102832DC10113010105D0 +:105F200067800000B7070001130A0001E36EF6EC7A +:105F3000130A80016FF05FED631A060093050000FD +:105F400013051000EF00C03713090500B707010063 +:105F5000637AF90E9307F00F63F42701130A8000A8 +:105F6000B3574901B38AFA0003C70A0013050002B8 +:105F7000B384294133074701330AE540E30E0AEAB7 +:105F800033194901B3DAE900B395490133D7EC007D +:105F900093540901336BB70013850A0093850400FD +:105FA000EF0080369309050093850400931B0901D7 +:105FB00013850A00EF00C03093DB0B019305050049 +:105FC00013850B00EF00002D9399090193570B01E6 +:105FD000B3E7F90033944C0163FAA700B3872701B4 +:105FE00063E6270163F4A700B3872701B38AA740BC +:105FF0009385040013850A00EF0000319309050022 +:106000009385040013850A00EF00802B930505009B +:1060100013850B00EF00002893150B0193990901DC +:1060200093D50501B3E5B90063FAA500B385250151 +:1060300063E6250163F4A500B3852501B384A5407B +:106040006FF09FDFB7070001130A0001E36AF9F060 +:10605000130A80016FF0DFF0E3E8D5E8B70701002D +:1060600063FCF604930BF00F33B5DB00131535001A +:1060700033D7A60097A701009387071BB387E700D4 +:1060800083CB070093050002B38BAB00338B7541C4 +:10609000631E0B0263E4360163EACC003384CC4018 +:1060A000B386D94033B58C00B384A64013050400F1 +:1060B000938504006FF05FE3B7070001130500014B +:1060C000E3E8F6FA130580016FF09FFAB3966601D4 +:1060D000335D7601336DDD0033D47901B395690109 +:1060E00033DC7C0193540D01336CBC0013050400B8 +:1060F00093850400B31A6601EF000021130A05001E +:10610000938504001305040033996C01931C0D0161 +:10611000EF00001B93DC0C01130405009305050040 +:1061200013850C00EF000017131A0A0113570C0116 +:106130003367EA00130A0400637EA7003307A70150 +:10614000130AF4FF6368A7016376A700130AE4FF4C +:106150003307A701B309A7409385040013850900FD +:10616000EF00801A938504001304050013850900CD +:10617000EF000015930505009304050013850C003E +:10618000EF00401193150C011314040193D5050180 +:10619000B365B4001387040063FEA500B385A501B1 +:1061A0001387F4FF63E8A50163F6A5001387E4FFF6 +:1061B000B385A501131A0A01B70C0100336AEA007E +:1061C0001384FCFFB3778A0033F48A00B384A540BC +:1061D00013850700930504002326F100135A0A01D2 +:1061E000EF00400B930905009305040013050A0016 +:1061F000EF00400A13DC0A01930D050093050C0023 +:1062000013050A00EF0000098327C100130A0500E7 +:1062100093050C0013850700EF00C0073305B50197 +:1062200013D709013307A7006374B701330A9A0132 +:10623000B70701009387F7FF935507013377F700FE +:1062400013170701B3F7F900B3854501B307F7004A +:1062500063E6B400639EB400637CF9003386574163 +:10626000B3B7C700B385A541B385F54093070600D2 +:10627000B307F9403339F900B385B440B3852541FC +:1062800033947501B3D767013365F400B3D5650165 +:106290006FF09FC5130605001305000093F6150067 +:1062A000638406003305C50093D515001316160048 +:1062B000E39605FE678000006340050663C6050699 +:1062C00013860500930505001305F0FF630C060215 +:1062D00093061000637AB6006358C00013161600C8 +:1062E00093961600E36AB6FE1305000063E6C50048 +:1062F000B385C5403365D50093D6160013561600F6 +:10630000E39606FE6780000093820000EFF05FFBDB +:1063100013850500678002003305A04063D805009F +:10632000B305B0406FF0DFF9B305B04093820000D1 +:10633000EFF01FF93305A040678002009382000050 +:1063400063CA0500634C0500EFF09FF71385050055 +:1063500067800200B305B040E35805FE3305A04056 +:0C636000EFF01FF63305B040678002002C +:02000004800278 +:1000000049444C4500000000482FFEFF102FFEFF22 +:100010001E2FFEFF2C2FFEFF342FFEFF5830FEFF59 +:100020002030FEFF2E30FEFF3C30FEFF4430FEFF4E +:10003000546D7220537663000436FEFF0436FEFFD3 +:100040000436FEFF8236FEFF5236FEFF7836FEFF94 +:100050000436FEFF0436FEFF8236FEFF5236FEFFF8 +:10006000546D725100000000286E756C6C29000000 +:10007000E644FEFFE446FEFFDA46FEFFE446FEFFEE +:10008000E446FEFFE446FEFFE446FEFF6445FEFF55 +:10009000E446FEFFE446FEFF1C45FEFF7245FEFF00 +:1000A000E446FEFF2645FEFF3045FEFF3045FEFFDD +:1000B0003045FEFF3045FEFF3045FEFF3045FEFF78 +:1000C0003045FEFF3045FEFF3045FEFFE446FEFFB3 +:1000D000E446FEFFE446FEFFE446FEFFE446FEFF84 +:1000E000E446FEFFE446FEFFE446FEFFE446FEFF74 +:1000F000E446FEFFE446FEFFE446FEFFE446FEFF64 +:10010000E446FEFFE446FEFFE446FEFFE446FEFF53 +:10011000E446FEFFE446FEFFE446FEFFE446FEFF43 +:10012000E446FEFFE446FEFFE446FEFFE446FEFF33 +:10013000E446FEFFE446FEFFE446FEFFE446FEFF23 +:10014000E446FEFFE446FEFFE446FEFFE446FEFF13 +:10015000E446FEFFE446FEFFE446FEFFE446FEFF03 +:10016000E446FEFFE446FEFFE446FEFFE446FEFFF3 +:100170009645FEFF4246FEFFE446FEFFE446FEFFD4 +:10018000E446FEFFE446FEFFE446FEFFE446FEFFD3 +:10019000E446FEFF8E45FEFFE446FEFFE446FEFF1A +:1001A0009646FEFF9C46FEFFE446FEFFE446FEFF49 +:1001B000AA45FEFFE446FEFF9046FEFFE446FEFF32 +:1001C000E446FEFFB446FEFF6D69616F750A0000EC +:1001D0006D69616F75320A0068756E6772790A0021 +:1001E00054696D65723200004572726F7220696EDB +:1001F00020787878207461736B73200D0A000000FA +:10020000436865636B54696D65720000576169747A +:100210004F0000005365744200000000526E647687 +:1002200000000000000102020303030304040404AD +:100230000404040405050505050505050505050572 +:100240000505050506060606060606060606060652 +:10025000060606060606060606060606060606063E +:100260000606060607070707070707070707070722 +:10027000070707070707070707070707070707070E +:1002800007070707070707070707070707070707FE +:1002900007070707070707070707070707070707EE +:1002A00007070707080808080808080808080808D2 +:1002B00008080808080808080808080808080808BE +:1002C00008080808080808080808080808080808AE +:1002D000080808080808080808080808080808089E +:1002E000080808080808080808080808080808088E +:1002F000080808080808080808080808080808087E +:10030000080808080808080808080808080808086D +:10031000080808080808080808080808080808085D +:0403200008080808B9 +:100324001000000000000000017A5200017C01016D +:100334001B0D02004C000000180000007854FEFF62 +:10034400DC05000000440E307089039507810188A4 +:100354000292049305940696089709980A990B9AB1 +:100364000C0370020AC144C844C944D244D344D4DF +:1003740044D544D644D744D844D944DA440E00443E +:100384000B0000005000000068000000045AFEFF4B +:100394000005000000440E507488028903930599F7 +:1003A4000B810192049406950796089709980A9A76 +:1003B4000C9B0D0320010AC144C844C944D244D350 +:1003C40044D444D544D644D744D844D944DA44DB4D +:0803D400440E00440B00000080 +:1003E800AAAAAAAA08000000FC8F010009000000C0 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/EventGroupsDemo_rv32ic_O3.hex b/VexRiscv/src/test/resources/freertos/EventGroupsDemo_rv32ic_O3.hex new file mode 100644 index 0000000..33a3985 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/EventGroupsDemo_rv32ic_O3.hex @@ -0,0 +1,1792 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000E1D3170502001305E556979503009385F4 +:1000A000257E01461122170502001305A548970574 +:1000B0000200938565510146C52817A50300130565 +:1000C000657C97B503009385A57B37B6ABAB13066C +:1000D000B6BAD92817B103001301C17AEF60F01343 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2423323A02200F32210344B +:1002400096DEEF00002B170102000321E13102418D +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E23523A0120023A29D +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF409030170102000321EF +:10033000A1230241F65273901234B7220000938237 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A22783A0A4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2421123A0220086DEEF2031 +:10046000602E17010200032121100241F652739001 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF40B010EF10900A01E56E +:10050000B24041018280B24041016F20A023930795 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30D02955 +:1005B0008280411122C406C62A8426C2EF2030124E +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF2040586DD5EF2020783C44FD173C +:1005F0003CC43C44E344F0FEFD573CC4EF20701083 +:10060000EF20F00D7C40635BF0021C4885CB930427 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2000546DD5EF20E0735C +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20B00B29CD0111E8 +:1006500022CC2A8406CE26CA4AC84EC62E89EF204E +:10066000100824404C5C832904002685EF60801C20 +:100670007D57232C0402B3079540CE974E9578C042 +:1006800048C0232434015CC438C4631109021C48E7 +:100690008DE7EF201007F2406244D2444249B2494C +:1006A0000545056182807370043001A013050401C3 +:1006B000B93D13054402A13DE9BF13050401EF2034 +:1006C000804A8547E317F5FC9133E1B701E5737084 +:1006D000043001A0011126CA4AC84EC606CE22CC5B +:1006E000AA842E89B289A5C9EF60C01413055505E7 +:1006F000EF30407D2A8435C5930745051CC144DC95 +:1007000023202405EF20A07D24404C5C0329040015 +:100710002685EF602012FD56232C0402B307954076 +:100720003307A900CA9774C058C05CC434C4130509 +:10073000040123242401E13B13054402C93BEF20BB +:10074000407C230834052285F2406244D244424969 +:10075000B2490561828013054005EF30A0762A84F6 +:1007600001E57370043001A000C051BF01E5737052 +:10077000043001A06375B5007370043001A041110D +:1007800022C409462E84814506C6893711C500DD7D +:10079000B2402244410182807370043001A05D7137 +:1007A00086C6A2C4A6C2CAC04EDE52DC56DA5AD8E9 +:1007B0005ED632C6630A051C6382051C89476399AD +:1007C000F600585D85476305F7007370043001A09B +:1007D0003689AE842A84EF20C05B11E5B24781C719 +:1007E0007370043001A0EF20806F185C5C5C014BDB +:1007F000894BFD59130A0401930A44026365F70CFF +:1008000063077919B2476381072463150B00280831 +:10081000EF208048EF20E06EEF10604FEF20206C5B +:100820007C4063943701232204043C4463943701E1 +:1008300023240404EF20E06C6C002808EF20E0473C +:10084000631E051EEF20A069185C5C5C630CF70A50 +:10085000EF20206BEF20A0683C44634FF00039A8E4 +:100860005685EF20403019C1EF2020503C44FD1741 +:100870003CC43C446354F0005C50FDF32324340535 +:10088000EF202068EF20A0657C40634FF00039A87E +:100890005285EF20402D19C1EF20204D7C40FD17DF +:1008A0007CC07C406354F0001C48FDF323223405D7 +:1008B000EF202065EF10A06A054BEF204062185C26 +:1008C0005C5CE37FF7F230406305060C631F09129E +:1008D0000844A685EF3040771C4434405840B69712 +:1008E0001CC463EAE70A1C5C14405850850714C412 +:1008F0001CDC5DC713054402EF20E0268547631129 +:10090000F50AC9A8EF20E05FB2455285EF206007E5 +:10091000EF20E05C3C44634FF00039A85685EF209F +:10092000802419C1EF2060443C44FD173CC43C4482 +:100930006354F0005C50FDF323243405EF20605C29 +:10094000EF20E0597C40634FF00039A85285EF203A +:10095000802119C1EF2060417C40FD177CC07C40A4 +:100960006354F0001C48FDF323223405EF20605946 +:10097000EF10E05E31F1593C054B81B73C41E38F0C +:1009800007E27370043001A07370043001A030409E +:1009900021E61C4085C71C5C585085071CDC39FBD0 +:1009A000EF2020560545B640264496440649F259A4 +:1009B000625AD25A425BB25B616182804840EF204A +:1009C00060491C5C58502322040085071CDCE3139B +:1009D00007F279D5253CE9B74844A685EF30C066D3 +:1009E0003C4058441440B307F0403E9758C4637CE1 +:1009F000D7025840BA975CC409475C506306E902C5 +:100A0000185C050718DCC9DFF5B54844A685EF304A +:100A1000A0633C4058441440B307F0403E9758C48C +:100A2000E37CD7FCF9B75C50185C79DB185C7D1768 +:100A300018DC185C050718DCA5D76DBD2285953E2E +:100A4000EF10E051014585B7EF20A04B0145A1BF54 +:100A5000411126C2AA841305400522C406C6EF3000 +:100A600060462A8415CD232C0502FD577CC105471D +:100A7000410558DC3CC42322040023200400232425 +:100A80000400232604002320040423089404413492 +:100A900013054402AD3C8146014681452285013360 +:100AA0002285B24022449244410182800DC5411109 +:100AB00022C426C206C64AC0032945002A84EF2064 +:100AC000802C8144630CA900B2402244268502494F +:100AD0009244410182807370043001A05C448544DB +:100AE000FD175CC4F5F381460146814522854539F1 +:100AF000E1BF31CDA1C9011122CC26CA4AC852C4D6 +:100B000006CE4EC68947B68432892E8A2A846399D6 +:100B1000F600585D8547630FF7047370043001A039 +:100B20006D32185C5C5CAA896366F70281444E856D +:100B3000513AF240624426854249D244B249224A9F +:100B4000056182803C41C5DB7370043001A0737085 +:100B5000043001A0304041E21C40D5CB1C5C7D57E5 +:100B600085071CDC3C446387E7083C4485448507D3 +:100B70003CC475BF993A185C5C5CAA89636DF70246 +:100B8000304079DA4844D285EF30004C3C4058443C +:100B90001440B307F0403E9758C46375D7005840DF +:100BA000BA975CC48947E39BF4FA1C5CC5DB1C5C08 +:100BB000FD171CDC65B7304045D24844D285EF3084 +:100BC000A0483C4058441440B307F0403E9758C4F6 +:100BD000E367D7FCD9BFDDF40844D285EF30C046C7 +:100BE0001C4434405840B6971CC4E3E9E7F61C4067 +:100BF0001CC4ADB75C5085449DDB13054402EF1067 +:100C0000907615D5E30509F2232099000DB74840E9 +:100C1000EF2040242322040091B721CD3C4181C71D +:100C20007370043001A01C41A1CB011122CC26CA53 +:100C30002A844AC84EC606CE2E894138185C5C5CB0 +:100C4000AA898144637DF7001C5C7D5785071CDC05 +:100C50003C446388E7023C44854485073CC44E8598 +:100C60009530F240624426854249D244B24905613A +:100C700082807370043001A05C41C5DB7370043066 +:100C800001A05C5099E38544D9BF13054402EF10DD +:100C9000906D75D9E30909FE85472320F900E5B772 +:100CA000397106DE22DC26DA4AD84ED652D456D224 +:100CB00032C66302051C638A051AB68AAE892A8485 +:100CC000EF20200D11E5B24781C77370043001A0F9 +:100CD000EF20E0201C5C63920714B247638E070A82 +:100CE0002808EF10707BFD5413094402130A040115 +:100CF000EF202021EF10A001EF20601E7C406394C4 +:100D00009700232204043C446394970023240404A2 +:100D1000EF20201F6C002808EF10307A63130518AD +:100D2000EF20E01B1C5CADCFEF20A01DEF20201BAF +:100D30003C44634FF00039A84A85EF10D06219C1D6 +:100D4000EF20A0023C44FD173CC43C446354F00037 +:100D50005C50FDF324C4EF20C01AEF2040187C4003 +:100D6000634FF00039A85285EF10F05F19C1EF1002 +:100D7000D07F7C40FD177CC07C406354F0001C4851 +:100D8000FDF364C0EF20E017EF10601DEF20201589 +:100D90001C5CC1E7B247A9FFEF20A016014555A092 +:100DA000EF2020161C40DDC7B2454A85EF10703D8C +:100DB000EF20E0123C44634FF00039A84A85EF1061 +:100DC000905A19C1EF10707A3C44FD173CC43C4462 +:100DD0006354F0005C50FDF324C4EF208012EF2038 +:100DE00000107C40634FF00039A85285EF10B057D7 +:100DF00019C1EF1090777C40FD177CC07C40635494 +:100E0000F0001C48FDF364C0EF20A00FEF10201588 +:100E100031C9EF20C00C1C5CB5DFCE85228544446F +:100E2000EFF04FF7639C0A041C5C1840FD171CDCB4 +:100E300001E7EF20801148C01C48A1EFEF20600CB3 +:100E40000545F2506254D2544259B259225A925A2C +:100E500021618280EF20A0084840EF109075EF20BC +:100E6000400A99B7EFF08FDA6DB73C41E38707E4AA +:100E70007370043001A07370043001A05C5044C44E +:100E8000D5DF13054402EF10104E4DD9EFF00FD807 +:100E900075B713050401EF10104D8547E310F5FAFF +:100EA000F5B72285EFF0EFF0EF10600B014551BF71 +:100EB0001DC9411122C44AC006C626C244412E891A +:100EC0002A84EF10506C6383A40281464A868145D0 +:100ED0002285F93385476300F502B24022449244EB +:100EE0000249410182807370043001A05C440545D1 +:100EF00085075CC4DDB75C4485075CC4F9BF15CDCC +:100F0000ADC9011122CC26CA4AC84EC652C42A8491 +:100F100006CE3289AE89EFF04FDB1C5C2A8A814411 +:100F200085E35285EFF00FDAF240624426854249AC +:100F3000D244B249224A056182807370043001A014 +:100F4000304001CE4C445C40B2954CC463E4F500A3 +:100F50000C404CC44E85EF30200F1C5C7D57FD17B4 +:100F60001CDC7C40638CE7007C40854485077CC0AA +:100F70004DBF3C41D9D77370043001A01C4899E3A0 +:100F8000854445B713050401EF10F03D75D9E30919 +:100F900009FE85472320F900E5B721C93C41A1CDD1 +:100FA000A1CB011122CC26CA4AC852C42A8406CE3B +:100FB0004EC62E8AEFF06FD11C5C2A89814491CBFA +:100FC0008329C400D2852285EFF0CFDC8544232617 +:100FD00034014A85EFF00FCFF24062442685424942 +:100FE000D244B249224A056182807370043001A064 +:100FF0007370043001A0EDDF7370043001A001E5CF +:101000007370043001A0411122C42A8406C6EF1077 +:10101000106D005CEF10F06EB24022852244410159 +:10102000828001E57370043001A0411106C622C41C +:101030002A84EF10D06A1C5C405C1D8CEF10706C31 +:101040002285B24022444101828019C1085D82801C +:101050007370043001A01DCD978703009387877EAE +:10106000D843630CE502D847630CE504D84B63070B +:10107000E504D84F6302E504D853630DE502D85761 +:101080006308E502D85B6303E502D85F630EE50001 +:101090006F2070757370043001A001470E07BA9776 +:1010A00023A007006F2030741D47CDBF1947FDB73F +:1010B0001547EDB71147DDB70D47CDB70947F9BF64 +:1010C0000547E9BF684582806CC5828003450505F8 +:1010D000828009C5085D1335150082807370043065 +:1010E00001A019C51C5D485D1D8D1335150082805A +:1010F0007370043001A0978703009387A774984307 +:1011000005C3984705C7984B05C7984F05C798531F +:1011100005C7985705C7985B15C7985F15C38280A8 +:1011200001470E07BA978CC3C8C382800547D5BF55 +:101130000947C5BF0D47F5B71147E5B71547D5B7FF +:101140001D47C5B71947F1BF978703009387876F7E +:10115000D8436308E502D847630BE502D84B630A1E +:10116000E502D84F6309E502D8536308E502D85772 +:101170006307E502D85B6308E502D85F6303A70253 +:10118000828001470E07BA9723A007008280054797 +:10119000D5BF0947C5BF0D47F5B71147E5B7154797 +:1011A000D5B71D47C5B71947F1BF411122C426C2A3 +:1011B0004AC02A8406C6AE843289EF105052784065 +:1011C000FD576314F700232204043844FD576314C9 +:1011D000F70023240404EF10D0521C5C99E74A86E0 +:1011E000A68513054402EF10D00CEF10504F3C447D +:1011F000635BF0025C5085CB9304440209A83C4435 +:10120000FD173CC43C446350F0025C5089CF2685F6 +:10121000EF1070156DD5EF1050353C44FD173CC4F0 +:101220003C44E344F0FEFD573CC4EF10904DEF10FA +:10123000104B7C40635BF0021C4885CB9304040197 +:1012400009A87C40FD177CC07C406350F0021C481C +:1012500089CF2685EF1030116DD5EF1010317C400D +:10126000FD177CC07C40E344F0FEFD577CC0224467 +:10127000B2409244024941016F10B0481DC17971DA +:101280004ECE52CC06D63E8A22D426D24AD056CA58 +:101290005AC85EC6A547BA8963F8E7007370043080 +:1012A00001A07370043001A02A8BAE843289B68B02 +:1012B000C28A6307082213050006EF20B0402A8483 +:1012C000630B05200A09232855034A869305500A13 +:1012D0005685EF20706503C704001C587119230A56 +:1012E000E40203C704003E991379C9FF79C783C795 +:1012F0001400A30AF40283C71400E1C383C72400C7 +:10130000230BF40283C72400CDCB83C73400A30B87 +:10131000F40283C73400D5C383C74400230CF4020E +:1013200083C74400D9CB83C75400A30CF40283C7FE +:101330005400C1C783C76400230DF40283C764004F +:10134000ADCF83C77400A30DF40283C77400B5C783 +:1013500083C78400230EF40283C78400B9CF83C7F8 +:101360009400A30EF40283C79400A1CB83C7A4000A +:10137000230FF40283C7A400A9C383C7B400A30F3B +:10138000F40283C7B40095CB83C7C4002300F404E0 +:1013900083C7C4009DC383C7D400A300F40483C7DC +:1013A000D40081CF83C7E4002301F40483C7E400A1 +:1013B00089C783C7F400A301F404930A4400568547 +:1013C000A30104042326340323283405232A040418 +:1013D000EFF02F9513058401EFF0AF94A947B38781 +:1013E0003741232C040400C81CCC40D02322040421 +:1013F000232E04045E86DA854A85EFF0AF8D08C09F +:1014000063040A0023208A007370043017F9010076 +:101410001309C9138327090097F401009384C414A6 +:1014200091C798409C407C4385077CC317F7010017 +:10143000130787121C43850797F6010023AEF610A9 +:101440009C40C5CB8327090017FB0100130B4B23DE +:1014500089EB9C40DC5763E6F90097F7010023A570 +:10146000871097F701009387670D9C4317F70100DA +:101470001307070E48541843850797F6010023AF5A +:10148000F60A3CC46376A70097F7010023A2A70CD5 +:10149000D145EF50203AD6855A95EFF0EF88832753 +:1014A000090081C79C40FC43B5E38327090005443C +:1014B00099C79C40DC5763F43701EFE03FF5228584 +:1014C000B250225492540259F249624AD24A424BD3 +:1014D000B24B456182805685EF20F0307D54C5B710 +:1014E00013152600EF20101EAA8AE31605DC7D5492 +:1014F000F9B797F7010023A98706184385476301C9 +:10150000F70217FB0100130BAB17A1BF98409440E3 +:101510007C43FD177CC3FC42C9FB7360043071B788 +:10152000014A17FB0100130BAB15A94B5285D1459E +:10153000EF504030050A5A95EFE07FFDE3187AFF3F +:1015400097FB0100938B4B205E85EFE05FFC17FA61 +:101550000100130AAA205285EFE07FFB17F5010076 +:1015600013050521EFE0BFFA17F5010013058521EA +:10157000EFE0FFF917F5010013050522EFE03FF951 +:1015800097F7010023A077FF97F7010023AA47FDF3 +:10159000C9BD411122C406C626C24AC02A8473703E +:1015A000043097F40100938464FA9C40F9E311E459 +:1015B00097F70100938747FB8043130944004A854E +:1015C000EFE01FFB1C5489C713058401EFE05FFAAD +:1015D000CA8517F501001305E51AEFE0FFF497F748 +:1015E00001009387A7F7984397F70100938707F5C2 +:1015F0009C43050797F6010023A2E6F6984085076D +:1016000097F6010023ACF6F201CB97F70100938720 +:10161000A7F59843784349EF9C40B1C797F701007D +:10162000938787F49843630F87087370043098405A +:1016300039EB17F701001307E7F214439442A5E2D0 +:101640007D5797F6010023A9E6EE984009CF98430D +:10165000784311CB984394437C43FD177CC3FC42F1 +:1016600099E373600430B24022449244024941013C +:10167000828097F70100938727EF98439C437C4330 +:1016800085077CC32DB794439843F8420507F8C2F9 +:1016900017F70100130707ED14439442D5D21843FE +:1016A00058475847584397F6010023A7E6E871BF0B +:1016B000984394437C43FD177CC3FC42B1FF7360A5 +:1016C000043099BF97F701009387C7E69C4381C711 +:1016D0007370043001A02244B24092440249410197 +:1016E0006FE0DFD241C517F701001307E7E71443A6 +:1016F0008147638BA606411106C622C426C27370B9 +:10170000043097F60100938666E49C4291C710432B +:101710001C437C4285077CC29C42AA84404981C705 +:101720001C43FC43B9E797F701009387A7E39C4369 +:10173000638B870497F70100938787E29C43638458 +:10174000870497F70100938727056309F40297F749 +:101750000100938727036301F4028547B2402244C6 +:1017600092443E85410182803E85828073700430C0 +:1017700001A0EF50E01F45BF9147CDB79C5493B7F0 +:1017800017008907E1BF8947D1BF7370043097F70D +:1017900001009387A7DB984309EF15C59C43485583 +:1017A00081CB97F70100938727DC9843784315E3B3 +:1017B000828017F70100130727DB14431843F84210 +:1017C0000507F8C261FD17F701001307E7D90843C1 +:1017D000F1B7984394437C43FD177CC3FC42E9FB7B +:1017E000736004308280411122C42A8406C6EFE06F +:1017F000DFCD11E497F70100938707D7804340546A +:10180000EFE05FCCB2402285224441018280A547AF +:1018100063F5B7007370043001A0011122CC06CE2D +:1018200026CA4AC84EC652C42A847370043017F9B7 +:1018300001001309A9D183270900CDEF71C43C48E9 +:101840006388F50817F70100130707D263FCB70098 +:101850001443638C860C18434457B3B4950093C467 +:10186000140029A00443818C93B41400585463835A +:10187000E70A1C4C2CC863C60700A947B385B740CC +:101880000CCC93172700BA97584897F90100938911 +:1018900029DF8A07CE97631AF702130A440052859C +:1018A000EFE01FCD97F70100938787CA48549C4308 +:1018B00063F6A70097F7010023ACA7C8D145EF4016 +:1018C0007077D2854E95EFE03FC699C0EFE01FB428 +:1018D0008327090081CB97F701009387E7C89C43D2 +:1018E000FC439DEBF2406244D2444249B249224A51 +:1018F0000561828097F70100938707C798439C434F +:101900007C4385077CC305FC97F701009387C7C517 +:10191000804335B74CD4B1BF6244F240D24442490F +:10192000B249224A05616F50A004814481B725C1A4 +:10193000011126CA97F40100938404C39C4006CE8B +:1019400022CC4AC84EC6638DA7027370043017F9C3 +:1019500001001309A9BF8327090091C798409C4043 +:101960007C4385077CC3584997F701009387C7E2FA +:101970006302F7028327090081C79C40FC43A5EB63 +:10198000F2406244D2444249B24905618280737098 +:10199000043001A01C5517F70100130767DDE38B26 +:1019A000E7FCE9FB930945002A844E85EFE05FBC24 +:1019B00097F701009387C7B948549C4363F6A70083 +:1019C00097F7010023A6A7B8D145EF40B06697F777 +:1019D00001009387E7CA3E95CE85EFE0FFB49C40B7 +:1019E0005854DC57E368F7F8EFE05FA261B7624450 +:1019F000F240D2444249B24905616F40707715CD3B +:101A0000011122CC26CA4EC62A8406CE4AC8EFE06F +:101A1000DFAB584897F70100938707D8AA8981441C +:101A20006300F7024E85EFE0FFA9F240624426858D +:101A30004249D244B249056182807370043001A0EA +:101A40001C5417F501001305A5D2E38DA7FCF9FB83 +:101A500097F70100938707AE9C43A9EB97F7010026 +:101A6000938787B09C43445413094400DC574A854C +:101A7000B3B4F400EFE0DFAF97F70100938747AD11 +:101A800048549C4393C4140063F6A70097F70100E1 +:101A900023A0A7ACD145EF40F05997F701009387F9 +:101AA00027BECA853E95EFE03FA8ADBF93058401F0 +:101AB000EFE09FA785BF0111056506CE22CC26CA9F +:101AC0004AC84EC652C456C25AC0EF20A03F630E49 +:101AD000051AAA8413050006EF20C03E2A84630A73 +:101AE000051C05669305500A04D92685EF20C063BE +:101AF00004588567F117BE94B7474C4593879744C0 +:101B0000130A44005CD85285230C0402A301040488 +:101B10002326040223280404232A0404EFE07FA0E0 +:101B200013058401EFE0FF9FA947232C0404F198DB +:101B300000C81CCC40D023220404232E04040146F8 +:101B4000971500009385E5B12685EFE0BF9808C0A2 +:101B50007370043017F901001309499F83270900A6 +:101B600097F40100938444A091C798409C407C4323 +:101B700085077CC317F701001307079E1C438507E1 +:101B800097F6010023AAF69C9C40C9C3832709004D +:101B900097F901009389C9AE81EB9C40DC5789E736 +:101BA00097F7010023A2879C97F701009387079975 +:101BB000984397F601009386A6995C5494420507D2 +:101BC00017F60100232CE69638C463F6F60017F7E3 +:101BD0000100232FF796139527003E950A05D2851D +:101BE0004E95EFE07F948327090081C79C40FC431A +:101BF000DDE78327090091C39C40EF10704A8547B9 +:101C00006305F50C59E17370043001A097F70100EA +:101C100023AC87941843854797F90100938949A617 +:101C2000E314F7F8CE8A17FB0100130BEBB15685CE +:101C3000D10AEFE0DF8DE31C5BFF17F50100130510 +:101C4000A5B0EFE0DF8C97FA0100938A2AB15685A0 +:101C5000EFE0FF8B17F50100130585B1EFE03F8B37 +:101C600017F50100130505B2EFE07F8A17F50100B3 +:101C7000130585B2EFE0BF8997F7010023A4678FB2 +:101C800097F7010023AE578D05B7F2406244D24466 +:101C90004249B249224A924A024B056182809840E9 +:101CA00094407C43FD177CC3FC42A1F77360043071 +:101CB00089B76244F2404249B249224A924A024BF1 +:101CC0002685D24405616F20003273700430FD57C1 +:101CD00017F701002322F786624497F7010023A734 +:101CE000A786F240D2444249B249224A924A024B64 +:101CF00097F7010023A0078605616FE08FDA737004 +:101D0000043097F7010023A307846FE0CFE717F7AC +:101D10000100130727821C4385071CC38280737050 +:101D2000043097F7010093876782984305E317F71C +:101D300001001307278208439C4381CB97F70100DA +:101D4000938787829843784301EF828017F70100D9 +:101D50001307878114431843F8420507F8C2C1BF2F +:101D6000984394437C43FD177CC3FC42F9FF7360A6 +:101D700004308280411106C622C4EFE00FF597E7D8 +:101D800001009387277D8043EFE0CFF3B2402285A7 +:101D900022444101828097E701009387E77B8843D3 +:101DA0008280797106D622D426D24AD04ECE52CC29 +:101DB00056CA5AC85EC697E701009387A7779C4327 +:101DC000B1EF17E701001307E7781C43850797E693 +:101DD000010023A1F678832A0700638F0A0697E79C +:101DE0000100938767759C43814463F1FA0897F972 +:101DF00001009389E98817EA0100130AEA7683272C +:101E00000A008546D85793172700BA978A07BE99C4 +:101E100083A7090063FFF600854421A897E7010026 +:101E2000938787729C438144850717E70100232D20 +:101E3000F77097E701009387E7709C4391C385444F +:101E4000B2502254268502599254F249624AD24A2B +:101E5000424BB24B4561828017E401001304847049 +:101E60001C409C43DDC37370043001A017E40100E3 +:101E70001304446F97F901009389898017EA0100E0 +:101E8000130A8A6E17EB0100130B8B6C1C409C43EA +:101E9000B5C31C40DC4703A9C70083274900930B47 +:101EA00049005E8563EEFA04EFE08FEC8327890238 +:101EB0001305890199C3EFE0AFEB8327C90203271C +:101EC0000B00DE85139527003E950A054E95637637 +:101ED000F70017E70100232DF766EFE0EFE4832713 +:101EE0000A000327C902DC57E362F7FA1C40854465 +:101EF0009C43C5F3FD5717E70100232FF76201B795 +:101F000017E70100232AF762DDBD17E70100130779 +:101F100027651C40184397E6010023A5E66417E7F0 +:101F20000100232FF76297E70100938767619C43C5 +:101F3000850717E701002325F7601C409C4399E7BC +:101F4000FD5717E701002329F75E51BD1C40DC4710 +:101F5000DC47DC4317E701002320F75E49B597E72C +:101F600001009387275D984301E77370043001A057 +:101F7000011106CE22CC26CA4AC84EC652C456C249 +:101F80005AC07370043097E401009384245C984035 +:101F900061EB98437D1797E6010023ADE6589C431B +:101FA000D9EB97E701009387275B9C43C9C797EA62 +:101FB0000100938AEA6C17EA0100130A6A5917E9CB +:101FC00001001309695A854919A83385EA00EFE031 +:101FD000AFD5832709005854DC576379F70483A7EA +:101FE0000A0FC1CF83A7CA0FC04713058401EFE0D2 +:101FF0002FD8130B44005A85EFE08FD75C5483260B +:102000000A00DA85139527003E950A052A8756951A +:10201000E3FDF6FA17E70100232CF752EFE0CFD0EB +:10202000832709005854DC57E36BF7FA97E7010060 +:1020300023AA37516DB701449C4081CB97E701003B +:10204000938787529C43FC4395E72285F240624484 +:10205000D2444249B249224A924A024B05618280E7 +:1020600017E701001307475014431843F8420507C8 +:10207000F8C205B7EF40D00FC9BF17E40100130441 +:10208000A44C1C4095C31C40054999CF193B09C578 +:1020900097E7010023A8274B1C40FD1717E7010015 +:1020A0002324F74A1C40FDF397E7010093878749F3 +:1020B00098438547E311F7F8EFE04FB50544ADBF0E +:1020C00011CD81E57370043001A017E701001307FB +:1020D00067461C4399C77370043001A073700430C5 +:1020E00001A0411122C406C614431841850617E613 +:1020F00001002321D64497E601009386A645944229 +:102100003304B70000C163F7E602637FE40063FDB8 +:10211000860097E701009387274588431105EFE084 +:102120002FC52285EF40807E1D3D01C9B24022446B +:1021300041018280E36FE4FCD9BF2244B2404101F7 +:102140006FE0CFAC11C917E701001307A73E1C438E +:1021500091C77370043001A06FE04FAB411106C608 +:1021600022C41843AA87050797E6010023A4E63C8A +:1021700097E601009386463F17E701001307873D66 +:10218000184388423304F7001105EFE06FBE228543 +:10219000EF40C077E93311E52244B24041016FE0DE +:1021A000EFA6B240224441018280411122C406C6FA +:1021B00097E70100938707389C430144850717E799 +:1021C00001002329F73697E701009387E7389C43FE +:1021D00063E0F51217EE0100130E4E5697E2010070 +:1021E0009382424901440147854F1303500A21A0BD +:1021F000311E638DC20B83278EFFBA86F5DB8327E2 +:10220000CEFFDC43232EFEFE638AC70F03AFC70059 +:10221000DC432A97814E232EFEFE6385C70783A8E1 +:10222000C70093874803232017015CC383A7880452 +:102230002326F7011CC783A7C8021CCB83A7080568 +:10224000232C07005CCB03A8080383470800639096 +:102250006704C287850783C60700B3850741E38B00 +:1022600066FE8981C205C181231EB700850E130752 +:10227000070263061F038327CEFFDC43232EFEFEE7 +:10228000E39FC7F983274E00232EFEFE49BF8145F9 +:10229000231EB700850E13070702E31E1FFD769469 +:1022A00013175400311EBA86E397C2F597E7010071 +:1022B0009387472B03AE070083270E00639D070E0D +:1022C00097E701009387C72903AE070083270E0015 +:1022D0006392071817EE0100130E8E3A83274E10F3 +:1022E0009DE383278E116395072019C223200600E2 +:1022F000BD312285B24022444101828083274E00B5 +:10230000232EFEFE21B783278E1017EF0100130F37 +:10231000EF47DC4317E701002328F7466380E733E4 +:1023200083A2C700DC43AA96814E17E70100232D44 +:10233000F744914F1303500A6388E70783A8C70047 +:102340009387480323A01601DCC283A7880423A631 +:10235000F6019CC683A7C8029CCA83A7080523ACC4 +:102360000600DCCA03A80803834708006391672AB4 +:10237000C287850703C70700B3850741E30B67FEE4 +:1023800093D72500C207C183239EF600850E93864E +:1023900006026386122983278E10DC4317E70100AB +:1023A0002324F73EE39CE7F983270E1117E701008A +:1023B000232CF73C61B783274E00130F8E00D443C4 +:1023C0002322DE006388E629B307E500D84283A212 +:1023D000C600814E2322EE00894F1303500A630684 +:1023E000EF068328C7001387480323A01701D8C32B +:1023F00003A7880423A6F70198C703A7C80298CBB0 +:1024000003A7080523AC0700D8CB03A8080303479C +:1024100008006318671C4287050783460700B30559 +:102420000741E38B66FE13D7250042074183239EB5 +:10243000E700850E93870702638D121B83264E00EB +:10244000D8422322EE00E31EEFF80327CE0023221A +:10245000EE0041BF83274E00130F8E00DC43232282 +:10246000FE006384E71F83A2C700DC43AA96814E67 +:102470002322FE00894F1303500A6306FF0683A838 +:10248000C7009387480323A01601DCC283A78804F2 +:1024900023A6F6019CC683A7C8029CCA83A7080589 +:1024A00023AC0600DCCA03A8080383470800639630 +:1024B0006710C287850703C70700B3850741E30B91 +:1024C00067FE93D72500C207C183239EF600850EC1 +:1024D00093860602638B120F83274E00DC43232270 +:1024E000FE00E31EFFF88327CE002322FE0041BF3B +:1024F0008327CE1197EE0100938E8E2ADC4317E7D7 +:102500000100232DF7286384D71383AFC700DC4372 +:102510003307D500014397E6010023A1F6280D4FAC +:1025200089429308500A6387D707C8479307450332 +:1025300008C35CC33C452326E7011CC75C551CCB84 +:102540001C5599C3232657003C49232C07005CCB1C +:10255000032805038347080063951705C28785078D +:1025600083C60700B3850741E38B16FF93D7250089 +:10257000C207C183231EF700050313070702638AFE +:10258000AF028327CE11DC4397E6010023A8F62093 +:10259000E39DD7F983274E1297E6010023A0F6208A +:1025A00069B78147231EF700050313070702E39A63 +:1025B000AFFC1A94E31C06D225BB8147239EF6008C +:1025C000850E93860602E39912F117EE0100130EB1 +:1025D0002E0B83274E10769493165400E38307D076 +:1025E0001DB30147239EE700850E93870702E397FB +:1025F00012E597E701009387A7F603AE07007694EC +:102600009316540083270E00E38607CCA1B58147BB +:10261000239EF600850E93860602E39E12D783273B +:102620008E11769493165400E38107CCD1B583279D +:102630004E1217E701002323F716C1BD83270E11A1 +:1026400017E701002322F714E1B98327CE002322E4 +:10265000FE0011BD8326CE002322DE00B5B37971C2 +:1026600026D24AD04ECE52CC56CA5AC85EC606D6DC +:1026700022D497E901009389E9EB17E901001309D6 +:10268000290017EA0100130A6AEC97EA0100938A0D +:10269000AAED97EB0100938B2BEC97E40100938458 +:1026A000E4EB054B21A883A7090003244910850703 +:1026B00017E701002320F7E85D3011E89C40E5F7BB +:1026C00083270900E37CFBFEEFD05FD4C5BF7370A6 +:1026D000043083270A00B9E383270911C047130593 +:1026E0004400EFD0FFE883A70B00FD1717E70100B8 +:1026F0002324F7E69C40FD1717E701002320F7E6A7 +:1027000083270A0089C783A70A00FC4385E308588A +:10271000EF10700D2285EF10100D4DB703A70A00C2 +:1027200083A70A007C4385077CC37DB703A70A0003 +:1027300083A60A007C43FD177CC3FC42E9FB73605F +:102740000430F1B797E701009387C7DE9C43DDE7CC +:10275000411106C622C426C297E7010023A407DE62 +:1027600017E70100130747E01C43B7A6A5A593860A +:10277000565A9C5B8C43639BD500D0436318B600CC +:1027800094476395C600DC476388D70008430C4331 +:1027900093854503EF20B00217E70100130747DBDD +:1027A000144317E401001304A4ED11A81C43A9CF9E +:1027B0001C43FD1797E6010023ACF6D8144393970A +:1027C0002600B6978A07A2979C43EDD30843931738 +:1027D0002500AA978A073307F4005443A107A2975C +:1027E000C44244C36385F402DC44B240224417E788 +:1027F0000100232BF7D6924441018280854717E7D9 +:1028000001002321F7D482807370043001A0C440FA +:10281000D145EF402002229544C1F9B701114AC8C1 +:1028200006CE22CC26CA4EC62A897370043097E49D +:1028300001009384A4D19C4017E401001304C4D286 +:10284000D9E76314090003290400930949004E8560 +:10285000EFD01FD28327890289C713058901EFD0E2 +:102860003FD1CE8517E50100130505F3EFD0DFCB8F +:102870009C4081C71C40FC43ADE31C40638B270791 +:102880009C409DCF737004309C40C1EB97E70100E2 +:10289000938747CD9843184325EBFD5717E7010071 +:1028A000232CF7C89C4089CF1C40FC4391CB184097 +:1028B00014407C43FD177CC3FC4299E373600430F1 +:1028C000F2406244D2444249B249056182801840D4 +:1028D0001C407C4385077CC3ADB7184014407C4343 +:1028E000FD177CC3FC42D1FB736004301C40E399AC +:1028F00027F99C40A1C397E701009387A7C39C4396 +:10290000ADCB7370043001A09C43DC47DC47DC4353 +:1029100017E701002322F7C271B718401C407C431F +:1029200085077CC397E701009387C7C39843184383 +:102930002DD7D9BF97E70100938707C217E701009A +:10294000130707D49C4303278711631DF700F24048 +:10295000624497E7010023A907C0D2444249B24923 +:10296000056182806244F240D2444249B249056125 +:10297000D1BB6244F240D2444249B24905616FD0B2 +:10298000FFA83DC9411126C297E401009384C4BD4C +:102990004AC02E898C4006C622C4E105EFD05FBA3A +:1029A00088401105EFD0DFBCFD57630AF90497E7B3 +:1029B0000100938727BA804398409C434A9440C3C0 +:1029C000636CF40497E701009387C7B988438C4090 +:1029D0009105EFD0FFB697E701009387E7B59C43D9 +:1029E0006376F40097E7010023A887B4B24022443D +:1029F00092440249410182807370043001A08C40EE +:102A00002244B2409244024917E501001305C5D89B +:102A1000910541016FD05FB197E70100938747B4FB +:102A2000884322448C40B2409244024991054101BE +:102A30006FD01FB111C997E701009387A7AF9C43DF +:102A400099E77370043001A07370043001A0411144 +:102A500026C297E40100938424B19840AE878C404D +:102A6000B7060080D58F06C622C41CCFE10532848C +:102A7000EFD09FAB88401105EFD09FAFFD57630E9D +:102A8000F40097E701009387E7AC8843B240924493 +:102A90002295224441016F3070678C402244B2403D +:102AA000924417E50100130525CF910541016FD030 +:102AB000BFA725CD411126C297E401009384C4AA83 +:102AC0004AC02E898C4006C622C4E1053284EFD06C +:102AD000BFA588401105EFD0BFA98547630AF4045C +:102AE00097E70100938707A7804398409C434A9447 +:102AF00040C3636CF40497E701009387A7A688435B +:102B00008C409105EFD0DFA397E701009387C7A220 +:102B10009C436376F40097E7010023AF87A0B2409F +:102B2000224492440249410182807370043001A022 +:102B30008C402244B2409244024917E5010013053B +:102B4000A5C5910541016FD03F9E97E7010093878E +:102B500027A1884322448C40B24092440249910507 +:102B600041016FD0FF9D411106C622C426C25C45BB +:102B7000C04735CC930484012685EFD07F9F97E72B +:102B800001009387279B9C43B5E793044400268567 +:102B9000EFD01F9E97E701009387879B58549C4373 +:102BA00063F6E70097E7010023A4E79A931727004D +:102BB000BA978A0717E50100130585ACA6853E95EF +:102BC000EFD09F9697E701009387079A9C4358544C +:102BD0000145DC5763F8E700854717E70100232329 +:102BE000F7960545B24022449244410182807370B9 +:102BF000043001A0A68517E50100130565B7EFD0E5 +:102C0000BF92C9B797E701009387C7929C4381E7BA +:102C10007370043001A0411106C622C426C2B70752 +:102C200000804045CD8F1CC12DC4EFD07F9493040C +:102C300044002685EFD0DF9397E701009387479103 +:102C400058549C4363F6E70097E7010023A2E790FE +:102C500093172700BA978A0717E50100130545A2C5 +:102C60003E95A685EFD05F8C97E701009387C78FCD +:102C70009C4358540145DC5763F8E700854717E744 +:102C800001002321F78C0545B240224492444101C2 +:102C900082807370043001A011CD97E70100938703 +:102CA000278A984397E701009387C78A9C4318C1F6 +:102CB0005CC182807370043001A055C1D1CD411137 +:102CC00006C622C42A877370043097E801009388EF +:102CD000E88783A7080091CB97E701009387C7880F +:102CE00094439C43FC428507FCC217E30100130395 +:102CF00063869C4103260300FD560144638FD7027F +:102D000017EE0100130ECE8303250E0003280700E3 +:102D100054436305A80005446371D6023308D640C6 +:102D20000544637CF80003250E00918F0326030001 +:102D3000B6979CC108C350C3014483A7080081CB48 +:102D400097E70100938747829C43FC4381EF2285EC +:102D5000B2402244410182807370043001A073703C +:102D6000043001A0EF30D040DDB7854717D7010010 +:102D7000232AF77C828019C16845828001458280C0 +:102D800011C16CC5828097D701009387E77D884386 +:102D9000828097D701009387677B9C43054589CB49 +:102DA00097D70100938707798843133515000605E7 +:102DB000828031CD011126CA97D401009384C47A50 +:102DC0009C4006CE22CC4AC84EC65855DC57637983 +:102DD000F7021C4D63C707009C40D457A947958F45 +:102DE0001CCD93172700BA97584917E9010013091A +:102DF00029898A07CA97630DF7009C40DC575CD588 +:102E0000F2406244D2444249B249056182808280E4 +:102E1000930945002A844E85EFD08FF59C4017D743 +:102E200001001307E7721843DC575CD46376F700A0 +:102E300017D70100232EF770139527003E956244A3 +:102E40000A05F240D244CE854A95B249424905610D +:102E50006FD08FED19CD411106C622C426C297D777 +:102E600001009387677080436307A4007370043088 +:102E700001A0014582807C4881E77370043001A085 +:102E800054543848FD177CC86383E60099C7014550 +:102E9000B24022449244410182809304440026853A +:102EA000EFD00FED284897D701009387676A9843C2 +:102EB000A947898F48D41CCC6376A70097D7010017 +:102EC00023A8A768D145EF30F01697D70100938764 +:102ED000277B3E95A685EFD02FE5054555BF73703E +:102EE000043097D70100938767669C4391CB97D7AF +:102EF00001009387676798439C437C4385077CC3A5 +:102F0000828097D70100938767649C438DC397D7CE +:102F10000100938767659843784311CB98439443A6 +:102F20007C43FD177CC3FC4299E3736004308280CC +:102F300097D7010093874763904394439843A947E9 +:102F4000D456084E958F1CCF828097D70100938767 +:102F5000A761984309C79443F84A0507F8CA88430C +:102F6000828079714AD006D622D426D24ECE2A89C2 +:102F70007370043097D401009384445D9C4017D44F +:102F800001001304645EBDE31C40BC4F89E71C4094 +:102F90000547F8CFD1E19C4081C71C40FC43F1EBD1 +:102FA000737004309C408DEF1C40A84F11C5630422 +:102FB00009021C4023AC07041C4023AE07049C40BC +:102FC00081C71C40FC438DEBB250225492540259ED +:102FD000F2494561828018403C4FFD173CCFE9BF64 +:102FE00018401C407C4385077CC37DBF18401C40B3 +:102FF0007C4385077CC349BF184014407C43FD17C0 +:103000007CC3FC42F1F373600430B25022549254FA +:103010000259F2494561828008402EC61105EFD061 +:103020002FD5B245FD576380F50697D701009387EA +:10303000675283A9070018409C43AE9923223701A9 +:1030400063ECF90497D701009387C75188430C407C +:103050009105EFD0EFCE97D701009387E74D9C43C2 +:1030600063F6F90097D7010023A8374DEFD00FBAC8 +:103070001DB7184014407C43FD177CC3FC428DF300 +:103080007360043031BF0C4017D501001305C570C3 +:103090009105EFD06FC9D9BF97D701009387474CEF +:1030A00088430C409105EFD0AFC9C9B779714AD0B8 +:1030B0004ECE06D622D426D252CC2E89B289737037 +:1030C000043097D40100938464489C4017D40100D5 +:1030D00013048449F9EF18408947784F630CF700CF +:1030E0001C401345F5FFB84F798DA8CF1C4005470C +:1030F000F8CFADE69C4081C71C40FC43F5E37370FC +:1031000004309C40B1E7638609001C40BC4F23A0FB +:10311000F900184085470145784F630BF7001C40C4 +:103120001349F9FF0545B84F3379E90023AC27056A +:103130001C4023AE07049C4081C71C40FC43C1E3F4 +:10314000B250225492540259F249624A4561828037 +:1031500018401C407C4385077CC375B7084036C6C1 +:103160001105EFD0EFC0B246FD576385F60897D73B +:1031700001009387273E03AA070018409C43369A14 +:10318000232247016363FA0897D701009387873D9D +:1031900088430C409105EFD0AFBA97D701009387D1 +:1031A000A7399C436370FA0697D7010023A64739D5 +:1031B00091A818401C407C4385077CC329BF184058 +:1031C00014407C43FD177CC3FC42BDFB736004309C +:1031D000B250225492540259F249624A45618280A7 +:1031E000184014407C43FD177CC3FC4289FB73608C +:1031F000043031B70C4017D501001305E55991058E +:10320000EFD08FB2EFD08FA0F5B597D7010093879D +:10321000273588430C409105EFD08FB2E5B751C1F7 +:10322000411106C622C426C24AC07370043097D426 +:1032300001009384A4319C40B9EB99C23C4D9CC2DF +:10324000B2878946704D74CD2E872A846386D7064F +:1032500063FCF6048D456387B70091456395B7001D +:103260006300D60C38CC8547630FF60405449C40B8 +:1032700081CB97D701009387272F9C43FC43A9E379 +:103280002285B2402244924402494101828097D76C +:1032900001009387672D98439C437C4385077CC33B +:1032A00069BF7370043001A08546E39ED7FA3C4D98 +:1032B00033E7B70038CD45BF3C4D85073CCD65B7FA +:1032C000EF30006B75BF130944004A85EFD04FAA59 +:1032D00097D701009387C72748549C4363F6A700FC +:1032E00097D7010023A6A726D145EF30A05497D742 +:1032F00001009387E7383E95CA85EFD0EFA21C54B2 +:1033000081C77370043001A097D701009387C72548 +:103310009C435854DC57E3FBE7F4EFD02F8FB9B749 +:103320000144B1B739CD011122CC26CA4AC84EC6D4 +:1033300052C456C206CEBA89368AB2842E892A84ED +:10334000EFD0AF98AA8A63050A003C4C2320FA000C +:10335000784C89477CCC6389F40263F4970C8D46E2 +:103360006387D40091466396D400630AF70A232C3E +:1033700024058547631EF7061C5499CB73700430EF +:1033800001A07370043001A03C4C85073CCCD5B73C +:1033900097D701009387071A9C43ADEB9304440031 +:1033A0002685EFD0EF9C97D701009387671A485482 +:1033B0009C4363F6A70097D7010023ABA718D1451C +:1033C000EF30404797D701009387872BA6853E951E +:1033D000EFD08F9597D70100938707199C435854D6 +:1033E000DC5763F7E70063850900854723A0F900F0 +:1033F00005445685EFD00F8D2285F2406244D244B9 +:103400004249B249224A924A056182809305840169 +:1034100017D501001305C535EFD00F9165BF0144E5 +:10342000C9BF8547E397F4F43C4C33E92701232CCB +:10343000240581B74DC1011122CC26CA2A844EC66B +:1034400006CE4AC8AE84EFD04F888947744C7CCCF6 +:103450003C4C0547AA8985073CCC6395E6061C547D +:1034600081C77370043001A097D701009387870C40 +:103470009C43ADE7130944004A85EFD06F8F97D77F +:1034800001009387E70C48549C4363F6A70097D745 +:10349000010023AFA70AD145EF30C03997D701000B +:1034A0009387071ECA853E95EFD00F8897D70100F6 +:1034B0009387870B9C435854DC5763F5E70099C00A +:1034C00085479CC06244F240D24442494E85B2498D +:1034D00005616FC03FFF7370043001A09305840144 +:1034E00017D501001305C528EFD00F84C1B7AA87EF +:1034F00029C57370043017D701001307270514433B +:103500009DE2F04F894601456315D60023AE0704BE +:1035100005451C4381CB97D701009387E704984367 +:10352000784315E3828097D601009386E6039042A4 +:1035300094427442850674C2E9B797D70100938715 +:10354000A7029C437DB7984394437C43FD177CC3FB +:10355000FC42E9FB7360043082805D71A6C24EDEDE +:1035600052DC56DA5AD85ED686C6A2C4CAC062D425 +:1035700066D26AD097D901009389090017DB010050 +:10358000130BCBFE97D40100938484FE97DA0100DD +:10359000938A4AFE054AA54B83A709009843630B0B +:1035A0000712DC4703A90700EFE06FF6EFE02FF703 +:1035B00083270B002A8C6369F50A97D7010023A79C +:1035C000A7FA0146637B251B8840B3058941EFD0EC +:1035D000DFBDEFE0DF98630B0512884081460146AE +:1035E0008A85EFD0EFEB4DD98247224463DA07009A +:1035F0009247B245228582978247E3C007FE224464 +:103600005C4889C713054400EFC09FF6EFE02FF137 +:1036100083270B002A8C6360F50A824717D70100C5 +:10362000232687F5E3EBFBFAB317FA0013F707211C +:10363000631F072013F7770C6314071C93F7070227 +:10364000C9DF2285EF00301A49BFDC47C04783AC91 +:103650000700130944004A85EFC09FF15C502285A2 +:1036600082975C4C6387470B83A70900984371FFDF +:1036700003A70A0097D6010023AA86EF97D6010078 +:1036800023AAF6EE97D7010023A8E7EEEFE03F8DDF +:10369000A9B7DC4703A9C70003AD0700930C490095 +:1036A0006685EFC0FFEC832749024A85829783270E +:1036B000C9016386470983A70900984379FB03A7DB +:1036C0000A0097D6010023A7F6EA97D7010023A5A1 +:1036D000E7EAA1B7EFE0AFE3EFE06FE483270B0089 +:1036E0002A8CE363F5F883A70A00884001499043D8 +:1036F000B305894117D70100232A87E7133616003F +:10370000EFD0BFAAEFE0BF85E31905ECEFC01FD0F3 +:10371000E9B51C4CCA85E69763EAFC049C4089CF56 +:1037200002C866CA22CCEFF0CFE688408146014647 +:103730000C08EFD0CF860DF97370043001A08327F9 +:103740008901E685EA97636CFD049C4089CF02C835 +:103750006ACA4ACCEFF0EFE38840814601460C0884 +:10376000EFD0EF8329F97370043001A003A509009D +:103770005CC000C8EFC0DFDCC5BDEFE04FFE83A733 +:103780000900DC47C047930C44006685EFC05FDE4C +:103790005C4C638F47015C50228582973DBD03A539 +:1037A00009002322F90023282901EFC07FD921B77E +:1037B0001C4C00C83307F90058C0636DEC02330C91 +:1037C0002C416363FC029C4089CF02C84ACA22CCC8 +:1037D000EFF02FDC8840814601460C08EFC03FFC2B +:1037E0005DF97370043001A003A50A00E685EFC0FF +:1037F0003FD555B703A50900E685EFC07FD461BF6B +:103800009247144C00C83387D70058C0636FEC064A +:10381000B307FC4063E4D7065C50228582975C4C7A +:10382000E39D47DB144C92479840B69711CF02C8EE +:103830003ECA22CCEFF0EFD58840814601460C0805 +:10384000EFC0FFF5E31B05D87370043001A0924769 +:103850001CCC95C3E2975CC000C893054400636725 +:10386000FC0003A50A00EFC0BFCD85BB03A509007E +:10387000EFC01FCD9DB37370043001A003A50A00F3 +:1038800093054400EFC0DFCB89BB6374FC00E37594 +:10389000F7F803A5090093054400EFC07FCA35BBC4 +:1038A000411122C406C626C24AC017D4010013041F +:1038B00024CCEFF0CFE21C4095CBEFF08FE41C401E +:1038C0009DC381480148814709478146130600404E +:1038D00097D50100938585AE17050000130525C80F +:1038E000EFD0DF9939E97370043001A017D90100D6 +:1038F0001309C9EB4A85EFC09FC197D40100938497 +:1039000024EC2685EFC0BFC00146C145114597D7BD +:10391000010023A327C797D7010023AD97C4EFC0A9 +:10392000FFDA17D701002325A7C411CD97D50100D1 +:10393000938545A8EFD02FFC49B7B240224492446A +:103940000249410182807370043001A0A5C97971D8 +:1039500056CAAA8A1305C00222D426D24AD04ECE15 +:1039600052CC06D65AC85EC63A89B689328AAE8427 +:10397000912B2A8405C9EFF08FD697D70100938742 +:1039800027BF9C439DCFEFF0CFD7130544002320E2 +:10399000540104CC232E4401232034032322240386 +:1039A000EFC03FB82285B250225492540259F249D6 +:1039B000624AD24A424BB24B4561828073700430F6 +:1039C00001A097DB0100938B6BDE5E85EFC03FB4F7 +:1039D00017DB0100130BCBDE5A85EFC05FB3014646 +:1039E000C145114597D7010023A877B997D70100A2 +:1039F00023A267B9EFC09FCD17D70100232AA7B62E +:103A000001C997D501009385E59AEFD0CFEEA5BF08 +:103A10007370043001A039C5011122CC06CE26CA2C +:103A200017D401001304C4B4832804002A88014574 +:103A300063850802BA842EC0368732C242C49546D6 +:103A400063C5B602EFF0EFB48947630BF502084097 +:103A5000814601468A85EFC09FD4F2406244D24439 +:103A6000056182807370043001A08A8581463A86A0 +:103A70004685EFD00F88F2406244D24405618280CF +:103A80000840814626868A85EFC07FD1F9B719C1E3 +:103A9000084182807370043001A001E57370043026 +:103AA00001A0411106C622C42A84EFF04FC3484842 +:103AB0003334A000EFF0EFC42285B240224441012C +:103AC000828001E57370043001A0411122C42A8470 +:103AD00006C6EFF0CFC00050EFF0AFC2B240228573 +:103AE00022444101828001E57370043001A041113C +:103AF00022C426C22E84AA8406C6EFF04FBE80D010 +:103B00002244B240924441016FF0AFBF011106CE92 +:103B100097D701009387C7A52AC28843B6872EC4CA +:103B200032C68A853E868146F9573EC0EFC07FFC8B +:103B3000F2400561828097D70100938767A383A82D +:103B40000700639508007370043001A00111B2876B +:103B50002E872A8836868A8546858146FD5806CE78 +:103B600046C042C23AC43EC6EFC07FC3F2400561C0 +:103B7000828001C9B70700FFED8F99C773700430C9 +:103B800001A07370043001A0411122C426C206C6F0 +:103B90002A84AE84EFF0AFB4184093C7F4FFF98FD6 +:103BA0001CC02244B240924441016FF08FB509C954 +:103BB000370700FFB3F7E50099C77370043001A021 +:103BC0007370043001A06F20705C4111714522C4F4 +:103BD00006C6CD2C2A8411C5232005001105EFC08F +:103BE0001F932285B24022444101828001CDB70754 +:103BF00000FFED8F81C77370043001A099E57370E9 +:103C0000043001A07370043001A0011122CC4AC815 +:103C10004EC652C456C206CE26CABA893689B28A60 +:103C20002E842A8AEFF0EF9611E563850900737000 +:103C3000043001A0EFE0AF8D83240A00B377940035 +:103C40006316090295C763870A009347F4FFE58F5F +:103C50002320FA00EFE0AFB0F240624426854249EB +:103C6000D244B249224A924A05618280E30DF4FCB3 +:103C7000E38209FEB3355001E20563050900B70789 +:103C80000004DD8D4E86C18D13054A00EFE09FDAFA +:103C9000EFE0EFAC19E1EFC06FF7EFF06FA993170A +:103CA0006500AA8963D80700B7040001FD14B3F4C6 +:103CB00099005DB7EFF0AFA283290A00B377340112 +:103CC000631E090089CB63880A009347F4FFB3F7AA +:103CD00037012320FA00EFF0CFA2F9B7E31DF4FE7D +:103CE000DDB701C9B70700FFED8F99C773700430C6 +:103CF00001A07370043001A0411122C42A8406C6B9 +:103D000026C24AC02E89EFF08F9D04409345F9FFEB +:103D1000B3F795001CC0EFF0CF9EB2402244268539 +:103D200002499244410182802E868146AA85170568 +:103D30000000130545E46FF07FDD411122C42A84A1 +:103D400006C6EFC08FF80040EFC0CFF7B240228523 +:103D500022444101828009C9370700FFB3F7E5001B +:103D600099C77370043001A07370043001A06F20F4 +:103D7000F041B70700FFF18F81C77370043001A0D5 +:103D800001E67370043001A0011122CC4AC84EC66E +:103D900052C406CE26CA3689AE892A8A3284EFE01A +:103DA0005FFF21E1630509007370043001A0EFD0CB +:103DB0001FF683240A00CE855285B3E49900613F43 +:103DC000B37794006307F40683240A00EFE02F9989 +:103DD000F240624426854249D244B249224A0561F2 +:103DE0008280EFD0DFF283240A00CE855285B3E4CF +:103DF00034019537B3F78400638D8702E30609FC2D +:103E0000B70500054A86C18D13054A00EFE09FC241 +:103E1000EFE0EF9419E1EFC06FDFEFF06F919317D0 +:103E20006500AA8463DF0700B7070001FD17FD8C5A +:103E300045B783270A001344F4FFE18F2320FA00DB +:103E400071B7EFF0CF8983240A00B37794006397AA +:103E500087009347F4FFE58F2320FA00EFF06F8A85 +:103E6000E1B7411122C406C62A8426C2EFD03FEA38 +:103E70005C4085C308489304C4006316950015A0F0 +:103E8000084863009502B7050002EFE0BFD75C4029 +:103E9000E5FB2285892A2244B240924441016FE029 +:103EA0000F8C7370043001A0B2862E86AA85170588 +:103EB0000000130505D06FF07FC519C1084D828041 +:103EC00001458280411122C406C62A84EFD03FE416 +:103ED00097C701009387876A9C43A1EBE56717D7D3 +:103EE00001001307678FF11797C6010023AEE6683C +:103EF00017C701002328F76817D701002320F78E82 +:103F000097C701009387C76717D701002326F78C4F +:103F1000854717C70100232B076617C70100232118 +:103F2000076617C70100232BF76419E8EFE02F831A +:103F3000EF10600801442285B24022444101828092 +:103F40001305840093773500C1EFE5671307F5FF8C +:103F5000E917E3EDE7FC97C601009386E6629C4211 +:103F600029A0984311C7BE86BA87D843E36BA7FE42 +:103F700017C701001307C760E38AE7FA9843414671 +:103F80001384870098C2D843B306A7406378D6024B +:103F90003388A7002322D800C8C38325480097C6CA +:103FA00001009386665E11A0BA8698425043E36D85 +:103FB000B6FE2320E80023A00601D84397C70100DE +:103FC0009387C7609C43998F17C701002320F76030 +:103FD000EFD0FFF831DC2285B240224441018280DB +:103FE000719911059DB729C9411122C406C62A84B9 +:103FF000EFD0FFD1930584FF0326C4FF17C701004C +:104000001307875811A03E871C43D443E3EDC6FE37 +:10401000232CF4FE0CC397C701009387275B9843BA +:104020008327C4FF2244B240BA9717C70100232F49 +:10403000F75841016FD0BFF2828097C70100938784 +:10404000E7588843828082803367B5000D8BAA874A +:104050001DE79308D6FFAA982A87AE8663711503D9 +:1040600003A8060011079106232E07FFE36A17FF36 +:104070009347F5FFC697F19B9107BE95AA972A969D +:1040800063F3C70A9386450013884700B3B6D70089 +:1040900033B8050133E7F50093C61600134818003E +:1040A0000D8BB3E6060113371700758F330EF640FC +:1040B0009386170029CF1337AE0031EB13532E0030 +:1040C0002E88BE860147832808000507110823A013 +:1040D00016019106E36967FE1377CEFFBA95BA978A +:1040E0006302EE0483C60500138717002380D70000 +:1040F000637AC70283C6150013872700A380D70001 +:104100006372C70203C725002381E7008280B307DB +:10411000F640AE97850503C7F5FF8506238FE6FEBB +:10412000E39AF5FE82808280B367C5008D8BB30869 +:10413000C500E9C36371150FB307A0408D8B1387CA +:104140003700954693F5F50F130815003383A84003 +:104150007D166373D70015476363E60CD5CF230044 +:10416000B5000547638DE700A300B5000D471308B0 +:1041700025006396E700130835002301B500139668 +:1041800085004D8E13970501518F3303F3409396AD +:104190008501D98E13562300AA97014794C30507BA +:1041A0009107E36DC7FE1377C3FFB307E80063070A +:1041B000E3062380B70013871700637C1703A380EF +:1041C000B70013872700637617032381B70013878F +:1041D000370063701703A381B70013874700637A22 +:1041E00017012382B7001387570063741701A38256 +:1041F000B700828093F5F50F13978500D98D13973B +:1042000005014D8FE37715FFAA87910723AEE7FEDF +:10421000E3ED17FF828082802A8895B78280AA8783 +:1042200049BF834705002A8799C7050583470500CD +:10423000EDFF198D82800145828005058347F5FFDA +:10424000850503C7F5FF91C7E389E7FE3385E7409E +:1042500082808147E5BFAA87850503C7F5FF8507EB +:10426000A38FE7FE75FB828083460500930700025B +:104270006397F600050583460500E38DF6FE9387F8 +:1042800056FD93F7D70F85C7AA87814585CE01458F +:104290008507138606FD1317250083C607003A9588 +:1042A00006053295F5F699C13305A04082809385C5 +:1042B00036FD8346150093B5150093071500E1FA06 +:1042C0000145D5B7014582809C412380A7009C41D0 +:1042D00085079CC1828097C601009386662B9C420D +:1042E000175703001307075213861700BA97238046 +:1042F000A70097C5010023ADC528A9476308F500AD +:10430000930700046305F6000145828075DE3706D9 +:1043100010F005078347F7FF2320F6F09C42FD17B6 +:1043200097C5010023A6F526EDF7014582804D7162 +:104330002322911423202115232A5113232481138E +:104340002326111423248114232E3113232C4113EB +:104350002328611323267113232291132320A113F1 +:10436000232EB111AA842E8932C6130C5002A54AFD +:104370008347090063808703B9CB804011A0A1CB9C +:104380002300F40080400509050480C0834709002C +:10439000E39787FF0346190093081900C686130D9B +:1043A0000002FD597D5A0148130550059307D6FDBB +:1043B00093F7F70F138916006363F52A17C70100F7 +:1043C000130747C48A07BA979C43BA97828783200A +:1043D000C114032481148324411403290114832963 +:1043E000C113032A8113832A4113032B0113832B47 +:1043F000C112032C8112832C4112032D0112832D33 +:10440000C11171618280A14C02C4854763D5071533 +:10441000B2478040938B770093F68BFF03AB060087 +:1044200083A94600938786003EC6668681465A85E4 +:10443000CE85EF1030702AC8814763853723930DEE +:104440004101854B668681465A85CE85EF10D01096 +:10445000814666862A8BAE89EF10D06DA24723A0D5 +:10446000AD0093861B00910D63843701B68BD9BFD5 +:10447000E37E9BFF63DD4601A2872380A701804086 +:104480007D1A930714009CC03E84E3C846FF9396B0 +:104490002B001C08BE9631A8938777052300F400F3 +:1044A0008040FD1B93871B00050480C0F116E3517B +:1044B000F0EC9C42E3E2FAFE93870703C5B79C4009 +:1044C0009306000332472380D7009C4093064700A1 +:1044D000C14C1386170090C013068007A380C70045 +:1044E000804002C4BA87050480C003AB070081493D +:1044F00036C625BFB24783AC0700938B4700638461 +:104500000C1C635F40199307D0026319FD1683C723 +:104510000C0085C363DC09189440850C7D1A238048 +:10452000F6008040050480C083C70C00E5F7635D9A +:1045300040018040930700022300F40080407D1A70 +:10454000050480C0E31A0AFE5EC61DB502C4854795 +:10455000A94CE3CF07EBB24780409386470071B781 +:1045600003C61600CA8699B59C4093065002238064 +:10457000D7008040050480C0E5BBC14C02C471B5C2 +:10458000B24703C61600CA8683A9070091073EC634 +:10459000E35E0AE04E8AFD5911BD03C61600130DF5 +:1045A000D002CA8621B59347FAFFFD8703C61600DD +:1045B000337AFA00CA86DDBB03C61600130D00036A +:1045C000CA86EDB383C51600930906FDCA86938794 +:1045D00005FD2E86E3EEFAFA93972900BE9985062B +:1045E0008609AE9983C50600938909FD938705FD69 +:1045F0002E86E3F3FAFE69BF32479C40144311074D +:104600003AC62380D7008040050480C095B3854713 +:1046100063D3070BB24793867700E19A93878600AE +:104620003EC683A946009C4263DC090A94401306F7 +:10463000D002B307F0402380C6008040B336F000BC +:10464000B3093041050480C03E8BB389D940A94CE1 +:1046500002C4E1BB03C616000508CA8681BB9C40A4 +:10466000468921B7E37D9BDD8547814B8546E3C5C0 +:1046700047E131BD97CC0100938CCCD4CE856685C3 +:10468000EF10C015330AAA40635C4001804023004C +:10469000A40180407D1A050480C0E31A0AFE014A85 +:1046A00083C70C00E38207EAE3C809E6FD197D57DA +:1046B000E394E9E6ADBDB247938647009C4336C616 +:1046C00093D9F74195B7635640019307D002E3139E +:1046D000FDFA97CC0100938CECCE930780021DBDB0 +:1046E0003E8BA94C02C4804089B34D71232E3113F7 +:1046F000B70900409387F9FF2324811423229114E2 +:10470000232C4113232A511323261114232021156E +:104710002328611323267113232481132322911349 +:104720002320A113232EB1112A8A2EC417C40100FD +:10473000130404E6975A0300938ACA0C97C4010035 +:104740009384C4A13EC69305500229451308000472 +:10475000B70610F083470A006386B704C1C7184044 +:10476000050A13061700569797C8010023A2C8E24E +:104770002300F7006382A706E31E06FD1757030018 +:104780001307470805078347F7FF23A0F6F01C40EF +:10479000FD1717C60100232DF6DEEDF783470A004B +:1047A000E39EB7FA83461A0013051A002A87930B73 +:1047B00000027D5B7D5C014893055005A548938709 +:1047C000D6FD93F7F70F130A170063E7F5348A074E +:1047D000A6979C43A6978287175703001307870263 +:1047E00055F28DBF8320C114032481148324411406 +:1047F000032901148329C113032A8113832A411336 +:10480000032B0113832BC112032C8112832C411221 +:10481000032D0112832DC11171618280A14C014DC4 +:10482000854763DB0719A2479D07E19B83AD07001E +:1048300003AB4700138787003AC4668681466E85BE +:10484000DA85EF10302F2AC863066D351309410150 +:104850008549668681466E85DA85EF10E04F66866B +:104860008146AA8D2E8BEF10F02C2320A9008509FC +:104870001109E3106DFFE3FE9DFD13060004B7066A +:1048800010F063D189031C4013871700D69797C592 +:10489000010023AFE5CE23807701630CC7047D1CA4 +:1048A000E3C389FFB2476800A548B386F9008A06CA +:1048B0001C08BE96294813030004370610F0E38451 +:1048C000A6E89C429305000363F4F8009305700585 +:1048D0001840AE9793051700569717CE010023296D +:1048E000BECA2300F7006380072B638C6526F11690 +:1048F000F9B7175703001307E7F005078347F7FFDA +:1049000023A0F6F01C40FD1797C5010023A2F5C8AF +:10491000EDF77D1C71B71C401306000413871700C8 +:1049200097C5010023A6E5C6B386FA0093050003E8 +:104930002380B6006300C73E890797C6010023A9FC +:10494000F6C45697930680072300D7001757030035 +:10495000130747EBB70610F0639EC70005078347B0 +:10496000F7FF23A0F6F01C40FD1717C60100232116 +:10497000F6C2EDF7A247C14C014D91072247014B0A +:10498000832D07003EC455BDA24783AC07001389A1 +:104990004700638F0C3A635480219307D002639ED3 +:1049A000FB2E03C70C00BA856310072025AC854792 +:1049B000A94C014DE3C907E7A2479107C1B7A24738 +:1049C00083461700528703AB070091073EC4E358A4 +:1049D0000CDE5A8C7D5BE5B383461700930BD00247 +:1049E0005287F1BB9347FCFFFD8783461700337C5A +:1049F000FC005287E9B383461700930B00035287EC +:104A00007DBB03461700138B06FD5287930706FDF7 +:104A1000B286E3EEF8FA93172B00DA9705078607BC +:104A2000B29703460700138B07FD930706FDB28676 +:104A3000E3F3F8FE69BFA2451C40294698419386DE +:104A40001700D69717C501002324D5B42380E700AB +:104A5000138945006301C72A930700046387F62082 +:104A60004AC4D5B1854763DF0729A247138777007A +:104A7000619B930787003EC4032B47001C43635B85 +:104A80000B301840130600049306170097C5010069 +:104A900023A0D5B056979305D0022300B7006388B2 +:104AA000C62CB307F0403337F000330B6041BE8DA6 +:104AB000330BEB40A94C014D49B383461700050861 +:104AC0005287F5B9834617005287D5B91C40930623 +:104AD00000041387170017C60100232BE6AAD697F8 +:104AE000130650022380C700E31FD7C417570300E3 +:104AF000130747D1B70610F005078347F7FF23A038 +:104B0000F6F01C40FD1717C601002323F6A8EDF7A9 +:104B10001DB9C14C014D29B31C40930600042A8ADB +:104B20001387170017C601002324E6A6D69713069D +:104B300050022380C700E318D7C01757030013079C +:104B400067CCB70610F005078347F7FF23A0F6F000 +:104B50001C40FD1717C60100232CF6A2EDF72A8A88 +:104B6000DDB6175703001307E7C905078347F7FFAB +:104B70002320F6F01C40FD1797C5010023AAF5A0DD +:104B8000EDF7F1162DBB175703001307A7C7F1FD6B +:104B9000F11635B3E3FC9DCB8549C5B1014C03C784 +:104BA0000C00BA85E30E07EAFD58294513080004F6 +:104BB000B70610F063570B0A1C4013861700D697F0 +:104BC00017C301002326C39C2380E7006384A50646 +:104BD00063040609850C03C70C007D1CBA8579FBAC +:104BE000E35080E91C409305000213060004B70659 +:104BF00010F021A07D1CE3050CE613871700D69763 +:104C00002380B70017C501002324E598BA87E31372 +:104C1000C7FE175703001307E7BE05078347F7FFD3 +:104C200023A0F6F01C40FD1717C501002322F596BE +:104C3000EDF7C9B7175703001307C7BC41DE0507D7 +:104C40008347F7FF23A0F6F01C40FD1717C60100AD +:104C50002320F694EDF7BDBF17570300130787BA5B +:104C6000F9BF7D1BE31A1BF5A5BF175703001307F8 +:104C700067B9B70610F005078347F7FF23A0F6F0E2 +:104C80001C40FD1717C601002324F690EDF74AC417 +:104C90005DBC97BC0100938CEC72DA856685EF00F1 +:104CA000F033330CAC40E35C80EF1C40130600048F +:104CB000B70610F021A07D1CE3020CEE138717004D +:104CC000D6972380770197C5010023A3E58CBA8787 +:104CD000E313C7FE175703001307C7B2050783473F +:104CE000F7FF23A0F6F01C40FD1797C5010023A194 +:104CF000F58AEDF7C9B7175703001307A7B0B5FA40 +:104D00004AC491B4A247138747009C433AC413DBBB +:104D1000F741B5B3175703001307C7AEB70610F036 +:104D200005078347F7FF23A0F6F01C40FD1717C6C1 +:104D30000100232FF684EDF7854717C701002329CB +:104D4000F7849307800717570300230DF7AA1DB1B7 +:104D5000635680019307D002E39DFBF297BC0100EC +:104D6000938C4C66130780029305800235BD9756DD +:104D70000300938626A9370610F0850603C7F6FFC1 +:104D80002320E6F018407D1797C5010023A2E58097 +:104D90006DF701BBBE8DA94C014D45B4B70710F0AE +:104DA00023A0A7F201A03971130341022ED29A85E4 +:104DB00006CE32D436D63AD83EDA42DC46DE1AC6C1 +:104DC0002D32F2400145216182805D711303810320 +:104DD00022D42AC632DC2A841A86680006D6BEC2CD +:104DE00036DEBAC0C2C4C6C61ACEEFF04FD4B24740 +:104DF000238007003245B250018D225461618280C8 +:104E0000B70710F083A647F403A607F403A747F4F7 +:104E1000E31AD7FE8566938606FAB29633B6C600C5 +:104E2000B305E60023A4D7F423A6B7F48280B7071E +:104E300010F003A747F403A607F483A647F4E31A88 +:104E4000D7FE8566938606FAB29633B6C60023A4CB +:104E5000D7F4B305E60023A6B7F49307000873A0C0 +:104E600047308280411122C406C651447D14EF00B0 +:104E700050016DFCB240224441018280411122C4A4 +:104E800006C697B701009387277117B40100130472 +:104E9000647098431C401306F07C93064706938782 +:104EA000470697B5010023A9D56E97B5010023A346 +:104EB000F56E6346D600B24022444101828013075A +:104EC000478997B7010023A9E76CEF009009854750 +:104ED0006310F502184085679387F7760145E3DC98 +:104EE000E7FCEFF0BFEB2244B24041016FC03FE16D +:104EF00017B501001305854DEFF0FFEA0945EFF006 +:104F0000FFE92244B24041016FC07FDF17B50100C5 +:104F10001305454D6FF03FE917B501001305054D29 +:104F20006FF07FE8411122C426C206C6856417B41B +:104F300001001304244C938784380100FD17F5FF0A +:104F40002285EFF05FE6C5BF411106C622C4EF001F +:104F5000006717070000130727F1814605469305F0 +:104F6000200317B5010013056549EFE03F9E2A8431 +:104F7000EFC0FFDA2A8622852244B24001478146EB +:104F8000854541016FE03FA9411106C6EF00607DF4 +:104F9000054781476316E500B2403E8541018280A6 +:104FA00017B5010013058542EFF0FFDFB2408947D6 +:104FB0003E85410182807370043001A0828073704D +:104FC000043001A0411126C24AC006C622C42A8963 +:104FD000EFD07FDB97B701009387C75D9C43954473 +:104FE0006387A70617B401001304445D0145EFD0A1 +:104FF000FF8208407D5781460546A685EFE01FBF2A +:1050000075F525A0EFD09F810840FD563D46CA8525 +:10501000EFE03FD63D897D5785460146BD45631D7E +:1050200095020840EFE09FBC0DE50145EFD00FFF72 +:105030000840FD563D46CA85EFE0BFD39374F500A6 +:10504000BD470145E380F4FC7370043001A08D443A +:1050500051BF7370043001A07370043001A00111BE +:1050600022CC4EC652C406CE26CA4AC817B4010086 +:105070001304C45497B901009389C954130AF00F5B +:105080000145EFD0AFF908407D5785460546894573 +:10509000EFE0DFB52A8908407D5785460146930534 +:1050A000200AEFE0BFB41375250A9307200A854450 +:1050B0006304F50C0145EFD06FF608407D57854637 +:1050C00005469305200AEFE07FB26303450185445E +:1050D0000145EFD0AFF40840FD563D468945EFE06D +:1050E0005FC93D89BD476303F5008544084081459C +:1050F000EFE07FC625C5084081458544EFE07FBECF +:105100000145EFD0AFF10840FD563D468945EFE03F +:105110005FC63D89BD476303F5008544084081456E +:10512000EFE07FC319C908407D5785460146BD455C +:10513000EFE0DFAB7370043001A008407D57854677 +:105140000146BD45EFE09FAA75F5EDF483A7090080 +:10515000850717B701002327F7461DB7084081458B +:10516000EFE03FB819ED91EC83A70900850717B769 +:1051700001002329F74469B79304E9F5B33490009B +:1051800015BF8544B5BF79714AD006D622D426D240 +:105190004ECE52CC56CA5AC85EC662C466C26AC0F7 +:1051A0002A89EFE09FA297B7010023A9A7406300D7 +:1051B000054017BA0100130AEA3F03250A0097B910 +:1051C00001009389E93E0544EFC0CFD1AA8403A52D +:1051D0000900EFC02FD18D47630EF53CA14B854AE6 +:1051E00097B401009384843D094B0D4C03250A00BC +:1051F000EFC0EFF303A50900EFC06FF303250A002A +:10520000EFC04FCE63036501054403A50900EFC05D +:105210006FCD6303650105448840D685EFE0BFB3D9 +:1052200093F73A0003250A0063950734EFC08FCB4C +:1052300063036501054493F75A0003A509006395CC +:105240000732EFC02FCA630365010544FD1B860AC0 +:10525000E39E0BF803250A0017BD0100130DCD36A0 +:10526000894AEFC0CFEC03A50900854B930C000AD7 +:10527000EFC0EFEB88400D4B130CD005EFE07FBE85 +:10528000EFE0BF9497B7010023AAA732630E053061 +:105290004A85EFC0CFE94A85EFC0CFC4630355010B +:1052A000054488409305200AEFE0FFAA88400147A3 +:1052B000814601469305F00FEFE05F93630395018C +:1052C00005444A85EFC00FC2630355010544884079 +:1052D0009305D00FEFE03FA8884001478146014683 +:1052E0009305F00FEFE09F909307D00F6303F50055 +:1052F00005444A85EFC00FBF63035501054488404C +:105300008945EFE05FA54A85EFC0CFBD6303650126 +:10531000054488408145EFE01FA49307F00F630325 +:10532000F500054488408945EFE0BF9B9307F00FE7 +:105330006303F50005444A85EFC06FDF4A85EFC07F +:105340006FBA63035501054488408945EFE0BFA06B +:105350004A85EFC02FB963036501054488400147C2 +:10536000814601469305F00FEFE05F8863038501F6 +:10537000054488409305F00FEFE0BF966303850175 +:10538000054488408145EFE0DF9511C105444A8519 +:10539000EFC04FB563036501054403250A00EFC064 +:1053A0006FB463036501054403A50900EFC08FB323 +:1053B0006303650105448840B945EFE0DF99884003 +:1053C000FD5605468545EFE0DF9A058911E1054464 +:1053D00088408145EFE0FF90B9476303F50005443D +:1053E0008840B945EFE0FF8F88408145EFE07F8F2F +:1053F00011C105444A85EFC08FD303250A00EFC0D1 +:105400000FD303A50900EFC08FD24A85EFC08FAD3F +:1054100063035501054403250A00EFC0AFAC6303E5 +:105420005501054403A50900EFC0CFAB6303550147 +:1054300005448840FD563D468545EFE09F933D89F4 +:10544000BD476303F500054488408145EFE07F894F +:1054500011C105444A85EFC0EFA863036501054407 +:1054600003250A00EFC00FA863036501054403A5E7 +:105470000900EFC02FA76303650105444A85EFC00B +:105480000FCB03250A00EFC08FCA03A50900EFC0A8 +:105490000FCA4A85EFC00FA56303550105440325D4 +:1054A0000A00EFC02FA463035501054403A50900BA +:1054B000EFC04FA363035501054489450145EFC083 +:1054C0000FB58840FD563D468545EFE09F8A3D89F2 +:1054D000BD476303F500054488408145EFE07F80C8 +:1054E00011C105444A85EFC0EF9F63037501054470 +:1054F00003250A00EFC00F9F63037501054403A550 +:105500000900EFC02F9E63037501054401458145E5 +:10551000EFC0EFAF4A85EFC0EF9C63035501054430 +:1055200003250A00EFC00F9C63035501054403A542 +:105530000900EFC02F9B6303550105448840EFE04D +:105540005F924A85EFC00F9A630365010544032506 +:105550000A00EFC02F996303650303A50900EFC09C +:105560006F987370043001A0EFC0CF97E31F85CD13 +:10557000F1B9EFC02F97E31F85CB75B903A50900DB +:10558000EFC04F96E31F65FD69FC83270D0085077B +:1055900017B70100232AF702EFE02FE397B70100C6 +:1055A00023AEA700E31605CE7370043001A073701C +:1055B000043001A01384D4FF3334800005B10111FD +:1055C000814801487C00054781461306004097B595 +:1055D00001009385E5E317050000130585A806CEB5 +:1055E000EFB0DFC9B2468148014881470147130641 +:1055F000004097B50100938525E2170500001305CB +:10560000C5B8EFB0BFC78148014897B7010093877D +:1056100067FA054791461306004097B50100938548 +:1056200025E0170500001305259AEFB03FC597B791 +:1056300001009387E7F7814801480547A146130613 +:10564000004097B501009385A5DD170500001305FF +:10565000A597EFB0BFC297B70100938767F59C434A +:1056600099CBEFE08FD697B7010023A7A7F419C510 +:10567000F240056182807370043001A073700430C1 +:1056800001A0411106C622C497B70100938707F213 +:105690009C4313074006850797B6010023A8F6F040 +:1056A000638EE7001307800C6388E7061307C012B8 +:1056B0006387E702B24022444101828017B40100AF +:1056C000130484EF0840EFE04FE715CD854717B787 +:1056D0000100232BF7ECB24022444101828097B7AE +:1056E0000100938767ED8843C945EFE0EFE3854705 +:1056F0006304F50417B701002328F7EA97B7010000 +:1057000023A607EA45BF08400146C945EFE0CFF9A7 +:105710008547E31DF5FA79BF97B701009387C7E97D +:105720008843EFE08FE1C947E306F5F8854717B7EF +:105730000100232BF7E645B797B701009387C7E62B +:105740009C4317B70100232307E6ADF797B7010085 +:10575000938707E79C43850717B701002322F7E6E5 +:1057600091BF17B70100130727E68328070097B7F3 +:105770000100938727E50C4317B60100130646E2A4 +:105780009443104217B801001308C8E1032708002A +:1057900017B501002328B5E001456306D60033079D +:1057A00017413335E000904317B70100130747E175 +:1057B00097B70100938787DE14439C43184397B53E +:1057C000010023AFC5DC958FB337F000B307F0407D +:1057D00097B6010023A4E6DC7D8D8280B305B50079 +:1057E000930705006386B70003C707006316070029 +:1057F0003385A74067800000938717006FF09FFEF6 +:10580000130101FB23229104232C41032322910342 +:10581000232611042324810423202105232E310370 +:10582000232A5103232861032326710323248103A0 +:105830002320A103232EB101930C0500138A050038 +:105840009304000063DE05003305A0403337A00059 +:10585000B305B040930C0500338AE5409304F0FF94 +:1058600063DA06003306C040B337C000B306D04049 +:10587000B386F640930A06009389060013840C0051 +:1058800013090A006396062817BB0100130B8BA2AD +:105890006370CA16B70701006372F6149307F00F1E +:1058A00063F4C70093098000B3573601330BFB0044 +:1058B00083470B0013050002B3873701B309F54096 +:1058C000638C0900B3153A01B3D7FC00B31A360153 +:1058D00033E9B70033943C0113DB0A0193050B0055 +:1058E00013050900EF00507C130A050093050B0017 +:1058F000939B0A0113050900EF00907693DB0B01DF +:105900009305050013850B00EF00D072131A0A01EE +:1059100093570401B367FA0063FAA700B3875701EE +:1059200063E6570163F4A700B38757013389A740A3 +:1059300093050B0013050900EF001077130A05000B +:1059400093050B0013050900EF0090711314040177 +:1059500093050500131A0A0113850B001354040163 +:10596000EF00506D33648A00637AA400330454015D +:10597000636654016374A400330454013304A440E7 +:105980003354340193050000638A040033048040DB +:10599000B3378000B305B040B385F540130504006C +:1059A0008320C104032481048324410403290104C6 +:1059B0008329C103032A8103832A4103032B0103A3 +:1059C000832BC102032C8102832C4102032D01028F +:1059D000832DC1011301010567800000B707000195 +:1059E00093090001E362F6EC930980016FF0DFEBAD +:1059F000631A06009305000013051000EF005066BF +:105A0000930A0500B707010063FAFA0E9307F00F37 +:105A100063F4570193098000B3D73A01330BFB00BD +:105A200083470B001305000233095A41B38737013E +:105A3000B309F540E38209EAB39A3A01335BFA000D +:105A4000B3153A01B3D7FC0093DB0A0133E9B70081 +:105A500013050B0093850B00EF001065130A05007A +:105A600093850B00139C0A0113050B00EF00505F98 +:105A7000135C0C019305050013050C00EF00905B0F +:105A8000131A0A0193570901B367FA0033943C01D2 +:105A900063FAA700B387570163E6570163F4A700D1 +:105AA000B3875701338BA74093850B0013050B0079 +:105AB000EF00905F130A050093850B0013050B00A0 +:105AC000EF00105A9305050013050C00EF009056E7 +:105AD00093160901131A0A0193D60601B366DA0078 +:105AE00063FAA600B386560163E6560163F4A60086 +:105AF000B38656013389A6406FF01FDEB707000159 +:105B000093090001E3EAFAF0930980016FF0DFF0F6 +:105B10006376DA0093050A006FF01FE7B70701000C +:105B200063FAF604930BF00F33B5DB001315350061 +:105B300033D7A60097A701009387C777B387E700FD +:105B400083CB070093050002B38BAB00338B754109 +:105B5000631C0B0263E4460163EACC003384CC404F +:105B6000B306DA4033B98C00338926419305090026 +:105B70006FF09FE1B707000113050001E3EAF6FAB1 +:105B8000130580016FF0DFFAB3966601335D76018D +:105B9000336DDD00B35D7A01B3156A0133DC7C013E +:105BA00013540D01336CBC0013850D0093050400E4 +:105BB000B3196601EF00504F930A050093050400E6 +:105BC00013850D0033996C01931C0D01EF005049B2 +:105BD00093DC0C01130A05009305050013850C00E6 +:105BE000EF005045939A0A0113570C0133E7EA007E +:105BF000930D0A00637EA7003307A701930DFAFFF8 +:105C00006368A7016376A700930DEAFF3307A70136 +:105C1000330AA7409305040013050A00EF00D0489B +:105C200093050400930A050013050A00EF00504392 +:105C3000930505001304050013850C00EF00903F49 +:105C400093150C01939A0A0193D50501B3E5BA00A7 +:105C50001307040063FEA500B385A5011307F4FF35 +:105C600063E8A50163F6A5001307E4FFB385A5016A +:105C7000939D0D01B70C0100B3EDED001384FCFF03 +:105C8000B3F78D0033F48900338AA54013850700EC +:105C9000930504002326F10093DD0D01EF009039F8 +:105CA00093050400930A050013850D00EF0090385A +:105CB00013DC090193050C002324A10013850D00BA +:105CC000EF0050378327C10013040C009305040034 +:105CD000130C050013850700EF00D03583268100E3 +:105CE00013D70A013305D5003307A7006374D70023 +:105CF000330C9C01B70701009387F7FF9355070109 +:105D00003377F70013170701B3F7FA00B38585015E +:105D1000B307F7006366BA00631EBA00637CF9003C +:105D200033863741B3B7C700B385A541B385F54086 +:105D300093070600B307F9403339F900B305BA40B9 +:105D4000B385254133947501B3D767013364F400FB +:105D5000B3D565016FF05FC3130101FD23229102EA +:105D6000232A510123261102232481022320210307 +:105D7000232E3101232C41012328610123267101A7 +:105D800023248101232291012320A101930A0500EC +:105D900093840500639E06381304060093090500EA +:105DA00017A901001309095163F8C512B7070100CB +:105DB000138B05006378F6101307F00F3337C70015 +:105DC00013173700B357E6003309F900834609007B +:105DD0003387E60093060002B386E640638C060034 +:105DE000B394D40033D7EA003314D600336B970052 +:105DF000B399DA00935A040193850A0013050B0046 +:105E0000EF00902A1309050093850A00931B0401F3 +:105E100013050B00EF00D02493DB0B019304050066 +:105E20009305050013850B00EF00D020131909011D +:105E300093D70901B367F900138A040063FEA70032 +:105E4000B3878700138AF4FF63E8870063F6A7002F +:105E5000138AE4FFB3878700B384A74093850A00C1 +:105E600013850400EF0050241309050093850A00F0 +:105E700013850400EF00D01E9399090193040500D7 +:105E8000930505001319090113850B0093D9090126 +:105E9000EF00501AB36939011386040063FCA900AE +:105EA000B30934011386F4FF63E6890063F4A900A3 +:105EB0001386E4FF13140A013364C400130A0000BC +:105EC0006F000013B707000113070001E36CF6EE43 +:105ED000130780016FF01FEF138A0600631A060094 +:105EE0009305000013051000EF0090171304050040 +:105EF000B7070100637EF4129307F00F63F4870085 +:105F0000130A8000B35744013309F900034709001D +:105F10009306000233074701B386E64063940612F6 +:105F2000B3848440130A1000135B040193050B0033 +:105F300013850400EF0050171309050093050B00AB +:105F400013850400931B0401EF00901193DB0B01F8 +:105F5000930405009305050013850B00EF00900DD9 +:105F60001319090193D70901B367F900938A040053 +:105F700063FEA700B3878700938AF4FF63E8870076 +:105F800063F6A700938AE4FFB3878700B384A74032 +:105F900093050B0013850400EF0010111309050091 +:105FA00093050B0013850400EF00900B93990901F2 +:105FB00093040500930505001319090113850B00CF +:105FC00093D90901EF001007B36939011386040062 +:105FD00063FCA900B30934011386F4FF63E689006A +:105FE00063F4A9001386E4FF13940A013364C40028 +:105FF0001305040093050A008320C10203248102D3 +:1060000083244102032901028329C101032A81015A +:10601000832A4101032B0101832BC100032C810042 +:10602000832C4100032D0100130101036780000050 +:10603000B7070001130A0001E366F4EC130A8001BC +:106040006FF05FEC3314D40033DAE400B399DA0074 +:1060500033D7EA00935A0401B394D40093850A001D +:1060600013050A00336B9700EF00100413090500B5 +:1060700093850A0013050A00931B0401EF00407E7C +:1060800093DB0B01930405009305050013850B00BA +:10609000EF00407A1319090113570B013367E90028 +:1060A000138A0400637EA70033078700138AF4FF76 +:1060B000636887006376A700138AE4FF33078700CD +:1060C000B304A74093850A0013850400EF00C07D48 +:1060D0001309050093850A0013850400EF0040783A +:1060E000930405009305050013850B00EF008074F1 +:1060F00013170B011357070113190901B367E900BF +:106100001387040063FEA700B38787001387F4FF9B +:1061100063E8870063F6A7001387E4FFB38787006F +:10612000131A0A01B384A740336AEA006FF0DFDF75 +:1061300063ECD51EB707010063F4F6041307F00FF4 +:10614000B335D7009395350033D7B60097A7010034 +:1061500093874716B387E70003C70700130A0002B7 +:106160003307B700330AEA4063160A02130410002B +:10617000E3E096E833B6CA00134416006FF05FE719 +:10618000B707000193050001E3E0F6FC93058001E9 +:106190006FF09FFBB35CE600B3964601B3ECDC0006 +:1061A00033D4E40093DB0C01B397440133D7EA0006 +:1061B00093850B0013050400336BF700B3194601F8 +:1061C000EF00806E1309050093850B001305040092 +:1061D000139C0C01EF00C068135C0C0193040500D4 +:1061E0009305050013050C00EF00C06413190901A5 +:1061F00013570B013367E90013840400637EA70083 +:10620000330797011384F4FF636897016376A7004F +:106210001384E4FF33079701B304A74093850B0071 +:1062200013850400EF0040681309050093850B00F7 +:1062300013850400EF00C062930405009305050078 +:1062400013050C00EF00005F93170B0113190901F0 +:1062500093D70701B367F9001386040063FEA70014 +:10626000B38797011386F4FF63E8970163F6A700ED +:106270001386E4FFB387970113140401B70B0100E1 +:106280003364C4001389FBFF337D240133F92901F2 +:10629000B384A7409305090013050D00EF00805952 +:1062A000935C040193050900130B050013850C0092 +:1062B000EF00405893D90901130C0500938509009C +:1062C00013850C00EF0000571309050093850900A2 +:1062D00013050D00EF0000563305850193570B01A0 +:1062E0003385A7006374850133097901935705014C +:1062F000B387270163E6F402E392F4BCB707010019 +:106300009387F7FF3375F50013150501337BFB0009 +:1063100033964A0133056501130A0000E37AA6CCDF +:106320001304F4FF6FF09FB9130A00001304000078 +:106330006FF01FCC130101FB23248104232291045D +:10634000232E310323229103232611042320210528 +:10635000232C4103232A510323286103232671039D +:10636000232481032320A103232EB101930C0500D4 +:10637000938905001304050093840500639E062697 +:1063800013090600138A060097AA0100938A8AF26D +:1063900063F4C514B70701006376F6129307F00F94 +:1063A00063F4C700130A8000B3574601B38AFA00AA +:1063B00003C70A001305000233074701330AE5400B +:1063C000630C0A00B395490133D7EC003319460139 +:1063D000B364B70033944C01935A090193850A00C2 +:1063E00013850400EF00404C9309050093850A00D3 +:1063F000131B090113850400EF008046135B0B019A +:106400009305050013050B00EF00C04293990901A5 +:1064100093570401B3E7F90063FAA700B387270194 +:1064200063E6270163F4A700B3872701B384A7407D +:1064300093850A0013850400EF00004793090500C7 +:1064400093850A0013850400EF00804113140401B2 +:10645000930505009399090113050B0013540401DA +:10646000EF00403D33E48900637AA4003304240143 +:10647000636624016374A400330424013304A4403C +:1064800033554401930500008320C1040324810493 +:1064900083244104032901048329C103032A8103BE +:1064A000832A4103032B0103832BC102032C8102A6 +:1064B000832C4102032D0102832DC101130101052B +:1064C00067800000B7070001130A0001E36EF6ECD5 +:1064D000130A80016FF05FED631A06009305000058 +:1064E00013051000EF00C03713090500B7070100BE +:1064F000637AF90E9307F00F63F42701130A800003 +:10650000B3574901B38AFA0003C70A001305000212 +:10651000B384294133074701330AE540E30E0AEA11 +:1065200033194901B3DAE900B395490133D7EC00D7 +:1065300093540901336BB70013850A009385040057 +:10654000EF0080369309050093850400931B090131 +:1065500013850A00EF00C03093DB0B0193050500A3 +:1065600013850B00EF00002D9399090193570B0140 +:10657000B3E7F90033944C0163FAA700B38727010E +:1065800063E6270163F4A700B3872701B38AA74016 +:106590009385040013850A00EF000031930905007C +:1065A0009385040013850A00EF00802B93050500F6 +:1065B00013850B00EF00002893150B019399090137 +:1065C00093D50501B3E5B90063FAA500B3852501AC +:1065D00063E6250163F4A500B3852501B384A540D6 +:1065E0006FF09FDFB7070001130A0001E36AF9F0BB +:1065F000130A80016FF0DFF0E3E8D5E8B707010088 +:1066000063FCF604930BF00F33B5DB001315350074 +:1066100033D7A60097A701009387C7C9B387E700C0 +:1066200083CB070093050002B38BAB00338B75411E +:10663000631E0B0263E4360163EACC003384CC4072 +:10664000B386D94033B58C00B384A640130504004B +:10665000938504006FF05FE3B707000113050001A5 +:10666000E3E8F6FA130580016FF09FFAB39666012E +:10667000335D7601336DDD0033D47901B395690163 +:1066800033DC7C0193540D01336CBC001305040012 +:1066900093850400B31A6601EF000021130A050078 +:1066A000938504001305040033996C01931C0D01BC +:1066B000EF00001B93DC0C0113040500930505009B +:1066C00013850C00EF000017131A0A0113570C0171 +:1066D0003367EA00130A0400637EA7003307A701AB +:1066E000130AF4FF6368A7016376A700130AE4FFA7 +:1066F0003307A701B309A740938504001385090058 +:10670000EF00801A93850400130405001385090027 +:10671000EF000015930505009304050013850C0098 +:10672000EF00401193150C011314040193D50501DA +:10673000B365B4001387040063FEA500B385A5010B +:106740001387F4FF63E8A50163F6A5001387E4FF50 +:10675000B385A501131A0A01B70C0100336AEA00D8 +:106760001384FCFFB3778A0033F48A00B384A54016 +:1067700013850700930504002326F100135A0A012C +:10678000EF00400B930905009305040013050A0070 +:10679000EF00400A13DC0A01930D050093050C007D +:1067A00013050A00EF0000098327C100130A050042 +:1067B00093050C0013850700EF00C0073305B501F2 +:1067C00013D709013307A7006374B701330A9A018D +:1067D000B70701009387F7FF935507013377F70059 +:1067E00013170701B3F7F900B3854501B307F700A5 +:1067F00063E6B400639EB400637CF90033865741BE +:10680000B3B7C700B385A541B385F540930706002C +:10681000B307F9403339F900B385B440B385254156 +:1068200033947501B3D767013365F400B3D56501BF +:106830006FF09FC5130605001305000093F61500C1 +:10684000638406003305C50093D5150013161600A2 +:10685000E39605FE678000006340050663C60506F3 +:1068600013860500930505001305F0FF630C06026F +:1068700093061000637AB6006358C0001316160022 +:1068800093961600E36AB6FE1305000063E6C500A2 +:10689000B385C5403365D50093D616001356160050 +:1068A000E39606FE6780000093820000EFF05FFB36 +:1068B00013850500678002003305A04063D80500FA +:1068C000B305B0406FF0DFF9B305B040938200002C +:1068D000EFF01FF93305A0406780020093820000AB +:1068E00063CA0500634C0500EFF09FF713850500B0 +:1068F00067800200B305B040E35805FE3305A040B1 +:10690000EFF01FF63305B0406780020097A7010043 +:10691000938787C5944317A701001307A7C318439C +:10692000C8C2637BE50017A70100130767C30843CC +:106930008C4391056F90DFC0411122C406C617A792 +:106940000100130727C22A8408438C439105EF9066 +:106950003FBF97A70100938727BE9C436376F4004F +:1069600097A7010023AA87BCB2402244410182803C +:1069700097A70100938747BF984394437C43FD1733 +:106980007CC3FC4299E3736004308280011122CC05 +:1069900026CA2A84AE844AC84EC652C456C206CEFF +:1069A000EFB0EFB61C4003290401B7090001B3E5BD +:1069B0009700930AC4000CC08144FD19370A0002F5 +:1069C0001840638C2A03032609004A85B3654701F2 +:1069D000B376360193175600758F0329490063C4B7 +:1069E000070001E7F1BFE39DE6FC9317760063D350 +:1069F0000700D58CEFC00FA1E1B793C4F4FFF98C69 +:106A000004C0EFB0CFD50840F2406244D2444249BE +:106A1000B249224A924A05618280411106C622C4C7 +:106A2000EFE08FD217E7FFFF130787458146054642 +:106A30009305400617A501001305C59CEFC01FF183 +:106A400019C92A84EFB0AFAD2A8601478146854532 +:106A50002285EFC05FFCEFB00F86B24022440145B3 +:046A600041018280EE +:02000004800278 +:100000006045FEFF5E46FEFF6845FEFF5E46FEFF62 +:100010005E46FEFF5E46FEFF5E46FEFF8045FEFF3B +:100020005E46FEFF5E46FEFF9A45FEFFA645FEFFCA +:100030005E46FEFFB845FEFFC445FEFFC445FEFF19 +:10004000C445FEFFC445FEFFC445FEFFC445FEFF98 +:10005000C445FEFFC445FEFFC445FEFF5E46FEFFED +:100060005E46FEFF5E46FEFF5E46FEFF5E46FEFF0C +:100070005E46FEFF5E46FEFF5E46FEFF5E46FEFFFC +:100080005E46FEFF5E46FEFF5E46FEFF5E46FEFFEC +:100090005E46FEFF5E46FEFF5E46FEFF5E46FEFFDC +:1000A0005E46FEFF5E46FEFF5E46FEFF5E46FEFFCC +:1000B0005E46FEFF5E46FEFF5E46FEFF5E46FEFFBC +:1000C0005E46FEFF5E46FEFF5E46FEFF5E46FEFFAC +:1000D0005E46FEFF5E46FEFF5E46FEFF5E46FEFF9C +:1000E0005E46FEFF5E46FEFF5E46FEFF5E46FEFF8C +:1000F0005E46FEFF5E46FEFF5E46FEFF5E46FEFF7C +:10010000F845FEFF0E46FEFF5E46FEFF5E46FEFF22 +:100110005E46FEFF5E46FEFF5E46FEFF5E46FEFF5B +:100120005E46FEFF5446FEFF5E46FEFF5E46FEFF55 +:100130000644FEFFBE44FEFF5E46FEFF5E46FEFF37 +:10014000F444FEFF5E46FEFF4C45FEFF5E46FEFFAA +:100150005E46FEFF7A45FEFF6C49FEFFC049FEFF8A +:100160007449FEFFC049FEFFC049FEFFC049FEFFC3 +:10017000C049FEFF6648FEFFC049FEFFC049FEFFC2 +:100180008048FEFF8C48FEFFC049FEFF9E48FEFFF0 +:10019000AA48FEFFAA48FEFFAA48FEFFAA48FEFFA3 +:1001A000AA48FEFFAA48FEFFAA48FEFFAA48FEFF93 +:1001B000AA48FEFFC049FEFFC049FEFFC049FEFF3E +:1001C000C049FEFFC049FEFFC049FEFFC049FEFF17 +:1001D000C049FEFFC049FEFFC049FEFFC049FEFF07 +:1001E000C049FEFFC049FEFFC049FEFFC049FEFFF7 +:1001F000C049FEFFC049FEFFC049FEFFC049FEFFE7 +:10020000C049FEFFC049FEFFC049FEFFC049FEFFD6 +:10021000C049FEFFC049FEFFC049FEFFC049FEFFC6 +:10022000C049FEFFC049FEFFC049FEFFC049FEFFB6 +:10023000C049FEFFC049FEFFC049FEFFC049FEFFA6 +:10024000C049FEFFC049FEFFC049FEFFC049FEFF96 +:10025000C049FEFFC049FEFFDE48FEFF0C49FEFF1D +:10026000C049FEFFC049FEFFC049FEFFC049FEFF76 +:10027000C049FEFFC049FEFFC049FEFF6249FEFFC4 +:10028000C049FEFFC049FEFFC446FEFFBE47FEFF59 +:10029000C049FEFFC049FEFF3048FEFFC049FEFFD7 +:1002A0005648FEFFC049FEFFC049FEFFBA49FEFFA7 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020787878207461736B73200D0A00000018 +:1003E0006D69616F750A00006D69616F75320A0091 +:1003F00068756E6772790A0054696D657232000023 +:10040000436865636B54696D657200005761697478 +:100410004F0000005365744200000000526E647685 +:0404200000000000D8 +:100424001000000000000000017A5200017C01016C +:100434001B0D02005000000018000000C053FEFF16 +:100444005805000000440E507489039406990B81EA +:1004540001880292049305950796089709980A9AC9 +:100464000C9B0D036C010AC144C844C944D244D353 +:1004740044D444D544D644D744D844D944DA44DB9C +:10048400440E00440B0000004C0000006C0000000F +:10049400C458FEFFDC05000000440E30708903954B +:1004A4000781018802920493059406960897099897 +:1004B4000A990B9A0C0370020AC144C844C944D275 +:1004C40044D344D444D544D644D744D844D944DA54 +:1004D400440E00440B00000050000000BC0000006B +:1004E400505EFEFF0005000000440E50748802892F +:1004F400039305990B810192049406950796089736 +:1005040009980A9A0C9B0D0320010AC144C844C9E6 +:1005140044D244D344D444D544D644D744D844D90B +:0C05240044DA44DB440E00440B000000ED +:0805C800FC8F01000900000096 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/EventGroupsDemo_rv32im_O3.hex b/VexRiscv/src/test/resources/freertos/EventGroupsDemo_rv32im_O3.hex new file mode 100644 index 0000000..67e8e65 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/EventGroupsDemo_rv32im_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/EventGroupsDemo_rv32imac_O3.hex b/VexRiscv/src/test/resources/freertos/EventGroupsDemo_rv32imac_O3.hex new file mode 100644 index 0000000..b764bb1 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/EventGroupsDemo_rv32imac_O3.hex @@ -0,0 +1,1668 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900021C8170502001305E54A979503009385CB +:1000A000257201461122170502001305E53C97054C +:1000B00002009385A5450146C52817A50300130531 +:1000C000657097B503009385A56F37B6ABAB130684 +:1000D000B6BAD92817B103001301C16EEF60E0234F +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2822723A02200F322103417 +:1002400096DEEF00002B1701020003212126024158 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E22923A0120023A2A9 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40D02E170102000321B1 +:10033000E1170241F65273901234B7220000938203 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A21B83A0B0 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2820523A0220086DEEF20FD +:10046000402D17010200032161040241F6527390EE +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF40F00EEF10700901E551 +:10050000B24041018280B24041016F2080229307B6 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30102816 +:1005B0008280411122C406C62A8426C2EF209010F0 +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF20A0566DD5EF2080763C44FD1780 +:1005F0003CC43C44E344F0FEFD573CC4EF20D00E25 +:10060000EF20500C7C40635BF0021C4885CB9304C8 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2060526DD5EF2040729F +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20100A29C5411151 +:1006500022C426C22A8406C6AE84EF20B0063440E7 +:100660005C5C1840232C0402B387F6027D5670C0F0 +:1006700018C430C4B386D740BA9736975CC058C404 +:1006800091EC1C489DE3EF203006B2402244924496 +:100690000545410182807370043001A013050401F7 +:1006A000BD3513054402A535F9BF13050401EF203C +:1006B000E0498547E319F5FC913BF1B701E573701B +:1006C000043001A0011126CA4AC84EC606CE22CC6B +:1006D000B2892E89AA84A5C53305B502130555052F +:1006E000EF30807C2A8435C1930745051CC144DC6A +:1006F00023202405EF20007D34405C5C18407D56AB +:10070000B387F602232C040270C018C430C413054A +:100710000401B386D740BA9736975CC058C4C53B2E +:1007200013054402ED33EF20207C23083405228595 +:10073000F2406244D2444249B249056182801305C5 +:100740004005EF3060762A8401E57370043001A023 +:1007500000C071BF01E57370043001A06375B5007E +:100760007370043001A0411122C409462E848145D2 +:1007700006C6A93711C500DDB2402244410182807E +:100780007370043001A05D7186C6A2C4A6C2CAC03F +:100790004EDE52DC56DA5AD85ED632C6630A051CE3 +:1007A0006382051C89476399F600585D8547630598 +:1007B000F7007370043001A03689AE842A84EF20DC +:1007C000A05B11E5B24781C77370043001A0EF2030 +:1007D000606F185C5C5C014B894BFD59130A040186 +:1007E000930A44026365F70C63077919B247638182 +:1007F000072463150B002808EF206048EF20C06E27 +:10080000EF10C04FEF20006C7C406394370123222F +:1008100004043C446394370123240404EF20C06C97 +:100820006C002808EF20C047631E051EEF2080697A +:10083000185C5C5C630CF70AEF20006BEF208068AB +:100840003C44634FF00039A85685EF20203019C191 +:10085000EF2000503C44FD173CC43C446354F0007E +:100860005C50FDF323243405EF200068EF20806501 +:100870007C40634FF00039A85285EF20202D19C12C +:10088000EF20004D7C40FD177CC07C406354F0009D +:100890001C48FDF323223405EF200065EF10006BA8 +:1008A000054BEF202062185C5C5CE37FF7F2304080 +:1008B0006305060C631F09120844A685EF30007714 +:1008C0001C4434405840B6971CC463EAE70A1C5CD9 +:1008D00014405850850714C41CDC5DC7130544023E +:1008E000EF20C02685476311F50AC9A8EF20C05F35 +:1008F000B2455285EF204007EF20C05C3C44634F77 +:10090000F00039A85685EF20602419C1EF2040443B +:100910003C44FD173CC43C446354F0005C50FDF380 +:1009200023243405EF20405CEF20C0597C40634F06 +:10093000F00039A85285EF20602119C1EF20404115 +:100940007C40FD177CC07C406354F0001C48FDF3E4 +:1009500023223405EF204059EF10405F31F17D3400 +:10096000054B81B73C41E38F07E27370043001A06F +:100970007370043001A0304021E61C4085C71C5C28 +:10098000585085071CDC39FBEF2000560545B64062 +:10099000264496440649F259625AD25A425BB25BE7 +:1009A000616182804840EF2040491C5C58502322FE +:1009B000040085071CDCE31307F279D5813CE9B715 +:1009C0004844A685EF3080663C4058441440B30745 +:1009D000F0403E9758C4637CD7025840BA975CC435 +:1009E00009475C506306E902185C050718DCC9DF9B +:1009F000F5B54844A685EF3060633C405844144048 +:100A0000B307F0403E9758C4E37CD7FCF9B75C507D +:100A1000185C79DB185C7D1718DC185C050718DC9E +:100A2000A5D76DBD22857136EF104052014585B7BF +:100A3000EF20804B0145A1BF411126C2AA841305B6 +:100A4000400522C406C6EF3020462A8415CD232C4B +:100A50000502FD577CC10547410558DC3CC42322F3 +:100A60000400232004002324040023260400232060 +:100A7000040423089404613C13054402493C814664 +:100A800001468145228501332285B24022449244A9 +:100A9000410182800DC5411122C426C206C64AC04A +:100AA000032945002A84EF20602C8144630CA900AF +:100AB000B2402244268502499244410182807370EB +:100AC000043001A05C448544FD175CC4F5F3814605 +:100AD0000146814522854539E1BF31CDA1C90111CA +:100AE00022CC26CA4AC852C406CE4EC68947B6840E +:100AF00032892E8A2A846399F600585D8547630FF0 +:100B0000F7047370043001A0C932185C5C5CAA89D8 +:100B10006366F70281444E857532F2406244268551 +:100B20004249D244B249224A056182803C41C5DB38 +:100B30007370043001A07370043001A0304041E2B2 +:100B40001C40D5CB1C5C7D5785071CDC3C4463876F +:100B5000E7083C44854485073CC475BFBD32185C3A +:100B60005C5CAA89636DF702304079DA4844D2852B +:100B7000EF30C04B3C4058441440B307F0403E9720 +:100B800058C46375D7005840BA975CC48947E39B43 +:100B9000F4FA1C5CC5DB1C5CFD171CDC65B730403F +:100BA00045D24844D285EF3060483C405844144018 +:100BB000B307F0403E9758C4E367D7FCD9BFDDF4D4 +:100BC0000844D285EF3080461C4434405840B697E4 +:100BD0001CC4E3E9E7F61C401CC4ADB75C50854477 +:100BE0009DDB13054402EF10707615D5E30509F27D +:100BF000232099000DB74840EF2020242322040031 +:100C000091B721CD3C4181C77370043001A01C41D4 +:100C1000A1CB011122CC26CA2A844AC84EC606CED0 +:100C20002E896530185C5C5CAA898144637DF7007D +:100C30001C5C7D5785071CDC3C446388E7023C4410 +:100C4000854485073CC44E85B538F240624426850C +:100C50004249D244B249056182807370043001A0D8 +:100C60005C41C5DB7370043001A05C5099E385449E +:100C7000D9BF13054402EF10706D75D9E30909FE61 +:100C800085472320F900E5B7397106DE22DC26DA34 +:100C90004AD84ED652D456D232C66302051C638A55 +:100CA000051AB68AAE892A84EF20000D11E5B247F5 +:100CB00081C77370043001A0EF20C0201C5C6392D8 +:100CC0000714B247638E070A2808EF10507BFD54C3 +:100CD00013094402130A0401EF200021EF1000025F +:100CE000EF20401E7C4063949700232204043C4480 +:100CF0006394970023240404EF20001F6C0028084D +:100D0000EF10107A63130518EF20C01B1C5CADCFE9 +:100D1000EF20801DEF20001B3C44634FF00039A8FA +:100D20004A85EF10B06219C1EF2080023C44FD17E4 +:100D30003CC43C446354F0005C50FDF324C4EF20F9 +:100D4000A01AEF2020187C40634FF00039A852858C +:100D5000EF10D05F19C1EF10B07F7C40FD177CC051 +:100D60007C406354F0001C48FDF364C0EF20C017C2 +:100D7000EF10C01DEF2000151C5CC1E7B247A9FFB2 +:100D8000EF208016014555A0EF2000161C40DDC75E +:100D9000B2454A85EF10503DEF20C0123C44634FEE +:100DA000F00039A84A85EF10705A19C1EF10507A37 +:100DB0003C44FD173CC43C446354F0005C50FDF3DC +:100DC00024C4EF206012EF20E00F7C40634FF0005E +:100DD00039A85285EF10905719C1EF1070777C40F9 +:100DE000FD177CC07C406354F0001C48FDF364C0D8 +:100DF000EF20800FEF10801531C9EF20A00C1C5C94 +:100E0000B5DFCE8522854444EFF0CFF8639C0A0419 +:100E10001C5C1840FD171CDC01E7EF20601148C086 +:100E20001C48A1EFEF20400C0545F2506254D2540B +:100E30004259B259225A925A21618280EF20800889 +:100E40004840EF107075EF20200A99B7EFF00FDCE3 +:100E50006DB73C41E38707E47370043001A0737001 +:100E6000043001A05C5044C4D5DF13054402EF10E8 +:100E7000F04D4DD9EFF08FD975B713050401EF1080 +:100E8000F04C8547E310F5FAF5B72285EFF06FF2E5 +:100E9000EF10C00B014551BF1DC9411122C44AC00A +:100EA00006C626C244412E892A84EF10306C638323 +:100EB000A40281464A8681452285F933854763002D +:100EC000F502B2402244924402494101828073708B +:100ED000043001A05C44054585075CC4DDB75C4473 +:100EE00085075CC4F9BF15CDADC9011122CC26CA56 +:100EF0004AC84EC652C42A8406CE3289AE89EFF063 +:100F0000CFDC1C5C2A8A814485E35285EFF08FDBBD +:100F1000F240624426854249D244B249224A0561E0 +:100F200082807370043001A0304001CE4C445C409C +:100F3000B2954CC463E4F5000C404CC44E85EF30D0 +:100F4000E00E1C5C7D57FD171CDC7C40638CE700C9 +:100F50007C40854485077CC04DBF3C41D9D7737028 +:100F6000043001A01C4899E3854445B713050401EA +:100F7000EF10D03D75D9E30909FE85472320F9001C +:100F8000E5B721C93C41A1CDA1CB011122CC26CA94 +:100F90004AC852C42A8406CE4EC62E8AEFF0EFD23B +:100FA0001C5C2A89814491CB8329C400D285228587 +:100FB000EFF04FDE8544232634014A85EFF08FD0D1 +:100FC000F240624426854249D244B249224A056130 +:100FD00082807370043001A07370043001A0EDDFD3 +:100FE0007370043001A001E57370043001A0411159 +:100FF00022C42A8406C6EF10F06C005CEF10D06E9D +:10100000B240228522444101828001E573700430A0 +:1010100001A0411106C622C42A84EF10B06A1C5CEC +:10102000405C1D8CEF10506C2285B240224441017F +:10103000828019C1085D82807370043001A01DCDCB +:101040009787030093870774D843630CE502D8475A +:10105000630CE504D84B6307E504D84F6302E5044D +:10106000D853630DE502D8576308E502D85B6303E4 +:10107000E502D85F630EE5006F20307573700430B1 +:1010800001A001470E07BA9723A007006F20F07355 +:101090001D47CDBF1947FDB71547EDB71147DDB760 +:1010A0000D47CDB70947F9BF0547E9BF68458280BD +:1010B0006CC5828003450505828009C5085D13352E +:1010C000150082807370043001A019C51C5D485D55 +:1010D0001D8D1335150082807370043001A0978731 +:1010E00003009387276A984305C3984705C7984B21 +:1010F00005C7984F05C7985305C7985705C7985B0C +:1011000015C7985F15C3828001470E07BA978CC335 +:10111000C8C382800547D5BF0947C5BF0D47F5B78E +:101120001147E5B71547D5B71D47C5B71947F1BFF3 +:101130009787030093870765D8436308E502D8477C +:10114000630BE502D84B630AE502D84F6309E50259 +:10115000D8536308E502D8576307E502D85B6308F4 +:10116000E502D85F6303A702828001470E07BA97A2 +:1011700023A0070082800547D5BF0947C5BF0D479B +:10118000F5B71147E5B71547D5B71D47C5B7194797 +:10119000F1BF411122C426C24AC02A8406C6AE84C9 +:1011A0003289EF1030527840FD576314F700232244 +:1011B00004043844FD576314F70023240404EF109B +:1011C000B0521C5C99E74A86A68513054402EF10CD +:1011D000B00CEF10304F3C44635BF0025C5085CBA9 +:1011E0009304440209A83C44FD173CC43C446350AA +:1011F000F0025C5089CF2685EF1050156DD5EF10A9 +:1012000030353C44FD173CC43C44E344F0FEFD57FC +:101210003CC4EF10704DEF10F04A7C40635BF0026D +:101220001C4885CB9304040109A87C40FD177CC0B1 +:101230007C406350F0021C4889CF2685EF101011C6 +:101240006DD5EF10F0307C40FD177CC07C40E3444E +:10125000F0FEFD577CC02244B24092440249410155 +:101260006F10904805C579714ECE52CC06D63E8A95 +:1012700022D426D24AD056CA5AC85EC662C4A547EE +:10128000BA8963F8E7007370043001A0737004300A +:1012900001A0AA8AAE843289B68B428B63090822E8 +:1012A00013050006EF2050402A84630D05200A092B +:1012B000232865034A869305500A5A85EF20106556 +:1012C00003C704001C587119230AE40203C7040071 +:1012D0003E991379C9FF79C783C71400A30AF402A2 +:1012E00083C71400E1C383C72400230BF40283C720 +:1012F0002400CDCB83C73400A30BF40283C7340092 +:10130000D5C383C74400230CF40283C74400D9CB60 +:1013100083C75400A30CF40283C75400C1C783C71A +:101320006400230DF40283C76400ADCF83C774004B +:10133000A30DF40283C77400B5C783C78400230ECE +:10134000F40283C78400B9CF83C79400A30EF402CC +:1013500083C79400A1CB83C7A400230FF40283C7E3 +:10136000A400A9C383C7B400A30FF40283C7B400C9 +:1013700095CB83C7C4002300F40483C7C4009DC376 +:1013800083C7D400A300F40483C7D40081CF83C7EC +:10139000E4002301F40483C7E40089C783C7F40091 +:1013A000A301F404130B44005A85A301040423266B +:1013B000340323283405232A0404EFF08F96130501 +:1013C0008401EFF00F96A947B3873741232C04041B +:1013D00000C81CCC40D023220404232E04045E86C3 +:1013E000D6854A85EFF00F8F08C063040A002320DA +:1013F0008A007370043017F9010013096909832703 +:10140000090097F401009384640A91C798409C40B6 +:101410007C4385077CC317F70100130727081C438B +:10142000850797F6010023ABF6069C40D5CB8327B2 +:10143000090017FA0100130AAA1889EB9C40DC572F +:1014400063E6F90097F7010023A2870697F70100EA +:1014500093870703984397F601009386A6035C548D +:101460009442050717F60100232CE60038C463F602 +:10147000F60017F70100232FF7005147B387E70263 +:10148000DA853305FA00EFF02F8A8327090081C738 +:101490009C40FC43BDE383270900054499C79C4059 +:1014A000DC5763F43701EFE07FF62285B250225417 +:1014B00092540259F249624AD24A424BB24B224CF0 +:1014C000456182805A85EF2050307D54F9BF131555 +:1014D0002600EF20701D2A8BE31405DC7D54F1B744 +:1014E00097F7010023A487FC184385476301F7029F +:1014F00017FA0100130ACA0C91BF984094407C432C +:10150000FD177CC3FC42C1FB7360043069B7814A9C +:1015100017FA0100130ACA0A514CA94B33858A03F2 +:10152000850A5295EFE0BFFEE39A7AFF97FB010030 +:10153000938B8B155E85EFE09FFD97FA0100938AF0 +:10154000EA155685EFE0BFFC17F5010013054516B7 +:10155000EFE0FFFB17F501001305C516EFE03FFBB9 +:1015600017F5010013054517EFE07FFA97F7010023 +:1015700023AC77F397F7010023A657F3C1BD4111C0 +:1015800022C406C626C24AC02A847370043097F467 +:1015900001009384E4EF9C40F9E311E497F7010024 +:1015A0009387C7F08043130944004A85EFE05FFC4E +:1015B0001C5489C713058401EFE09FFBCA8517F50A +:1015C000010013052510EFE03FF697F70100938720 +:1015D00027ED984397F70100938787EA9C43050717 +:1015E00097F6010023AEE6EA9840850797F60100DA +:1015F00023A8F6E801CB97F70100938727EB9843E0 +:10160000784349EF9C40B1C797F70100938707EAF9 +:101610009843630F870873700430984039EB17F7CD +:101620000100130767E814439442A5E27D5797F63B +:10163000010023A5E6E4984009CF9843784311CBF5 +:10164000984394437C43FD177CC3FC4299E3736049 +:101650000430B2402244924402494101828097F70B +:1016600001009387A7E498439C437C4385077CC390 +:101670002DB794439843F8420507F8C217F70100C5 +:10168000130787E214439442D5D21843584758476A +:10169000584397F6010023A3E6DE71BF98439443B5 +:1016A0007C43FD177CC3FC42B1FF7360043099BFDB +:1016B00097F70100938747DC9C4381C77370043020 +:1016C00001A02244B2409244024941016FE01FD47C +:1016D00041C517F70100130767DD14438147638B8A +:1016E000A606411106C622C426C27370043097F6BE +:1016F00001009386E6D99C4291C710431C437C426B +:1017000085077CC29C42AA84404981C71C43FC4394 +:10171000B9E797F70100938727D99C43638B870428 +:1017200097F70100938707D89C436384870497F752 +:101730000100938767FA6309F40297F70100938722 +:1017400067F86301F4028547B240224492443E8523 +:10175000410182803E8582807370043001A0EF4099 +:10176000303145BF9147CDB79C5493B717008907D7 +:10177000E1BF8947D1BF7370043097F701009387A9 +:1017800027D1984309EF15C59C43485581CB97F75E +:1017900001009387A7D19843784315E3828017F718 +:1017A00001001307A7D014431843F8420507F8C2F5 +:1017B00061FD17F70100130767CF0843F1B798439E +:1017C00094437C43FD177CC3FC42E9FB7360043007 +:1017D0008280411122C42A8406C6EFE01FCF11E4A3 +:1017E00097F70100938787CC80434054EFE09FCD6B +:1017F000B2402285224441018280A54763F5B700AB +:101800007370043001A0011122CC06CE26CA4AC84A +:101810004EC652C42A847370043017F901001309AC +:1018200029C783270900D5EF79C43C486389F508A7 +:1018300017F70100130787C763FCB7001443638DD4 +:10184000860C18434457B3B4950093C4140029A0E0 +:101850000443818C93B4140058546384E70A1C4CED +:101860002CC863C60700A947B385B7400CCC9317B3 +:101870002700BA97584897F90100938969D48A07D5 +:10188000CE97631BF702130A44005285EFE05FCE48 +:1018900017F70100130707C05C5418436376F7007D +:1018A00017F701002328F7BE5147B387E702D28517 +:1018B0003385F900EFE05FC799C0EFE03FB58327BC +:1018C000090081CB97F70100938747BE9C43FC43F7 +:1018D0009DEBF2406244D2444249B249224A05613A +:1018E000828097F70100938767BC98439C437C43B1 +:1018F00085077CC31DF897F70100938727BB8043BA +:101900002DB74CD4A9BF6244F240D2444249B249F7 +:10191000224A05616F40D01581443DBF25C10111A8 +:1019200026CA97F40100938464B89C4006CE22CC6A +:101930004AC84EC6638DA7027370043017F90100C0 +:10194000130909B58327090091C798409C407C433F +:1019500085077CC3584997F701009387E7D763024F +:10196000F7028327090081C79C40FC43A5EBF240A6 +:101970006244D2444249B2490561828073700430A6 +:1019800001A01C5517F70100130787D2E38BE7FC72 +:10199000E9FB930945002A844E85EFE07FBD17F7E8 +:1019A0000100130727AF5C5418436376F70017F75D +:1019B00001002321F7AE5147B387E70217F5010075 +:1019C000130505C0CE853E95EFE01FB69C405854E8 +:1019D000DC57E368F7F8EFE07FA361B76244F240B9 +:1019E000D2444249B24905616F40900815CD0111BA +:1019F00022CC26CA4AC82A8406CE4EC6EFE0FFACE7 +:101A0000584897F70100938727CD2A8981446300BE +:101A1000F7024A85EFE01FABF24062442685424957 +:101A2000D244B249056182807370043001A01C5415 +:101A300017F501001305C5C7E38DA7FCF9FB97F760 +:101A40000100938767A39C43A9EB97F70100938755 +:101A5000E7A59C43445493094400DC574E85B3B436 +:101A6000F400EFE0FFB017F701001307A7A25C54E2 +:101A7000184393C414006376F70017F70100232B73 +:101A8000F7A05147B387E70217F50100130545B3E7 +:101A9000CE853E95EFE05FA9ADBF93058401EFE0F1 +:101AA000BFA885BF0111056506CE22CC26CA4AC84B +:101AB0004EC652C456C25AC0EF20003F630E051AEC +:101AC000AA8413050006EF20203E2A84630A051C21 +:101AD00005669305500A04D92685EF202063045833 +:101AE0008567F117BE94B7474C4593879744130A0F +:101AF00044005CD85285230C0402A301040423266D +:101B0000040223280404232A0404EFE09FA1130500 +:101B10008401EFE01FA1A947232C0404F19800C819 +:101B20001CCC40D023220404232E04040146971524 +:101B300000009385E5B12685EFE0DF9908C073705A +:101B4000043017F901001309A9948327090097F4B9 +:101B500001009384A49591C798409C407C438507DD +:101B60007CC317F70100130767931C43850797F69B +:101B7000010023A5F6929C40C9C38327090097F969 +:101B800001009389E9A381EB9C40DC5789E797F733 +:101B9000010023AD879097F701009387678E9843E4 +:101BA00097F601009386068F5C549442050717F65A +:101BB00001002327E68C38C463F6F60017F701000E +:101BC000232AF78C139527003E950A05D2854E955A +:101BD000EFE09F958327090081C79C40FC43DDE728 +:101BE0008327090091C39C40EF10F04985476305A6 +:101BF000F50C59E17370043001A097F7010023A799 +:101C0000878A1843854797F901009389699BE314F4 +:101C1000F7F8CE8A17FB0100130B0BA75685D10AE4 +:101C2000EFE0FF8EE31C5BFF17F501001305C5A570 +:101C3000EFE0FF8D97FA0100938A4AA65685EFE000 +:101C40001F8D17F501001305A5A6EFE05F8C17F5B2 +:101C50000100130525A7EFE09F8B17F50100130581 +:101C6000A5A7EFE0DF8A97F7010023AF678397F717 +:101C7000010023A9578305B7F2406244D244424988 +:101C8000B249224A924A024B0561828098409440B0 +:101C90007C43FD177CC3FC42A1F77360043089B715 +:101CA0006244F2404249B249224A924A024B268596 +:101CB000D24405616F20603173700430FD5717E71F +:101CC0000100232DF77A624497E7010023A2A77C45 +:101CD000F240D2444249B249224A924A024B97E723 +:101CE000010023AB077A05616FE0AFDB737004304E +:101CF00097E7010023AE07786FE0EFE817E70100F0 +:101D0000130787771C4385071CC3828073700430D8 +:101D100097E701009387C777984305E317E701002A +:101D20001307877708439C4381CB97E7010093878C +:101D3000E7779843784301EF828017E701001307A4 +:101D4000E77614431843F8420507F8C2C1BF984329 +:101D500094437C43FD177CC3FC42F9FF736004305D +:101D60008280411106C622C4EFE02FF697E70100FA +:101D7000938787728043EFE0EFF4B24022852244DC +:101D80004101828097E701009387477188438280F1 +:101D9000797106D622D426D24AD04ECE52CC56CA1B +:101DA0005AC85EC697E701009387076D9C43B1EF61 +:101DB00017E701001307476E1C43850797E60100EC +:101DC00023ACF66C832A0700638F0A0697E70100AD +:101DD0009387C76A9C43814463F1FA0897E901003D +:101DE0009389097E17EA0100130A4A6C83270A00C7 +:101DF0008546D85793172700BA978A07BE9983A7B5 +:101E0000090063FFF600854421A897E70100938746 +:101E1000E7679C438144850717E701002328F7669D +:101E200097E70100938747669C4391C38544B2506E +:101E30002254268502599254F249624AD24A424BB0 +:101E4000B24B4561828017E401001304E4651C4035 +:101E50009C43DDC37370043001A017E40100130438 +:101E6000A46497E901009389A97517EA0100130A90 +:101E7000EA6317EB0100130BEB611C409C43B5C3F5 +:101E80001C40DC4703A9C70083274900930B490086 +:101E90005E8563EEFA04EFE0AFED83278902130558 +:101EA000890199C3EFE0CFEC8327C90203270B0018 +:101EB000DE85139527003E950A054E956376F7005B +:101EC00017E701002328F75CEFE00FE683270A00FD +:101ED0000327C902DC57E362F7FA1C4085449C43A0 +:101EE000C5F3FD5717E70100232AF75801B717E795 +:101EF00001002325F758DDBD17E701001307875AB6 +:101F00001C40184397E6010023A0E65A17E701009A +:101F1000232AF75897E701009387C7569C43850704 +:101F200017E701002320F7561C409C4399E7FD5713 +:101F300017E701002324F75451BD1C40DC47DC4760 +:101F4000DC4317E70100232BF75249B597E701005F +:101F500093878752984301E77370043001A0011101 +:101F600006CE22CC26CA4AC84EC652C456C25AC051 +:101F70007370043097E4010093848451984061EBBE +:101F800098437D1797E6010023A8E64E9C43D9EBC2 +:101F900097E70100938787509C43C9C797EA0100E0 +:101FA000938A0A6217EA0100130ACA4E17E9010070 +:101FB0001309C94F854919A83385EA00EFE0CFD648 +:101FC000832709005854DC576379F70483A70A0F65 +:101FD000C1CF83A7CA0FC04713058401EFE04FD9D3 +:101FE000130B44005A85EFE0AFD85C5483260A00F7 +:101FF000DA85139527003E950A052A875695E3FD55 +:10200000F6FA17E701002327F748EFE0EFD183271F +:1020100009005854DC57E36BF7FA97E7010023A552 +:1020200037476DB701449C4081CB97E70100938708 +:10203000E7479C43FC4395E72285F2406244D24443 +:102040004249B249224A924A024B0561828017E70F +:1020500001001307A74514431843F8420507F8C2C7 +:1020600005B7EF40E020C9BF17E4010013040442A4 +:102070001C4095C31C40054999CF193B09C597E7FA +:10208000010023A327411C40FD1717E70100232F60 +:10209000F73E1C40FDF397E701009387E73E984326 +:1020A0008547E311F7F8EFE06FB60544ADBF11CDFA +:1020B00081E57370043001A017E701001307C73BE7 +:1020C0001C4399C77370043001A07370043001A0E1 +:1020D000411122C406C614431841850617E60100C3 +:1020E000232CD63897E601009386063B94423304AE +:1020F000B70000C163F7E602637FE40063FD86007A +:1021000097E701009387873A88431105EFE04FC6B0 +:102110002285EF40A00F1D3D01C9B240224441017C +:102120008280E36FE4FCD9BF2244B24041016FE0FA +:10213000EFAD11C917E70100130707341C4391C71E +:102140007370043001A06FE06FAC411106C622C469 +:102150001843AA87050797E6010023AFE63097E604 +:1021600001009386A63417E701001307E7321843EE +:1021700088423304F7001105EFE08FBF2285EF405E +:10218000E008E93311E52244B24041016FE00FA8B5 +:10219000B240224441018280411122C406C697E721 +:1021A00001009387672D9C430144850717E70100D1 +:1021B0002324F72C97E701009387472E9C4363E085 +:1021C000F51217EE0100130E6E4B97E20100938299 +:1021D000623E01440147854F1303500A21A0311E7E +:1021E000638DC20B83278EFFBA86F5DB8327CEFF74 +:1021F000DC43232EFEFE638AC70F03AFC700DC4318 +:102200002A97814E232EFEFE6385C70783A8C70049 +:1022100093874803232017015CC383A788042326E0 +:10222000F7011CC783A7C8021CCB83A70805232C72 +:1022300007005CCB03A8080383470800639067048A +:10224000C287850783C60700B3850741E38B66FE17 +:102250008981C205C181231EB700850E13070702BD +:1022600063061F038327CEFFDC43232EFEFEE39F7E +:10227000C7F983274E00232EFEFE49BF8145231E4A +:10228000B700850E13070702E31E1FFD7694131790 +:102290005400311EBA86E397C2F597E70100938791 +:1022A000A72003AE070083270E00639D070E97E764 +:1022B00001009387271F03AE070083270E00639258 +:1022C000071817EE0100130EAE2F83274E109DE363 +:1022D00083278E116395072019C223200600BD3184 +:1022E0002285B24022444101828083274E00232E62 +:1022F000FEFE21B783278E1017EF0100130F0F3D4D +:10230000DC4317E701002321F73C6380E73383A216 +:10231000C700DC43AA96814E17E701002326F73A4F +:10232000914F1303500A6388E70783A8C700938778 +:10233000480323A01601DCC283A7880423A6F60164 +:102340009CC683A7C8029CCA83A7080523AC0600C5 +:10235000DCCA03A80803834708006391672AC28781 +:10236000850703C70700B3850741E30B67FE93D7D3 +:102370002500C207C183239EF600850E93860602C0 +:102380006386122983278E10DC4317E70100232D73 +:10239000F732E39CE7F983270E1117E701002325A5 +:1023A000F73261B783274E00130F8E00D4432322E8 +:1023B000DE006388E629B307E500D84283A2C600A1 +:1023C000814E2322EE00894F1303500A6306EF0665 +:1023D0008328C7001387480323A01701D8C303A786 +:1023E000880423A6F70198C703A7C80298CB03A7C0 +:1023F000080523AC0700D8CB03A80803034708004F +:102400006318671C4287050783460700B305074129 +:10241000E38B66FE13D7250042074183239EE70026 +:10242000850E93870702638D121B83264E00D842C8 +:102430002322EE00E31EEFF80327CE002322EE0056 +:1024400041BF83274E00130F8E00DC432322FE0082 +:102450006384E71F83A2C700DC43AA96814E232230 +:10246000FE00894F1303500A6306FF0683A8C700C6 +:102470009387480323A01601DCC283A7880423A600 +:10248000F6019CC683A7C8029CCA83A7080523AC93 +:102490000600DCCA03A80803834708006396671098 +:1024A000C287850703C70700B3850741E30B67FEB3 +:1024B00093D72500C207C183239EF600850E93861D +:1024C0000602638B120F83274E00DC432322FE009B +:1024D000E31EFFF88327CE002322FE0041BF83279F +:1024E000CE1197EE0100938EAE1FDC4317E701007B +:1024F0002326F71E6384D71383AFC700DC4333075B +:10250000D500014397E6010023AAF61C0D4F89422E +:102510009308500A6387D707C8479307450308C342 +:102520005CC33C452326E7011CC75C551CCB1C55EE +:1025300099C3232657003C49232C07005CCB032872 +:1025400005038347080063951705C287850783C67F +:102550000700B3850741E38B16FF93D72500C20719 +:10256000C183231EF700050313070702638AAF0226 +:102570008327CE11DC4397E6010023A1F616E39DE5 +:10258000D7F983274E1297E6010023A9F61469B7FD +:102590008147231EF700050313070702E39AAFFCE8 +:1025A0001A94E31C06D225BB8147239EF600850EB4 +:1025B00093860602E39912F117EE0100130E4E0006 +:1025C00083274E10769493165400E38307D01DB3EF +:1025D0000147239EE700850E93870702E39712E5E4 +:1025E00097E70100938707EC03AE070076949316F4 +:1025F000540083270E00E38607CCA1B58147239EB4 +:10260000F600850E93860602E39E12D783278E116D +:10261000769493165400E38107CCD1B583274E12EC +:1026200017E70100232CF70AC1BD83270E1117E716 +:102630000100232BF708E1B98327CE002322FE00F7 +:1026400011BD8326CE002322DE00B5B3797126D2D8 +:102650004AD04ECE52CC56CA5AC85EC606D622D4EE +:1026600097E90100938949E117E90100130949F548 +:1026700017EA0100130ACAE197EA0100938A0AE304 +:1026800097EB0100938B8BE197E40100938444E185 +:10269000054B21A883A7090003244910850717E7E4 +:1026A0000100232BF7DC5D3011E89C40E5F7832720 +:1026B0000900E37CFBFEEFD07FD5C5BF737004300B +:1026C00083270A00B9E383270911C0471305440093 +:1026D000EFD01FEA83A70B00FD1717E70100232F98 +:1026E000F7DA9C40FD1717E70100232BF7DA832761 +:1026F0000A0089C783A70A00FC4385E30858EF1046 +:10270000D00C2285EF10700C4DB703A70A0083A7E9 +:102710000A007C4385077CC37DB703A70A0083A614 +:102720000A007C43FD177CC3FC42E9FB7360043064 +:10273000F1B797E70100938727D49C43DDE341116C +:1027400006C697E7010023A107D417E70100130786 +:10275000E7D51C43B7A6A5A59386565A9C5B8C4328 +:10276000639BD500D0436318B60094476395C600B9 +:10277000DC476388D70008430C4393854503EF206B +:10278000D00197E601009386E6D0904217E701005A +:10279000130707E311A89C42B9CB9C42FD1717E62B +:1027A00001002329F6CE904293172600B2978A079C +:1027B000BA979C43EDD3904293172600B2978A07AD +:1027C000B305F700D441A107BA97D442D4C16383BB +:1027D000F602DC46B24017E701002329F7CC41019D +:1027E0008280854717E701002320F7CA8280737033 +:1027F000043001A0D1473306F602D442329754C3C5 +:10280000C9BF01114AC806CE22CC26CA4EC62A89A3 +:102810007370043097E40100938484C79C4017E4EC +:1028200001001304A4C8D9E76314090003290400B4 +:10283000930949004E85EFD0BFD38327890289C70A +:1028400013058901EFD0DFD2CE8517E5010013050E +:10285000A5E8EFD07FCD9C4081C71C40FC43ADE391 +:102860001C40638B27079C409DCF737004309C40B5 +:10287000C1EB97E70100938727C39843184325EBE3 +:10288000FD5717E70100232BF7BE9C4089CF1C4062 +:10289000FC4391CB184014407C43FD177CC3FC42A1 +:1028A00099E373600430F2406244D2444249B24931 +:1028B0000561828018401C407C4385077CC3ADB70E +:1028C000184014407C43FD177CC3FC42D1FB73606D +:1028D00004301C40E39927F99C40A1C397E701000D +:1028E000938787B99C43ADCB7370043001A09C43A0 +:1028F000DC47DC47DC4317E701002321F7B871B759 +:1029000018401C407C4385077CC397E701009387F0 +:10291000A7B9984318432DD7D9BF97E701009387EC +:10292000E7B717E701001307A7C99C4303278711DF +:10293000631DF700F240624497E7010023A807B641 +:10294000D2444249B249056182806244F240D24495 +:102950004249B2490561F1BB6244F240D244424966 +:10296000B24905616FD09FAA3DC9411126C297E4C3 +:1029700001009384A4B34AC02E898C4006C622C4A9 +:10298000E105EFD0FFBB88401105EFD07FBEFD57BA +:10299000630AF90497E70100938707B080439840E2 +:1029A0009C434A9440C3636CF40497E70100938707 +:1029B000A7AF88438C409105EFD09FB897E70100FF +:1029C0009387C7AB9C436376F40097E7010023A786 +:1029D00087AAB24022449244024941018280737026 +:1029E000043001A08C402244B2409244024917E5D1 +:1029F0000100130565CE910541016FD0FFB297E745 +:102A00000100938727AA884322448C40B240924415 +:102A10000249910541016FD0BFB211C997E701008A +:102A2000938787A59C4399E77370043001A0737066 +:102A3000043001A0411126C297E40100938404A749 +:102A40009840AE878C40B7060080D58F06C622C45A +:102A50001CCFE1053284EFD03FAD88401105EFD0A7 +:102A60003FB1FD57630EF40097E701009387C7A2BB +:102A70008843B24092442295224441016F3000794C +:102A80008C402244B240924417E501001305C5C4AE +:102A9000910541016FD05FA925CD411126C297E470 +:102AA00001009384A4A04AC02E898C4006C622C48B +:102AB000E1053284EFD05FA788401105EFD05FAB0E +:102AC0008547630AF40497E701009387E79C8043F6 +:102AD00098409C434A9440C3636CF40497E7010018 +:102AE0009387879C88438C409105EFD07FA597E71B +:102AF00001009387A7989C436376F40097E7010051 +:102B000023AE8796B240224492440249410182801A +:102B10007370043001A08C402244B24092440249B8 +:102B200017E50100130545BB910541016FD0DF9FFB +:102B300097E7010093870797884322448C40B2406F +:102B400092440249910541016FD09F9F411106C6F1 +:102B500022C426C25C45C04735CC93048401268537 +:102B6000EFD01FA197E70100938707919C43B5E73A +:102B7000930444002685EFD0BF9F97E70100938719 +:102B8000679158549C4363F6E70097E7010023A33D +:102B9000E79093172700BA978A0717E501001305F6 +:102BA00025A2A6853E95EFD03F9897E70100938731 +:102BB000E78F9C4358540145DC5763F8E70085478D +:102BC00017E701002322F78C0545B24022449244C6 +:102BD000410182807370043001A0A68517E50100D1 +:102BE000130505ADEFD05F94C9B797E70100938750 +:102BF000A7889C4381E77370043001A0411106C689 +:102C000022C426C2B70700804045CD8F1CC12DC409 +:102C1000EFD01F96930444002685EFD07F9597E769 +:102C200001009387278758549C4363F6E70097E792 +:102C3000010023A1E78693172700BA978A0717E5B3 +:102C400001001305E5973E95A685EFD0FF8D97E728 +:102C500001009387A7859C4358540145DC5763F8CE +:102C6000E700854717E701002320F7820545B240BA +:102C700022449244410182807370043001A011CD3E +:102C800097E7010093870780984397E701009387B0 +:102C9000A7809C4318C15CC182807370043001A07E +:102CA00055C1D1CD411106C622C42A8773700430A4 +:102CB00097D801009388C87D83A7080091CB97D748 +:102CC00001009387A77E94439C43FC428507FCC286 +:102CD00017D301001303437C9C4103260300FD56D8 +:102CE0000144638FD70217DE0100130EAE7903256E +:102CF0000E000328070054436305A80005446371D0 +:102D0000D6023308D6400544637CF80003250E0044 +:102D1000918F03260300B6979CC108C350C301449A +:102D200083A7080081CB97D70100938727789C431E +:102D3000FC4381EF2285B2402244410182807370BE +:102D4000043001A07370043001A0EF306052DDB791 +:102D5000854717D701002329F772828019C168457A +:102D600082800145828011C16CC5828097D70100A5 +:102D70009387C7738843828097D7010093874771F1 +:102D80009C43054589CB97D701009387E76E88431D +:102D9000133515000605828031CD011126CA97D45E +:102DA00001009384A4709C4006CE22CC4AC84EC633 +:102DB0005855DC576379F7021C4D63C707009C40E8 +:102DC000D457A947958F1CCD93172700BA97584918 +:102DD00017D901001309C97E8A07CA97630DF70046 +:102DE0009C40DC575CD5F2406244D2444249B2492F +:102DF000056182808280930945002A844E85EFD048 +:102E00002FF79C4017D701001307C7681843DC57FA +:102E10005CD46376F70017D70100232DF76613956E +:102E200027003E9562440A05F240D244CE854A9579 +:102E3000B249424905616FD02FEF19CD411106C645 +:102E400022C426C297D70100938747668043630751 +:102E5000A4007370043001A0014582807C4881E7A2 +:102E60007370043001A054543848FD177CC8638344 +:102E7000E60099C70145B240224492444101828054 +:102E8000930444002685EFD0AFEE3C4817D70100ED +:102E900013074760144329471D8F5CD418CC63F691 +:102EA000F60017D701002327F75E5147B387E702E3 +:102EB00017D501001305C570A6853E95EFD0CFE666 +:102EC000054555BF7370043097D701009387475C61 +:102ED0009C4391CB97D701009387475D98439C43D0 +:102EE0007C4385077CC3828097D701009387475A2C +:102EF0009C438DC397D701009387475B98437843E2 +:102F000011CB984394437C43FD177CC3FC4299E367 +:102F100073600430828097D70100938727599043CC +:102F200094439843A947D456084E958F1CCF82806E +:102F300097D7010093878757984309C79443F84A66 +:102F40000507F8CA8843828079714AD006D622D410 +:102F500026D24ECE2A897370043097D40100938410 +:102F600024539C4017D4010013044454BDE31C4077 +:102F7000BC4F89E71C400547F8CFD1E19C4081C791 +:102F80001C40FC43F1EB737004309C408DEF1C40FF +:102F9000A84F11C5630409021C4023AC07041C4060 +:102FA00023AE07049C4081C71C40FC438DEBB2500C +:102FB000225492540259F2494561828018403C4F94 +:102FC000FD173CCFE9BF18401C407C4385077CC3FC +:102FD0007DBF18401C407C4385077CC349BF184017 +:102FE00014407C43FD177CC3FC42F1F37360043052 +:102FF000B250225492540259F249456182800840ED +:103000002EC61105EFD0CFD6B245FD576380F50629 +:1030100097D701009387474883A9070018409C432E +:10302000AE992322370163ECF90497D70100938707 +:10303000A74788430C409105EFD08FD097D7010068 +:103040009387C7439C4363F6F90097D7010023A7F2 +:103050003743EFD0AFBB1DB7184014407C43FD177A +:103060007CC3FC428DF37360043031BF0C4017D534 +:103070000100130565669105EFD00FCBD9BF97D737 +:1030800001009387274288430C409105EFD04FCB36 +:10309000C9B779714AD04ECE06D622D426D252CCA8 +:1030A0002E89B2897370043097D401009384443E12 +:1030B0009C4017D401001304643FF9EF184089477E +:1030C000784F630CF7001C401345F5FFB84F798D1E +:1030D000A8CF1C400547F8CFADE69C4081C71C40F7 +:1030E000FC43F5E3737004309C40B1E7638609004C +:1030F0001C40BC4F23A0F900184085470145784F7C +:10310000630BF7001C401349F9FF0545B84F3379AD +:10311000E90023AC27051C4023AE07049C4081C76F +:103120001C40FC43C1E3B250225492540259F2496C +:10313000624A4561828018401C407C4385077CC3FD +:1031400075B7084036C61105EFD08FC2B246FD579D +:103150006385F60897D701009387073403AA070011 +:1031600018409C43369A232247016363FA0897D795 +:1031700001009387673388430C409105EFD04FBC23 +:1031800097D701009387872F9C436370FA0697D7E0 +:10319000010023A5472F91A818401C407C438507B8 +:1031A0007CC329BF184014407C43FD177CC3FC42FC +:1031B000BDFB73600430B250225492540259F2495C +:1031C000624A45618280184014407C43FD177CC3ED +:1031D000FC4289FB7360043031B70C4017D5010005 +:1031E0001305854F9105EFD02FB4EFD02FA2F5B581 +:1031F00097D701009387072B88430C409105EFD0A8 +:103200002FB4E5B751C1411106C622C426C24AC037 +:103210007370043097D40100938484279C40B9EBE9 +:1032200099C23C4D9CC2B2878946704D74CD2E87A1 +:103230002A846386D70663FCF6048D456387B7004E +:1032400091456395B7006300D60C38CC8547630F72 +:10325000F60405449C4081CB97D70100938707254E +:103260009C43FC43A9E32285B24022449244024994 +:103270004101828097D701009387472398439C435D +:103280007C4385077CC369BF7370043001A0854609 +:10329000E39ED7FA3C4D33E7B70038CD45BF3C4DF0 +:1032A00085073CCD65B7EF20B07C75BF130944009E +:1032B0004A85EFD0EFAB17D701001307A71D5C5469 +:1032C00018436376F70017D701002325F71C5147F1 +:1032D000B387E70217D501001305852ECA853E95F1 +:1032E000EFD08FA41C5481C77370043001A097D70E +:1032F00001009387A71B9C435854DC57E3FBE7F47A +:10330000EFD0CF90B9B70144B1B739CD011122CC7C +:1033100026CA4AC84EC652C456C206CEBA89368A92 +:10332000B2842E892A84EFD04F9AAA8A63050A00B4 +:103330003C4C2320FA00784C89477CCC6389F4020A +:1033400063F4970C8D466387D40091466396D4004E +:10335000630AF70A232C24058547631EF7061C54CD +:1033600099CB7370043001A07370043001A03C4C01 +:1033700085073CCCD5B797D701009387E70F9C43CF +:10338000ADEB930444002685EFD08F9E17D7010044 +:10339000130747105C5418436376F70017D70100F2 +:1033A000232AF70E5147B387E70217D5010013050B +:1033B0002521A6853E95EFD02F9797D701009387BB +:1033C000E70E9C435854DC5763F7E7006385090018 +:1033D000854723A0F90005445685EFD0AF8E22859E +:1033E000F2406244D2444249B249224A924A0561BB +:1033F00082809305840117D501001305652BEFD05A +:10340000AF9265BF0144C9BF8547E397F4F43C4CD4 +:1034100033E92701232C240581B74DC1011122CCAA +:1034200026CA2A844AC806CE4EC6AE84EFD0EF899B +:103430008947744C7CCC3C4C05472A8985073CCC99 +:103440006395E6061C5481C77370043001A097D7BA +:103450000100938767029C43ADE7930944004E85C2 +:10346000EFD00F9117D701001307C7025C54184320 +:103470006376F70017D70100232EF7005147B38773 +:10348000E70217D501001305A513CE853E95EFD0B1 +:10349000AF8997D70100938767019C435854DC5745 +:1034A00063F5E70099C085479CC06244F240D2446E +:1034B000B2494A85424905616FD0CF8073700430AC +:1034C00001A09305840117D501001305651EEFD0F7 +:1034D000AF85C1B7AA8729C57370043017D701001B +:1034E000130707FB14439DE2F04F8946014563151E +:1034F000D60023AE070405451C4381CB97D70100B6 +:103500009387C7FA9843784315E3828097D60100E2 +:103510009386C6F9904294427442850674C2E9B714 +:1035200097D70100938787F89C437DB798439443CE +:103530007C43FD177CC3FC42E9FB7360043082804E +:103540005D71A6C24EDE52DC56DA5AD85ED686C609 +:10355000A2C4CAC062D466D26AD097D90100938946 +:10356000E9F517DB0100130BABF497D4010093844A +:1035700064F497DA0100938A2AF4054AA54B83A7DD +:1035800009009843630B0712DC4703A90700EFE02B +:10359000EFF6EFE0AFF783270B002A8C6369F50A9B +:1035A00097D7010023A6A7F00146637B251B88401F +:1035B000B3058941EFD0FFBDEFE05F99630B0512C2 +:1035C0008840814601468A85EFD00FEC4DD982476D +:1035D000224463DA07009247B245228582978247E8 +:1035E000E3C007FE22445C4889C713054400EFC0CE +:1035F0003FF8EFE0AFF183270B002A8C6360F50AF8 +:10360000824717D70100232587EBE3EBFBFAB317BB +:10361000FA0013F70721631F072013F7770C6314D1 +:10362000071C93F70702C9DF2285EF00101A49BF74 +:10363000DC47C04783AC0700130944004A85EFC04C +:103640003FF35C50228582975C4C6387470B83A7CE +:103650000900984371FF03A70A0097D6010023A928 +:1036600086E597D6010023A9F6E497D7010023A7A2 +:10367000E7E4EFE0BF8DA9B7DC4703A9C70003ADBE +:103680000700930C49006685EFC09FEE832749022F +:103690004A8582978327C9016386470983A7090062 +:1036A000984379FB03A70A0097D6010023A6F6E00A +:1036B00097D7010023A4E7E0A1B7EFE02FE4EFE004 +:1036C000EFE483270B002A8CE363F5F883A70A0055 +:1036D000884001499043B305894117D70100232948 +:1036E00087DD13361600EFD0DFAAEFE03F86E3193F +:1036F00005ECEFC0BFD1E9B51C4CCA85E69763EA7B +:10370000FC049C4089CF02C866CA22CCEFF0CFE609 +:103710008840814601460C08EFD0EF860DF97370A2 +:10372000043001A083278901E685EA97636CFD04D4 +:103730009C4089CF02C86ACA4ACCEFF0EFE38840C8 +:10374000814601460C08EFD00F8429F973700430CC +:1037500001A003A509005CC000C8EFC07FDEC5BDA5 +:10376000EFE0CFFE83A70900DC47C047930C44007D +:103770006685EFC0FFDF5C4C638F47015C5022859C +:1037800082973DBD03A509002322F90023282901C2 +:10379000EFC01FDB21B71C4C00C83307F90058C02D +:1037A000636DEC02330C2C416363FC029C4089CFB7 +:1037B00002C84ACA22CCEFF02FDC8840814601467D +:1037C0000C08EFC05FFC5DF97370043001A003A525 +:1037D0000A00E685EFC0DFD655B703A50900E685E8 +:1037E000EFC01FD661BF9247144C00C83387D70083 +:1037F00058C0636FEC06B307FC4063E4D7065C5027 +:10380000228582975C4CE39D47DB144C924798409D +:10381000B69711CF02C83ECA22CCEFF0EFD5884050 +:10382000814601460C08EFC01FF6E31B05D87370F4 +:10383000043001A092471CCC95C3E2975CC000C83D +:10384000930544006367FC0003A50A00EFC05FCF47 +:1038500085BB03A50900EFC0BFCE9DB373700430D4 +:1038600001A003A50A0093054400EFC07FCD89BBEA +:103870006374FC00E375F7F803A5090093054400A1 +:10388000EFC01FCC35BB411122C406C626C24AC0B8 +:1038900017D40100130404C2EFF0CFE21C4095CB13 +:1038A000EFF08FE41C409DC38148014881470947E0 +:1038B00081461306004097D50100938525B0170572 +:1038C0000000130525C8EFD0FF9939E97370043063 +:1038D00001A017D90100130969E14A85EFC03FC370 +:1038E00097D401009384C4E12685EFC05FC20146EE +:1038F000C145114597D7010023A227BD97D70100E5 +:1039000023AC97BAEFC09FDB17D701002324A7BAD7 +:1039100011CD97D501009385E5A9EFD04FFC49B7AC +:10392000B2402244924402494101828073700430C3 +:1039300001A0A5C9797156CAAA8A1305C00222D46A +:1039400026D24AD04ECE52CC06D65AC85EC63A8946 +:10395000B689328AAE84892B2A8405C9EFF08FD6C6 +:1039600097D70100938707B59C439DCFEFF0CFD742 +:10397000130544002320540104CC232E44012320AA +:10398000340323222403EFC0DFB92285B25022542E +:1039900092540259F249624AD24A424BB24B4561B3 +:1039A00082807370043001A097DB0100938B0BD4ED +:1039B0005E85EFC0DFB517DB0100130B6BD45A85B2 +:1039C000EFC0FFB40146C145114597D7010023A7B9 +:1039D00077AF97D7010023A167AFEFC03FCE17D7CE +:1039E00001002329A7AC01C997D501009385859CC7 +:1039F000EFD0EFEEA5BF7370043001A039C50111FF +:103A000022CC06CE26CA17D401001304A4AA832808 +:103A100004002A88014563850802BA842EC03687CF +:103A200032C242C4954663C5B602EFF0EFB489478F +:103A3000630BF5020840814601468A85EFC0BFD47A +:103A4000F2406244D244056182807370043001A068 +:103A50008A8581463A864685EFD02F88F2406244B7 +:103A6000D244056182800840814626868A85EFC05F +:103A70009FD1F9B719C1084182807370043001A049 +:103A800001E57370043001A0411106C622C42A84E6 +:103A9000EFF04FC348483334A000EFF0EFC4228565 +:103AA000B24022444101828001E57370043001A0DC +:103AB000411122C42A8406C6EFF0CFC00050EFF0B7 +:103AC000AFC2B240228522444101828001E5737079 +:103AD000043001A0411122C426C22E84AA8406C645 +:103AE000EFF04FBE80D02244B240924441016FF0CB +:103AF000AFBF011106CE97D701009387A79B2AC2BB +:103B00008843B6872EC432C68A853E868146F957D9 +:103B10003EC0EFC09FFCF2400561828097D7010054 +:103B20009387479983A80700639508007370043052 +:103B300001A00111B2872E872A8836868A8546859C +:103B40008146FD5806CE46C042C23AC43EC6EFC0CA +:103B50009FC3F2400561828001C9B70700FFED8F66 +:103B600099C77370043001A07370043001A0411133 +:103B700022C426C206C62A84AE84EFF0AFB4184031 +:103B800093C7F4FFF98F1CC02244B2409244410114 +:103B90006FF08FB509C9370700FFB3F7E50099C784 +:103BA0007370043001A07370043001A06F20006EA8 +:103BB0004111714522C406C6C52C2A8411C5232093 +:103BC00005001105EFC0BF942285B2402244410197 +:103BD000828001CDB70700FFED8F81C7737004307D +:103BE00001A099E57370043001A07370043001A046 +:103BF000011122CC4AC84EC652C456C206CE26CAAD +:103C0000BA893689B28A2E842A8AEFF0EF9611E5B6 +:103C1000638509007370043001A0EFE02F8E8324C8 +:103C20000A00B37794006316090295C763870A00F8 +:103C30009347F4FFE58F2320FA00EFE02FB1F24025 +:103C4000624426854249D244B249224A924A0561D9 +:103C50008280E30DF4FCE38209FEB3355001E205F6 +:103C600063050900B7070004DD8D4E86C18D13057D +:103C70004A00EFE09FDAEFE06FAD19E1EFC00FF916 +:103C8000EFF06FA993176500AA8963D80700B704FE +:103C90000001FD14B3F499005DB7EFF0AFA28329E2 +:103CA0000A00B3773401631E090089CB63880A00D8 +:103CB0009347F4FFB3F737012320FA00EFF0CFA2C8 +:103CC000F9B7E31DF4FEDDB701C9B70700FFED8FBB +:103CD00099C77370043001A07370043001A04111C2 +:103CE00022C42A8406C626C24AC02E89EFF08F9DC0 +:103CF00004409345F9FFB3F795001CC0EFF0CF9E49 +:103D0000B2402244268502499244410182802E8697 +:103D10008146AA8517050000130545E4D9BB41116A +:103D200022C42A8406C6EFC04FFA0040EFC08FF9C4 +:103D3000B240228522444101828009C9370700FF31 +:103D4000B3F7E50099C77370043001A073700430B5 +:103D500001A06F20A053B70700FFF18F81C77370D8 +:103D6000043001A001E67370043001A0011122CCDF +:103D70004AC84EC652C406CE26CA3689AE892A8A99 +:103D80003284EFE07FFF21E16305090073700430A6 +:103D900001A0EFD0BFF683240A00CE855285B3E49C +:103DA0009900613FB37794006307F40683240A0007 +:103DB000EFE0CF99F240624426854249D244B249AD +:103DC000224A05618280EFD07FF383240A00CE85EA +:103DD0005285B3E434019537B3F78400638D8702CD +:103DE000E30609FCB70500054A86C18D13054A00A4 +:103DF000EFE0BFC2EFE08F9519E1EFC02FE1EFF0E8 +:103E00008F9193176500AA8463DF0700B70700014D +:103E1000FD17FD8C45B783270A001344F4FFE18F9B +:103E20002320FA0071B7EFF0EF8983240A00B377FB +:103E30009400639787009347F4FFE58F2320FA00EF +:103E4000EFF08F8AE1B7411122C406C62A8426C248 +:103E5000EFD0DFEA5C4085C308489304C4006316D2 +:103E6000950015A0084863009502B7050002EFE031 +:103E7000DFD75C40E5FB2285892A2244B240924488 +:103E800041016FE0AF8C7370043001A0B2862E86C2 +:103E9000AA8517050000130525D06FF09FC519C12D +:103EA000084D828001458280411122C406C62A84C1 +:103EB000EFD0DFE497C70100938787609C43A1EBB5 +:103EC000E56717D7010013072785F11797C601008B +:103ED00023AEE65E17C701002328F75E17D701005F +:103EE000232EF78297C701009387C75D17D701007C +:103EF0002324F782854717C70100232B075C17C7C8 +:103F000001002321075C17C70100232BF75A19E88A +:103F1000EFE0CF83EF10E00701442285B240224456 +:103F2000410182801305840093773500C1EFE56776 +:103F30001307F5FFE917E3EDE7FC97C60100938649 +:103F4000E6589C4229A0984311C7BE86BA87D84339 +:103F5000E36BA7FE17C701001307C756E38AE7FA0A +:103F6000984341461384870098C2D843B306A740BC +:103F70006378D6023388A7002322D800C8C38325DC +:103F8000480097C601009386665411A0BA869842ED +:103F90005043E36DB6FE2320E80023A00601D8437A +:103FA00097C701009387C7569C43998F17C7010095 +:103FB0002320F756EFD09FF931DC2285B24022440E +:103FC00041018280719911059DB729C9411122C40F +:103FD00006C62A84EFD09FD2930584FF0326C4FF30 +:103FE00017C701001307874E11A03E871C43D44317 +:103FF000E3EDC6FE232CF4FE0CC397C701009387A4 +:10400000275198438327C4FF2244B240BA9717C769 +:104010000100232FF74E41016FD05FF3828097C7D5 +:1040200001009387E74E8843828082803367B50022 +:104030000D8BAA871DE79308D6FFAA982A87AE861C +:104040006371150303A8060011079106232E07FFCD +:10405000E36A17FF9347F5FFC697F19B9107BE955B +:10406000AA972A9663F3C70A9386450013884700E8 +:10407000B3B6D70033B8050133E7F50093C6160091 +:10408000134818000D8BB3E6060113371700758F20 +:10409000330EF6409386170029CF1337AE0031EB6D +:1040A00013532E002E88BE8601478328080005077B +:1040B000110823A016019106E36967FE1377CEFF6E +:1040C000BA95BA976302EE0483C6050013871700FA +:1040D0002380D700637AC70283C6150013872700A1 +:1040E000A380D7006372C70203C725002381E700BE +:1040F0008280B307F640AE97850503C7F5FF8506B6 +:10410000238FE6FEE39AF5FE82808280B367C500C6 +:104110008D8BB308C500E9C36371150FB307A040C9 +:104120008D8B13873700954693F5F50F130815000F +:104130003383A8407D166373D70015476363E60C8D +:10414000D5CF2300B5000547638DE700A300B50078 +:104150000D47130825006396E70013083500230177 +:10416000B500139685004D8E13970501518F3303CB +:10417000F34093968501D98E13562300AA970147E1 +:1041800094C305079107E36DC7FE1377C3FFB30719 +:10419000E8006307E3062380B70013871700637CFA +:1041A0001703A380B70013872700637617032381C3 +:1041B000B7001387370063701703A381B700138715 +:1041C0004700637A17012382B7001387570063748F +:1041D0001701A382B700828093F5F50F139785002E +:1041E000D98D139705014D8FE37715FFAA879107A6 +:1041F00023AEE7FEE3ED17FF828082802A8895B721 +:104200008280AA8749BF834705002A8799C7050589 +:1042100083470500EDFF198D8280014582800505E9 +:104220008347F5FF850503C7F5FF91C7E389E7FEDF +:104230003385E74082808147E5BFAA87850503C7AC +:10424000F5FF8507A38FE7FE75FB82808346050097 +:10425000930700026397F600050583460500E38D8A +:10426000F6FE938756FD93F7D70F85C7AA8781453A +:1042700085CE01458507138606FD1317250083C6E5 +:1042800007003A9506053295F5F699C13305A04029 +:104290008280938536FD8346150093B515009307FC +:1042A0001500E1FA0145D5B7014582809C41238084 +:1042B000A7009C4185079CC1828097C60100938618 +:1042C00066219C42175703001307C7471386170040 +:1042D000BA972380A70097C5010023ADC51EA94743 +:1042E0006308F500930700046305F600014582802A +:1042F00075DE370610F005078347F7FF2320F6F039 +:104300009C42FD1797C5010023A6F51CEDF701455A +:1043100082804D712322911423202115232A5113C9 +:10432000232481132326111423248114232E3113D3 +:10433000232C411323286113232671132322911365 +:104340002320A113232EB111AA842E8932C6130C67 +:104350005002A54A8347090063808703B9CB804098 +:1043600011A0A1CB2300F40080400509050480C002 +:1043700083470900E39787FF034619009308190054 +:10438000C686130D0002FD597D5A014813055005DC +:104390009307D6FD93F7F70F138916006363F52A89 +:1043A00017C70100130707C68A07BA979C43BA9735 +:1043B00082878320C1140324811483244114032998 +:1043C00001148329C113032A8113832A4113032B68 +:1043D0000113832BC112032C8112832C4112032D54 +:1043E0000112832DC11171618280A14C02C48547E5 +:1043F00063D50715B2478040938B770093F68BFF08 +:1044000003AB060083A94600938786003EC66686F6 +:1044100081465A85CE85EF10302A2AC881476385A8 +:104420003723930D4101854B668681465A85CE859B +:10443000EF10A072814666862A8BAE89EF10D027D6 +:10444000A24723A0AD0093861B00910D6384370122 +:10445000B68BD9BFE37E9BFF63DD4601A287238035 +:10446000A70180407D1A930714009CC03E84E3C8D6 +:1044700046FF93962B001C08BE9631A893877705BC +:104480002300F4008040FD1B93871B00050480C0BF +:10449000F116E351F0EC9C42E3E2FAFE9387070346 +:1044A000C5B79C409306000332472380D7009C4049 +:1044B00093064700C14C1386170090C0130680076F +:1044C000A380C700804002C4BA87050480C003AB44 +:1044D0000700814936C625BFB24783AC0700938BDE +:1044E000470063840C1C635F40199307D002631973 +:1044F000FD1683C70C0085C363DC09189440850C46 +:104500007D1A2380F6008040050480C083C70C001C +:10451000E5F7635D40018040930700022300F4004B +:1045200080407D1A050480C0E31A0AFE5EC61DB5F0 +:1045300002C48547A94CE3CF07EBB247804093867E +:10454000470071B703C61600CA8699B59C4093060A +:1045500050022380D7008040050480C0E5BBC14CD9 +:1045600002C471B5B24703C61600CA8683A9070004 +:1045700091073EC6E35E0AE04E8AFD5911BD03C6AF +:104580001600130DD002CA8621B59347FAFFFD87A6 +:1045900003C61600337AFA00CA86DDBB03C61600CE +:1045A000130D0003CA86EDB383C51600930906FDFB +:1045B000CA86938705FD2E86E3EEFAFA93972900C3 +:1045C000BE9985068609AE9983C50600938909FDC3 +:1045D000938705FD2E86E3F3FAFE69BF32479C40C0 +:1045E000144311073AC62380D7008040050480C0D9 +:1045F00095B3854763D3070BB24793867700E19A5B +:10460000938786003EC683A946009C4263DC090A64 +:1046100094401306D002B307F0402380C6008040C8 +:10462000B336F000B3093041050480C03E8BB38936 +:10463000D940A94C02C4E1BB03C616000508CA86CE +:1046400081BB9C40468921B7E37D9BDD8547814B3B +:104650008546E3C547E131BD97CC0100938C8CD6EC +:10466000CE856685EF104015330AAA40635C400191 +:1046700080402300A40180407D1A050480C0E31A15 +:104680000AFE014A83C70C00E38207EAE3C809E691 +:10469000FD197D57E394E9E6ADBDB2479386470027 +:1046A0009C4336C693D9F74195B7635640019307AB +:1046B000D002E313FDFA97CC0100938CACD09307A2 +:1046C00080021DBD3E8BA94C02C4804089B34D7150 +:1046D000232E3113B70900409387F9FF2324811457 +:1046E00023229114232C4113232A5113232611141E +:1046F00023202115232861132326711323248113DA +:10470000232291132320A113232EB1112A8A2EC410 +:1047100017C40100130404DC975A0300938A8A0229 +:1047200097C40100938484A33EC693055002294593 +:1047300013080004B70610F083470A006386B70425 +:10474000C1C71840050A13061700569797C80100FD +:1047500023A2C8D82300F7006382A706E31E06FD44 +:1047600017570300130707FE05078347F7FF23A02A +:10477000F6F01C40FD1717C60100232DF6D4EDF707 +:1047800083470A00E39EB7FA83461A0013051A000E +:104790002A87930B00027D5B7D5C014893055005E1 +:1047A000A5489387D6FD93F7F70F130A170063E721 +:1047B000F5348A07A6979C43A6978287175703006C +:1047C000130747F855F28DBF8320C11403248114C9 +:1047D00083244114032901148329C113032A81135B +:1047E000832A4113032B0113832BC112032C811243 +:1047F000832C4112032D0112832DC111716182801E +:10480000A14C014D854763DB0719A2479D07E19B3A +:1048100083AD070003AB4700138787003AC4668661 +:1048200081466E85DA85EF1020692AC863066D35EA +:10483000130941018549668681466E85DA85EF1048 +:10484000C03166868146AA8D2E8BEF10E06623204C +:10485000A90085091109E3106DFFE3FE9DFD130614 +:104860000004B70610F063D189031C4013871700BA +:10487000D69797C5010023AFE5C423807701630C69 +:10488000C7047D1CE3C389FFB2476800A548B3860F +:10489000F9008A061C08BE9629481303000437064F +:1048A00010F0E384A6E89C429305000363F4F8004B +:1048B000930570051840AE9793051700569717CECD +:1048C00001002329BEC02300F7006380072B638CFF +:1048D0006526F116F9B7175703001307A7E6050772 +:1048E0008347F7FF23A0F6F01C40FD1797C5010092 +:1048F00023A2F5BEEDF77D1C71B71C401306000422 +:104900001387170097C5010023A6E5BCB386FA00FC +:10491000930500032380B6006300C73E890797C64E +:10492000010023A9F6BA5697930680072300D70003 +:1049300017570300130707E1B70610F0639EC7007F +:1049400005078347F7FF23A0F6F01C40FD1717C6A5 +:1049500001002321F6B8EDF7A247C14C014D9107A4 +:104960002247014B832D07003EC455BDA24783ACAF +:10497000070013894700638F0C3A63548021930723 +:10498000D002639EFB2E03C70C00BA85631007207C +:1049900025AC8547A94C014DE3C907E7A24791071C +:1049A000C1B7A24783461700528703AB07009107A0 +:1049B0003EC4E3580CDE5A8C7D5BE5B3834617009A +:1049C000930BD0025287F1BB9347FCFFFD878346D0 +:1049D0001700337CFC005287E9B383461700930B22 +:1049E000000352877DBB03461700138B06FD5287D9 +:1049F000930706FDB286E3EEF8FA93172B00DA97D9 +:104A000005078607B29703460700138B07FD930738 +:104A100006FDB286E3F3F8FE69BFA2451C402946B5 +:104A2000984193861700D69717C501002324D5AA6D +:104A30002380E700138945006301C72A9307000418 +:104A40006387F6204AC4D5B1854763DF0729A247AB +:104A500013877700619B930787003EC4032B4700B1 +:104A60001C43635B0B3018401306000493061700C9 +:104A700097C5010023A0D5A656979305D002230021 +:104A8000B7006388C62CB307F0403337F000330B10 +:104A90006041BE8D330BEB40A94C014D49B38346B9 +:104AA000170005085287F5B9834617005287D5B914 +:104AB0001C40930600041387170017C60100232B20 +:104AC000E6A0D697130650022380C700E31FD7C481 +:104AD00017570300130707C7B70610F005078347EA +:104AE000F7FF23A0F6F01C40FD1717C60100232393 +:104AF000F69EEDF71DB9C14C014D29B31C4093063C +:104B000000042A8A1387170017C601002324E69C95 +:104B1000D697130650022380C700E318D7C0175753 +:104B20000300130727C2B70610F005078347F7FFF6 +:104B300023A0F6F01C40FD1717C60100232CF698A1 +:104B4000EDF72A8ADDB6175703001307A7BF05073D +:104B50008347F7FF2320F6F01C40FD1797C501009F +:104B600023AAF596EDF7F1162DBB1757030013078F +:104B700067BDF1FDF11635B3E3FC9DCB8549C5B1A9 +:104B8000014C03C70C00BA85E30E07EAFD5829451E +:104B900013080004B70610F063570B0A1C40138675 +:104BA0001700D69717C301002326C3922380E7007E +:104BB0006384A50663040609850C03C70C007D1CED +:104BC000BA8579FBE35080E91C4093050002130687 +:104BD0000004B70610F021A07D1CE3050CE6138746 +:104BE0001700D6972380B70017C501002324E58E50 +:104BF000BA87E313C7FE175703001307A7B40507C7 +:104C00008347F7FF23A0F6F01C40FD1717C50100EE +:104C10002322F58CEDF7C9B717570300130787B2A6 +:104C200041DE05078347F7FF23A0F6F01C40FD1780 +:104C300017C601002320F68AEDF7BDBF1757030002 +:104C4000130747B0F9BF7D1BE31A1BF5A5BF175724 +:104C50000300130727AFB70610F005078347F7FFD8 +:104C600023A0F6F01C40FD1717C601002324F6868A +:104C7000EDF74AC45DBC97BC0100938CAC74DA8537 +:104C80006685EF007033330CAC40E35C80EF1C4072 +:104C900013060004B70610F021A07D1CE3020CEE01 +:104CA00013871700D6972380770197C5010023A3A8 +:104CB000E582BA87E313C7FE17570300130787A8D7 +:104CC00005078347F7FF23A0F6F01C40FD1797C5A3 +:104CD000010023A1F580EDF7C9B7175703001307AB +:104CE00067A6B5FA4AC491B4A247138747009C430C +:104CF0003AC413DBF741B5B317570300130787A472 +:104D0000B70610F005078347F7FF23A0F6F01C4015 +:104D1000FD1717B60100232FF67AEDF7854717B771 +:104D200001002329F77A9307800717570300230B05 +:104D3000F7A01DB1635680019307D002E39DFBF2FB +:104D400097BC0100938C0C681307800293058002C6 +:104D500035BD975603009386E69E370610F085060C +:104D600003C7F6FF2320E6F018407D1797B5010032 +:104D700023A2E5766DF701BBBE8DA94C014D45B46C +:104D8000B70710F023A0A7F201A039711303410265 +:104D90002ED29A8506CE32D436D63AD83EDA42DCC6 +:104DA00046DE1AC62D32F2400145216182805D71D6 +:104DB0001303810322D42AC632DC2A841A866800AF +:104DC00006D6BEC236DEBAC0C2C4C6C61ACEEFF020 +:104DD0004FD4B247238007003245B250018D225490 +:104DE00061618280B70710F083A647F403A607F439 +:104DF00003A747F4E31AD7FE8566938606FAB296B0 +:104E000033B6C600B305E60023A4D7F423A6B7F44F +:104E10008280B70710F003A747F403A607F483A620 +:104E200047F4E31AD7FE8566938606FAB29633B640 +:104E3000C60023A4D7F4B305E60023A6B7F493076E +:104E4000000873A047308280411122C406C6514435 +:104E50007D14EF00D0006DFCB240224441018280FD +:104E6000411122C406C697B701009387276717B47C +:104E700001001304646698431C401306F07C9306FB +:104E800047069387470697B5010023A9D56497B5D0 +:104E9000010023A3F5646346D600B24022444101D9 +:104EA00082801307478997B7010023A9E762EF00C3 +:104EB00010098547631FF500184085679387F776CB +:104EC0000145E3DCE7FC6D3D2244B24041016FC087 +:104ED000FFE117B501001305654F453D09454D3507 +:104EE0002244B24041016FC07FE017B501001305B5 +:104EF000654F61BD17B501001305454F6FF0FFE821 +:104F0000411122C426C206C6856417B401001304E9 +:104F1000644E938784380100FD17F5FF2285EFF07A +:104F2000DFE6C5BF411106C622C4EF0000671707C0 +:104F300000001307A7F1814605469305200317B526 +:104F400001001305A54BEFE0DF9E2A84EFC01FDCB4 +:104F50002A8622852244B240014781468545410187 +:104F60006FE0DFA9411106C6EF00607D054781476C +:104F70006316E500B2403E854101828017B501000D +:104F80001305C544EFF07FE0B24089473E854101FB +:104F900082807370043001A082807370043001A09D +:104FA000411126C24AC006C622C42A89EFD01FDC9E +:104FB00097B70100938747549C4395446387A7069E +:104FC00017B401001304C4530145EFD09F83084078 +:104FD0007D5781460546A685EFE0BFBF75F525A044 +:104FE000EFD03F820840FD563D46CA85EFE0BFD670 +:104FF0003D897D5785460146BD45631D95020840A4 +:10500000EFE03FBD0DE50145EFD0AFFF0840FD5695 +:105010003D46CA85EFE03FD49374F500BD47014596 +:10502000E380F4FC7370043001A08D4451BF7370B1 +:10503000043001A07370043001A0011122CC4EC6CF +:1050400052C406CE26CA4AC817B401001304444B02 +:1050500097B901009389494B130AF00F0145EFD02E +:105060004FFA08407D57854605468945EFE07FB6F3 +:105070002A8908407D57854601469305200AEFE0BE +:105080005FB51375250A9307200A85446304F50C60 +:105090000145EFD00FF708407D578546054693053B +:1050A000200AEFE01FB36303450185440145EFD0BB +:1050B0004FF50840FD563D468945EFE0DFC93D8983 +:1050C000BD476303F500854408408145EFE0FFC616 +:1050D00025C5084081458544EFE01FBF0145EFD05D +:1050E0004FF20840FD563D468945EFE0DFC63D8959 +:1050F000BD476303F500854408408145EFE0FFC3E9 +:1051000019C908407D5785460146BD45EFE07FAC93 +:105110007370043001A008407D5785460146BD45A7 +:10512000EFE03FAB75F5EDF483A70900850717B7EE +:105130000100232BF73C1DB708408145EFE0DFB8A5 +:1051400019ED91EC83A70900850717B70100232DFE +:10515000F73A69B79304E9F5B334900015BF854475 +:10516000B5BF79714AD006D622D426D24ECE52CCC3 +:1051700056CA5AC85EC662C466C26AC02A89EFE0CF +:105180003FA397B7010023ADA7366300054017BAC8 +:105190000100130A6A3603250A0097B901009389B2 +:1051A00069350544EFC0CFD2AA8403A50900EFC03A +:1051B0002FD28D47630EF53CA14B854A97B4010071 +:1051C00093840434094B0D4C03250A00EFC00FF5FE +:1051D00003A50900EFC08FF403250A00EFC04FCFED +:1051E00063036501054403A50900EFC06FCE6303A7 +:1051F000650105448840D685EFE03FB493F73A0057 +:1052000003250A0063950734EFC08FCC6303650163 +:10521000054493F75A0003A5090063950732EFC0D0 +:105220002FCB630365010544FD1B860AE39E0BF843 +:1052300003250A0017BD0100130D4D2D894AEFC04B +:10524000EFED03A50900854B930C000AEFC00FEDAD +:1052500088400D4B130CD005EFE0FFBEEFE05F95EB +:1052600097B7010023AEA728630E05304A85EFC02B +:10527000EFEA4A85EFC0CFC5630355010544884076 +:105280009305200AEFE07FAB884001478146014645 +:105290009305F00FEFE0FF936303950105444A8502 +:1052A000EFC00FC363035501054488409305D00F39 +:1052B000EFE0BFA888400147814601469305F00F03 +:1052C000EFE03F919307D00F6303F50005444A8553 +:1052D000EFC00FC063035501054488408945EFE0E6 +:1052E000DFA54A85EFC0CFBE630365010544884052 +:1052F0008145EFE09FA49307F00F6303F500054499 +:1053000088408945EFE05F9C9307F00F6303F50049 +:1053100005444A85EFC08FE04A85EFC06FBB630349 +:105320005501054488408945EFE03FA14A85EFC01B +:105330002FBA630365010544884001478146014651 +:105340009305F00FEFE0FF88630385010544884073 +:105350009305F00FEFE05F976303850105448840F4 +:105360008145EFE07F9611C105444A85EFC04FB6F5 +:1053700063036501054403250A00EFC06FB56303AD +:105380006501054403A50900EFC08FB463036501FF +:1053900005448840B945EFE05F9A8840FD560546D0 +:1053A0008545EFE05F9B058911E105448840814513 +:1053B000EFE09F91B9476303F50005448840B94584 +:1053C000EFE09F9088408145EFE01F9011C10544B8 +:1053D0004A85EFC0AFD403250A00EFC02FD403A540 +:1053E0000900EFC0AFD34A85EFC08FAE630355010C +:1053F000054403250A00EFC0AFAD63035501054422 +:1054000003A50900EFC0CFAC6303550105448840F4 +:10541000FD563D468545EFE01F943D89BD4763033A +:10542000F500054488408145EFE01F8A11C105441D +:105430004A85EFC0EFA963036501054403250A000F +:10544000EFC00FA963036501054403A50900EFC080 +:105450002FA86303650105444A85EFC02FCC0325BF +:105460000A00EFC0AFCB03A50900EFC02FCB4A85E0 +:10547000EFC00FA663035501054403250A00EFC0E2 +:105480002FA563035501054403A50900EFC04FA4F0 +:1054900063035501054489450145EFC00FB68840B7 +:1054A000FD563D468545EFE01F8B3D89BD476303B3 +:1054B000F500054488408145EFE01F8111C1054496 +:1054C0004A85EFC0EFA063037501054403250A0078 +:1054D000EFC00FA063037501054403A50900EFC0E9 +:1054E0002F9F63037501054401458145EFC0EFB06F +:1054F0004A85EFC0EF9D63035501054403250A006B +:10550000EFC00F9D63035501054403A50900EFC0DB +:105510002F9C6303550105448840EFE0DF924A85E4 +:10552000EFC00F9B63036501054403250A00EFC02C +:105530002F9A6303650303A50900EFC06F99737089 +:10554000043001A0EFC0CF98E31F85CDF1B9EFC0C3 +:105550002F98E31F85CB75B903A50900EFC04F97BE +:10556000E31F65FD69FC83270D00850717B7010060 +:10557000232EF7F8EFE0CFE397B7010023A2A7F8B7 +:10558000E31605CE7370043001A07370043001A0DF +:105590001384D4FF3334800005B1011181480148E0 +:1055A0007C00054781461306004097B501009385AE +:1055B00025E617050000130585A806CEEFB09FCAA3 +:1055C000B24681480148814701471306004097B51C +:1055D0000100938565E4170500001305C5B8EFB019 +:1055E0007FC88148014897B701009387E7F00547D6 +:1055F00091461306004097B50100938565E21705B3 +:1056000000001305259AEFB0FFC597B701009387F7 +:1056100067EE814801480547A1461306004097B54B +:1056200001009385E5DF170500001305A597EFB08E +:105630007FC397B701009387E7EB9C4399CBEFE0DB +:105640002FD797B7010023ABA7EA19C5F240056130 +:1056500082807370043001A07370043001A0411186 +:1056600006C622C497B70100938787E89C431307B7 +:105670004006850797B6010023ACF6E6638EE70087 +:105680001307800C6388E7061307C0126387E702DD +:10569000B24022444101828017B40100130404E6A1 +:1056A0000840EFE0CFE715CD854717B70100232F5E +:1056B000F7E2B24022444101828097B7010093870C +:1056C000E7E38843C945EFE08FE485476304F504C9 +:1056D00017B70100232CF7E097B7010023AA07E0D2 +:1056E00045BF08400146C945EFE04FFA8547E31D35 +:1056F000F5FA79BF97B70100938747E08843EFE059 +:105700000FE2C947E306F5F8854717B70100232FD5 +:10571000F7DC45B797B70100938747DD9C4317B780 +:105720000100232707DCADF797B70100938787DDDA +:105730009C43850717B701002326F7DC91BF17B7F5 +:1057400001001307A7DC8328070097B701009387A0 +:10575000A7DB0C4317B601001306C6D894431042CA +:1057600017B80100130848D80327080017B501002F +:10577000232CB5D601456306D600330717413335D0 +:10578000E000904317B701001307C7D797B7010090 +:10579000938707D514439C43184397B5010023A36F +:1057A000C5D4958FB337F000B307F04097B601002A +:1057B00023A8E6D27D8D8280AA95AA876385B7004B +:1057C00003C7070001E73385A74082808507FDB73F +:1057D000814863DA05003305A040B337A000B30564 +:1057E000B0409D8DFD5863D906003306C040B337E5 +:1057F000C000B306D0409D8E32883683AA872E879C +:10580000639F061C97B601009386C6AA63F1C50C78 +:10581000416E6377C60B130EF00F6373CE00214306 +:10582000335E6600F29603CE06001A9E1303000252 +:105830003303C341630C0300B3956500335EC501B8 +:10584000331866003367BE00B3176500135E0801A6 +:10585000B355C70313150801418193D60701337669 +:10586000C703B305B5024206D18E63F8B600C296EF +:1058700063E5060163F3B600C2968D8E33F7C60367 +:10588000C207C183B3D6C603B306D50213150701F9 +:10589000C98F63F8D700C29763E5070163F3D700A8 +:1058A000C297958F33D567008145638A0800B30797 +:1058B000A0403337F000B305B0403E85998D82801B +:1058C000370E00014143E36DC6F5614391BF01E628 +:1058D00005483358680241676373E8081307F00FFF +:1058E00063730701214333576800BA9603C6060065 +:1058F0001A96130300023303C34063190306B385EA +:1059000005419356080113150801418113D607017B +:1059100033F7D502B3D5D5024207518FB305B5028F +:105920006378B7004297636507016373B7004297D6 +:10593000B305B74033F7D502C207C183B3D5D5024B +:10594000B305B50213150701C98F63F8B700C297F5 +:1059500063E5070163F3B700C2978D8FA1B73707DF +:1059600000014143E361E8F86143B5BF33186800C3 +:1059700033DEC500935E08013356C500B3176500DA +:105980003355DE03B39565004D8E93150801C18133 +:10599000935606013377DE033385A5024207558F00 +:1059A0006378A7004297636507016373A700429776 +:1059B000B306A74033F7D60342064182B3D6D603D7 +:1059C0004207B386D502B365C70063F8D500C29518 +:1059D00063E5050163F3D500C295958D1DB7E3E638 +:1059E000D5EC416863F50605930EF00F33B8DE0081 +:1059F0000E0833DE060117B301001303A38B729365 +:105A0000834E0300130E0002C29E330EDE41631765 +:105A10000E0263E4B6006369C500B307C540958D07 +:105A20003335F5003387A5403E85BA85BDBD3703C4 +:105A300000014148E3EF66FA614865BF3357D6017C +:105A4000B396C601D98EB357D50133D7D501B395D7 +:105A5000C501CD8F93D506013373B702139F06019D +:105A6000135F0F0113D807013316C6013315C501A3 +:105A70003357B702420333680301B30FEF023A838F +:105A8000637CF80136981303F7FF6367D8006375EA +:105A9000F8011303E7FF36983308F841B37FB802E3 +:105AA0003358B802C20FB3050F03139F0701135FEA +:105AB0000F0133EFEF014287637CBF00369F13076E +:105AC000F8FF6367DF006375BF001307E8FF369FC9 +:105AD0004203C16F3363E3009387FFFF135806014E +:105AE000330FBF40B375F30013530301F18F3387B6 +:105AF000F502B307F302B385050333030303BE9531 +:105B0000135807012E986373F8007E93C167FD1741 +:105B1000935508013378F80042087D8F9A95429793 +:105B20006366BF00631BBF006379E5003306C740AF +:105B30003337C700958D998D32873307E54033356C +:105B4000E500B305BF40898DB397D5013357C70131 +:105B500033E5E700B3D5C50189BBAE873288368708 +:105B60002A836396062097A801009388A87463FE91 +:105B7000C50A41676374E60A1307F00F3337C7009D +:105B80000E07B356E600B69883C60800369793060C +:105B90000002998E99CAB397D7003357E50033189E +:105BA000D600B365F7003313D50013550801B3D7FA +:105BB000A5021316080141829356030133F7A5028B +:105BC000B305F6024207D98E3E8763FCB600C29643 +:105BD0001387F7FF63E7060163F5B6001387E7FF56 +:105BE000C2968D8EB3F7A602420313530301B3D6B8 +:105BF000A602C20733E36700B305D6023685637B8E +:105C0000B30042931385F6FF636603016374B30028 +:105C10001385E6FF4207498F81454DA8B70600016D +:105C20004147E360D6F66147A9BF01E6854633D810 +:105C3000C602C166637ED8089306F00F63F30601BF +:105C40002147B356E800B69883C60800BA961307F2 +:105C50000002158F49E7B3870741854513560801B0 +:105C60009318080193D808019356030133F7C7022C +:105C7000B3D7C7024207D98E3385F8023E8763FC4B +:105C8000A600C2961387F7FF63E7060163F5A60037 +:105C90001387E7FFC296898EB3F7C60242031353F8 +:105CA0000301B3D6C602C20733E36700B388D80244 +:105CB0003685637B130142931385F6FF6366030108 +:105CC000637413011385E6FF4207498F3A8582808A +:105CD000B70600014147E366D8F661479DB7331820 +:105CE000E800B3D5D7003313E500B356D5001355FC +:105CF0000801B397E70033F7A502B3E8F60093175E +:105D00000801C18313D60801B3D5A5024207518FFC +:105D1000B386B7022E86637CD70042971386F5FFC1 +:105D2000636707016375D7001386E5FF4297B306E3 +:105D3000D74033F7A602C20893D80801B3D6A6020B +:105D40004207B385D702B3671701368763FCB700F4 +:105D5000C2971387F6FF63E7070163F5B700138760 +:105D6000E6FFC2978D8F93150601D98DC5BD63E2FD +:105D7000D514416763FEE6021308F00F3337D800ED +:105D80000E0733D8E60097A501009385A552C2956A +:105D900003C80500930500023A98B385054185E1E3 +:105DA0000547E3E5F6F23335C5001347150039BF63 +:105DB000B70500014147E3E6B6FC6147D9B7335761 +:105DC0000601B396B600D98E93DE060133D70701DC +:105DD000B378D703B397B700335805013363F8009E +:105DE00093970601C183135803013316B600335746 +:105DF000D703C20833E80801338FE7023A8E637C89 +:105E0000E8013698130EF7FF6367D8006375E80161 +:105E1000130EE7FF36983308E841B378D8033358B8 +:105E2000D803C208B38E070393170301C183B3E7F6 +:105E3000F800428763FCD701B6971307F8FF63E7C2 +:105E4000D70063F5D7011307E8FFB697420EB38773 +:105E5000D741C16E3367EE001388FEFF337307012D +:105E600093580701337806014182330E03033388C8 +:105E7000080393560E013303C30242939A96B388E4 +:105E8000C80263F30601F69813D60601B29863E0E0 +:105E90001703E39317D9C167FD17FD8EC206337E42 +:105EA000FE003315B500F2968145E371D5E27D170A +:105EB000A5B38145014719BDB2883687AA872E88C8 +:105EC0006398061C97A601009386C63E63F8C50A30 +:105ED0004163637E66081303F00F6373C3002147B9 +:105EE0003353E6009A9603CE0600130300023A9E4F +:105EF0003303C341630C0300B3956500335EC501F2 +:105F0000B31866003368BE00B317650013D60801E6 +:105F10003377C80213950801418193D6070133589E +:105F2000C8024207D98E3308050363F80601C696F6 +:105F300063E5160163F30601C696B386064133F79F +:105F4000C602C207C183B3D6C602B306D502131573 +:105F50000701C98F63F8D700C69763E5170163F39C +:105F6000D700C697958F33D5670081458280370368 +:105F700000014147E36666F661479DB701E60546C5 +:105F8000B3581603416663F3C8081306F00F637332 +:105F90001601214733D6E800B29603CE060013035C +:105FA00000023A9E3303C34163190306B3851541CA +:105FB00013D7080113950801418113D60701B3F6E1 +:105FC000E502B3D5E502C206D18EB305B50263F88A +:105FD000B600C69663E5160163F3B600C696B385B0 +:105FE000B640B3F6E502C207C183B3D5E502B305F7 +:105FF000B50213950601C98F63F8B700C69763E52C +:10600000170163F3B700C6978D8FB1BF3706000144 +:106010004147E3E1C8F86147B5BFB398680033D79B +:10602000C501B3176500335EC50113D50801B3760A +:10603000A702B3956500336EBE0093950801C18138 +:1060400013560E013357A702C206D18E3387E502DD +:1060500063F8E600C69663E5160163F3E600C696AC +:106060003386E640B376A602420E135E0E01335627 +:10607000A602C2063386C502B3E5C60163F8C500B1 +:10608000C69563E5150163F3C500C695918D0DB7FF +:10609000E3EED5EC416763F5E604930EF00F33B7FA +:1060A000DE000E0733D3E60097A80100938888200E +:1060B0009A9883CE0800130E0002BA9E330EDE417A +:1060C00063170E0263E4B6006369C500B307C540F9 +:1060D000958D3335F5003388A5403E85C28579B569 +:1060E000B70800014147E3EF16FB614765BF33572F +:1060F000D601B396C601D98EB357D50133D7D50192 +:10610000B395C501CD8F93D506013373B702139FA5 +:106110000601135F0F0193D807013316C60133152B +:10612000C5013357B7024203B36813013308EF02C6 +:106130003A8363FC0801B6981303F7FF63E7D800BE +:1061400063F508011303E7FFB698B3880841B3FF6E +:10615000B802B3D8B802C20FB3051F03139F0701DB +:10616000135F0F0133EFEF014687637CBF00369F5B +:106170001387F8FF6367DF006375BF001387E8FFCD +:10618000369F4203C1683363E3009387F8FF1358D7 +:106190000601330FBF40B375F30013530301F18FB2 +:1061A0003387F502B307F302B38505033303030313 +:1061B000BE95135807012E986373F8004693C16784 +:1061C000FD17935508013378F80042087D8F9A95A2 +:1061D00042976366BF00631BBF006379E500330627 +:1061E000C7403337C700958D998D32873307E54017 +:1061F0003335E500B305BF40898DB397D5013357DB +:10620000C70133E5E700B3D5C5018DB397A70100FA +:106210009387C729944317A701001307E72718435B +:10622000C8C2637BE50017A701001307A72708432F +:106230008C4391056FA0CFB0411122C406C617A7A9 +:106240000100130767262A8408438C439105EFA0B9 +:106250002FAF97A70100938767229C436376F400D2 +:1062600097A7010023AC8720B240224441018280DD +:1062700097A7010093878723984394437C43FD1796 +:106280007CC3FC4299E3736004308280011122CC0C +:1062900026CA2A84AE844AC84EC652C456C206CE06 +:1062A000EFB0DFA51C4003290401B7090001B3E5E5 +:1062B0009700930AC4000CC08144FD19370A0002FC +:1062C0001840638C2A03032609004A85B3654701F9 +:1062D000B376360193175600758F0329490063C4BE +:1062E000070001E7F1BFE39DE6FC9317760063D357 +:1062F0000700D58CEFC07F8FE1B793C4F4FFF98C12 +:1063000004C0EFB0BFC40840F2406244D2444249E6 +:10631000B249224A924A05618280411106C622C4CE +:10632000EFE05FC017F7FFFF1307C7B381460546CD +:106330009305400617A501001305C50CEFD06FDFCC +:1063400019C92A84EFB09F9C2A860147814685455A +:106350002285EFD0AFEAEFB0EFF4B240224401451E +:0463600041018280F5 +:02000004800278 +:100000004445FEFF4246FEFF4C45FEFF4246FEFFD2 +:100010004246FEFF4246FEFF4246FEFF6445FEFFAB +:100020004246FEFF4246FEFF7E45FEFF8A45FEFF3A +:100030004246FEFF9C45FEFFA845FEFFA845FEFF89 +:10004000A845FEFFA845FEFFA845FEFFA845FEFF08 +:10005000A845FEFFA845FEFFA845FEFF4246FEFF5D +:100060004246FEFF4246FEFF4246FEFF4246FEFF7C +:100070004246FEFF4246FEFF4246FEFF4246FEFF6C +:100080004246FEFF4246FEFF4246FEFF4246FEFF5C +:100090004246FEFF4246FEFF4246FEFF4246FEFF4C +:1000A0004246FEFF4246FEFF4246FEFF4246FEFF3C +:1000B0004246FEFF4246FEFF4246FEFF4246FEFF2C +:1000C0004246FEFF4246FEFF4246FEFF4246FEFF1C +:1000D0004246FEFF4246FEFF4246FEFF4246FEFF0C +:1000E0004246FEFF4246FEFF4246FEFF4246FEFFFC +:1000F0004246FEFF4246FEFF4246FEFF4246FEFFEC +:10010000DC45FEFFF245FEFF4246FEFF4246FEFF93 +:100110004246FEFF4246FEFF4246FEFF4246FEFFCB +:100120004246FEFF3846FEFF4246FEFF4246FEFFC5 +:10013000EA43FEFFA244FEFF4246FEFF4246FEFFA8 +:10014000D844FEFF4246FEFF3045FEFF4246FEFF1A +:100150004246FEFF5E45FEFF5049FEFFA449FEFFFA +:100160005849FEFFA449FEFFA449FEFFA449FEFF33 +:10017000A449FEFF4A48FEFFA449FEFFA449FEFF32 +:100180006448FEFF7048FEFFA449FEFF8248FEFF60 +:100190008E48FEFF8E48FEFF8E48FEFF8E48FEFF13 +:1001A0008E48FEFF8E48FEFF8E48FEFF8E48FEFF03 +:1001B0008E48FEFFA449FEFFA449FEFFA449FEFFAE +:1001C000A449FEFFA449FEFFA449FEFFA449FEFF87 +:1001D000A449FEFFA449FEFFA449FEFFA449FEFF77 +:1001E000A449FEFFA449FEFFA449FEFFA449FEFF67 +:1001F000A449FEFFA449FEFFA449FEFFA449FEFF57 +:10020000A449FEFFA449FEFFA449FEFFA449FEFF46 +:10021000A449FEFFA449FEFFA449FEFFA449FEFF36 +:10022000A449FEFFA449FEFFA449FEFFA449FEFF26 +:10023000A449FEFFA449FEFFA449FEFFA449FEFF16 +:10024000A449FEFFA449FEFFA449FEFFA449FEFF06 +:10025000A449FEFFA449FEFFC248FEFFF048FEFF8E +:10026000A449FEFFA449FEFFA449FEFFA449FEFFE6 +:10027000A449FEFFA449FEFFA449FEFF4649FEFF34 +:10028000A449FEFFA449FEFFA846FEFFA247FEFFC9 +:10029000A449FEFFA449FEFF1448FEFFA449FEFF47 +:1002A0003A48FEFFA449FEFFA449FEFF9E49FEFF17 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020787878207461736B73200D0A00000018 +:1003E0006D69616F750A00006D69616F75320A0091 +:1003F00068756E6772790A0054696D657232000023 +:10040000436865636B54696D657200005761697478 +:100410004F0000005365744200000000526E647685 +:0404200000000000D8 +:100424001000000000000000017A5200017C01016C +:100434001B0D020010000000180000009053FEFF86 +:100444008A03000000000000100000002C000000DF +:100454000657FEFF5E0300000000000010000000CD +:1004640040000000505AFEFF54030000000000004A +:08050C00FC8F01000900000052 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/GenQTest_rv32i_O0.hex b/VexRiscv/src/test/resources/freertos/GenQTest_rv32i_O0.hex new file mode 100644 index 0000000..49fcd77 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/GenQTest_rv32i_O0.hex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diff --git a/VexRiscv/src/test/resources/freertos/GenQTest_rv32i_O3.hex b/VexRiscv/src/test/resources/freertos/GenQTest_rv32i_O3.hex new file mode 100644 index 0000000..c06685e --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/GenQTest_rv32i_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/GenQTest_rv32ic_O0.hex b/VexRiscv/src/test/resources/freertos/GenQTest_rv32ic_O0.hex new file mode 100644 index 0000000..95a8634 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/GenQTest_rv32ic_O0.hex @@ -0,0 +1,1585 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900021B8170502001305E53A979503009385EB +:1000A000256C01461122170502001305A535970599 +:1000B00002009385A5350146C52817A50300130541 +:1000C000656A97B503009385A56937B6ABAB130690 +:1000D000B6BAD92817B103001301C168EF40807D7B +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2021D23A02200F3221034A1 +:1002400096DEEF006030170102000321A11B02417E +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E21923A0120023A2B9 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40804D170102000321E2 +:10033000610D0241F65273901234B722000093828D +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A20B83A0C0 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A202FB23A0220086DEEF1097 +:10046000B040170102000321E1F90241F6527390F6 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F0961730020309707BF +:1004C00002009387E7F49843FD576305F7007370C4 +:1004D000043001A07370043001A041112AC6B24754 +:1004E000739047300100410182804111F327403071 +:1004F0003EC673F04330B2473E85410182804111D0 +:100500002AC62EC432C2B247F1173EC62247B247AE +:1005100098C3B247938787FA3EC61247B24798C33B +:10052000B247A1173EC68E873E87B24798C3B247EF +:10053000D1173EC6170700001307A7F8B24798C3A4 +:10054000B2473E8541018280411106C6EF40A0239B +:10055000EF10D014AA8799C3EF1010310100B240F8 +:100560004101828041112AC6B24713878700B247F2 +:10057000D8C3B2477D5798C7B24713878700B247A1 +:10058000D8C7B24713878700B24798CBB24723A09A +:10059000070001004101828041112AC6B24723A809 +:1005A000070001004101828001112AC62EC4B24712 +:1005B000DC433ECEA2477247D8C3F2479847A247D2 +:1005C00098C7F2479C472247D8C3F247224798C7AB +:1005D000A247324798CBB2479C4313871700B247D4 +:1005E00098C301000561828001112AC62EC4A2476A +:1005F0009C433ECC6247FD576316F700B2479C4BC5 +:100600003ECE31A8B247A1073ECE21A0F247DC433F +:100610003ECEF247DC439C436247E379F7FEF24764 +:10062000D843A247D8C3A247DC43224798C7A24772 +:10063000724798C7F2472247D8C3A247324798CBA0 +:10064000B2479C4313871700B24798C30100056166 +:10065000828001112AC6B2479C4B3ECEB247DC4392 +:100660003247184798C7B2479C4732475843D8C3C8 +:10067000F247DC4332476316F700B2479847F24728 +:10068000D8C3B24723A80700F2479C431387F7FF5C +:10069000F24798C3F2479C433E8505618280797199 +:1006A00006D622D42AC62EC4B2473ECEF24781E7F0 +:1006B0007370043001A0EF202057F2478043F247C7 +:1006C000D85FF247BC43BE853A85EF50E070AA87F9 +:1006D0003307F400F247D8C3F24723AC0702F247CE +:1006E0009843F24798C7F2478043F247DC5F13878D +:1006F000F7FFF247BC43BE853A85EF50E06DAA870D +:100700003307F400F247D8C7F2477D57F8C3F247E2 +:100710007D57B8C7A24799EFF2479C4B8DC7F24768 +:10072000C1073E85EF1090402A878547631DF7007B +:10073000F13911A8F247C1073E852D35F24793875D +:1007400047023E850535EF20005185473E85B25072 +:10075000225445618280797106D62AC62EC4B2879A +:10076000A303F10002CCB24781E77370043001A00B +:10077000A24799E302CE01A8A2453245EF50C065D9 +:10078000AA8785073ECEF247938747053E85EF301F +:10079000805C2ACAD24785CFA24789E7D247524711 +:1007A00098C331A0D24713874705D24798C3D24791 +:1007B0003247D8DFD2472247B8C385455245C535B1 +:1007C000D247034771002388E704D2473ECCE24773 +:1007D00081E77370043001A0E2473E85B250456165 +:1007E0008280797106D6AA87A307F1001305400518 +:1007F000EF3060562ACEF247B9CFF24723A2070066 +:10080000F24723A00700F24723A40700F24723A6DC +:100810000700F24723AC0702F2470547D8DFF2474B +:1008200023A00704F2477D57F8C3F2477D57B8C7A6 +:10083000F2470347F1002388E704F247C1073E85EA +:100840001533F247938747023E85293B814601468F +:10085000814572453122F2473E85B2504561828022 +:10086000797106D622D42AC6B2473ECCE24781E748 +:100870007370043001A0E247C043EF20001DAA8737 +:100880006313F402E247DC471387F7FFE247D8C758 +:10089000E247DC4791E78146014681456245C92030 +:1008A00085473ECE11A002CEF2473E85B25022547B +:1008B00045618280797106D622D42AC62EC4B247F9 +:1008C0003ECCE24781E77370043001A0E247C043A9 +:1008D000EF20A017AA87631BF400E247DC471387C9 +:1008E0001700E247D8C785473ECE0DA08146224675 +:1008F00081456245252E2ACE724785476318F70049 +:10090000E247DC4713871700E247D8C7F2473E8526 +:10091000B250225445618280797106D62AC62EC40F +:10092000B24781E77370043001A02247B24763F5F4 +:10093000E7007370043001A0094681453245213D2E +:100940002ACEF24781C7F247224798DFF24781E774 +:100950007370043001A0F2473E85B2504561828039 +:10096000397106DE2AC62EC432C236C002D6B2475C +:100970003ED4A25781E77370043001A0A24781E7FB +:10098000A257BC4399E3854711A0814781E7737063 +:10099000043001A0024789476317F700A257D85FC8 +:1009A00085476314F700854711A0814781E773707D +:1009B000043001A0EF20C00AAA8799E3924799E387 +:1009C000854711A0814781E77370043001A0EF20B3 +:1009D000A025A257985FA257DC5F6366F700024725 +:1009E0008947631DF7020246A245225565232AD294 +:1009F000A257DC5389CFA257938747023E85EF1059 +:100A0000F0122A8785476317F700093421A092570F +:100A100091C3ED3AEF202024854771A8924789E7DA +:100A2000EF206023814741A8B25799E77C083E85B3 +:100A3000EF10702785473ED6EF20E021EF10401AD7 +:100A4000EF20801EA257F843FD576315F700A25709 +:100A500023A20704A257B847FD576315F700A25712 +:100A600023A40704EF20201F58007C08BA853E8588 +:100A7000EF107026AA879DEB2255EF006071AA87C0 +:100A80008DC3A257C1071247BA853E85EF10E070AB +:100A900022551525EF108016AA87E39A07F2BD327A +:100AA0003DB72255092DEF10601515B722552125A8 +:100AB000EF10C01481473E85F25021618280797128 +:100AC00006D62AC62EC432C236C0B2473ECCE24752 +:100AD00081E77370043001A0A24781E7E247BC437D +:100AE00099E3854711A0814781E77370043001A025 +:100AF000024789476317F700E247D85F85476314C9 +:100B0000F700854711A0814781E77370043001A089 +:100B1000E93AAA873ECAE247985FE247DC5F63662C +:100B2000F700024789476315F7040246A24562456C +:100B30009521E247B847FD576313F702E247DC53BC +:100B40008DC7E247938747023E85EF10207EAA8734 +:100B500089CF924799CB9247054798C339A0E2477E +:100B6000BC4713871700E247B8C785473ECE11A0A0 +:100B700002CED2473E859532F2473E85B25045615E +:100B80008280797106D62AC62EC4B2473ECCE2478F +:100B900081E77370043001A0E247BC4381C77370E2 +:100BA000043001A0E2479C4381E7E247DC4399E33C +:100BB000854711A0814781E77370043001A0353269 +:100BC000AA873ECAE247985FE247DC5F6377F70493 +:100BD000E2479C5F13871700E24798DFE247B84778 +:100BE000FD576313F702E247DC538DC7E247938753 +:100BF00047023E85EF108073AA8789CFA24799CB21 +:100C0000A247054798C339A0E247BC47138717009E +:100C1000E247B8C785473ECE11A002CED2473E85F7 +:100C20006D38F2473E85B25045618280397106DEEB +:100C30002AC62EC432C236C002D6B2473ED4A2570C +:100C400081E77370043001A0A24781E7A257BC433B +:100C500099E3854711A0814781E77370043001A0B3 +:100C6000EF101060AA8799E3924799E3854711A096 +:100C7000814781E77370043001A0EF10F07AA2572A +:100C80009C5FB5CBA257DC473ED2A2452255F92E38 +:100C9000824795EFA2579C5F1387F7FFA25798DF13 +:100CA000A2579C4391E7EF2060022A87A257D8C33E +:100CB000A2579C4B8DCFA257C1073E85EF1000670E +:100CC0002A8785476315F702EFF04FF40DA0A2576E +:100CD0001257D8C7A257DC5399CBA2579387470224 +:100CE0003E85EF10A064AA8799C3EFF02FF2EF10B2 +:100CF000907685475DA8924789E7EF10D0758147C8 +:100D00006DA0B25799E77C083E85EF10C079854702 +:100D10003ED6EF105074EF00B06CEF10F070A25799 +:100D2000F843FD576315F700A25723A20704A25703 +:100D3000B847FD576315F700A25723A40704EF1027 +:100D4000907158007C08BA853E85EF10C078AA875C +:100D5000A1EB2255CD2EAA879DCFA2579C4391EBA4 +:100D6000EF10906CA257DC433E85EF107052EF10ED +:100D7000906EA257938747021247BA853E85EF10BF +:100D8000C04122550D2EEF007067AA87E39707EE4A +:100D9000EFF0CFE7DDB52255392EEF003066F1BD1B +:100DA0002255112EEF00906581473E85F25021615A +:100DB0008280797106D62AC62EC432C2B2473ECC92 +:100DC000E24781E77370043001A0A24781E7E24760 +:100DD000BC4399E3854711A0814781E773700430D4 +:100DE00001A0EFF08FF0AA873ECAE2479C5FA1CB3B +:100DF000A2456245A52CE2479C5F1387F7FFE247B7 +:100E000098DFE247F843FD576312F702E2479C4B35 +:100E100085C7E247C1073E85EF104051AA8789CFB9 +:100E2000924799CB9247054798C339A0E247FC43C4 +:100E300013871700E247F8C385473ECE11A002CEC4 +:100E4000D2473E85EFF06FE9F2473E85B2504561EB +:100E50008280797106D62AC62EC4B2473ECCE247BC +:100E600081E77370043001A0A24781E7E247BC43E9 +:100E700099E3854711A0814781E77370043001A091 +:100E8000E247BC4381E77370043001A0EFF0EFE567 +:100E9000AA873ECAE2479C5F89CFE247DC473EC84B +:100EA000A2456245E122E2474247D8C785473ECE88 +:100EB00011A002CED2473E85EFF02FE2F2473E85E9 +:100EC000B25045618280797106D62AC6B24781E761 +:100ED0007370043001A0EF103055B2479C5F3ECED6 +:100EE000EF107057F2473E85B250456182807971AC +:100EF00006D62AC6B2473ECEF24781E77370043069 +:100F000001A0EF107052F247D85FF2479C5FB30721 +:100F1000F7403ECCEF103054E2473E85B250456179 +:100F2000828001112AC6B24781E77370043001A0A4 +:100F3000B2479C5F3ECEF2473E8505618280797163 +:100F400006D62AC6B2473ECEF24781E77370043018 +:100F500001A07245E5247245EF20F0720100B25005 +:100F60004561828041112AC6B247FC473E85410156 +:100F7000828041112AC62EC4B2472247F8C7010019 +:100F80004101828041112AC6B24783C707053E85C9 +:100F900041018280797106D62AC62EC432C202CEA1 +:100FA000B247BC4391EFB2479C43D5E7B247DC431D +:100FB0003E85EF10903B2ACEB24723A2070061A8DE +:100FC000924785EFB2479847B247BC433E86A24559 +:100FD0003A85EF20F079B2479847B247BC433E9735 +:100FE000B24798C7B2479847B247DC436365F706F4 +:100FF000B2479843B24798C7B9A8B247D847B24753 +:10100000BC433E86A2453A85EF209076B247D8474A +:10101000B247BC43B307F0403E97B247D8C7B24788 +:10102000D847B2479C43637BF700B247D843B247E7 +:10103000BC43B307F0403E97B247D8C71247894731 +:10104000631BF700B2479C5F99C7B2479C5F138749 +:10105000F7FFB24798DFB2479C5F13871700B2478C +:1010600098DFF2473E85B25045618280011106CE7D +:101070002AC62EC4B247BC439DCBB247D847B2471D +:10108000BC433E97B247D8C7B247D847B247DC43C4 +:101090006366F700B2479843B247D8C7B247D8470C +:1010A000B247BC433E86BA852245EF20706C0100F2 +:1010B000F24005618280011106CE2AC6EF10D036BB +:1010C00025A0B247DC5395C7B247938747023E85B8 +:1010D000EF10C025AA8799C3EF104049B247BC471B +:1010E0001387F7FFB247B8C7B247BC47E34BF0FCE2 +:1010F00011A00100B2477D57B8C7EF10D035EF10EF +:10110000B0321DA0B2479C4B8DC7B247C1073E8588 +:10111000EF10C021AA8799C3EF104045B247FC43A6 +:101120001387F7FFB247F8C3B247FC43E34CF0FC28 +:1011300011A00100B2477D57F8C3EF10D031010074 +:10114000F24005618280797106D62AC6EF10D02D53 +:10115000B2479C5F81E785473ECE11A002CEEF10DB +:10116000902FF2473E85B2504561828001112AC618 +:10117000B24781E77370043001A0B2479C5F81E7FA +:1011800085473ECE11A002CEF2473E8505618280A2 +:10119000797106D62AC6EF103029B247985FB24758 +:1011A000DC5F6315F70085473ECE11A002CEEF103D +:1011B000902AF2473E85B2504561828001112AC6CD +:1011C000B24781E77370043001A0B247985FB2471D +:1011D000DC5F6315F70085473ECE11A002CEF247D3 +:1011E0003E850561828001112AC62EC402CE81A0EF +:1011F0001787030013070753F2478E07BA979C43DC +:1012000085E7178703001307E751F2478E07BA9760 +:10121000224798C3178703001307C750F2478E076A +:10122000BA973247D8C301A8F24785073ECE724726 +:101230009D47E3FFE7FA01000561828001112AC69C +:1012400002CE0DA8178703001307C74DF2478E077C +:10125000BA97DC433247631CF70017870300130774 +:10126000674CF2478E07BA9723A0070001A8F24700 +:1012700085073ECE72479D47E3F6E7FC0100056116 +:101280008280797106D62AC62EC432C2B2473ECEBB +:10129000EF109019F247F843FD576315F700F24736 +:1012A00023A20704F247B847FD576315F700F2473A +:1012B00023A40704EF10301AF2479C5F89EBF24732 +:1012C000938747021246A2453E85EF00907E724505 +:1012D000DD330100B25045618280397106DE2ACECD +:1012E0002ECC36C83AC63EC442C246C0B287231B83 +:1012F000F100F24781E77370043001A03247A5473F +:1013000063F5E7007370043001A0835761019245D3 +:101310003E85EF1080512AD4A257638F0712A2573F +:10132000985B83566101B7070040FD17B6978A079F +:10133000BA973ED29257F19B3ED292578D8B81C77E +:101340007370043001A0835761013E8782463246A4 +:10135000E2452255EF10E0274246F2451255EFF0E4 +:101360000F9A2A87A25798C3A24781C7A24722573C +:1013700098C3EF10700B97F701009387E7279C4302 +:101380001387170097F701009387072798C397F7EC +:101390000100938727079C4395E397F70100938704 +:1013A0006706225798C397F701009387E72498436D +:1013B0008547631AF702EF10C02C35A097F701009C +:1013C000938747249C4385E397F70100938787031E +:1013D0009C43DC5732476368F70097F70100938717 +:1013E0006702225798C397F701009387A7229C436F +:1013F0001387170097F701009387C72198C397F7C2 +:101400000100938727219843A257B8C7A257D857FE +:1014100097F701009387C71E9C4363F9E700A25723 +:10142000D85797F701009387A71D98C3A257D8579D +:10143000BA878A07BA978A0717F701001307470880 +:101440003E97A2579107BE853A85EFF0EF95854705 +:101450003ED6EF10500019A0FD573ED632578547B3 +:101460006313F70297F701009387C7199C4381CF55 +:1014700097F70100938707F99C43DC57324763F4E1 +:10148000E700EFE0BFF8B2573E85F250216182805D +:10149000797106D62AC6EF102079B24799E797F7F7 +:1014A0000100938727F69C4311A0B2473ECEF24736 +:1014B00091073E85EFF0EF99F2479C5791C7F247AD +:1014C000E1073E85EFF0EF98F2479107BE8517F5EB +:1014D00001001305A50FEFF02F8D97F701009387FB +:1014E00027109C431387170097F701009387470F36 +:1014F00098C397F701009387E7119C431387170060 +:1015000097F701009387071198C3EF10C07497F7FE +:1015100001009387270F9C439DCB97F7010093878A +:1015200067EE9C437247631EF70097F701009387AD +:10153000E70E9C4381C77370043001A0EFE01FEDFC +:1015400039A0EF10606EEF10A04BEF10C0700100DB +:10155000B25045618280797106D62AC62EC402CE69 +:10156000B24781E77370043001A0A24781E773702E +:10157000043001A097F701009387470A9C4381C775 +:101580007370043001A0A92D97F701009387070716 +:101590009C433ECCB2479C432247BA973ECAB247CF +:1015A0009C436247637EF700B2479C435247637493 +:1015B000F7025247E24763F0E70285473ECE21A893 +:1015C000B2479C4352476366F7005247E24763F4D1 +:1015D000E70085473ECEB247524798C3F24789CFCE +:1015E00097F70100938707E29C4391073E85EFF050 +:1015F0004F865245EF10001B11252AC8C24799E3B8 +:10160000EFE0DFE00100B25045618280797106D6DB +:101610002AC602CEB247A9C397F7010093870700F5 +:101620009C4381C77370043001A05D2B97F70100C4 +:101630009387C7FC9C433247BA973ECC97F701008B +:10164000938747DC9C4391073E85EFF08F8062458E +:10165000EF10401565232ACEF24799E3EFE01FDB38 +:101660000100B25045618280797106D62AC6B24720 +:101670003ECCE24781E77370043001A097F7010088 +:10168000938747D89C4362476314F70002CEBDA0FE +:10169000EF108059E247DC4B3ECAEF10C05B97F772 +:1016A00001009387E7F09C435247630AF70097F7DE +:1016B0000100938727F09C4352476315F700894741 +:1016C0003ECE2DA8524797F701009387A7F1631BE1 +:1016D000F700E2479C5781E78D473ECE05A089473A +:1016E0003ECE29A8524797F70100938727EE63154E +:1016F000F70091473ECE19A085473ECEF2473E8582 +:10170000B25045618280797106D62AC6EF10C05169 +:10171000B24799E797F701009387C7CE9C4311A082 +:10172000B2473ECEF247DC573ECCEF10C052E24704 +:101730003E85B25045618280797106D62AC6EFE0B7 +:10174000DFDAAA873ECEB24799E797F70100938781 +:1017500067CB9C4311A0B2473ECCE247DC573ECA60 +:10176000F2473E85EFE07FD7D2473E85B2504561D4 +:101770008280797106D62AC62EC402CE2247A5479A +:1017800063F5E7007370043001A02247A54763F4B6 +:10179000E700A5473EC4EF102049B24799E797F705 +:1017A0000100938727C69C4311A0B2473ECCE24775 +:1017B000BC4B3ECA5247A247630AF70E2247D247A4 +:1017C00063F6E70297F701009387C7C39C4362471C +:1017D0006308F70297F701009387C7C29C43DC5761 +:1017E0002247636FF70085473ECE19A897F701009F +:1017F000938747C19C4362476314F70085473ECEF9 +:10180000E247DC573EC8E247B84BE247DC57631576 +:10181000F700E2472247D8D7E2472247B8CBE24752 +:101820009C4F63C707002947A2471D8FE24798CF07 +:10183000E247D44B4247BA878A07BA978A0717F715 +:1018400001001307E7C7BA976394F600854711A014 +:101850008147B9C7E24791073E85EFE09FDFE24746 +:10186000D85797F701009387A7D99C4363F9E700FE +:10187000E247D85797F70100938787D898C3E24784 +:10188000D857BA878A07BA978A0717F7010013074C +:1018900027C33E97E2479107BE853A85EFE0DFD048 +:1018A000724785476314F700EFE05FB6EF10A03A88 +:1018B0000100B25045618280797106D62AC6EF10C8 +:1018C000A036B24799E797F701009387A7B39C43E7 +:1018D00011A0B2473ECEF24791073E85EFE07FD799 +:1018E000F2479C5791C7F247E1073E85EFE07FD66C +:1018F000F2479107BE8517F501001305A5CEEFE06D +:10190000BFCAEF10403597F701009387A7AF9C43FC +:1019100072476319F70497F701009387A7CE9C439A +:1019200089CF97F70100938767CF9C4381C7737076 +:10193000043001A0EFE09FAD91A097F701009387DD +:1019400067CA984397F70100938707CB9C436319B5 +:10195000F70097F701009387E7AA23A0070039A8AB +:10196000212729A897F701009387C7C99C4399C7E6 +:10197000EF10802BEF10C008EF10E02D0100B250E7 +:101980004561828001112AC602CEB2473ECCB247E1 +:1019900081E77370043001A0E247D84B97F701004C +:1019A000938747C46314F700854711A0814795C307 +:1019B000E247985797F70100938707C0630BF7003A +:1019C000E2479C5799E3854711A0814799C3854712 +:1019D0003ECEF2473E8505618280797106D62AC6E1 +:1019E000B2473ECEB24781E77370043001A0F247A0 +:1019F000C9C797F701009387E7A09C437247630E1E +:101A0000F706EF1060227245B53F2A8785476314B9 +:101A1000F706F24791073E85EFE0BFC3F247D8577C +:101A200097F701009387C7BD9C4363F9E700F2472E +:101A3000D85797F701009387A7BC98C3F247D857A8 +:101A4000BA878A07BA978A0717F70100130747A7CB +:101A50003E97F2479107BE853A85EFE0FFB4F24723 +:101A6000D85797F701009387E7999C43DC57636445 +:101A7000F700EFE0BF99EF10001E0100B250456182 +:101A80008280797106D62AC602CEB2473ECCB247D2 +:101A900081E77370043001A0EFE03FA5AA873ECA3A +:101AA0006245CD352A8785476314F70897F701000B +:101AB0009387C7B69C43A5E7E247D85797F701003D +:101AC000938747949C43DC576364F70085473ECE79 +:101AD000E24791073E85EFE0DFB7E247D85797F737 +:101AE00001009387E7B19C4363F9E700E247D857C9 +:101AF00097F701009387C7B098C3E247D857BA87D2 +:101B00008A07BA978A0717F701001307679B3E9762 +:101B1000E2479107BE853A85EFE01FA911A8E24789 +:101B2000E107BE8517F50100130505A9EFE0DFA762 +:101B3000D2473E85EFE07F9AF2473E85B25045613D +:101B40008280011106CE81480148814701478146C4 +:101B50001306004097E501009385C54A171500005C +:101B6000130585A5EFF06FF72AC632478547631541 +:101B7000F700EF10C06D2AC6324785476319F70298 +:101B80007370043097F70100938707A97D5798C3B6 +:101B900097F70100938707A7054798C397F70100B8 +:101BA0009387C7A523A00700EFE0AFEF31A0B247AE +:101BB00081E77370043001A00100F240056182806A +:101BC000411106C67370043097F70100938787A30D +:101BD00023A00700EFE02FFB0100B240410182800B +:101BE00097F70100938787A39C431387170097F704 +:101BF00001009387A7A298C301008280011106CE3D +:101C000002C697F70100938767A19C4381E7737031 +:101C1000043001A0EF10400197F70100938707A05F +:101C20009C431387F7FF97F701009387279F98C37B +:101C300097F701009387879E9C436390071097F75F +:101C400001009387679B9C436389070E59A097F710 +:101C5000010093876796DC47DC473EC4A247E10753 +:101C60003E85EFE01F9FA24791073E85EFE07F9EF4 +:101C7000A247D85797F70100938787989C4363F949 +:101C8000E700A247D85797F701009387679798C353 +:101C9000A247D857BA878A07BA978A0717F7010069 +:101CA000130707823E97A2479107BE853A85EFE06A +:101CB000BF8FA247D85797E701009387A7749C432B +:101CC000DC576368F70097F701009387279405476F +:101CD00098C397F701009387278E9C43ADFB97F736 +:101CE0000100938767929C438DCF35A0452AAA8730 +:101CF00099C797F7010093876791054798C397F7AE +:101D00000100938767909C431387F7FF97F70100C3 +:101D10009387878F98C397F701009387E78E9C433B +:101D2000F1F797F701009387678E9843854763160D +:101D3000F70085473EC6EFE06FEDEF00D071B24788 +:101D40003E85F24005618280011106CEEF00D06D24 +:101D500097F701009387878A9C433EC6EF00B06FD8 +:101D6000B2473E85F24005618280011106CEEFE068 +:101D7000CFF7AA873EC697F70100938727889C4331 +:101D80003EC4B2473E85EFE04FF5A2473E85F240A4 +:101D90000561828097F70100938707869C433E8503 +:101DA0008280797106D62AC62EC432C202CEA947D5 +:101DB0003ECC3D3597F70100938707849C4322472B +:101DC0006368F70CE247FD173ECCF24796073247AF +:101DD000B306F7006247BA878A07BA978A0717E7F8 +:101DE00001001307E76DBA970546BE853685EF00FB +:101DF000902A2A87F247BA973ECEE247E1F7F247A8 +:101E0000960732473E9797E701009387677A9C438E +:101E10000946BE853A85EF0010282A87F247BA970F +:101E20003ECEF247960732473E9797E701009387E9 +:101E300067789C430946BE853A85EF00D0252A87FE +:101E4000F247BA973ECEF24796073247BA97114605 +:101E500097E50100938585773E85EF00D0232A879B +:101E6000F247BA973ECEF24796073247BA970D46E9 +:101E700097E50100938505773E85EF00D0212A87FD +:101E8000F247BA973ECE924781C7924723A00700F8 +:101E9000B533F2473E85B25045618280797106D6EE +:101EA00002CE97E70100938767779C4363950718F5 +:101EB00097E70100938787749C431387170097E780 +:101EC00001009387A77398C397E70100938707736F +:101ED0009C433ECCE247B9EF97E701009387476DFB +:101EE0009C439C4381C77370043001A097E70100B5 +:101EF0009387076C9C433ECA97E701009387876BE3 +:101F0000984397E701009387A76A98C397E7010072 +:101F10009387476A524798C397E701009387476FB3 +:101F20009C431387170097E701009387676E98C358 +:101F3000EF00102D97E701009387076E9C436247DF +:101F40006365F70C97E70100938787669C439C4382 +:101F500099E3854711A0814781CB97E701009387DB +:101F6000A76B7D5798C355A097E7010093874764F7 +:101F70009C43DC47DC473EC8C247DC433EC6624761 +:101F8000B2476379F70097E701009387E768324724 +:101F900098C3A5A8C24791073E85EFE08FEBC247E3 +:101FA0009C5791C7C247E1073E85EFE08FEAC247E1 +:101FB000D85797E701009387A7649C4363F9E7002C +:101FC000C247D85797E701009387876398C3C247F2 +:101FD000D857BA878A07BA978A0717E70100130705 +:101FE000274E3E97C2479107BE853A85EFE0CFDB8B +:101FF000C247D85797E701009387C7409C43DC57F7 +:10200000E362F7F485473ECE35BF97E7010093873B +:10201000673F9C43D85797E601009386664ABA8784 +:102020008A07BA978A07B6979843854763F1E7020C +:1020300085473ECE29A897E701009387E75C9C433C +:102040001387170097E701009387075C98C397E70A +:1020500001009387A75B9C4399C385473ECEF24717 +:102060003E85B25045618280011106CE97E701009E +:102070009387C75A9C4381CB97E701009387075901 +:10208000054798C339A297E701009387275823A0F3 +:10209000070097E701009387E7369C439C5B3EC6A9 +:1020A000B7A7A5A59387575A3EC4B2479C4322477A +:1020B0006314F702B24791079C432247631EF7005F +:1020C000B247A1079C4322476318F700B247B10704 +:1020D0009C4322476308F70497E701009387873200 +:1020E000984397E701009387E7319C439387470321 +:1020F000BE853A85EF20F00A35A097E70100938767 +:1021000027509C4381E77370043001A097E70100DA +:102110009387074F9C431387F7FF97E70100938747 +:10212000274E98C397E701009387874D984397E61A +:1021300001009386E638BA878A07BA978A07B69766 +:102140009C43C5DF97E701009387874B9843BA8785 +:102150008A07BA978A0717E7010013076736BA9705 +:102160003EC29247DC43D8439247D8C39247D843F4 +:102170009247A1076317F7009247DC43D843924781 +:10218000D8C39247DC43D84797E701009387872756 +:1021900098C30100F24005618280797106D62AC693 +:1021A0002EC4B24781E77370043001A097E70100A5 +:1021B000938747259C43E107BE853245EFE0CFC2B8 +:1021C00097E70100938707249C4391073E85EFE042 +:1021D0004FC82247FD576310F70297E70100938726 +:1021E00067229C439107BE8517E501001305853FD3 +:1021F000EFE08FBB19A897E70100938727409C4326 +:102200002247BA973ECE7245712B0100B25045610C +:102210008280797106D62AC62EC432C2B24781E7BF +:102220007370043001A097E701009387273F9C4318 +:1022300081E77370043001A097E701009387871C42 +:102240009C43A24637070080558F98CF97E701003F +:102250009387471B9C43E107BE853245EFE0CFB42F +:1022600097E701009387071A9C4391073E85EFE0AB +:102270004FBE1247FD576310F70297E7010093879F +:1022800067189C439107BE8517E501001305853546 +:10229000EFE08FB119A897E70100938727369C4399 +:1022A0001247BA973ECE7245F5290100B2504561FA +:1022B0008280797106D62AC62EC432C2B24781E71F +:1022C0007370043001A097E701009387A7139C4324 +:1022D000E107BE853245EFE02FAD97E70100938718 +:1022E00067129C4391073E85EFE0AFB612478547E2 +:1022F0006310F70297E701009387C7109C4391078B +:10230000BE8517E501001305E52DEFE0EFA919A83B +:1023100097E701009387872E9C432247BA973ECECA +:10232000724549210100B25045618280797106D61B +:102330002AC6B247DC47DC473ECCE24781E77370F0 +:10234000043001A0E247E1073E85EFE08FB097E758 +:1023500001009387A72C9C43A1EBE24791073E85A0 +:10236000EFE02FAFE247D85797E70100938747295F +:102370009C4363F9E700E247D85797E7010093874A +:10238000272898C3E247D857BA878A07BA978A0797 +:1023900017E701001307C7123E97E2479107BE8572 +:1023A0003A85EFE06FA011A8E247E107BE8517E587 +:1023B000010013056520EFE02F9FE247D85797E70C +:1023C0000100938727049C43DC5763FBE7008547A4 +:1023D0003ECE97E7010093876723054798C311A076 +:1023E00002CEF2473E85B25045618280797106D6B1 +:1023F0002AC62EC497E70100938747229C4381E7B2 +:102400007370043001A02247B70700805D8FB24788 +:1024100098C3B247DC473ECCE24781E77370043093 +:1024200001A03245EFE0EFA2E24791073E85EFE0E1 +:102430004FA2E247D85797E701009387671C9C4358 +:1024400063F9E700E247D85797E701009387471BF6 +:1024500098C3E247D857BA878A07BA978A0717E717 +:1024600001001307E7053E97E2479107BE853A85CD +:10247000EFE08F93E247D85797E70100938787F8FB +:102480009C43DC5763FBE70085473ECE97E701009E +:102490009387C717054798C311A002CEF2473E8520 +:1024A000B2504561828041112AC6B24781E77370FC +:1024B000043001A097E70100938787159843B2473E +:1024C00098C397E70100938767139843B247D8C32F +:1024D000010041018280797106D62AC62EC4B24716 +:1024E00081E77370043001A0A24781E77370043064 +:1024F00001A01D2F97E70100938747109C433ECC16 +:10250000A2479843FD576314F70002CE91A8B24743 +:10251000984397E701009387A70F9C43630AF7004E +:10252000B247DC4362476365F70085473ECE0DA89E +:10253000B247DC4362471D8FA2479C436370F7029A +:10254000A2479843B247D443E247B387F6403E9749 +:10255000A24798C33245813F02CE19A085473ECE9F +:10256000DD2DF2473E85B2504561828097E701003C +:102570009387C709054798C30100828001112AC6C5 +:10258000B24799C7B2473ECCE247FC473ECE11A0C6 +:1025900002CEF2473E850561828001112AC62EC413 +:1025A000B24791C7B2473ECEF2472247F8C7010073 +:1025B00005618280011106CE2AC6852297E70100B7 +:1025C000938707F098438547E3F9E7FEEFD01FE4D0 +:1025D000EDB7397106DE2ACE2ECC32CA36C8BA879C +:1025E0002317F10002D625A06247B257BA9703C756 +:1025F0000700F246B257B697238AE7026247B257FE +:10260000BA9783C7070089CBB25785073ED63257A2 +:10261000BD47E3FBE7FC11A00100F247A3810704DB +:102620005247A54763F4E700A5473ECAF247524721 +:10263000D8D7F2475247B8CBF24723AA0704F2474C +:1026400091073E85EFD05FF5F247E1073E85EFD079 +:10265000BFF4F247724798CB2947D2471D8FF24704 +:1026600098CFF2477247D8D3F24723A20704F24724 +:1026700023AC0704F24723AE07040100F2502161A6 +:102680008280011106CE02C60DA03247BA878A07A2 +:10269000BA978A0717E70100130787E2BA973E85C2 +:1026A000EFD05FECB24785073EC63247A547E3FE51 +:1026B000E7FC17E50100130525EDEFD0BFEA17E5AC +:1026C00001001305A5EDEFD0FFE917E501001305A3 +:1026D000A5EEEFD03FE917E50100130525EFEFD098 +:1026E0007FE817E501001305E5EFEFD0BFE797E7B7 +:1026F00001009387E7EB17E701001307E7E898C3AA +:1027000097E70100938707EB17E70100130707E935 +:1027100098C30100F24005618280011106CE8DA8A8 +:10272000EFF00FCC97E70100938747EA9C4393B7FC +:10273000170093F7F70F3EC6EFF04FCCB247A9EB67 +:10274000E52197E70100938767E8DC47DC473EC453 +:10275000A24791073E85EFD0DFEF97E7010093870F +:10276000A7E99C431387F7FF97E701009387C7E822 +:1027700098C397E701009387A7E69C431387F7FF69 +:1027800097E701009387C7E598C3F1212245912C73 +:1027900097E701009387C7E49C43D9F30100F24017 +:1027A00005618280011106CE2AC697E70100938752 +:1027B00067C59C433247D8C397E70100938707E476 +:1027C0009C4332476372F70297E70100938787DEE5 +:1027D000984397E701009387E7C29C439107BE8522 +:1027E0003A85EFD07FE035A897E70100938747DC73 +:1027F000984397E701009387E7C09C439107BE8504 +:102800003A85EFD07FDE97E701009387E7E09C43AE +:1028100032476378F70097E701009387E7DF324795 +:1028200098C30100F24005618280797106D6AA87BB +:102830002EC42317F100A24789EB8357E1008A07D2 +:102840003E85EF104051AA8711A0A2473ECCE24737 +:1028500085C313050006EF1000502ACEF24789C742 +:10286000F247624798DB31A06245EF10C06111A0CA +:1028700002CEF24781CFF247985B8357E1008A0787 +:102880003E869305500A3A85EF104075F2473E8523 +:10289000B25045618280397106DE22DC2AC62EC420 +:1028A00032C202D6A2479C43638D070EA2473ED494 +:1028B000A257DC43D843A257D8C3A257D843A25744 +:1028C000A1076317F700A257DC43D843A257D8C328 +:1028D000A257DC43DC473ED2A2473ED08257DC43BE +:1028E000D8438257D8C38257D8438257A10763176A +:1028F000F7008257DC43D8438257D8C38257DC4362 +:10290000DC473ECEB25796073247BA97724798C314 +:10291000B25796073247BA97724713074703D8C38F +:10292000B25796073247BA977247384798C7B25797 +:1029300096073247BA971247D8C7B2579607324719 +:10294000BA977247585798CB12478D47631BF700C9 +:10295000F2479C5799C7B25796073247BA9709472B +:10296000D8C7B25796073247BA977247384BD8CB79 +:10297000B25796073247BA9723AC0700F247945BE9 +:10298000B257960732473304F70036850D20AA87E1 +:10299000231EF400B25785073ED672479257E31DB7 +:1029A000F7F2B2573E85F2506254216182800111E4 +:1029B0002AC602CE39A0B24785073EC6F247850730 +:1029C0003ECEB24703C707009307500AE305F7FE60 +:1029D000F24789833ECEF247C207C1833E85056137 +:1029E0008280011106CE2AC6B2479C5B3E85EF105D +:1029F00080493245EF1020490100F2400561828094 +:102A0000411197E701009387A7BA9C439C4399E340 +:102A1000854711A0814781CB97E701009387C7BF06 +:102A20007D5798C305A097E70100938767B89C433B +:102A3000DC47DC473EC6B247D84397E7010093879F +:102A4000A7BD98C3010041018280411197E70100B1 +:102A50009387479B9C433EC6B2473E854101828097 +:102A6000411197E701009387E7B99C4381E78547C8 +:102A70003EC619A897E70100938747BA9C4381E7B0 +:102A800089473EC611A002C6B2473E8541018280F9 +:102A9000797106D62AC6B2473ECEB247F9C3F2478D +:102AA000D85797E701009387E7959C43DC57637AF3 +:102AB000F70AF2479C4F63CC070097E70100938722 +:102AC00067949C43DC5729471D8FF24798CFF24704 +:102AD000D44BF247D857BA878A07BA978A0717E7BD +:102AE00001001307E79DBA976394F600854711A08C +:102AF0008147A5C3F24791073E85EFD09FB597E781 +:102B00000100938727909C43D857F247D8D7F247C4 +:102B1000D85797E701009387A7AE9C4363F9E70076 +:102B2000F247D85797E70100938787AD98C3F247DC +:102B3000D857BA878A07BA978A0717E70100130799 +:102B400027983E97F2479107BE853A85EFD0DFA5DB +:102B500009A897E701009387E78A9C43D857F24773 +:102B6000D8D70100B25045618280797106D62AC655 +:102B7000B2473ECC02CEB247DDC397E70100938750 +:102B800067889C4362476305F7007370043001A0B7 +:102B9000E247FC4B81E77370043001A0E247FC4B35 +:102BA0001387F7FFE247F8CBE247D857E247BC4B21 +:102BB0006307F706E247FC4BBDE3E24791073E851A +:102BC000EFD03FA9E247B84BE247D8D7E247DC57FE +:102BD00029471D8FE24798CFE247D85797E7010072 +:102BE000938707A29C4363F9E700E247D85797E72A +:102BF00001009387E7A098C3E247D857BA878A07AE +:102C0000BA978A0717E701001307878B3E97E247B9 +:102C10009107BE853A85EFD03F9985473ECEF24772 +:102C20003E85B250456182807370043097E70100A1 +:102C30009387479D9C4391CF97D701009387877CCB +:102C40009C43F8430507F8C397D701009387877B18 +:102C50009C430100828097E701009387A79A9C43D9 +:102C60008DCB97D701009387E7799C43FC4395C3AD +:102C700097D70100938707799C43F8437D17F8C3E2 +:102C800097D70100938707789C43FC4399E37360CF +:102C9000043001008280411197D701009387877625 +:102CA0009C439C4F3EC697D701009387A7759C43D2 +:102CB000D85797D701009387E7749C43A946338779 +:102CC000E64098CFB2473E854101828097D7010008 +:102CD000938747739C4389CB97D70100938787726B +:102CE0009C43F84B0507F8CB97D701009387877172 +:102CF0009C433E858280797106D62AC62EC42D3724 +:102D000097D70100938707709C43BC4FADE397D7DB +:102D100001009387276F9C430547F8CFA247A1CBBB +:102D200097D701009387076E9C4391073E85EFD0AC +:102D30005F922247FD576310F70297D701009387F0 +:102D4000676C9C439107BE8517E5010013058589D3 +:102D5000EFD09F8519A897E701009387278A9C43A6 +:102D60002247BA973ECE7245353CEFD02FEAE53583 +:102D7000653D97D701009387E7689C43BC4F3ECCE5 +:102D8000E2479DC3B24789CB97D7010093878767F6 +:102D90009C4323AC070409A897D701009387876653 +:102DA0009C43B84F7D17B8CF97D7010093878765AD +:102DB0009C4323AE07044535E2473E85B25045614A +:102DC0008280797106D62AC62EC432C236C0A93D89 +:102DD00097D70100938707639C43F84F8947630D9A +:102DE000F70697D701009387E7619C43B44F3247BA +:102DF0001347F7FF758FB8CF97D701009387876088 +:102E00009C430547F8CF8247A1CB97D70100938712 +:102E1000675F9C4391073E85EFD0BF830247FD5714 +:102E20006310F70297D701009387C75D9C43910712 +:102E3000BE8517D501001305E57AEFD0EFF619A886 +:102E400097D701009387877B9C430247BA973ECC74 +:102E50006245893AEFD08FDBFD3BF933924789CB4E +:102E600097D701009387075A9C43B84F924798C35E +:102E700097D70100938707599C43F84F8547631400 +:102E8000F70002CE31A897D701009387A7579C433C +:102E9000B44F22471347F7FF758FB8CF85473ECE13 +:102EA00097D70100938707569C4323AE07046533E9 +:102EB000F2473E85B25045618280797106D62AC6B6 +:102EC0002EC432C236C085473ECEB24781E773700A +:102ED000043001A0B2473ECC813B824789C7E2471C +:102EE000B84F824798C3E247FC4F3ECAE2470947C2 +:102EF000F8CF1247914763EEE704924713972700F4 +:102F000097D7010093878710BA97984397D7010006 +:102F10009387C70FBA978287E247B84FA2475D8F62 +:102F2000E247B8CF3DA0E247BC4F13871700E24706 +:102F3000B8CF05A0E2472247B8CF21A8524789471A +:102F40006306F700E2472247B8CF21A002CE11A0C6 +:102F5000010052478547631AF706E24791073E850D +:102F6000EFD02FEFE247D85797D7010093874769F3 +:102F70009C4363F9E700E247D85797D7010093874E +:102F8000276898C3E247D857BA878A07BA978A074B +:102F900017D701001307C7523E97E2479107BE8536 +:102FA0003A85EFD06FE0E2479C5781C773700430D9 +:102FB00001A0E247D85797D701009387A7449C43C5 +:102FC000DC5763F4E700EFD06FC47131F2473E8500 +:102FD000B25045618280397106DE2ACE2ECC32CACB +:102FE00036C83AC685473ED6F24781E7737004304B +:102FF00001A0F2473ED4EFD04FCFAA873ED2C247BE +:1030000089C7A257B84FC24798C3A257FC4F3ED0BA +:10301000A2570947F8CF5247914763EEE704D247DA +:103020001397270097D70100938787FFBA97984394 +:1030300097D701009387C7FEBA978287A257B84FE8 +:10304000E2475D8FA257B8CF3DA0A257BC4F138770 +:103050001700A257B8CF05A0A2576247B8CF21A842 +:10306000025789476306F700A2576247B8CF21A0ED +:1030700002D611A0010002578547631DF708A25729 +:103080009C5781C77370043001A097D701009387C4 +:10309000E7589C43A1EBA25791073E85EFD06FDB29 +:1030A000A257D85797D70100938787559C4363F958 +:1030B000E700A257D85797D701009387675498C362 +:1030C000A257D857BA878A07BA978A0717D7010035 +:1030D0001307073F3E97A2579107BE853A85EFD069 +:1030E000AFCC11A8A257E107BE8517D50100130583 +:1030F000A54CEFD06FCBA257D85797D70100938735 +:1031000067309C43DC5763F7E700B24781C7B2479B +:10311000054798C392573E85EFD02FBCB2573E85E6 +:10312000F25021618280797106D62AC62EC4B24738 +:1031300081E77370043001A0B2473ECEEFD0EFBA02 +:10314000AA873ECCF247FC4F3ECAF2470947F8CF68 +:10315000F247BC4F13871700F247B8CF5247854755 +:10316000631DF708F2479C5781C77370043001A0B4 +:1031700097D701009387874A9C43A1EBF2479107B9 +:103180003E85EFD00FCDF247D85797D701009387F0 +:1031900027479C4363F9E700F247D85797D70100C8 +:1031A0009387074698C3F247D857BA878A07BA97D2 +:1031B0008A0717D701001307A7303E97F2479107F8 +:1031C000BE853A85EFD04FBE11A8F247E107BE8514 +:1031D00017D501001305453EEFD00FBDF247D85774 +:1031E00097D70100938707229C43DC5763F7E700DA +:1031F000A24781C7A247054798C3E2473E85EFD063 +:10320000CFAD0100B25045618280797106D62AC6E1 +:10321000B2473ECCE24799E797D701009387871ED4 +:103220009C4311A0E2473ECC0134E247F84F894766 +:103230006318F700E24723AE070485473ECE11A08E +:1032400002CE113CF2473E85B250456182800111A9 +:1032500006CE02C61D2B97D701009387673F9C437C +:103260009DC38148014881470947814613060040B4 +:1032700097D50100938505DC170500001305051B94 +:10328000EFE0AF852AC6B24781E77370043001A032 +:10329000B2473E85F24005618280397106DE2ACE52 +:1032A0002ECC32CA36C83AC6E24799E302D62DA8D8 +:1032B0001305C002EF00302A2AD6B25795C7F12174 +:1032C000B257724798C3B257624798CFB257524726 +:1032D000D8CFB257424798D3B2573247D8D3B25714 +:1032E00091073E85EFD04FABE24781E77370043022 +:1032F00001A0B2573E85F25021618280397106DE0D +:103300002ACE2ECC32CA36C83AC602D6F24781E758 +:103310007370043001A097D70100938767339C43F3 +:10332000B5CBE2473ED0D2473ED2F2473ED46247C9 +:10333000954763C4E704EFF0AFF22A87894763102B +:10334000F70297D701009387A7309C431810814656 +:103350003246BA853E85EFD0AFE02AD625A897D76A +:1033600001009387E72E9C43181081460146BA85D9 +:103370003E85EFD0EFDE2AD631A897D7010093879C +:10338000272D9C43181081464246BA853E85EFD0D2 +:103390000FF32AD6B2573E85F25021618280011187 +:1033A0002AC6B2473ECEB24781E77370043001A00F +:1033B000F2479C433E8505618280797106D62AC614 +:1033C0002EC497D70100938727289C43DC47DC470E +:1033D0003ECEF24791073E85EFD0AFA7F247D84FD8 +:1033E0008547631BF702F247984FB247BA97B24638 +:1033F0002246BE857245B9222A878547631EF7009B +:1034000001478146324681457245CD3D2ACCE2478F +:1034100081E77370043001A0F247DC537245829754 +:103420000100B25045618280797106D62AC63C08F7 +:103430003E8541282ACEE247BE8572451920692281 +:10344000FDB7797106D62AC62EC4EFE06FF93C08A5 +:103450003E8545282ACEE247B9EFA24799EB32478D +:10346000F24763E8E700EFE06FF9F2453245B13724 +:10347000A9A0A24781CF97D701009387271D9C431E +:103480009C4393B7170093F7F70F3EC497D70100FB +:103490009387071C94433247F247B307F74022460D +:1034A000BE853685EFD0FFDDEFE04FF5AA8791E7C7 +:1034B000EFC0DFF519A0EFE06FF40100B2504561F5 +:1034C000828001112AC697D701009387E7179C4392 +:1034D0009C4393B7170093F7F70F3E87B24798C303 +:1034E000B2479C4391EB97D701009387E7159C4324 +:1034F000DC479C433ECE11A002CEF2473E850561DB +:103500008280797106D62AC6EFE01F842ACE97D72B +:103510000100938727149C4372476377F700692A59 +:10352000B247054798C321A0B24723A0070097D709 +:10353000010093872712724798C3F2473E85B25025 +:1035400045618280797106D62AC62EC432C236C041 +:1035500002CEB2472247D8C3B247324798CB224760 +:10356000924763E8E702124782471D8FB2479C4F9C +:103570006365F70085473ECE99A097D701009387F2 +:10358000E70C9843B2479107BE853A85EFD0CF85C7 +:103590003DA0124782476379F7002247824763655F +:1035A000F70085473ECE21A897D701009387C7092A +:1035B0009843B2479107BE853A85EFD0EF82F24734 +:1035C0003E85B25045618280797106D6D9A0A24766 +:1035D00063C0070CC2473ECEF247DC4B91C7F247AF +:1035E00091073E85EFD0EF865C003E85193F2ACCDF +:1035F000A2472547636FF7081397270097D7010065 +:103600009387C7A3BA97984397D70100938707A3D7 +:10361000BA9782873247F2479C4FBA973247BA86A9 +:103620006246BE857245393F2A8785476313F70690 +:10363000F247DC5372458297F247D84F8547631AA9 +:10364000F7043247F2479C4FBA97014781463E86BE +:103650008145724565312ACAD24785EF73700430BF +:1036600001A03247F24798CFF2479C4F81E7737031 +:10367000043001A0F247984FE247BA97E24662460B +:10368000BE857245C13531A07245EF00C07F11A0E3 +:10369000010097D701009387A7FB9C433800814620 +:1036A0000146BA853E85EFD06FD8AA87E39107F22D +:1036B0000100B25045618280797106D671A097D71A +:1036C0000100938767F89C43DC479C433ECC97D727 +:1036D0000100938767F79C43DC47DC473ECAD2472B +:1036E00091073E85EFC0FFF6D247DC5352458297E3 +:1036F000D247D84F85476319F704D2479C4F62479A +:10370000BA973EC84247E24763F4E702D2474247CE +:10371000D8C3D247524798CB97D701009387C7F2B7 +:103720009843D2479107BE853A85EFC0FFEB29A8A1 +:1037300001478146624681455245C9362AC6B2478D +:1037400081E77370043001A097D701009387C7EF1A +:103750009C439C43ADF797D701009387E7EE9C43CA +:103760003ECE97D70100938767EE984397D7010025 +:10377000938787ED98C397D70100938727ED72470A +:1037800098C30100B25045618280411106C6EFF036 +:10379000AFC997D701009387A7EB9C43C9E717D51B +:1037A00001001305E5E7EFC0FFDB17D501001305A6 +:1037B00065E8EFC03FDB97D701009387E7E817D7AD +:1037C00001001307E7E598C397D70100938707E83F +:1037D00017D70100130707E698C30146B145114505 +:1037E000EFC07FF72A8797D70100938767E698C3D2 +:1037F00097D701009387C7E59C4381E77370043036 +:1038000001A097D701009387A7E49C4389CF97D75E +:1038100001009387E7E39C4397D501009385858456 +:103820003E85EFD05F9CEFF00FC30100B240410135 +:103830008280797106D62AC6B2473ECEB24781E76A +:103840007370043001A0EFF02FBEF247DC4BB337AA +:10385000F00093F7F70F3ECCEFF0EFBFE2473E8565 +:10386000B25045618280797106D62AC6B2473ECEF3 +:10387000B24781E77370043001A0EFF0EFBAF2476E +:103880009C533ECCEFF02FBDE2473E85B2504561E0 +:103890008280797106D62AC62EC4B2473ECEB24780 +:1038A00081E77370043001A0EFF00FB8F2472247B0 +:1038B00098D3EFF04FBA0100B250456182800111F8 +:1038C00006CE714549292AC6B24789CBB24723A003 +:1038D0000700B24791073E85EFC0DFC8B2473E857B +:1038E000F24005618280397106DE2AC62EC432C2DA +:1038F00036C0B2473ED402D21247B70700FFF98F55 +:1039000081C77370043001A0924781E7737004305F +:1039100001A0EFF0EF94AA8799E3824799E38547E6 +:1039200011A0814781E77370043001A0EFE04FAB35 +:10393000A2579C433ED0A245324585240257A24758 +:103940005D8F9247F98F12476310F7020257A24723 +:10395000D98F3ED6A2579843924793C7F7FF7D8FE2 +:10396000A25798C302C025A0824799CFA257938639 +:1039700047001247B7070005D98F0246BE85368536 +:10398000EFE03F8902D621A0A2579C433ED6EFE04C +:10399000EFA62ACE8247B1CBF24799E3EFC01FA72B +:1039A000EFF06FAF2AD63257B7070002F98F85EBD9 +:1039B000EFF08FA7A2579C433ED632579247F98F1C +:1039C0001247631AF700A2579843924793C7F7FF2D +:1039D0007D8FA25798C3EFF00FA885473ED232578C +:1039E000B7070001FD17F98F3ED6B2573E85F2505A +:1039F000216182805D7186C62ACE2ECC32CA36C83D +:103A00003AC6F2473EDA02DC02D8F24781E7737029 +:103A1000043001A06247B70700FFF98F81C77370B8 +:103A2000043001A0E24781E77370043001A0EFF099 +:103A30002F83AA8799E3B24799E3854711A081476D +:103A400081E77370043001A0EFE08F99D2579C4357 +:103A50003ED64246E245325575242AD4A25799CF24 +:103A6000B2573EDE02C6D247A9CBD2579843E247AF +:103A700093C7F7FF7D8FD25798C381A0B24781E7E4 +:103A8000B2573EDE1DA8D24791C76257B707000163 +:103A9000D98F3EDCC24791C76257B7070004D98F60 +:103AA0003EDCD257938647006247E257D98F3246B1 +:103AB000BE853685EFE0EFF502DEEFE02F942AD2E7 +:103AC000B247B9CB925799E3EFC05F94EFF0AF9C48 +:103AD0002ADE7257B7070002F98F8DEBEFF0CF9413 +:103AE000D2579C433EDE4246E2457255212CAA87BE +:103AF00099CBD24789CBD2579843E24793C7F7FF78 +:103B00007D8FD25798C3EFF00F9502D87257B70741 +:103B10000001FD17F98F3EDEF2573E85B640616128 +:103B20008280797106D62AC62EC4B2473ECEB247ED +:103B300081E77370043001A02247B70700FFF98FB7 +:103B400081C77370043001A0EFF00F8EF2479C43E1 +:103B50003ECCF2479843A24793C7F7FF7D8FF247C9 +:103B600098C3EFF04F8FE2473E85B25045618280A7 +:103B7000797106D62AC6B2473ECEEFC01F97AA87F4 +:103B80003ECCF2479C433ECAE2473E85EFC0FF94DD +:103B9000D2473E85B250456182805D7186C62AC695 +:103BA0002EC402DCB2473ED802DAB24781E7737016 +:103BB000043001A02247B70700FFF98F81C7737057 +:103BC000043001A0C25791073ED6B257A1073ED498 +:103BD000EFE00F81B257DC473EDEC2579843A24761 +:103BE0005D8FC25798C3BDA8F257DC433ED2F2574F +:103BF0009C433ED002DA0257B70700FFF98F3ECE52 +:103C00000257B7070001FD17F98F3ED07247B7077B +:103C10000004F98F89EBC25798438257F98F89CFF7 +:103C200085473EDA11A8C25798438257F98F025749 +:103C30006314F70085473EDAD2579DC37247B70732 +:103C40000001F98F89C762578257D98F3EDCC2576E +:103C50009843B7070002D98FBE857255EFE00FF980 +:103C600092573EDE7257A257E310F7F8C2579843B7 +:103C7000E25793C7F7FF7D8FC25798C3EFD01FF865 +:103C8000C2579C433E85B64061618280797106D6F9 +:103C90002AC6B2473ECEF24791073ECCEFD05FF442 +:103CA0000DA0E247D847E247A1076315F7007370FC +:103CB000043001A0E247DC47B70500023E85EFE093 +:103CC000EFF2E2479C43F1FF7245752AEFD01FF3F4 +:103CD0000100B25045618280011106CE2AC62EC471 +:103CE000A24532455D3D0100F2400561828001112F +:103CF00006CE2AC62EC4A245324525350100F24023 +:103D00000561828001112AC62EC432C202CE9247BA +:103D100081EB3247A247F98F81CF85473ECE09A874 +:103D20003247A247F98F22476314F70085473ECEFA +:103D3000F2473E850561828001112AC6B2473ECC1A +:103D4000B24799E302CE21A0E2479C4F3ECEF24714 +:103D50003E8505618280397106DE2AC602D2EFD027 +:103D60003FE897670300938727909C4381EB552298 +:103D7000976703009387478F054798C3B24789CF5A +:103D8000A1473E87B247BA973EC6B2478D8B89C7D7 +:103D9000B247F19B91073EC6B247F9CB3247E56780 +:103DA000ED1763E7E70C976703009387E78A3ED434 +:103DB000976703009387478A9C433ED631A0B2574A +:103DC0003ED4B2579C433ED6B257DC43324763F5EC +:103DD000E700B2579C43E5F7325797670300938794 +:103DE00027886307F708A2579C432147BA973ED21A +:103DF000B2579843A25798C3B257D843B247B307B4 +:103E0000F740214706076378F7043257B247BA975D +:103E10003ECEB257D843B2471D8FF247D8C3B257F0 +:103E20003247D8C3F247DC433ECC97670300938701 +:103E3000A7823ED021A082579C433ED082579C430C +:103E4000DC436247E3E9E7FE82579843F24798C3B1 +:103E50008257724798C397C701009387E75B9843DF +:103E6000B257DC431D8F97C701009387E75A98C369 +:103E7000EFD0DFD8925799E3EF00103292573E858A +:103E8000F25021618280797106D62AC6B2473ECCB3 +:103E9000B247BDC3A147B307F0406247BA973ECCD3 +:103EA000E2473ECAEFD0DFD3D247DC433EC8975744 +:103EB00003009387677A3ECE21A0F2479C433ECE13 +:103EC000F2479C43DC434247E3E9E7FEF24798436D +:103ED000D24798C3F247524798C3D247D84397C7AF +:103EE0000100938767539C433E9797C701009387D0 +:103EF000A75298C3EFD09FD00100B2504561828095 +:103F000097C70100938747519C433E8582800100FB +:103F10008280411197C7010093874774F19B3EC689 +:103F20009757030093874773324798C39757030007 +:103F30009387877223A207009757030093874772DE +:103F400065677117D8C3975703009387677123A0DC +:103F50000700B2473EC4A24765677117D8C3A2479E +:103F6000175703001307C76F98C3010041018280F0 +:103F700001112AC62EC432C2A2473ECEB2473ECC61 +:103F80003247A247D98F8D8BA1E319A8F2479843F6 +:103F9000E24798C3E24791073ECCF24791073ECEF5 +:103FA0009247F5173247BA976247E361F7FE29A8AF +:103FB0007247930717003ECEE2479386170036CC30 +:103FC000034707002380E70032479247BA976247CA +:103FD000E360F7FEB2473E850561828001112AC683 +:103FE0002EC432C232479247D98F8D8B9DEFA247A4 +:103FF00093F7F70F3ECAD247A2075247D98F3ECA5E +:10400000D247C2075247D98F3ECAB2473ECE39A0E7 +:10401000F247138747003ACE524798C33247924738 +:10402000BA977247E366F7FE1DA0B2473ECC11A8CF +:10403000E247138717003ACC22471377F70F238004 +:10404000E70032479247BA976247E363F7FEB24709 +:104050003E850561828001112AC6B2473ECE21A06D +:10406000F24785073ECEF24783C70700F5FB72474C +:10407000B247B307F7403E850561828001112AC629 +:104080002EC4B247138717003AC683C70700A30F91 +:10409000F100A247138717003AC483C70700230F14 +:1040A000F1008347F10199C70347F1018347E1011B +:1040B000E309F7FC0347F1018347E101B307F74048 +:1040C0003E850561828001112AC62EC4B2473ECECC +:1040D00001002247930717003EC4F247938617005A +:1040E00036CE034707002380E70083C70700F5F3B8 +:1040F000B2473E850561828001112AC602CE02CCFC +:1041000021A0B24785073EC6B24703C70700930701 +:104110000002E308F7FEB24703C707009307D00287 +:104120006309F700B24703C707009307B0026311A2 +:10413000F704B24783C70700938737FD93B717008B +:1041400093F7F70F3ECCB24785073EC615A07247DE +:10415000BA878A07BA9786073ECEB24713871700F9 +:104160003AC683C70700938707FD7247BA973ECECA +:10417000B24783C70700E1FFE24789C7F247B307A9 +:10418000F04011A0F2473E850561828001112AC6E8 +:10419000975703009387074F9C4393861700175741 +:1041A00003001307274E14C332471377F70F9756B0 +:1041B000030093862651B6972380E7003247A9472C +:1041C000630BF700975703009387C74B98439307F8 +:1041D00000046315F704975703009387A74E3ECE5C +:1041E00005A8F247138717003ACE03C70700B707A1 +:1041F00010F0938707F098C3975703009387874879 +:104200009C431387F7FF975703009387A74798C3EB +:1042100097570300938707479C43E1F781473E8503 +:10422000056182804D7123261114232481142322D9 +:10423000911423202115232E3113232C4113232ADB +:1042400051132ACE2ECC32C836CA3AC63EC442C218 +:1042500023260112B2473E8A814AC24752485286FB +:10426000D6863E85C285EF102067AA872E883E86B7 +:10427000C2868327C112138717002326E1123287D3 +:104280008A07141AB69723AEE7EEB2473E848144FC +:10429000D247268763E8E702D24726876396E7007E +:1042A000C247228763E0E702B2473E8981494A86D6 +:1042B000CE864245D245EF106004AA872E883EC8BC +:1042C00042CA49BF010029A0F247E2451245829740 +:1042D000A2471387F7FF3AC40327C112E346F7FE4C +:1042E00025A88327C1128A07181ABA9703A7C7EF10 +:1042F0008327C1128A07141AB69783A6C7EFA5476A +:1043000063F5D7009307700519A093070003BA97C8 +:104310007247E2453E8502978327C1121387F7FF54 +:104320002326E112E34FF0FA01008320C114032495 +:10433000811483244114032901148329C113032AFE +:104340008113832A41137161828041112AC62EC4D0 +:10435000A2460547635ED700B2479C439D07E19B99 +:1043600093868700324714C303A847009C4335A0B7 +:10437000224719CB3247184313064700B24690C272 +:104380001843BA87014811A832471843130647005B +:10439000B24690C21843BA8701483E85C2854101A2 +:1043A000828041112AC62EC4A2460547635ED7000B +:1043B000B2479C439D07E19B93868700324714C315 +:1043C00003A847009C4305A8224701CF3247184362 +:1043D00013064700B24690C21843BA877D873A88D1 +:1043E00019A83247184313064700B24690C2184333 +:1043F000BA877D873A883E85C285410182803971BE +:1044000006DE22DC26DA2AC62EC432C236C011A845 +:1044100063070424924785073EC2B247A24522851E +:104420008297924783C707003E8493075002E311A7 +:10443000F4FE924785073EC292473EC893070002AA +:10444000A30BF100FD573ECEFD573ECC02D0924764 +:10445000138717003AC283C707003E849307D4FD31 +:10446000130750056364F71E1397270097C70100D1 +:10447000938747C0BA97984397C70100938787BF30 +:10448000BA9782879307D002A30BF100C9B79307AD +:104490000003A30BF10065BF02CC6247BA878A070D +:1044A000BA978607A297938707FD3ECC924783C7AA +:1044B00007003E849307F00263D6870293079003B8 +:1044C00063C28702924785073EC2C1BF82471387F6 +:1044D00047003AC09C433ECC39A0F247E3D907F6E7 +:1044E00002CEB5B70100F247E3D307F6E2473ECE6E +:1044F000FD573ECCA9BF825785073ED089BF824772 +:10450000138747003AC09C433247A2453E85029735 +:10451000B1A28247138747003AC0844389E497C415 +:1045200001009384A4B4F2476357F0040347710178 +:104530009307D0026301F704E247BE852685EF00AA +:10454000B0592A87F247998F3ECE11A8834771014F +:104550003247A2453E850297F247FD173ECEF2470D +:10456000E346F0FE09A8B247A2452285829785045A +:10457000F247FD173ECE83C704003E841DC0E247CC +:10458000E3C307FEE247FD173ECCE247E3DD07FC4D +:1045900009A8B247A245130500028297F247FD170A +:1045A0003ECEF247E347F0FE55A88A8782553E8506 +:1045B000CD3BAA872E883ED442D6A2573258C28716 +:1045C00063D90702B247A2451305D0028297A255CC +:1045D000325681470148B386B740368533B5A700C8 +:1045E0003307C840B307A7403E87B6873A883ED412 +:1045F00042D6A9473ED21DA8A9473ED215A0A14741 +:104600003ED239A885473ED0B247A24513050003E4 +:104610008297B247A245130580078297C1473ED2D1 +:104620008A8782553E8515332AD42ED61257834762 +:1046300071013E88F2472256B256A2453245DD3618 +:1046400031A8B247A2452285829709A8B247A24560 +:10465000130550028297C2473EC20100D9B3010040 +:10466000F2506254D2542161828041112AC6B707A8 +:1046700010F0938707F2324798C301A05D7106D608 +:104680002AC62EDA32DC36DEBAC0BEC2C2C4C6C604 +:104690009C0891173ECEF247BE86324681451705EB +:1046A00000001305E5AEA13B81473E85B250616134 +:1046B000828001112AC62EC41EC2A2473ECEF247F6 +:1046C0009C4332471377F70F2380E700F2479C4360 +:1046D00013871700F24798C30100056182801D719E +:1046E00006DE2AC62EC4B2C4B6C6BAC8BECAC2CC7A +:1046F000C6CE9C103ED25C084C081706000013067C +:1047000086FB7D779346F7FF0565130505803388A3 +:10471000A5003378E8001368783B23A007013295A1 +:10472000698F13677733D8C333F7D50093154701E3 +:1047300037870300130737394D8F98C73377D60073 +:10474000931647013707030013077706558FD8C71D +:104750000F100000B2473ED69C10A1173ED422573E +:104760005C083E857C00BA862246BE854939B24740 +:1047700023800700B2473E87B257B307F7403E8514 +:10478000F250256182804111370510F0130545F480 +:1047900008412AC6370510F0130505F408412AC45C +:1047A000370510F0130545F40841B248E39EA8FC14 +:1047B00032452A8381431317030081462245AA8587 +:1047C0000146B3E7B6003368C700370710F013059A +:1047D00087F48965938505F40146B386B700B688EA +:1047E000B3B8F8003307C800B387E8003E87B68740 +:1047F0003A881CC123220501010041018280411138 +:1048000006C651379307000873A047300100B24035 +:1048100041018280011106CE2AC617C50100130589 +:10482000E59AEFF0BFE50100F240056182800111D9 +:1048300006CE2AC617C501001305C599EFF01FE47F +:104840000100F24005618280797106D62AC602CE47 +:1048500029A00100F24785073ECE724785679387FE +:104860007738E3D8E7FE17C5010013052597EFF069 +:10487000FFE0F1BF411106C60145152A0100B24013 +:1048800041018280011106CE02C6EF00901C2A87EA +:104890008547630CF70017C501001305A594EFF0D9 +:1048A000FFDDB24793E727003EC6B2473E85F240A0 +:1048B00005618280011106CE02C66D3F1707000018 +:1048C00013076704814605469305200317C50100B9 +:1048D00013050593EFE07F9C2AC6B24799CBEFD032 +:1048E000AFC6AA87014781463E8685453245EFE03F +:1048F000FFA002C4EFD0EFA481473E85F2400561DE +:104900008280797106D62AC697C701009387C7AF00 +:104910009C431387470697C701009387E7AE98C368 +:1049200097C70100938787AE9C431387470697C7B5 +:1049300001009387A7AD98C397C701009387C7ACC1 +:1049400098439307F07C63D3E70497C701009387EC +:10495000A7AB9C431387078397C701009387C7AA18 +:1049600098C30D372ACEF24799EB97C7010093877A +:10497000E7A9984385679387F77663DBE700F247FB +:104980003E85EFF09FCEEFD0AFA321A0010011A094 +:104990000100B250456182807370043001A00100B3 +:1049A000828041112AC62EC47370043001A079712F +:1049B00006D62AC6014691451545EFB0DFD92ACE65 +:1049C00097C50100938585847245EFC0DF818148DA +:1049D000014881473247F2461306004097C501005F +:1049E0009385C583170500001305C509EFC0FF8E29 +:1049F0000545EFB01FDF2ACC97C50100938585825E +:104A00006245EFC04FFE8148014881470147E246B9 +:104A10001306004097C501009385C5811705000066 +:104A200013054576EFC07F8B8148014897570300F7 +:104A3000938787CE094781461306004097B501004A +:104A40009385C57F1705000013056579EFC0FF88C2 +:104A500081480148975703009387C7CB0D47E2462B +:104A60001306004097B501009385C57D170500002A +:104A700013054579EFC07F860100B2504561828001 +:104A8000797106D62AC6B2473ECE8546014697556D +:104A90000300938565C77245EFB09FEC7245EFC088 +:104AA0008FC22A8785476308F700975703009387CB +:104AB00067C5054798C33C0881460146BE857245D7 +:104AC000EFC0CF962A8785476308F7009757030002 +:104AD000938747C3054798C3975703009387C7C277 +:104AE0009843E2476308F70097570300938787C10D +:104AF000054798C37245EFC00FBDAA8799C797575E +:104B00000300938727C0054798C381460146975500 +:104B10000300938565BF7245EFB09FE47245EFC017 +:104B20008FBA2A8785476308F70097570300938752 +:104B300067BD054798C33C0881460146BE8572455E +:104B4000EFC0CF8E2A8785476308F7009757030089 +:104B5000938747BB054798C37245EFC0CFB6AA8776 +:104B600099C7975703009387E7B9054798C39757A5 +:104B70000300938767B99843E2476308F7009757A4 +:104B80000300938727B8054798C389473ECC19A8E7 +:104B90003C0881460146BE857245EFB07FDCE247A6 +:104BA00085073ECC62479147E3F4E7FE7245EFC0CC +:104BB0008FB12A878D476308F700975703009387C3 +:104BC00067B4054798C385473ECC3C0885460146F7 +:104BD000BE857245EFB0DFD802CC3C088546014661 +:104BE000BE857245EFB0DFD77245EFC0CFAD2A87E3 +:104BF00095476308F700975703009387A7B00547C9 +:104C000098C33C0885460146BE857245EFB05FD526 +:104C1000AA8799C7975703009387C7AE054798C3DC +:104C20003C0881460146BE857245EFB07FD3AA8716 +:104C300099C7975703009387E7AC054798C302CC01 +:104C4000B5A85C0885460146BE857245EFB01FFEDB +:104C50002A8785476308F70097570300938787AA39 +:104C6000054798C36247D2476308F7009757030088 +:104C7000938747A9054798C3D24793C7F7FF3ECA12 +:104C80005C0881460146BE857245EFB03FFA2A872F +:104C900085476308F700975703009387A7A6054742 +:104CA00098C36247D2476308F7009757030093877A +:104CB00067A5054798C3E24785073ECC6247914701 +:104CC000E3F1E7F87245EFC00FA0AA8799C797579D +:104CD0000300938727A3054798C3A9473ECC3C0808 +:104CE00081460146BE857245EFB09FC72A8785473A +:104CF0006308F700975703009387C7A0054798C339 +:104D0000AD473ECC3C0881460146BE857245EFB0BA +:104D10003FC52A8785476308F700975703009387A5 +:104D2000679E054798C37245EFC0EF992A87894768 +:104D30006308F700975703009387C79C054798C3FC +:104D4000A5473ECC2DA03C0885460146BE85724550 +:104D5000EFB01FC12A8785476308F7009757030004 +:104D60009387479A054798C3E247FD173ECC6247B1 +:104D70009947E3EAE7FC7245EFC0EF942A8795472D +:104D80006308F700975703009387C797054798C3B1 +:104D90003C0885460146BE857245EFB07FBCAA87B8 +:104DA00099C7975703009387E795054798C33C0831 +:104DB00081460146BE857245EFB09FBAAA8799C762 +:104DC0009757030093870794054798C39D473ECCA8 +:104DD0003DA85C0881460146BE857245EFB01FE5DF +:104DE0002A8785476308F7009757030093878791C1 +:104DF000054798C36247D2476308F70097570300F7 +:104E000093874790054798C3E24785073ECC6247A2 +:104E1000AD47E3F0E7FC7245EFC0EF8AAA8799C778 +:104E2000975703009387078E054798C3975703004A +:104E30009387878D9C4313871700975703009387A9 +:104E4000A78C98C399B1011106CE2AC62EC48146FB +:104E5000014681453245EFB07FDD2A8785476308EB +:104E6000F700975703009387E789054798C397573B +:104E700003009387E78923A007000145EFC0BF889F +:104E8000AA8799C7975703009387C787054798C391 +:104E900097570300938707889C433E85EFC0FFB375 +:104EA00097570300938707879C433E85EFC0CFFB4E +:104EB0002A8789476305F7007370043001A0014514 +:104EC000EFC07F842A878D476308F7009757030058 +:104ED00093874783054798C385450145EFC07F8980 +:104EE0000145EFC05F822A878D476308F700975717 +:104EF000030093872781054798C39757030093873B +:104F0000A7819C433E85EFC05FAD97570300938711 +:104F100027809C4399C7974703009387A77E05473F +:104F200098C38146014681452245EFB03FD02A878C +:104F300085476308F700974703009387A77C0547D9 +:104F400098C38146014681453245EFB07FA12A874B +:104F500085476308F700974703009387A77A0547BB +:104F600098C3974703009387A77A9C4399C79747AD +:104F7000030093872779054798C30145EFC0AFF831 +:104F80002A878D476308F700974703009387877741 +:104F9000054798C38146014681452245EFB05F9C95 +:104FA0002A8785476308F70097470300938787752B +:104FB000054798C3974703009387877598438547AC +:104FC0006308F700974703009387C773054798C3A3 +:104FD0000145EFC04FF32A8785476308F7009747DD +:104FE000030093872772054798C381450145EFC0A9 +:104FF0004FF80100F24005618280011106CE2AC6F9 +:105000002EC48146014681453245EFB03FC22A8712 +:1050100085476308F700974703009387A76E054706 +:1050200098C3974703009387A76E23A00700014505 +:10503000EFC06FEDAA8799C7974703009387876CE6 +:10504000054798C3974703009387C76C9C433E85E9 +:10505000EFC0BF98974703009387C76B9C433E857B +:10506000EFC08FE02A8789476305F700737004302B +:1050700001A00145EFC02FE92A878D476308F7009B +:105080009747030093870768054798C3974703002E +:10509000938787689C433E85EFC03F949747030002 +:1050A000938707679C4399C7974703009387876552 +:1050B000054798C38146014681452245EFB01FB799 +:1050C0002A8785476308F70097470300938787631C +:1050D000054798C38146014681452245EFB05F8868 +:1050E0002A8785476308F7009747030093878761FE +:1050F000054798C397470300938787619C4399C7E7 +:105100009747030093870760054798C30145EFC0A1 +:105110008FDF2A878D476308F7009747030093873F +:10512000675E054798C38146014681453245EFB029 +:105130003F832A8785476308F700974703009387D3 +:10514000675C054798C3974703009387675C98435C +:1051500085476308F700974703009387A75A0547D9 +:1051600098C30145EFC02FDAAA8799C79747030074 +:1051700093874759054798C30100F2400561828033 +:10518000797106D62AC6B2473ECE0545EFB06FE527 +:105190002ACCE24781E77370043001A0E2457245F2 +:1051A0005D3197470300938767569C431387170029 +:1051B000974703009387875598C3E2457245353D6D +:1051C00097470300938787549C431387170097479B +:1051D00003009387A75398C3D1B7011106CE2AC6FF +:1051E0000145EFC06FED97470300938767529C43DB +:1051F00013871700974703009387875198C3CDB74C +:10520000797106D62AC6B2473ECE0145EFC0CFEA35 +:1052100081467D5681457245EFB05FA12A8785475B +:105220006308F700974703009387C74D054798C366 +:105230008146014681457245EFB08FF22A87854746 +:10524000E305F7FC974703009387C74B054798C3CF +:105250006DBF974703009387674C984397470300B8 +:105260009387874A9C436318F70097470300938707 +:105270006749054798C3974703009387674A984350 +:1052800097470300938787489C436318F700974725 +:10529000030093872747054798C3974703009387E1 +:1052A000A7469843974703009387474798C3974774 +:1052B00003009387A7459843974703009387474682 +:1052C00098C3974703009387E7439C4393B717001E +:1052D00093F7F70F3E858280B305B500930705006D +:1052E0006386B70003C70700631607003385A7402E +:1052F00067800000938717006FF09FFE130101FD88 +:1053000023229102232A5101232611022324810200 +:1053100023202103232E3101232C41012328610165 +:105320002326710123248101232291012320A1013D +:10533000930A050093840500639E06381304060053 +:105340009309050017B90100130949F063F8C51264 +:10535000B7070100138B05006378F6101307F00FF1 +:105360003337C70013173700B357E6003309F90086 +:10537000834609003387E60093060002B386E640C1 +:10538000638C0600B394D40033D7EA003314D600FC +:10539000336B9700B399DA00935A040193850A009E +:1053A00013050B00EF00902A1309050093850A00EE +:1053B000931B040113050B00EF00D02493DB0B01BA +:1053C000930405009305050013850B00EF00D02022 +:1053D0001319090193D70901B367F900138A04006F +:1053E00063FEA700B3878700138AF4FF63E8870092 +:1053F00063F6A700138AE4FFB3878700B384A7404E +:1054000093850A0013850400EF005024130905005A +:1054100093850A0013850400EF00D01E93990901BB +:1054200093040500930505001319090113850B006A +:1054300093D90901EF00501AB369390113860400AA +:1054400063FCA900B30934011386F4FF63E6890005 +:1054500063F4A9001386E4FF13140A013364C40043 +:10546000130A00006F000013B707000113070001C3 +:10547000E36CF6EE130780016FF01FEF138A06004E +:10548000631A06009305000013051000EF00901743 +:1054900013040500B7070100637EF4129307F00FB1 +:1054A00063F48700130A8000B35744013309F900FD +:1054B000034709009306000233074701B386E6401D +:1054C00063940612B3848440130A1000135B040132 +:1054D00093050B0013850400EF0050171309050016 +:1054E00093050B0013850400931B0401EF0090113A +:1054F00093DB0B01930405009305050013850B0056 +:10550000EF00900D1319090193D70901B367F90052 +:10551000938A040063FEA700B3878700938AF4FF91 +:1055200063E8870063F6A700938AE4FFB3878700E8 +:10553000B384A74093050B0013850400EF001011FE +:105540001309050093050B0013850400EF00900B71 +:1055500093990901930405009305050013190901A6 +:1055600013850B0093D90901EF001007B3693901C6 +:105570001386040063FCA900B30934011386F4FF09 +:1055800063E6890063F4A9001386E4FF13940A011B +:105590003364C4001305040093050A008320C1028C +:1055A0000324810283244102032901028329C101CA +:1055B000032A8101832A4101032B0101832BC100AE +:1055C000032C8100832C4100032D010013010103F2 +:1055D00067800000B7070001130A0001E366F4ECDE +:1055E000130A80016FF05FEC3314D40033DAE40067 +:1055F000B399DA0033D7EA00935A0401B394D40084 +:1056000093850A0013050A00336B9700EF0010041E +:105610001309050093850A0013050A00931B040172 +:10562000EF00407E93DB0B0193040500930505001A +:1056300013850B00EF00407A1319090113570B0172 +:105640003367E900138A0400637EA70033078700ED +:10565000138AF4FF636887006376A700138AE4FF68 +:1056600033078700B304A74093850A00138504001D +:10567000EF00C07D1309050093850A00138504001F +:10568000EF004078930405009305050013850B0097 +:10569000EF00807413170B01135707011319090149 +:1056A000B367E9001387040063FEA700B387870090 +:1056B0001387F4FF63E8870063F6A7001387E4FF0E +:1056C000B3878700131A0A01B384A740336AEA003C +:1056D0006FF0DFDF63ECD51EB707010063F4F6045B +:1056E0001307F00FB335D7009395350033D7B600C5 +:1056F00097B70100938787B5B387E70003C7070013 +:10570000130A00023307B700330AEA4063160A029D +:1057100013041000E3E096E833B6CA001344160001 +:105720006FF05FE7B707000193050001E3E0F6FCC7 +:10573000930580016FF09FFBB35CE600B3964601D2 +:10574000B3ECDC0033D4E40093DB0C01B3974401E9 +:1057500033D7EA0093850B0013050400336BF70081 +:10576000B3194601EF00806E1309050093850B0005 +:1057700013050400139C0C01EF00C068135C0C01BE +:10578000930405009305050013050C00EF00C064A9 +:105790001319090113570B013367E900138404003F +:1057A000637EA700330797011384F4FF63689701B2 +:1057B0006376A7001384E4FF33079701B304A7407F +:1057C00093850B0013850400EF0040681309050062 +:1057D00093850B0013850400EF00C062930405005D +:1057E0009305050013050C00EF00005F93170B01F4 +:1057F0001319090193D70701B367F9001386040051 +:1058000063FEA700B38797011386F4FF63E897014F +:1058100063F6A7001386E4FFB3879701131404010E +:10582000B70B01003364C4001389FBFF337D2401EF +:1058300033F92901B384A7409305090013050D002E +:10584000EF008059935C040193050900130B0500D8 +:1058500013850C00EF00405893D90901130C050083 +:105860009385090013850C00EF000057130905000C +:105870009385090013050D00EF00005633058501DF +:1058800093570B013385A7006374850133097901B0 +:1058900093570501B387270163E6F402E392F4BC52 +:1058A000B70701009387F7FF3375F500131505015E +:1058B000337BFB0033964A0133056501130A000070 +:1058C000E37AA6CC1304F4FF6FF09FB9130A00002B +:1058D000130400006FF01FCC130101FB232481048B +:1058E00023229104232E3103232291032326110422 +:1058F00023202105232C4103232A5103232861035C +:1059000023267103232481032320A103232EB10125 +:10591000930C05009389050013040500938405008A +:10592000639E062613090600138A060097BA010033 +:10593000938ACA9163F4C514B70701006376F6121F +:105940009307F00F63F4C700130A8000B3574601B2 +:10595000B38AFA0003C70A001305000233074701A0 +:10596000330AE540630C0A00B395490133D7EC00D4 +:1059700033194601B364B70033944C01935A0901BB +:1059800093850A0013850400EF00404C930905003D +:1059900093850A00131B090113850400EF0080465C +:1059A000135B0B019305050013050B00EF00C042CC +:1059B0009399090193570401B3E7F90063FAA7002B +:1059C000B387270163E6270163F4A700B3872701A4 +:1059D000B384A74093850A0013850400EF000047B5 +:1059E0009309050093850A0013850400EF008041A8 +:1059F00013140401930505009399090113050B0085 +:105A000013540401EF00403D33E48900637AA4009D +:105A100033042401636624016374A4003304240165 +:105A20003304A44033554401930500008320C1048E +:105A30000324810483244104032901048329C1032D +:105A4000032A8103832A4103032B0103832BC10211 +:105A5000032C8102832C4102032D0102832DC101FD +:105A60001301010567800000B7070001130A000158 +:105A7000E36EF6EC130A80016FF05FED631A060027 +:105A80009305000013051000EF00C037130905004F +:105A9000B7070100637AF90E9307F00F63F427014B +:105AA000130A8000B3574901B38AFA0003C70A00FA +:105AB00013050002B384294133074701330AE54047 +:105AC000E30E0AEA33194901B3DAE900B395490153 +:105AD00033D7EC0093540901336BB70013850A00E8 +:105AE00093850400EF008036930905009385040038 +:105AF000931B090113850A00EF00C03093DB0B01F3 +:105B00009305050013850B00EF00002D9399090103 +:105B100093570B01B3E7F90033944C0163FAA700E4 +:105B2000B387270163E6270163F4A700B387270142 +:105B3000B38AA7409385040013850A00EF00003163 +:105B4000930905009385040013850A00EF00802B5C +:105B50009305050013850B00EF00002893150B013A +:105B60009399090193D50501B3E5B90063FAA5003E +:105B7000B385250163E6250163F4A500B3852501FE +:105B8000B384A5406FF09FDFB7070001130A00013F +:105B9000E36AF9F0130A80016FF0DFF0E3E8D5E87B +:105BA000B707010063FCF604930BF00F33B5DB007D +:105BB0001315350033D7A60097A70100938707690F +:105BC000B387E70083CB070093050002B38BAB00DC +:105BD000338B7541631E0B0263E4360163EACC002C +:105BE0003384CC40B386D94033B58C00B384A6400F +:105BF00013050400938504006FF05FE3B70700010D +:105C000013050001E3E8F6FA130580016FF09FFA2F +:105C1000B3966601335D7601336DDD0033D47901CF +:105C2000B395690133DC7C0193540D01336CBC00E6 +:105C30001305040093850400B31A6601EF000021E8 +:105C4000130A0500938504001305040033996C01C1 +:105C5000931C0D01EF00001B93DC0C0113040500E5 +:105C60009305050013850C00EF000017131A0A01B5 +:105C700013570C013367EA00130A0400637EA70080 +:105C80003307A701130AF4FF6368A7016376A7002F +:105C9000130AE4FF3307A701B309A7409385040063 +:105CA00013850900EF00801A938504001304050092 +:105CB00013850900EF000015930505009304050006 +:105CC00013850C00EF00401193150C01131404010F +:105CD00093D50501B365B4001387040063FEA500E6 +:105CE000B385A5011387F4FF63E8A50163F6A5005A +:105CF0001387E4FFB385A501131A0A01B70C01004D +:105D0000336AEA001384FCFFB3778A0033F48A0015 +:105D1000B384A54013850700930504002326F100F2 +:105D2000135A0A01EF00400B930905009305040084 +:105D300013050A00EF00400A13DC0A01930D050069 +:105D400093050C0013050A00EF0000098327C1002A +:105D5000130A050093050C0013850700EF00C00728 +:105D60003305B50113D709013307A7006374B701E1 +:105D7000330A9A01B70701009387F7FF935507018C +:105D80003377F70013170701B3F7F900B38545011F +:105D9000B307F70063E6B400639EB400637CF900C8 +:105DA00033865741B3B7C700B385A541B385F540E6 +:105DB00093070600B307F9403339F900B385B440BF +:105DC000B385254133947501B3D767013365F4007A +:105DD000B3D565016FF09FC51306050013050000DC +:105DE00093F61500638406003305C50093D51500AE +:105DF00013161600E39605FE678000006340050653 +:105E000063C6050613860500930505001305F0FF1C +:105E1000630C060293061000637AB6006358C00054 +:105E20001316160093961600E36AB6FE13050000DB +:105E300063E6C500B385C5403365D50093D616002B +:105E400013561600E39606FE67800000938200005A +:105E5000EFF05FFB13850500678002003305A0406B +:105E600063D80500B305B0406FF0DFF9B305B0406B +:105E700093820000EFF01FF93305A0406780020015 +:105E80009382000063CA0500634C0500EFF09FF7A2 +:105E90001385050067800200B305B040E35805FE96 +:105EA0003305A040EFF01FF63305B04067800200D5 +:02000004800278 +:1000000049444C4500000000482FFEFF102FFEFF22 +:100010001E2FFEFF2C2FFEFF342FFEFF5830FEFF59 +:100020002030FEFF2E30FEFF3C30FEFF4430FEFF4E +:10003000546D722053766300DC35FEFFDC35FEFF25 +:10004000DC35FEFF5A36FEFF2A36FEFF5036FEFF35 +:10005000DC35FEFFDC35FEFF5A36FEFF2A36FEFF9A +:10006000546D725100000000286E756C6C29000000 +:10007000DE43FEFFDC45FEFFD245FEFFDC45FEFF12 +:10008000DC45FEFFDC45FEFFDC45FEFF5C44FEFF79 +:10009000DC45FEFFDC45FEFF1444FEFF6A44FEFF24 +:1000A000DC45FEFF1E44FEFF2844FEFF2844FEFF01 +:1000B0002844FEFF2844FEFF2844FEFF2844FEFF9C +:1000C0002844FEFF2844FEFF2844FEFFDC45FEFFD7 +:1000D000DC45FEFFDC45FEFFDC45FEFFDC45FEFFA8 +:1000E000DC45FEFFDC45FEFFDC45FEFFDC45FEFF98 +:1000F000DC45FEFFDC45FEFFDC45FEFFDC45FEFF88 +:10010000DC45FEFFDC45FEFFDC45FEFFDC45FEFF77 +:10011000DC45FEFFDC45FEFFDC45FEFFDC45FEFF67 +:10012000DC45FEFFDC45FEFFDC45FEFFDC45FEFF57 +:10013000DC45FEFFDC45FEFFDC45FEFFDC45FEFF47 +:10014000DC45FEFFDC45FEFFDC45FEFFDC45FEFF37 +:10015000DC45FEFFDC45FEFFDC45FEFFDC45FEFF27 +:10016000DC45FEFFDC45FEFFDC45FEFFDC45FEFF17 +:100170008E44FEFF3A45FEFFDC45FEFFDC45FEFFF8 +:10018000DC45FEFFDC45FEFFDC45FEFFDC45FEFFF7 +:10019000DC45FEFF8644FEFFDC45FEFFDC45FEFF3E +:1001A0008E45FEFF9445FEFFDC45FEFFDC45FEFF6D +:1001B000A244FEFFDC45FEFF8845FEFFDC45FEFF56 +:1001C000DC45FEFFAC45FEFF6D69616F750A0000FE +:1001D0006D69616F75320A0068756E6772790A0021 +:1001E0004572726F7220696E2047656E5154657357 +:1001F00074207461736B73200D0A0000436865639B +:100200006B54696D6572000047656E5F5175657569 +:10021000655F54657374000047656E51000000000F +:1002200047656E5F51756575655F4D7574657800DE +:100230004D754C6F770000004D754D6564000000F2 +:100240004D7548696768000000010202030303035B +:100250000404040404040404050505050505050556 +:100260000505050505050505060606060606060636 +:10027000060606060606060606060606060606061E +:100280000606060606060606070707070707070706 +:1002900007070707070707070707070707070707EE +:1002A00007070707070707070707070707070707DE +:1002B00007070707070707070707070707070707CE +:1002C00007070707070707070808080808080808B6 +:1002D000080808080808080808080808080808089E +:1002E000080808080808080808080808080808088E +:1002F000080808080808080808080808080808087E +:10030000080808080808080808080808080808086D +:10031000080808080808080808080808080808085D +:10032000080808080808080808080808080808084D +:10033000080808080808080808080808080808083D +:08034000080808080808080875 +:100348001000000000000000017A5200017C010149 +:100358001B0D02004C00000018000000984FFEFF23 +:10036800DC05000000440E30708903950781018880 +:100378000292049305940696089709980A990B9A8D +:100388000C0370020AC144C844C944D244D344D4BB +:1003980044D544D644D744D844D944DA440E00441A +:1003A8000B00000050000000680000002455FEFF0C +:1003B8000005000000440E507488028903930599D3 +:1003C8000B810192049406950796089709980A9A52 +:1003D8000C9B0D0320010AC144C844C944D244D32C +:1003E80044D444D544D644D744D844D944DA44DB29 +:0803F800440E00440B0000005C +:10040C00AAAAAAAA08000000FC8F0100090000009B +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/GenQTest_rv32ic_O3.hex b/VexRiscv/src/test/resources/freertos/GenQTest_rv32ic_O3.hex new file mode 100644 index 0000000..d58ae0a --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/GenQTest_rv32ic_O3.hex @@ -0,0 +1,1767 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900021D5170502001305E556979503009385B2 +:1000A000257E01461122170502001305E54A970532 +:1000B00002009385A5520146C52817A50300130524 +:1000C000657C97B503009385A57B37B6ABAB13066C +:1000D000B6BAD92817B103001301C17AEF6060796D +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2823523A02200F322103409 +:1002400096DEEF00002B170102000321213402414A +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E23523A0120023A29D +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40702717010200032118 +:10033000E1250241F65273901234B72200009382F5 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A22783A0A4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2821323A0220086DEEF20EF +:10046000602E17010200032161120241F6527390BF +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF409007EF10900A01E597 +:10050000B24041018280B24041016F20A023930795 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30B0207E +:1005B0008280411122C406C62A8426C2EF2030124E +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF2040586DD5EF2020783C44FD173C +:1005F0003CC43C44E344F0FEFD573CC4EF20701083 +:10060000EF20F00D7C40635BF0021C4885CB930427 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2000546DD5EF20E0735C +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20B00B29CD0111E8 +:1006500022CC2A8406CE26CA4AC84EC62E89EF204E +:10066000100824404C5C832904002685EF600002BA +:100670007D57232C0402B3079540CE974E9578C042 +:1006800048C0232434015CC438C4631109021C48E7 +:100690008DE7EF201007F2406244D2444249B2494C +:1006A0000545056182807370043001A013050401C3 +:1006B000B93D13054402A13DE9BF13050401EF2034 +:1006C000804A8547E317F5FC9133E1B701E5737084 +:1006D000043001A0011126CA4AC84EC606CE22CC5B +:1006E000AA842E89B289A5C9EF50507A1305550501 +:1006F000EF3020742A8435C5930745051CC144DCBE +:1007000023202405EF20A07D24404C5C0329040015 +:100710002685EF50B077FD56232C0402B307954091 +:100720003307A900CA9774C058C05CC434C4130509 +:10073000040123242401E13B13054402C93BEF20BB +:10074000407C230834052285F2406244D244424969 +:10075000B2490561828013054005EF30806D2A841F +:1007600001E57370043001A000C051BF01E5737052 +:10077000043001A06375B5007370043001A041110D +:1007800022C409462E84814506C6893711C500DD7D +:10079000B2402244410182807370043001A05D7137 +:1007A00086C6A2C4A6C2CAC04EDE52DC56DA5AD8E9 +:1007B0005ED632C6630A051C6382051C89476399AD +:1007C000F600585D85476305F7007370043001A09B +:1007D0003689AE842A84EF20C05B11E5B24781C719 +:1007E0007370043001A0EF20806F185C5C5C014BDB +:1007F000894BFD59130A0401930A44026365F70CFF +:1008000063077919B2476381072463150B00280831 +:10081000EF208048EF20E06EEF10604FEF20206C5B +:100820007C4063943701232204043C4463943701E1 +:1008300023240404EF20E06C6C002808EF20E0473C +:10084000631E051EEF20A069185C5C5C630CF70A50 +:10085000EF20206BEF20A0683C44634FF00039A8E4 +:100860005685EF20403019C1EF2020503C44FD1741 +:100870003CC43C446354F0005C50FDF32324340535 +:10088000EF202068EF20A0657C40634FF00039A87E +:100890005285EF20402D19C1EF20204D7C40FD17DF +:1008A0007CC07C406354F0001C48FDF323223405D7 +:1008B000EF202065EF10A06A054BEF204062185C26 +:1008C0005C5CE37FF7F230406305060C631F09129E +:1008D0000844A685EF30206E1C4434405840B6973B +:1008E0001CC463EAE70A1C5C14405850850714C412 +:1008F0001CDC5DC713054402EF20E0268547631129 +:10090000F50AC9A8EF20E05FB2455285EF206007E5 +:10091000EF20E05C3C44634FF00039A85685EF209F +:10092000802419C1EF2060443C44FD173CC43C4482 +:100930006354F0005C50FDF323243405EF20605C29 +:10094000EF20E0597C40634FF00039A85285EF203A +:10095000802119C1EF2060417C40FD177CC07C40A4 +:100960006354F0001C48FDF323223405EF20605946 +:10097000EF10E05E31F1593C054B81B73C41E38F0C +:1009800007E27370043001A07370043001A030409E +:1009900021E61C4085C71C5C585085071CDC39FBD0 +:1009A000EF2020560545B640264496440649F259A4 +:1009B000625AD25A425BB25B616182804840EF204A +:1009C00060491C5C58502322040085071CDCE3139B +:1009D00007F279D5253CE9B74844A685EF30A05DFC +:1009E0003C4058441440B307F0403E9758C4637CE1 +:1009F000D7025840BA975CC409475C506306E902C5 +:100A0000185C050718DCC9DFF5B54844A685EF304A +:100A1000805A3C4058441440B307F0403E9758C4B5 +:100A2000E37CD7FCF9B75C50185C79DB185C7D1768 +:100A300018DC185C050718DCA5D76DBD2285953E2E +:100A4000EF10E051014585B7EF20A04B0145A1BF54 +:100A5000411126C2AA841305400522C406C6EF3000 +:100A6000403D2A8415CD232C0502FD577CC1054746 +:100A7000410558DC3CC42322040023200400232425 +:100A80000400232604002320040423089404413492 +:100A900013054402AD3C8146014681452285013360 +:100AA0002285B24022449244410182800DC5411109 +:100AB00022C426C206C64AC0032945002A84EF2064 +:100AC000802C8144630CA900B2402244268502494F +:100AD0009244410182807370043001A05C448544DB +:100AE000FD175CC4F5F381460146814522854539F1 +:100AF000E1BF31CDA1C9011122CC26CA4AC852C4D6 +:100B000006CE4EC68947B68432892E8A2A846399D6 +:100B1000F600585D8547630FF7047370043001A039 +:100B20006D32185C5C5CAA896366F70281444E856D +:100B3000513AF240624426854249D244B249224A9F +:100B4000056182803C41C5DB7370043001A0737085 +:100B5000043001A0304041E21C40D5CB1C5C7D57E5 +:100B600085071CDC3C446387E7083C4485448507D3 +:100B70003CC475BF993A185C5C5CAA89636DF70246 +:100B8000304079DA4844D285EF30E0423C40584466 +:100B90001440B307F0403E9758C46375D7005840DF +:100BA000BA975CC48947E39BF4FA1C5CC5DB1C5C08 +:100BB000FD171CDC65B7304045D24844D285EF3084 +:100BC000803F3C4058441440B307F0403E9758C41F +:100BD000E367D7FCD9BFDDF40844D285EF30A03DF0 +:100BE0001C4434405840B6971CC4E3E9E7F61C4067 +:100BF0001CC4ADB75C5085449DDB13054402EF1067 +:100C0000907615D5E30509F2232099000DB74840E9 +:100C1000EF2040242322040091B721CD3C4181C71D +:100C20007370043001A01C41A1CB011122CC26CA53 +:100C30002A844AC84EC606CE2E894138185C5C5CB0 +:100C4000AA898144637DF7001C5C7D5785071CDC05 +:100C50003C446388E7023C44854485073CC44E8598 +:100C60009530F240624426854249D244B24905613A +:100C700082807370043001A05C41C5DB7370043066 +:100C800001A05C5099E38544D9BF13054402EF10DD +:100C9000906D75D9E30909FE85472320F900E5B772 +:100CA000397106DE22DC26DA4AD84ED652D456D224 +:100CB00032C66302051C638A051AB68AAE892A8485 +:100CC000EF20200D11E5B24781C77370043001A0F9 +:100CD000EF20E0201C5C63920714B247638E070A82 +:100CE0002808EF10707BFD5413094402130A040115 +:100CF000EF202021EF10A001EF20601E7C406394C4 +:100D00009700232204043C446394970023240404A2 +:100D1000EF20201F6C002808EF10307A63130518AD +:100D2000EF20E01B1C5CADCFEF20A01DEF20201BAF +:100D30003C44634FF00039A84A85EF10D06219C1D6 +:100D4000EF20A0023C44FD173CC43C446354F00037 +:100D50005C50FDF324C4EF20C01AEF2040187C4003 +:100D6000634FF00039A85285EF10F05F19C1EF1002 +:100D7000D07F7C40FD177CC07C406354F0001C4851 +:100D8000FDF364C0EF20E017EF10601DEF20201589 +:100D90001C5CC1E7B247A9FFEF20A016014555A092 +:100DA000EF2020161C40DDC7B2454A85EF10703D8C +:100DB000EF20E0123C44634FF00039A84A85EF1061 +:100DC000905A19C1EF10707A3C44FD173CC43C4462 +:100DD0006354F0005C50FDF324C4EF208012EF2038 +:100DE00000107C40634FF00039A85285EF10B057D7 +:100DF00019C1EF1090777C40FD177CC07C40635494 +:100E0000F0001C48FDF364C0EF20A00FEF10201588 +:100E100031C9EF20C00C1C5CB5DFCE85228544446F +:100E2000EFF04FF7639C0A041C5C1840FD171CDCB4 +:100E300001E7EF20801148C01C48A1EFEF20600CB3 +:100E40000545F2506254D2544259B259225A925A2C +:100E500021618280EF20A0084840EF109075EF20BC +:100E6000400A99B7EFF08FDA6DB73C41E38707E4AA +:100E70007370043001A07370043001A05C5044C44E +:100E8000D5DF13054402EF10104E4DD9EFF00FD807 +:100E900075B713050401EF10104D8547E310F5FAFF +:100EA000F5B72285EFF0EFF0EF10600B014551BF71 +:100EB0001DC9411122C44AC006C626C244412E891A +:100EC0002A84EF10506C6383A40281464A868145D0 +:100ED0002285F93385476300F502B24022449244EB +:100EE0000249410182807370043001A05C440545D1 +:100EF00085075CC4DDB75C4485075CC4F9BF15CDCC +:100F0000ADC9011122CC26CA4AC84EC652C42A8491 +:100F100006CE3289AE89EFF04FDB1C5C2A8A814411 +:100F200085E35285EFF00FDAF240624426854249AC +:100F3000D244B249224A056182807370043001A014 +:100F4000304001CE4C445C40B2954CC463E4F500A3 +:100F50000C404CC44E85EF3000061C5C7D57FD17DD +:100F60001CDC7C40638CE7007C40854485077CC0AA +:100F70004DBF3C41D9D77370043001A01C4899E3A0 +:100F8000854445B713050401EF10F03D75D9E30919 +:100F900009FE85472320F900E5B721C93C41A1CDD1 +:100FA000A1CB011122CC26CA4AC852C42A8406CE3B +:100FB0004EC62E8AEFF06FD11C5C2A89814491CBFA +:100FC0008329C400D2852285EFF0CFDC8544232617 +:100FD00034014A85EFF00FCFF24062442685424942 +:100FE000D244B249224A056182807370043001A064 +:100FF0007370043001A0EDDF7370043001A001E5CF +:101000007370043001A0411122C42A8406C6EF1077 +:10101000106D005CEF10F06EB24022852244410159 +:10102000828001E57370043001A0411106C622C41C +:101030002A84EF10D06A1C5C405C1D8CEF10706C31 +:101040002285B24022444101828019C1085D82801C +:101050007370043001A01DCD978703009387877EAE +:10106000D843630CE502D847630CE504D84B63070B +:10107000E504D84F6302E504D853630DE502D85761 +:101080006308E502D85B6303E502D85F630EE50001 +:101090006F20506C7370043001A001470E07BA979F +:1010A00023A007006F20106B1D47CDBF1947FDB768 +:1010B0001547EDB71147DDB70D47CDB70947F9BF64 +:1010C0000547E9BF684582806CC5828003450505F8 +:1010D000828009C5085D1335150082807370043065 +:1010E00001A019C51C5D485D1D8D1335150082805A +:1010F0007370043001A0978703009387A774984307 +:1011000005C3984705C7984B05C7984F05C798531F +:1011100005C7985705C7985B15C7985F15C38280A8 +:1011200001470E07BA978CC3C8C382800547D5BF55 +:101130000947C5BF0D47F5B71147E5B71547D5B7FF +:101140001D47C5B71947F1BF978703009387876F7E +:10115000D8436308E502D847630BE502D84B630A1E +:10116000E502D84F6309E502D8536308E502D85772 +:101170006307E502D85B6308E502D85F6303A70253 +:10118000828001470E07BA9723A007008280054797 +:10119000D5BF0947C5BF0D47F5B71147E5B7154797 +:1011A000D5B71D47C5B71947F1BF411122C426C2A3 +:1011B0004AC02A8406C6AE843289EF105052784065 +:1011C000FD576314F700232204043844FD576314C9 +:1011D000F70023240404EF10D0521C5C99E74A86E0 +:1011E000A68513054402EF10D00CEF10504F3C447D +:1011F000635BF0025C5085CB9304440209A83C4435 +:10120000FD173CC43C446350F0025C5089CF2685F6 +:10121000EF1070156DD5EF1050353C44FD173CC4F0 +:101220003C44E344F0FEFD573CC4EF10904DEF10FA +:10123000104B7C40635BF0021C4885CB9304040197 +:1012400009A87C40FD177CC07C406350F0021C481C +:1012500089CF2685EF1030116DD5EF1010317C400D +:10126000FD177CC07C40E344F0FEFD577CC0224467 +:10127000B2409244024941016F10B0481DC17971DA +:101280004ECE52CC06D63E8A22D426D24AD056CA58 +:101290005AC85EC6A547BA8963F8E7007370043080 +:1012A00001A07370043001A02A8BAE843289B68B02 +:1012B000C28A6307082213050006EF2090372A84AC +:1012C000630B05200A09232855034A869305500A13 +:1012D0005685EF20505C03C704001C587119230A7F +:1012E000E40203C704003E991379C9FF79C783C795 +:1012F0001400A30AF40283C71400E1C383C72400C7 +:10130000230BF40283C72400CDCB83C73400A30B87 +:10131000F40283C73400D5C383C74400230CF4020E +:1013200083C74400D9CB83C75400A30CF40283C7FE +:101330005400C1C783C76400230DF40283C764004F +:10134000ADCF83C77400A30DF40283C77400B5C783 +:1013500083C78400230EF40283C78400B9CF83C7F8 +:101360009400A30EF40283C79400A1CB83C7A4000A +:10137000230FF40283C7A400A9C383C7B400A30F3B +:10138000F40283C7B40095CB83C7C4002300F404E0 +:1013900083C7C4009DC383C7D400A300F40483C7DC +:1013A000D40081CF83C7E4002301F40483C7E400A1 +:1013B00089C783C7F400A301F404930A4400568547 +:1013C000A30104042326340323283405232A040418 +:1013D000EFF02F9513058401EFF0AF94A947B38781 +:1013E0003741232C040400C81CCC40D02322040421 +:1013F000232E04045E86DA854A85EFF0AF8D08C09F +:1014000063040A0023208A007370043017F9010076 +:10141000130909168327090097F401009384041720 +:1014200091C798409C407C4385077CC317F7010017 +:101430001307C7141C43850797F6010023A0F61471 +:101440009C40C5CB8327090017FB0100130B4B23DE +:1014500089EB9C40DC5763E6F90097F7010023A76E +:10146000871297F701009387A70F9C4317F7010096 +:101470001307471048541843850797F6010023A126 +:10148000F60E3CC46376A70097F7010023A4A70ECD +:10149000D145EF50A01FD6855A95EFF0EF888327EE +:1014A000090081C79C40FC43B5E38327090005443C +:1014B00099C79C40DC5763F43701EFE03FF5228584 +:1014C000B250225492540259F249624AD24A424BD3 +:1014D000B24B456182805685EF20D0277D54C5B739 +:1014E00013152600EF20F014AA8AE31605DC7D54BC +:1014F000F9B797F7010023AB8708184385476301C5 +:10150000F70217FB0100130BAB17A1BF98409440E3 +:101510007C43FD177CC3FC42C9FB7360043071B788 +:10152000014A17FB0100130BAB15A94B5285D1459E +:10153000EF50C015050A5A95EFE07FFDE3187AFFDA +:1015400097FB0100938B4B205E85EFE05FFC17FA61 +:101550000100130AAA205285EFE07FFB17F5010076 +:1015600013050521EFE0BFFA17F5010013058521EA +:10157000EFE0FFF917F5010013050522EFE03FF951 +:1015800097F7010023A2770197F7010023AC47FFEB +:10159000C9BD411122C406C626C24AC02A8473703E +:1015A000043097F401009384A4FC9C40F9E311E417 +:1015B00097F70100938787FD8043130944004A850C +:1015C000EFE01FFB1C5489C713058401EFE05FFAAD +:1015D000CA8517F501001305E51AEFE0FFF497F748 +:1015E00001009387E7F9984397F70100938747F73E +:1015F0009C43050797F6010023A4E6F89840850769 +:1016000097F6010023AEF6F401CB97F7010093871C +:10161000E7F79843784349EF9C40B1C797F701003B +:101620009387C7F69843630F870873700430984018 +:1016300039EB17F70100130727F514439442A5E28D +:101640007D5797F6010023ABE6F0984009CF984309 +:10165000784311CB984394437C43FD177CC3FC42F1 +:1016600099E373600430B24022449244024941013C +:10167000828097F70100938767F198439C437C43EE +:1016800085077CC32DB794439843F8420507F8C2F9 +:1016900017F70100130747EF14439442D5D21843BC +:1016A00058475847584397F6010023A9E6EA71BF07 +:1016B000984394437C43FD177CC3FC42B1FF7360A5 +:1016C000043099BF97F70100938707E99C4381C7CE +:1016D0007370043001A02244B24092440249410197 +:1016E0006FE0DFD241C517F70100130727EA144363 +:1016F0008147638BA606411106C622C426C27370B9 +:10170000043097F601009386A6E69C4291C71043E9 +:101710001C437C4285077CC29C42AA84404981C705 +:101720001C43FC43B9E797F701009387E7E59C4327 +:10173000638B870497F701009387C7E49C43638416 +:10174000870497F70100938727056309F40297F749 +:101750000100938727036301F4028547B2402244C6 +:1017600092443E85410182803E85828073700430C0 +:1017700001A0EF50600545BF9147CDB79C5493B78A +:1017800017008907E1BF8947D1BF7370043097F70D +:1017900001009387E7DD984309EF15C59C43485541 +:1017A00081CB97F70100938767DE9843784315E371 +:1017B000828017F70100130767DD14431843F842CE +:1017C0000507F8C261FD17F70100130727DC08437E +:1017D000F1B7984394437C43FD177CC3FC42E9FB7B +:1017E000736004308280411122C42A8406C6EFE06F +:1017F000DFCD11E497F70100938747D98043405428 +:10180000EFE05FCCB2402285224441018280A547AF +:1018100063F5B7007370043001A0011122CC06CE2D +:1018200026CA4AC84EC652C42A847370043017F9B7 +:1018300001001309E9D383270900CDEF71C43C48A7 +:101840006388F50817F70100130747D463FCB70056 +:101850001443638C860C18434457B3B4950093C467 +:10186000140029A00443818C93B41400585463835A +:10187000E70A1C4C2CC863C60700A947B385B740CC +:101880000CCC93172700BA97584897F90100938911 +:1018900029DF8A07CE97631AF702130A440052859C +:1018A000EFE01FCD97F701009387C7CC48549C43C6 +:1018B00063F6A70097F7010023AEA7CAD145EF4012 +:1018C000F05CD2854E95EFE03FC699C0EFE01FB4C3 +:1018D0008327090081CB97F70100938727CB9C438F +:1018E000FC439DEBF2406244D2444249B249224A51 +:1018F0000561828097F70100938747C998439C430D +:101900007C4385077CC305FC97F70100938707C8D4 +:10191000804335B74CD4B1BF6244F240D24442490F +:10192000B249224A05616F40306A814481B725C1BE +:10193000011126CA97F40100938444C59C4006CE49 +:1019400022CC4AC84EC6638DA7027370043017F9C3 +:1019500001001309E9C18327090091C798409C4001 +:101960007C4385077CC3584997F701009387C7E2FA +:101970006302F7028327090081C79C40FC43A5EB63 +:10198000F2406244D2444249B24905618280737098 +:10199000043001A01C5517F70100130767DDE38B26 +:1019A000E7FCE9FB930945002A844E85EFE05FBC24 +:1019B00097F70100938707BC48549C4363F6A70040 +:1019C00097F7010023A8A7BAD145EF40304C97F70D +:1019D00001009387E7CA3E95CE85EFE0FFB49C40B7 +:1019E0005854DC57E368F7F8EFE05FA261B7624450 +:1019F000F240D2444249B24905616F40F05C15CDD6 +:101A0000011122CC26CA4EC62A8406CE4AC8EFE06F +:101A1000DFAB584897F70100938707D8AA8981441C +:101A20006300F7024E85EFE0FFA9F240624426858D +:101A30004249D244B249056182807370043001A0EA +:101A40001C5417F501001305A5D2E38DA7FCF9FB83 +:101A500097F70100938747B09C43A9EB97F70100E4 +:101A60009387C7B29C43445413094400DC574A850A +:101A7000B3B4F400EFE0DFAF97F70100938787AFCF +:101A800048549C4393C4140063F6A70097F70100E1 +:101A900023A2A7AED145EF40703F97F7010093878F +:101AA00027BECA853E95EFE03FA8ADBF93058401F0 +:101AB000EFE09FA785BF0111056506CE22CC26CA9F +:101AC0004AC84EC652C456C25AC0EF208036630E72 +:101AD000051AAA8413050006EF20A0352A84630A9C +:101AE000051C05669305500A04D92685EF20A05AE7 +:101AF00004588567F117BE94B7474C4593879744C0 +:101B0000130A44005CD85285230C0402A301040488 +:101B10002326040223280404232A0404EFE07FA0E0 +:101B200013058401EFE0FF9FA947232C0404F198DB +:101B300000C81CCC40D023220404232E04040146F8 +:101B4000971500009385E5B12685EFE0BF9808C0A2 +:101B50007370043017F90100130989A18327090064 +:101B600097F40100938484A291C798409C407C43E1 +:101B700085077CC317F70100130747A01C4385079F +:101B800097F6010023ACF69E9C40C9C38327090049 +:101B900097F901009389C9AE81EB9C40DC5789E736 +:101BA00097F7010023A4879E97F701009387479B2F +:101BB000984397F601009386E69B5C549442050790 +:101BC00017F60100232EE69838C463F6F60017F7DF +:101BD00001002321F79A139527003E950A05D28527 +:101BE0004E95EFE07F948327090081C79C40FC431A +:101BF000DDE78327090091C39C40EF10F04985473A +:101C00006305F50C59E17370043001A097F70100EA +:101C100023AE87961843854797F90100938949A613 +:101C2000E314F7F8CE8A17FB0100130BEBB15685CE +:101C3000D10AEFE0DF8DE31C5BFF17F50100130510 +:101C4000A5B0EFE0DF8C97FA0100938A2AB15685A0 +:101C5000EFE0FF8B17F50100130585B1EFE03F8B37 +:101C600017F50100130505B2EFE07F8A17F50100B3 +:101C7000130585B2EFE0BF8997F7010023A66791AE +:101C800097F7010023A0579105B7F2406244D24470 +:101C90004249B249224A924A024B056182809840E9 +:101CA00094407C43FD177CC3FC42A1F77360043071 +:101CB00089B76244F2404249B249224A924A024BF1 +:101CC0002685D24405616F20E02873700430FD57EB +:101CD00017F701002324F788624497F7010023A92E +:101CE000A788F240D2444249B249224A924A024B62 +:101CF00097F7010023A2078805616FE08FDA737000 +:101D0000043097F7010023A507866FE0CFE717F7A8 +:101D10000100130767841C4385071CC3828073700E +:101D2000043097F701009387A784984305E317F7DA +:101D300001001307678408439C4381CB97F7010098 +:101D40009387C7849843784301EF828017F7010097 +:101D50001307C78314431843F8420507F8C2C1BFED +:101D6000984394437C43FD177CC3FC42F9FF7360A6 +:101D700004308280411106C622C4EFE00FF597E7D8 +:101D800001009387677F8043EFE0CFF3B240228565 +:101D900022444101828097E701009387277E884390 +:101DA0008280797106D622D426D24AD04ECE52CC29 +:101DB00056CA5AC85EC697E701009387E7799C43E5 +:101DC000B1EF17E701001307277B1C43850797E650 +:101DD000010023A3F67A832A0700638F0A0697E798 +:101DE00001009387A7779C43814463F1FA0897F930 +:101DF00001009389E98817EA0100130A2A798327E9 +:101E00000A008546D85793172700BA978A07BE99C4 +:101E100083A7090063FFF600854421A897E7010026 +:101E20009387C7749C438144850717E70100232FDC +:101E3000F77297E70100938727739C4391C385440A +:101E4000B2502254268502599254F249624AD24A2B +:101E5000424BB24B4561828017E401001304C47207 +:101E60001C409C43DDC37370043001A017E40100E3 +:101E70001304847197F901009389898017EA01009E +:101E8000130ACA7017EB0100130BCB6E1C409C4366 +:101E9000B5C31C40DC4703A9C70083274900930B47 +:101EA00049005E8563EEFA04EFE08FEC8327890238 +:101EB0001305890199C3EFE0AFEB8327C90203271C +:101EC0000B00DE85139527003E950A054E95637637 +:101ED000F70017E70100232FF768EFE0EFE483270F +:101EE0000A000327C902DC57E362F7FA1C40854465 +:101EF0009C43C5F3FD5717E701002321F76601B79F +:101F000017E70100232CF764DDBD17E70100130775 +:101F100067671C40184397E6010023A7E66617E7AA +:101F200001002321F76697E701009387A7639C438D +:101F3000850717E701002327F7621C409C4399E7B8 +:101F4000FD5717E70100232BF76051BD1C40DC470C +:101F5000DC47DC4317E701002322F76049B597E728 +:101F600001009387675F984301E77370043001A015 +:101F7000011106CE22CC26CA4AC84EC652C456C249 +:101F80005AC07370043097E401009384645E9840F3 +:101F900061EB98437D1797E6010023AFE65A9C4317 +:101FA000D9EB97E701009387675D9C43C9C797EA20 +:101FB0000100938AEA6C17EA0100130AAA5B17E989 +:101FC00001001309A95C854919A83385EA00EFE0EF +:101FD000AFD5832709005854DC576379F70483A7EA +:101FE0000A0FC1CF83A7CA0FC04713058401EFE0D2 +:101FF0002FD8130B44005A85EFE08FD75C5483260B +:102000000A00DA85139527003E950A052A8756951A +:10201000E3FDF6FA17E70100232EF754EFE0CFD0E7 +:10202000832709005854DC57E36BF7FA97E7010060 +:1020300023AC37536DB701449C4081CB97E7010037 +:102040009387C7549C43FC4395E72285F240624442 +:10205000D2444249B249224A924A024B05618280E7 +:1020600017E701001307875214431843F842050786 +:10207000F8C205B7EF404075C9BF17E4010013046B +:10208000E44E1C4095C31C40054999CF193B09C536 +:1020900097E7010023AA274D1C40FD1717E7010011 +:1020A0002326F74C1C40FDF397E701009387C74BAD +:1020B00098438547E311F7F8EFE04FB50544ADBF0E +:1020C00011CD81E57370043001A017E701001307FB +:1020D000A7481C4399C77370043001A07370043083 +:1020E00001A0411122C406C614431841850617E613 +:1020F00001002323D64697E601009386E6479442E3 +:102100003304B70000C163F7E602637FE40063FDB8 +:10211000860097E701009387674788431105EFE042 +:102120002FC52285EF4000641D3D01C9B240224405 +:1021300041018280E36FE4FCD9BF2244B2404101F7 +:102140006FE0CFAC11C917E701001307E7401C434C +:1021500091C77370043001A06FE04FAB411106C608 +:1021600022C41843AA87050797E6010023A6E63E86 +:1021700097E601009386864117E701001307C73FE2 +:10218000184388423304F7001105EFE06FBE228543 +:10219000EF40405DE93311E52244B24041016FE078 +:1021A000EFA6B240224441018280411122C406C6FA +:1021B00097E701009387473A9C430144850717E757 +:1021C0000100232BF73897E701009387273B9C43B7 +:1021D00063E0F51217EE0100130E4E5697E2010070 +:1021E0009382424901440147854F1303500A21A0BD +:1021F000311E638DC20B83278EFFBA86F5DB8327E2 +:10220000CEFFDC43232EFEFE638AC70F03AFC70059 +:10221000DC432A97814E232EFEFE6385C70783A8E1 +:10222000C70093874803232017015CC383A7880452 +:102230002326F7011CC783A7C8021CCB83A7080568 +:10224000232C07005CCB03A8080383470800639096 +:102250006704C287850783C60700B3850741E38B00 +:1022600066FE8981C205C181231EB700850E130752 +:10227000070263061F038327CEFFDC43232EFEFEE7 +:10228000E39FC7F983274E00232EFEFE49BF8145F9 +:10229000231EB700850E13070702E31E1FFD769469 +:1022A00013175400311EBA86E397C2F597E7010071 +:1022B0009387872D03AE070083270E00639D070ECB +:1022C00097E701009387072C03AE070083270E00D2 +:1022D0006392071817EE0100130E8E3A83274E10F3 +:1022E0009DE383278E116395072019C223200600E2 +:1022F000BD312285B24022444101828083274E00B5 +:10230000232EFEFE21B783278E1017EF0100130F37 +:10231000EF47DC4317E701002328F7466380E733E4 +:1023200083A2C700DC43AA96814E17E70100232D44 +:10233000F744914F1303500A6388E70783A8C70047 +:102340009387480323A01601DCC283A7880423A631 +:10235000F6019CC683A7C8029CCA83A7080523ACC4 +:102360000600DCCA03A80803834708006391672AB4 +:10237000C287850703C70700B3850741E30B67FEE4 +:1023800093D72500C207C183239EF600850E93864E +:1023900006026386122983278E10DC4317E70100AB +:1023A0002324F73EE39CE7F983270E1117E701008A +:1023B000232CF73C61B783274E00130F8E00D443C4 +:1023C0002322DE006388E629B307E500D84283A212 +:1023D000C600814E2322EE00894F1303500A630684 +:1023E000EF068328C7001387480323A01701D8C32B +:1023F00003A7880423A6F70198C703A7C80298CBB0 +:1024000003A7080523AC0700D8CB03A8080303479C +:1024100008006318671C4287050783460700B30559 +:102420000741E38B66FE13D7250042074183239EB5 +:10243000E700850E93870702638D121B83264E00EB +:10244000D8422322EE00E31EEFF80327CE0023221A +:10245000EE0041BF83274E00130F8E00DC43232282 +:10246000FE006384E71F83A2C700DC43AA96814E67 +:102470002322FE00894F1303500A6306FF0683A838 +:10248000C7009387480323A01601DCC283A78804F2 +:1024900023A6F6019CC683A7C8029CCA83A7080589 +:1024A00023AC0600DCCA03A8080383470800639630 +:1024B0006710C287850703C70700B3850741E30B91 +:1024C00067FE93D72500C207C183239EF600850EC1 +:1024D00093860602638B120F83274E00DC43232270 +:1024E000FE00E31EFFF88327CE002322FE0041BF3B +:1024F0008327CE1197EE0100938E8E2ADC4317E7D7 +:102500000100232DF7286384D71383AFC700DC4372 +:102510003307D500014397E6010023A1F6280D4FAC +:1025200089429308500A6387D707C8479307450332 +:1025300008C35CC33C452326E7011CC75C551CCB84 +:102540001C5599C3232657003C49232C07005CCB1C +:10255000032805038347080063951705C28785078D +:1025600083C60700B3850741E38B16FF93D7250089 +:10257000C207C183231EF700050313070702638AFE +:10258000AF028327CE11DC4397E6010023A8F62093 +:10259000E39DD7F983274E1297E6010023A0F6208A +:1025A00069B78147231EF700050313070702E39A63 +:1025B000AFFC1A94E31C06D225BB8147239EF6008C +:1025C000850E93860602E39912F117EE0100130EB1 +:1025D0002E0B83274E10769493165400E38307D076 +:1025E0001DB30147239EE700850E93870702E397FB +:1025F00012E597E701009387E7F803AE07007694AA +:102600009316540083270E00E38607CCA1B58147BB +:10261000239EF600850E93860602E39E12D783273B +:102620008E11769493165400E38107CCD1B583279D +:102630004E1217E701002323F716C1BD83270E11A1 +:1026400017E701002322F714E1B98327CE002322E4 +:10265000FE0011BD8326CE002322DE00B5B37971C2 +:1026600026D24AD04ECE52CC56CA5AC85EC606D6DC +:1026700022D497E90100938929EE17E90100130993 +:10268000290017EA0100130AAAEE97EA0100938ACB +:10269000EAEF97EB0100938B6BEE97E401009384D4 +:1026A00024EE054B21A883A70900032449108507C0 +:1026B00017E701002322F7EA5D3011E89C40E5F7B7 +:1026C00083270900E37CFBFEEFD05FD4C5BF7370A6 +:1026D000043083270A00B9E383270911C047130593 +:1026E0004400EFD0FFE883A70B00FD1717E70100B8 +:1026F0002326F7E89C40FD1717E701002322F7E89F +:1027000083270A0089C783A70A00FC4385E308588A +:10271000EF1050042285EF10F0034DB703A70A0015 +:1027200083A70A007C4385077CC37DB703A70A0003 +:1027300083A60A007C43FD177CC3FC42E9FB73605F +:102740000430F1B797E70100938707E19C43DDE789 +:10275000411106C622C426C297E7010023A607E05E +:1027600017E70100130787E21C43B7A6A5A59386C8 +:10277000565A9C5B8C43639BD500D0436318B600CC +:1027800094476395C600DC476388D70008430C4331 +:1027900093854503EF20E07317E70100130787DDFA +:1027A000144317E401001304A4ED11A81C43A9CF9E +:1027B0001C43FD1797E6010023AEF6DA1443939706 +:1027C0002600B6978A07A2979C43EDD30843931738 +:1027D0002500AA978A073307F4005443A107A2975C +:1027E000C44244C36385F402DC44B240224417E788 +:1027F0000100232DF7D8924441018280854717E7D5 +:1028000001002323F7D682807370043001A0C440F6 +:10281000D145EF30B067229544C1F9B701114AC8DC +:1028200006CE22CC26CA4EC62A897370043097E49D +:1028300001009384E4D39C4017E40100130404D501 +:10284000D9E76314090003290400930949004E8560 +:10285000EFD01FD28327890289C713058901EFD0E2 +:102860003FD1CE8517E50100130505F3EFD0DFCB8F +:102870009C4081C71C40FC43ADE31C40638B270791 +:102880009C409DCF737004309C40C1EB97E70100E2 +:10289000938787CF9843184325EBFD5717E701002F +:1028A000232EF7CA9C4089CF1C40FC4391CB184093 +:1028B00014407C43FD177CC3FC4299E373600430F1 +:1028C000F2406244D2444249B249056182801840D4 +:1028D0001C407C4385077CC3ADB7184014407C4343 +:1028E000FD177CC3FC42D1FB736004301C40E399AC +:1028F00027F99C40A1C397E701009387E7C59C4354 +:10290000ADCB7370043001A09C43DC47DC47DC4353 +:1029100017E701002324F7C471B718401C407C431B +:1029200085077CC397E70100938707C69843184340 +:102930002DD7D9BF97E70100938747C417E7010058 +:10294000130707D49C4303278711631DF700F24048 +:10295000624497E7010023AB07C2D2444249B2491F +:10296000056182806244F240D2444249B249056125 +:10297000D1BB6244F240D2444249B24905616FD0B2 +:10298000FFA83DC9411126C297E40100938404C009 +:102990004AC02E898C4006C622C4E105EFD05FBA3A +:1029A00088401105EFD0DFBCFD57630AF90497E7B3 +:1029B0000100938767BC804398409C434A9440C37E +:1029C000636CF40497E70100938707BC88438C404D +:1029D0009105EFD0FFB697E70100938727B89C4396 +:1029E0006376F40097E7010023AA87B6B240224439 +:1029F00092440249410182807370043001A08C40EE +:102A00002244B2409244024917E501001305C5D89B +:102A1000910541016FD05FB197E70100938787B6B9 +:102A2000884322448C40B2409244024991054101BE +:102A30006FD01FB111C997E701009387E7B19C439D +:102A400099E77370043001A07370043001A0411144 +:102A500026C297E40100938464B39840AE878C400B +:102A6000B7060080D58F06C622C41CCFE10532848C +:102A7000EFD09FAB88401105EFD09FAFFD57630E9D +:102A8000F40097E70100938727AF8843B240924450 +:102A90002295224441016F30F04C8C402244B240D8 +:102AA000924417E50100130525CF910541016FD030 +:102AB000BFA725CD411126C297E40100938404AD40 +:102AC0004AC02E898C4006C622C4E1053284EFD06C +:102AD000BFA588401105EFD0BFA98547630AF4045C +:102AE00097E70100938747A9804398409C434A9405 +:102AF00040C3636CF40497E701009387E7A8884319 +:102B00008C409105EFD0DFA397E70100938707A5DD +:102B10009C436376F40097E7010023A187A4B240A9 +:102B2000224492440249410182807370043001A022 +:102B30008C402244B2409244024917E5010013053B +:102B4000A5C5910541016FD03F9E97E7010093878E +:102B500067A3884322448C40B240924402499105C5 +:102B600041016FD0FF9D411106C622C426C25C45BB +:102B7000C04735CC930484012685EFD07F9F97E72B +:102B800001009387679D9C43B5E793044400268525 +:102B9000EFD01F9E97E701009387C79D58549C4331 +:102BA00063F6E70097E7010023A6E79C9317270049 +:102BB000BA978A0717E50100130585ACA6853E95EF +:102BC000EFD09F9697E701009387479C9C4358540A +:102BD0000145DC5763F8E700854717E70100232527 +:102BE000F7980545B24022449244410182807370B7 +:102BF000043001A0A68517E50100130565B7EFD0E5 +:102C0000BF92C9B797E70100938707959C4381E777 +:102C10007370043001A0411106C622C426C2B70752 +:102C200000804045CD8F1CC12DC4EFD07F9493040C +:102C300044002685EFD0DF9397E7010093878793C1 +:102C400058549C4363F6E70097E7010023A4E792FA +:102C500093172700BA978A0717E50100130545A2C5 +:102C60003E95A685EFD05F8C97E70100938707928A +:102C70009C4358540145DC5763F8E700854717E744 +:102C800001002323F78E0545B240224492444101BE +:102C900082807370043001A011CD97E70100938703 +:102CA000678C984397E701009387078D9C4318C171 +:102CB0005CC182807370043001A055C1D1CD411137 +:102CC00006C622C42A877370043097E801009388EF +:102CD000288A83A7080091CB97E701009387078B89 +:102CE00094439C43FC428507FCC217E30100130395 +:102CF000A3889C4103260300FD560144638FD7023D +:102D000017EE0100130E0E8603250E0003280700A0 +:102D100054436305A80005446371D6023308D640C6 +:102D20000544637CF80003250E00918F0326030001 +:102D3000B6979CC108C350C3014483A7080081CB48 +:102D400097E70100938787849C43FC4381EF2285AA +:102D5000B2402244410182807370043001A073703C +:102D6000043001A0EF305026DDB7854717D70100AA +:102D7000232CF77E828019C16845828001458280BC +:102D800011C16CC5828097E7010093872780884333 +:102D9000828097D701009387A77D9C43054589CB07 +:102DA00097D701009387477B8843133515000605A5 +:102DB000828031CD011126CA97D401009384047D0D +:102DC0009C4006CE22CC4AC84EC65855DC57637983 +:102DD000F7021C4D63C707009C40D457A947958F45 +:102DE0001CCD93172700BA97584917E9010013091A +:102DF00029898A07CA97630DF7009C40DC575CD588 +:102E0000F2406244D2444249B249056182808280E4 +:102E1000930945002A844E85EFD08FF59C4017D743 +:102E20000100130727751843DC575CD46376F7005D +:102E300017D701002320F774139527003E956244AD +:102E40000A05F240D244CE854A95B249424905610D +:102E50006FD08FED19CD411106C622C426C297D777 +:102E600001009387A77280436307A4007370043046 +:102E700001A0014582807C4881E77370043001A085 +:102E800054543848FD177CC86383E60099C7014550 +:102E9000B24022449244410182809304440026853A +:102EA000EFD00FED284897D701009387A76C984380 +:102EB000A947898F48D41CCC6376A70097D7010017 +:102EC00023AAA76AD145EF30607C97D7010093878A +:102ED000277B3E95A685EFD02FE5054555BF73703E +:102EE000043097D701009387A7689C4391CB97D76D +:102EF00001009387A76998439C437C4385077CC363 +:102F0000828097D701009387A7669C438DC397D78C +:102F100001009387A7679843784311CB9843944364 +:102F20007C43FD177CC3FC4299E3736004308280CC +:102F300097D7010093878765904394439843A947A7 +:102F4000D456084E958F1CCF828097D70100938767 +:102F5000E763984309C79443F84A0507F8CA8843CA +:102F6000828079714AD006D622D426D24ECE2A89C2 +:102F70007370043097D401009384845F9C4017D40D +:102F800001001304A460BDE31C40BC4F89E71C4052 +:102F90000547F8CFD1E19C4081C71C40FC43F1EBD1 +:102FA000737004309C408DEF1C40A84F11C5630422 +:102FB00009021C4023AC07041C4023AE07049C40BC +:102FC00081C71C40FC438DEBB250225492540259ED +:102FD000F2494561828018403C4FFD173CCFE9BF64 +:102FE00018401C407C4385077CC37DBF18401C40B3 +:102FF0007C4385077CC349BF184014407C43FD17C0 +:103000007CC3FC42F1F373600430B25022549254FA +:103010000259F2494561828008402EC61105EFD061 +:103020002FD5B245FD576380F50697D701009387EA +:10303000A75483A9070018409C43AE992322370167 +:1030400063ECF90497D701009387075488430C4039 +:103050009105EFD0EFCE97D70100938727509C437F +:1030600063F6F90097D7010023AA374FEFD00FBAC4 +:103070001DB7184014407C43FD177CC3FC428DF300 +:103080007360043031BF0C4017D501001305C570C3 +:103090009105EFD06FC9D9BF97D701009387874EAD +:1030A00088430C409105EFD0AFC9C9B779714AD0B8 +:1030B0004ECE06D622D426D252CC2E89B289737037 +:1030C000043097D401009384A44A9C4017D4010093 +:1030D0001304C44BF9EF18408947784F630CF7008D +:1030E0001C401345F5FFB84F798DA8CF1C4005470C +:1030F000F8CFADE69C4081C71C40FC43F5E37370FC +:1031000004309C40B1E7638609001C40BC4F23A0FB +:10311000F900184085470145784F630BF7001C40C4 +:103120001349F9FF0545B84F3379E90023AC27056A +:103130001C4023AE07049C4081C71C40FC43C1E3F4 +:10314000B250225492540259F249624A4561828037 +:1031500018401C407C4385077CC375B7084036C6C1 +:103160001105EFD0EFC0B246FD576385F60897D73B +:1031700001009387674003AA070018409C43369AD2 +:10318000232247016363FA0897D701009387C73F5B +:1031900088430C409105EFD0AFBA97D701009387D1 +:1031A000E73B9C436370FA0697D7010023A8473B8F +:1031B00091A818401C407C4385077CC329BF184058 +:1031C00014407C43FD177CC3FC42BDFB736004309C +:1031D000B250225492540259F249624A45618280A7 +:1031E000184014407C43FD177CC3FC4289FB73608C +:1031F000043031B70C4017D501001305E55991058E +:10320000EFD08FB2EFD08FA0F5B597D7010093879D +:10321000673788430C409105EFD08FB2E5B751C1B5 +:10322000411106C622C426C24AC07370043097D426 +:1032300001009384E4339C40B9EB99C23C4D9CC29D +:10324000B2878946704D74CD2E872A846386D7064F +:1032500063FCF6048D456387B70091456395B7001D +:103260006300D60C38CC8547630FF60405449C40B8 +:1032700081CB97D70100938767319C43FC43A9E337 +:103280002285B2402244924402494101828097D76C +:1032900001009387A72F98439C437C4385077CC3F9 +:1032A00069BF7370043001A08546E39ED7FA3C4D98 +:1032B00033E7B70038CD45BF3C4D85073CCD65B7FA +:1032C000EF30805075BF130944004A85EFD04FAAF4 +:1032D00097D701009387072A48549C4363F6A700B9 +:1032E00097D7010023A8A728D145EF30203A97D7D8 +:1032F00001009387E7383E95CA85EFD0EFA21C54B2 +:1033000081C77370043001A097D701009387072805 +:103310009C435854DC57E3FBE7F4EFD02F8FB9B749 +:103320000144B1B739CD011122CC26CA4AC84EC6D4 +:1033300052C456C206CEBA89368AB2842E892A84ED +:10334000EFD0AF98AA8A63050A003C4C2320FA000C +:10335000784C89477CCC6389F40263F4970C8D46E2 +:103360006387D40091466396D400630AF70A232C3E +:1033700024058547631EF7061C5499CB73700430EF +:1033800001A07370043001A03C4C85073CCCD5B73C +:1033900097D701009387471C9C43ADEB93044400EF +:1033A0002685EFD0EF9C97D701009387A71C485440 +:1033B0009C4363F6A70097D7010023ADA71AD14518 +:1033C000EF30C02C97D701009387872BA6853E95B9 +:1033D000EFD08F9597D701009387471B9C43585494 +:1033E000DC5763F7E70063850900854723A0F900F0 +:1033F00005445685EFD00F8D2285F2406244D244B9 +:103400004249B249224A924A056182809305840169 +:1034100017D501001305C535EFD00F9165BF0144E5 +:10342000C9BF8547E397F4F43C4C33E92701232CCB +:10343000240581B74DC1011122CC26CA2A844EC66B +:1034400006CE4AC8AE84EFD04F888947744C7CCCF6 +:103450003C4C0547AA8985073CCC6395E6061C547D +:1034600081C77370043001A097D701009387C70EFE +:103470009C43ADE7130944004A85EFD06F8F97D77F +:1034800001009387270F48549C4363F6A70097D702 +:10349000010023A1A70ED145EF30401F97D70100AF +:1034A0009387071ECA853E95EFD00F8897D70100F6 +:1034B0009387C70D9C435854DC5763F5E70099C0C8 +:1034C00085479CC06244F240D24442494E85B2498D +:1034D00005616FC03FFF7370043001A09305840144 +:1034E00017D501001305C528EFD00F84C1B7AA87EF +:1034F00029C57370043017D70100130767071443F9 +:103500009DE2F04F894601456315D60023AE0704BE +:1035100005451C4381CB97D7010093872707984324 +:10352000784315E3828097D6010093862606904261 +:1035300094427442850674C2E9B797D70100938715 +:10354000E7049C437DB7984394437C43FD177CC3B9 +:10355000FC42E9FB7360043082805D71A6C2CAC080 +:1035600052DC56DA5AD85ED686C6A2C44EDE62D483 +:1035700066D26AD017D901001309490217DB01008E +:10358000130B0B0197D401009384C40097DA010058 +:10359000938A8A00054AA54B832709009843630153 +:1035A0000712DC4783A90700EFE06FF6EFE02FF783 +:1035B00083270B002A8C6362F50A97D7010023A9A1 +:1035C000A7FC01466370351B8840B3858941EFD065 +:1035D000DFBDEFE0DF9863010512884081460146B8 +:1035E0002C00EFD0EFEB4DD9A247E3C807FEC2494C +:1035F00083A7490189C713854900EFC07FF7EFE032 +:103600000FF283270B002A8C636DF508A24717D7AA +:103610000100232F87F7E3E2FBFCB317FA0013F74F +:103620000721631A071613F7770C6318071E93F721 +:103630000702C5D74E85EF00F01145B7DC47C047FC +:1036400083AC0700930944004E85EFC07FF25C50C5 +:10365000228582975C4C6384470B8327090098433B +:1036600071FF03A70A0097D6010023A386F397D61C +:10367000010023A3F6F297D7010023A1E7F2EFE0C0 +:103680001F8EA1BFDC47C04703AD0700930C440069 +:103690006685EFC0FFED5C50228582975C4C6386A7 +:1036A000470983270900984371FF03A70A0097D6AB +:1036B000010023A3F6EE97D7010023A1E7EEB9B7E7 +:1036C000EFE0EFE4EFE0AFE583270B002A8CE36641 +:1036D000F5F883A70A00884081499043B385894162 +:1036E00017D70100232687EB13361600EFD0FFAB68 +:1036F000EFE0FF86E31305EEEFC05FD1F9BD1C4C90 +:10370000CE85E69763E9FC049C4089CF02CA66CC6B +:1037100022CEEFF00FE88840814601464C08EFD0FA +:103720000F8805FD7370043001A01C4CE685EA97F4 +:10373000636CFD049C4089CF02CA6ACC22CEEFF0B4 +:103740004FE58840814601464C08EFD04F8531F95E +:103750007370043001A0032509005CC000C8EFC0ED +:103760003FDEE5BDEFE0AFFF83270900DC47C04740 +:10377000930C44006685EFC0BFDF5C4C638F47034A +:103780005C502285829791BD032509005CC000C86A +:10379000EFC01FDB39B7B24723ACF900A5CFE297E2 +:1037A00023A2F90023A8390193854900636FFC0423 +:1037B00003A50A00EFC0DFD80DB51C4C00C8338745 +:1037C000F90058C0636DEC02330C3C416363FC02AA +:1037D0009C4089CF02CA4ECC22CEEFF08FDB8840CE +:1037E000814601464C08EFC09FFB59F973700430C5 +:1037F00001A003A50A00E685EFC09FD451B70325B9 +:103800000900E685EFC0DFD3A5BF03250900EFC09F +:103810003FD3E1B37370043001A0B24783A689019E +:1038200023A839013387D70023A2E9006364EC049D +:10383000B307FC4063EBD70483A749024E85829708 +:1038400083A7C901E39B47D983A68901B247984062 +:10385000B69711CF02CA3ECC4ECEEFF08FD3884040 +:10386000814601464C08EFC09FF3E31805D67370FC +:10387000043001A06374FC00E370F7FC0325090029 +:1038800093854900EFC0DFCB89BB03A50A00938570 +:103890004900EFC0FFCA91B3411122C406C626C237 +:1038A0004AC017D401001304E4CEEFF04FE31C40EC +:1038B00095CBEFF00FE51C409DC38148014881473F +:1038C000094781461306004097D50100938505AF4F +:1038D000170500001305A5C8EFD05F9A39E973708A +:1038E000043001A017D90100130949EC4A85EFC043 +:1038F0001FC297D401009384A4EC2685EFC03FC17A +:103900000146B145114597D7010023A927C997D78B +:10391000010023A397C8EFC07FDB17D70100232B3B +:10392000A7C611CD97D501009385C5A8EFD0AFFCF0 +:1039300049B7B240224492440249410182807370E7 +:10394000043001A0A5C9797156CAAA8A1305C0021C +:1039500022D426D24AD04ECE52CC06D65AC85EC603 +:103960003A89B689328AAE84E9212A8405C9EFF002 +:103970000FD797D701009387E7C19C439DCFEFF006 +:103980004FD8130544002320540104CC232E4401B6 +:103990002320340323222403EFC0BFB82285B25072 +:1039A000225492540259F249624AD24A424BB24BD3 +:1039B000456182807370043001A097DB0100938B16 +:1039C000EBDE5E85EFC0BFB417DB0100130B4BDFEE +:1039D0005A85EFC0DFB30146B145114597D70100C5 +:1039E00023AE77BB97D7010023A867BBEFC01FCEDC +:1039F00017D701002320A7BA01C997D501009385E5 +:103A0000659BEFD04FEFA5BF7370043001A039C59F +:103A1000011122CC06CE26CA17D40100130484B7A4 +:103A2000832804002A88014563850802BA842EC2CF +:103A3000368732C442C6954663C5B602EFF06FB50D +:103A40008947630BF5020840814601464C00EFC0F0 +:103A50001FD5F2406244D244056182807370043005 +:103A600001A04C0081463A864685EFD08F88F2400F +:103A70006244D244056182800840814626864C001B +:103A8000EFC0FFD1F9B719C10841828073700430CB +:103A900001A001E57370043001A0411106C622C4E3 +:103AA0002A84EFF0CFC348483334A000EFF06FC54D +:103AB0002285B24022444101828001E573700430C6 +:103AC00001A0411122C42A8406C6EFF04FC1005064 +:103AD000EFF02FC3B240228522444101828001E5EC +:103AE0007370043001A0411122C426C22E84AA841E +:103AF00006C6EFF0CFBE80D02244B24092444101CE +:103B00006FF02FC04111714522C406C61D262A84BC +:103B100011C5232005001105EFC07F9F2285B2400B +:103B200022444101828001CDB70700FFED8F81C79C +:103B30007370043001A099E57370043001A07370B4 +:103B4000043001A0011122CC4AC84EC652C456C24C +:103B500006CE26CABA893689B28A2E842A8AEFF01E +:103B60004FA311E5638509007370043001A0EFE0F5 +:103B70000F9A83240A00B37794006316090295C74D +:103B800063870A009347F4FFE58F2320FA00EFE0F4 +:103B90000FBDF240624426854249D244B249224ACE +:103BA000924A05618280E30DF4FCE38209FEB3359D +:103BB0005001E20563050900B7070004DD8D4E865C +:103BC000C18D13054A00EFE0FFE6EFE04FB919E1C0 +:103BD000EFC0DF83EFF0CFB593176500AA8963D8F4 +:103BE0000700B7040001FD14B3F499005DB7EFF0CE +:103BF0000FAF83290A00B3773401631E090089CB14 +:103C000063880A009347F4FFB3F737012320FA00D3 +:103C1000EFF02FAFF9B7E31DF4FEDDB701C9B70729 +:103C200000FFED8F99C77370043001A073700430EA +:103C300001A0411122C42A8406C626C24AC02E8988 +:103C4000EFF0EFA904409345F9FFB3F795001CC0CE +:103C5000EFF02FABB2402244268502499244410145 +:103C60008280411122C42A8406C6EFC01F8600400C +:103C7000EFC05F85B240228522444101828009C99C +:103C8000370700FFB3F7E50099C77370043001A050 +:103C90007370043001A06F20F034B70700FFF18F7C +:103CA00081C77370043001A001E67370043001A075 +:103CB000011122CC4AC84EC652C406CE26CA368945 +:103CC000AE892A8A3284EFF0CF8C21E163050900A6 +:103CD0007370043001A0EFE08F8383240A00CE8547 +:103CE0005285B3E49900613FB37794006307F4060B +:103CF00083240A00EFE0AFA6F240624426854249E1 +:103D0000D244B249224A05618280EFE04F80832489 +:103D10000A00CE855285B3E434019537B3F78400A9 +:103D2000638D8702E30609FCB70500054A86C18D4D +:103D300013054A00EFE01FD0EFE06FA219E1EFC0DA +:103D4000EFECEFF0EF9E93176500AA8463DF0700A6 +:103D5000B7070001FD17FD8C45B783270A00134400 +:103D6000F4FFE18F2320FA0071B7EFF04F9783241F +:103D70000A00B3779400639787009347F4FFE58FB9 +:103D80002320FA00EFF0EF97E1B7411122C406C6F5 +:103D90002A8426C2EFD0BFF75C4085C3084893044D +:103DA000C4006316950015A0084863009502B70586 +:103DB0000002EFE03FE55C40E5FB2285612A2244FA +:103DC000B240924441016FE08F997370043001A0BA +:103DD00009C9370700FFB3F7E50099C773700430CE +:103DE00001A07370043001A06F20D01F01C9B70774 +:103DF00000FFED8F99C77370043001A07370043019 +:103E000001A0411122C426C206C62A84AE84EFF066 +:103E10000F8D184093C7F4FFF98F1CC02244B240A5 +:103E2000924441016FF0EF8D19C1084D8280014528 +:103E30008280411122C406C62A84EFD05FED97C765 +:103E400001009387E7759C43A1EBE56717D7010055 +:103E500013078798F11797C6010023A9E67417C7BF +:103E600001002323F77417D701002329F79697C77A +:103E700001009387277317D70100232FF7948547F5 +:103E800017C701002326077217C70100232C0770EC +:103E900017C701002326F77019E8EFE04F8CEF10E9 +:103EA000C00201442285B2402244410182801305B0 +:103EB000840093773500C1EFE5671307F5FFE91735 +:103EC000E3EDE7FC97C601009386466E9C4229A06D +:103ED000984311C7BE86BA87D843E36BA7FE17C7BE +:103EE00001001307276CE38AE7FA984341461384DD +:103EF000870098C2D843B306A7406378D6023388B8 +:103F0000A7002322D800C8C38325480097C6010014 +:103F10009386C66911A0BA8698425043E36DB6FEF7 +:103F20002320E80023A00601D84397C70100938708 +:103F3000276B9C43998F17C701002323F76AEFE093 +:103F40000F8231DC2285B240224441018280719986 +:103F500011059DB729C9411122C406C62A84EFD094 +:103F60001FDB930584FF0326C4FF17C70100130757 +:103F7000E76311A03E871C43D443E3EDC6FE232C28 +:103F8000F4FE0CC397C70100938787659843832786 +:103F9000C4FF2244B240BA9717C701002322F76436 +:103FA00041016FD0DFFB828097C701009387476391 +:103FB0008843828082803367B5000D8BAA871DE716 +:103FC0009308D6FFAA982A87AE866371150303A8C3 +:103FD000060011079106232E07FFE36A17FF934798 +:103FE000F5FFC697F19B9107BE95AA972A9663F3B2 +:103FF000C70A9386450013884700B3B6D70033B885 +:10400000050133E7F50093C61600134818000D8B21 +:10401000B3E6060113371700758F330EF64093860B +:10402000170029CF1337AE0031EB13532E002E8823 +:10403000BE860147832808000507110823A0160142 +:104040009106E36967FE1377CEFFBA95BA976302CC +:10405000EE0483C60500138717002380D700637A18 +:10406000C70283C6150013872700A380D700637299 +:10407000C70203C725002381E7008280B307F6400B +:10408000AE97850503C7F5FF8506238FE6FEE39A05 +:10409000F5FE82808280B367C5008D8BB308C500B2 +:1040A000E9C36371150FB307A0408D8B13873700E9 +:1040B000954693F5F50F130815003383A8407D1638 +:1040C0006373D70015476363E60CD5CF2300B500B3 +:1040D0000547638DE700A300B5000D4713082500D1 +:1040E0006396E700130835002301B5001396850099 +:1040F0004D8E13970501518F3303F340939685013D +:10410000D98E13562300AA97014794C30507910738 +:10411000E36DC7FE1377C3FFB307E8006307E30649 +:104120002380B70013871700637C1703A380B700B1 +:1041300013872700637617032381B700138737009F +:1041400063701703A381B70013874700637A1701D1 +:104150002382B7001387570063741701A382B70047 +:10416000828093F5F50F13978500D98D139705017C +:104170004D8FE37715FFAA87910723AEE7FEE3EDA6 +:1041800017FF828082802A8895B78280AA8749BFDC +:10419000834705002A8799C7050583470500EDFF7A +:1041A000198D82800145828005058347F5FF8505CD +:1041B00003C7F5FF91C7E389E7FE3385E7408280B7 +:1041C0008147E5BFAA87850503C7F5FF8507A38F4C +:1041D000E7FE75FB82808346050093070002639724 +:1041E000F600050583460500E38DF6FE938756FD30 +:1041F00093F7D70F85C7AA87814585CE01458507E7 +:10420000138606FD1317250083C607003A95060599 +:104210003295F5F699C13305A0408280938536FD2D +:104220008346150093B5150093071500E1FA014583 +:10423000D5B7014582809C412380A7009C4185071A +:104240009CC1828097C601009386C6369C42175750 +:1042500003001307275B13861700BA972380A70074 +:1042600097C5010023A8C534A9476308F500930743 +:1042700000046305F6000145828075DE370610F004 +:1042800005078347F7FF2320F6F09C42FD1797C5EB +:10429000010023A1F532EDF7014582804D71232203 +:1042A000911423202115232A51132324811323261B +:1042B000111423248114232E3113232C411323287A +:1042C000611323267113232291132320A113232E7C +:1042D000B111AA842E8932C6130C5002A54A834715 +:1042E000090063808703B9CB804011A0A1CB2300D4 +:1042F000F40080400509050480C083470900E39766 +:1043000087FF0346190093081900C686130D0002A3 +:10431000FD597D5A0148130550059307D6FD93F7C3 +:10432000F70F138916006363F52A17C701001307F7 +:1043300067CD8A07BA979C43BA9782878320C114B6 +:104340000324811483244114032901148329C113F4 +:10435000032A8113832A4113032B0113832BC112D8 +:10436000032C8112832C4112032D0112832DC111C4 +:1043700071618280A14C02C4854763D50715B2479D +:104380008040938B770093F68BFF03AB060083A9E5 +:104390004600938786003EC6668681465A85CE854E +:1043A000EF10D05E2AC8814763853723930D410102 +:1043B000854B668681465A85CE85EF10607F8146A3 +:1043C00066862A8BAE89EF10705CA24723A0AD00F1 +:1043D00093861B00910D63843701B68BD9BFE37EB2 +:1043E0009BFF63DD4601A2872380A70180407D1AE1 +:1043F000930714009CC03E84E3C846FF93962B00AD +:104400001C08BE9631A8938777052300F4008040EE +:10441000FD1B93871B00050480C0F116E351F0ECEF +:104420009C42E3E2FAFE93870703C5B79C409306DC +:10443000000332472380D7009C4093064700C14CBD +:104440001386170090C013068007A380C700804022 +:1044500002C4BA87050480C003AB0700814936C691 +:1044600025BFB24783AC0700938B470063840C1CC5 +:10447000635F40199307D0026319FD1683C70C00D0 +:1044800085C363DC09189440850C7D1A2380F600EF +:104490008040050480C083C70C00E5F7635D4001E0 +:1044A0008040930700022300F40080407D1A050439 +:1044B00080C0E31A0AFE5EC61DB502C48547A94C3A +:1044C000E3CF07EBB24780409386470071B703C63E +:1044D0001600CA8699B59C40930650022380D700E7 +:1044E0008040050480C0E5BBC14C02C471B5B24731 +:1044F00003C61600CA8683A9070091073EC6E35E7D +:104500000AE04E8AFD5911BD03C61600130DD002F4 +:10451000CA8621B59347FAFFFD8703C61600337A92 +:10452000FA00CA86DDBB03C61600130D0003CA8657 +:10453000EDB383C51600930906FDCA86938705FD72 +:104540002E86E3EEFAFA93972900BE99850686092E +:10455000AE9983C50600938909FD938705FD2E86D4 +:10456000E3F3FAFE69BF32479C40144311073AC691 +:104570002380D7008040050480C095B3854763D36E +:10458000070BB24793867700E19A938786003EC671 +:1045900083A946009C4263DC090A94401306D002BA +:1045A000B307F0402380C6008040B336F000B30963 +:1045B0003041050480C03E8BB389D940A94C02C468 +:1045C000E1BB03C616000508CA8681BB9C4046892C +:1045D00021B7E37D9BDD8547814B8546E3C547E1F8 +:1045E00031BD97CC0100938CECDDCE856685EF1054 +:1045F0006004330AAA40635C400180402300A401A8 +:1046000080407D1A050480C0E31A0AFE014A83C770 +:104610000C00E38207EAE3C809E6FD197D57E3943D +:10462000E9E6ADBDB247938647009C4336C693D9B1 +:10463000F74195B7635640019307D002E313FDFAA3 +:1046400097CC0100938C0CD8930780021DBD3E8B44 +:10465000A94C02C4804089B34D71232E3113B70990 +:1046600000409387F9FF2324811423229114232CE3 +:104670004113232A51132326111423202115232803 +:1046800061132326711323248113232291132320E2 +:10469000A113232EB1112A8A2EC417C401001304BA +:1046A00064F1975A0300938AEA1597C40100938432 +:1046B000E4AA3EC693055002294513080004B70634 +:1046C00010F083470A006386B704C1C71840050A83 +:1046D00013061700569797C8010023ADC8EC2300B6 +:1046E000F7006382A706E31E06FD175703001307B2 +:1046F000671105078347F7FF23A0F6F01C40FD175D +:1047000017C601002328F6EAEDF783470A00E39E67 +:10471000B7FA83461A0013051A002A87930B000282 +:104720007D5B7D5C014893055005A5489387D6FDC8 +:1047300093F7F70F130A170063E7F5348A07A69774 +:104740009C43A6978287175703001307A70B55F2C0 +:104750008DBF8320C11403248114832441140329B1 +:1047600001148329C113032A8113832A4113032BC4 +:104770000113832BC112032C8112832C4112032DB0 +:104780000112832DC11171618280A14C014D8547B9 +:1047900063DB0719A2479D07E19B83AD070003ABCD +:1047A0004700138787003AC4668681466E85DA859E +:1047B000EF10D01D2AC863066D35130941018549E4 +:1047C000668681466E85DA85EF10803E6686814674 +:1047D000AA8D2E8BEF10901B2320A90085091109AB +:1047E000E3106DFFE3FE9DFD13060004B70610F015 +:1047F00063D189031C4013871700D69797C5010022 +:1048000023AAE5DA23807701630CC7047D1CE3C388 +:1048100089FFB2476800A548B386F9008A061C08DC +:10482000BE96294813030004370610F0E384A6E877 +:104830009C429305000363F4F8009305700518404B +:10484000AE9793051700569717CE01002324BED6C6 +:104850002300F7006380072B638C6526F116F9B7F8 +:1048600017570300130707FA05078347F7FF23A02D +:10487000F6F01C40FD1797C5010023ADF5D2EDF70A +:104880007D1C71B71C40130600041387170097C5E1 +:10489000010023A1E5D2B386FA009305000323802B +:1048A000B6006300C73E890797C6010023A4F6D06F +:1048B0005697930680072300D70017570300130766 +:1048C00067F4B70610F0639EC70005078347F7FF3C +:1048D00023A0F6F01C40FD1717C60100232CF6CCD0 +:1048E000EDF7A247C14C014D91072247014B832DA3 +:1048F00007003EC455BDA24783AC0700138947009B +:10490000638F0C3A635480219307D002639EFB2E81 +:1049100003C70C00BA856310072025AC8547A94C56 +:10492000014DE3C907E7A2479107C1B7A2478346F4 +:104930001700528703AB070091073EC4E3580CDE13 +:104940005A8C7D5BE5B383461700930BD0025287E8 +:10495000F1BB9347FCFFFD8783461700337CFC00C7 +:104960005287E9B383461700930B000352877DBB40 +:1049700003461700138B06FD5287930706FDB28688 +:10498000E3EEF8FA93172B00DA9705078607B2973C +:1049900003460700138B07FD930706FDB286E3F37A +:1049A000F8FE69BFA2451C4029469841938617002E +:1049B000D69717C50100232FD5BE2380E7001389A2 +:1049C00045006301C72A930700046387F6204AC4A1 +:1049D000D5B1854763DF0729A24713877700619B1D +:1049E000930787003EC4032B47001C43635B0B30D7 +:1049F0001840130600049306170097C5010023AB67 +:104A0000D5BA56979305D0022300B7006388C62C09 +:104A1000B307F0403337F000330B6041BE8D330BEA +:104A2000EB40A94C014D49B3834617000508528756 +:104A3000F5B9834617005287D5B91C409306000488 +:104A40001387170017C601002326E6B6D69713066C +:104A500050022380C700E31FD7C417570300130772 +:104A600067DAB70610F005078347F7FF23A0F6F0D3 +:104A70001C40FD1717C60100232EF6B2EDF71DB935 +:104A8000C14C014D29B31C40930600042A8A1387A8 +:104A9000170017C60100232FE6B0D6971306500261 +:104AA0002380C700E318D7C017570300130787D523 +:104AB000B70610F005078347F7FF23A0F6F01C4068 +:104AC000FD1717C601002327F6AEEDF72A8ADDB6DB +:104AD00017570300130707D305078347F7FF232062 +:104AE000F6F01C40FD1797C5010023A5F5ACEDF7C6 +:104AF000F1162DBB175703001307C7D0F1FDF116B0 +:104B000035B3E3FC9DCB8549C5B1014C03C70C000F +:104B1000BA85E30E07EAFD58294513080004B706D5 +:104B200010F063570B0A1C4013861700D69717C363 +:104B300001002321C3A82380E7006384A506630442 +:104B40000609850C03C70C007D1CBA8579FBE35070 +:104B500080E91C409305000213060004B70610F01C +:104B600021A07D1CE3050CE613871700D697238050 +:104B7000B70017C50100232FE5A2BA87E313C7FECC +:104B800017570300130707C805078347F7FF23A03C +:104B9000F6F01C40FD1717C50100232DF5A0EDF719 +:104BA000C9B7175703001307E7C541DE0507834759 +:104BB000F7FF23A0F6F01C40FD1717C60100232BBA +:104BC000F69EEDF7BDBF175703001307A7C3F9BF44 +:104BD0007D1BE31A1BF5A5BF17570300130787C2F8 +:104BE000B70610F005078347F7FF23A0F6F01C4037 +:104BF000FD1717C60100232FF69AEDF74AC45DBCD6 +:104C000097BC0100938C0C7CDA856685EF009022BE +:104C1000330CAC40E35C80EF1C4013060004B70685 +:104C200010F021A07D1CE3020CEE13871700D6972D +:104C30002380770197C5010023AEE596BA87E31379 +:104C4000C7FE175703001307E7BB05078347F7FFA6 +:104C500023A0F6F01C40FD1797C5010023ACF59486 +:104C6000EDF7C9B7175703001307C7B9B5FA4AC418 +:104C700091B4A247138747009C433AC413DBF74122 +:104C8000B5B3175703001307E7B7B70610F00507CA +:104C90008347F7FF23A0F6F01C40FD1717C601005D +:104CA000232AF690EDF7854717C701002324F790D4 +:104CB00093078007175703002306F7B41DB1635607 +:104CC00080019307D002E39DFBF297BC0100938C17 +:104CD0006C6F130780029305800235BD9756030061 +:104CE000938646B2370610F0850603C7F6FF2320E9 +:104CF000E6F018407D1797C5010023ADE58A6DF7F2 +:104D000001BBBE8DA94C014D45B4B70710F023A0DF +:104D1000A7F201A03971130341022ED29A8506CE63 +:104D200032D436D63AD83EDA42DC46DE1AC62D32C6 +:104D3000F2400145216182805D711303810322D419 +:104D40002AC632DC2A841A86680006D6BEC236DE3F +:104D5000BAC0C2C4C6C61ACEEFF04FD4B247238041 +:104D600007003245B250018D225461618280B7073D +:104D700010F083A647F403A607F403A747F4E31A49 +:104D8000D7FE8966938606F4B29633B6C600B3059D +:104D9000E60023A4D7F423A6B7F48280B70710F067 +:104DA00003A747F403A607F483A647F4E31AD7FE44 +:104DB0008966938606F4B29633B6C60023A4D7F468 +:104DC000B305E60023A6B7F49307000873A04730A5 +:104DD0008280411122C406C697B701009387077EDF +:104DE00017B401001304447D98431C401306F07C63 +:104DF000930647069387470697B5010023A0D57C05 +:104E000097B5010023AAF57A6346D600B240224442 +:104E1000410182801307478997B7010023A0E77AF1 +:104E2000EF00C07A8547631FF500184085679387B8 +:104E3000F7760145E3DCE7FCC93D2244B24041017D +:104E40006FC0FFEB17B5010013054558E135094563 +:104E50006D3D2244B24041016FC07FEA17B50100A9 +:104E6000130585586FF01FEB17B501001305455862 +:104E70006FF05FEA411122C426C206C6856417B4EA +:104E8000010013046457938784380100FD17F5FF70 +:104E90002285EFF03FE8C5BF014585A5411106C653 +:104EA000EF00C072054781476316E500B2403E85BA +:104EB0004101828017B5010013054551EFF09FE5D0 +:104EC000B24089473E85410182807370043001A061 +:104ED00082807370043001A0411122C406C617B449 +:104EE00001001304E46E0145EFD05F931C40850779 +:104EF00017B70100232EF76CFDB7411122C426C25B +:104F000006C6AA8405440145EFD05F9181467D56CF +:104F100081452685EFB0DFD8814601468145630093 +:104F20008502268597B7010023AA876AEFB03F87DD +:104F3000E30B85FC97B7010023A2876AE9B7814696 +:104F4000014681452685EFB09F85E30E85FA97B728 +:104F5000010023A5876845BF797156CAAA8A05450D +:104F600006D622D426D24AD04ECE52CC5AC85EC6DD +:104F700062C4EFB0FFAD35C9AA8917BA0100130AA0 +:104F8000EA6417BC0100130C2C6497B401009384ED +:104F9000246497BB0100938BEB630544094B0D49D7 +:104FA0008146014681455685EFB09FCF6306850057 +:104FB00097B7010023A48762014597B7010023A991 +:104FC0000760EFC08FFC09C597B7010023A8876071 +:104FD00003250A00EFC0BF9503250A00EFC08FF03C +:104FE000630865017370043001A07370043001A080 +:104FF0000145EFC08FF96306250197B7010023AF84 +:10500000875C01458545EFC09F800145EFC0EFF704 +:105010006306250197B7010023A2875C03250C00D6 +:10502000EFC0FF909C4089C797B7010023A8875A1B +:105030008146014681454E85EFB09FC663068500D7 +:1050400097B7010023AC87588146014681455685B4 +:10505000EFB0EFF46306850097B7010023A08758EF +:105060009C4089C797B7010023AA87560145EFC026 +:10507000CFF16306250197B7010023A1875681462A +:10508000014681454E85EFB08FF16306850097B7E5 +:10509000010023A587549C406386870097B70100D1 +:1050A00023AE87520145EFC04FEE6306850097B7E8 +:1050B000010023A5875281450145EFC04FF583A725 +:1050C0000B008146014685078145568517B70100CB +:1050D0002322F750EFB0DFBC6306850097B70100CD +:1050E00023AE874E014597B7010023A3074EEFC0BB +:1050F000CFE909C597B7010023A2874E03250A000F +:10510000EFC0FF8203250A00EFC0CFDD6305650114 +:105110007370043001A00145EFC02FE7630625013D +:1051200097B7010023AC874A03250C00EFC03F80EE +:105130009C4089C797B7010023A2874A8146014650 +:1051400081454E85EFB0DFB56306850097B7010056 +:1051500023A687488146014681454E85EFB02FE45E +:105160006306850097B7010023AA87469C4089C73C +:1051700097B7010023A487460145EFC00FE16306FE +:10518000250197B7010023AB87448146014681453D +:105190005685EFB0CFE06306850097B7010023AFD7 +:1051A00087429C406386870097B7010023A8874207 +:1051B0000145EFC08FDD09C597B7010023A08742E5 +:1051C00083A70B00850717B701002325F740C9BB4C +:1051D000397152D417BA0100130A0A4022DC26DAC8 +:1051E0004AD84ED656D25AD05ECE06DE62CC2A843B +:1051F000D28B094B9144954A2D49994985460146E0 +:10520000DE852285EFB0AFD92285EFB05FDF85471D +:105210006306F50017B701002322F73C81460146DB +:105220002C002285EFB0DFA785476306F50017B78E +:1052300001002325F73A03270A00A2476307F70076 +:10524000854717B70100232BF7382285EFB03FDBE6 +:1052500011C5854717B701002322F738814601465B +:10526000DE852285EFB0AFD32285EFB05FD98547C9 +:105270006306F50017B701002322F7368146014681 +:105280002C002285EFB0DFA185476306F50017B734 +:1052900001002325F7342285EFB07FD611C585475D +:1052A00017B70100232CF73203270A00A247630730 +:1052B000F700854717B701002322F7325AC4814609 +:1052C00001462C002285EFB08FCDA24785073EC452 +:1052D000E3F7F4FE2285EFB09FD28D476307F50018 +:1052E000854717B70100232BF72E854601462C0072 +:1052F000054C228562C4EFB08FCA854601462C005A +:10530000228502C4EFB0AFC92285EFB05FCF63063C +:10531000550197B7010023A3872D854601462C0030 +:105320002285EFB0CFC711C5854717B701002327E6 +:10533000F72A814601462C002285EFB04FC611C5E1 +:10534000854717B70100232BF72802C4854601467D +:105350006C002285EFB0DF948547814601466C00E2 +:105360006306F50017B70100232AF726B247224843 +:10537000228513C7F7FF6307F800854717B80100B8 +:10538000232EF8243AC6EFB0BF9185476306F50097 +:1053900017B701002324F724A247B246138717004A +:1053A0006388D714854797B6010023A9F6223AC42B +:1053B000E3FEE4F82285EFB09FC411C5854717B717 +:1053C0000100232DF720A947814601462C002285A4 +:1053D0003EC4EFB0CFBC85476306F50017B70100A8 +:1053E000232EF71E814601462C0022854AC4EFB0C9 +:1053F0000FBB85476306F50017B701002320F71E92 +:105400002285EFB0DFBF63076501854717B701004D +:105410002326F71CA5473EC4854601462C0022855D +:10542000EFB0EFB78547630FF50A17B701002327E1 +:10543000F71AA247FD173EC4E3E0F9FE2285EFB05C +:105440001FBC63075501854717B701002328F718CC +:10545000854601462C002285EFB06FB411C5854703 +:1054600017B70100232CF716814601462C00228530 +:10547000EFB0EFB211C5854717B701002320F7162B +:105480009D473EC4054C814601466C002285EFB025 +:105490003F816306850197B7010023A18715A247C5 +:1054A000B24613871700638AD70297B7010023A774 +:1054B00087133AC4E379E9FC2285EFB05FB411C5E4 +:1054C000854717B70100232BF71083270A008507AC +:1054D00017B701002322F71015B385073EC4E37404 +:1054E000F9FAD9BFA247FD173EC4E3E7F9F2B9B70D +:1054F00085073EC4E3FCF4E475BD411126C20146B4 +:10550000AA849145154506C622C4EFB02F9C97B5D5 +:1055100001009385A5EF2A84EFB0FFBD2687A28600 +:105520008148014881471306004097B501009385E3 +:10553000E5EE170500001305E5C9EFB03FD40545BA +:10554000EFB00FD197B501009385C5ED2A84EFB078 +:105550009FBAA2868148014881470147130600404F +:1055600097B50100938505ED170500001305059F0C +:10557000EFB0DFD08148014897B701009387C70497 +:10558000094781461306004097B50100938505EB56 +:105590001705000013058594EFB05FCEA286224464 +:1055A000B24092448148014897B7010093870702AF +:1055B0000D471306004097B501009385A5E8170530 +:1055C00000001305C59341016FB05FCB17B7010011 +:1055D0001307870097B601009386C6FE1C439442CA +:1055E0006397F600854797B6010023A9F6FE97B7A3 +:1055F0000100938727FE17B60100130666FC94434B +:1056000010426317D600854617B601002328D6FC42 +:1056100097B60100938686FC18439C43884297B650 +:10562000010023A1E6FA17B70100232BF7F8133581 +:1056300015008280B305B500930705006386B700A7 +:1056400003C70700631607003385A7406780000083 +:10565000938717006FF09FFE130101FB2322910433 +:10566000232C4103232291032326110423248104A4 +:1056700023202105232E3103232A510323286103EC +:1056800023267103232481032320A103232EB101A8 +:10569000930C0500138A05009304000063DE0500E7 +:1056A0003305A0403337A000B305B040930C05008C +:1056B000338AE5409304F0FF63DA06003306C04006 +:1056C000B337C000B306D040B386F640930A060055 +:1056D0009389060013840C0013090A0063960628B8 +:1056E00017BB0100130B0BBD6370CA16B70701008F +:1056F0006372F6149307F00F63F4C70093098000F8 +:10570000B3573601330BFB0083470B001305000230 +:10571000B3873701B309F540638C0900B3153A012B +:10572000B3D7FC00B31A360133E9B70033943C0118 +:1057300013DB0A0193050B0013050900EF00507CF1 +:10574000130A050093050B00939B0A01130509003A +:10575000EF00907693DB0B019305050013850B009A +:10576000EF00D072131A0A0193570401B367FA00CD +:1057700063FAA700B387570163E6570163F4A700F4 +:10578000B38757013389A74093050B001305090020 +:10579000EF001077130A050093050B0013050900AD +:1057A000EF0090711314040193050500131A0A0108 +:1057B00013850B0013540401EF00506D33648A000D +:1057C000637AA40033045401636654016374A40033 +:1057D000330454013304A4403354340193050000CE +:1057E000638A040033048040B3378000B305B040BF +:1057F000B385F540130504008320C104032481040C +:1058000083244104032901048329C103032A81035A +:10581000832A4103032B0103832BC102032C810242 +:10582000832C4102032D0102832DC10113010105C7 +:1058300067800000B707000193090001E362F6ECFE +:10584000930980016FF0DFEB631A060093050000F7 +:1058500013051000EF005066930A0500B70701001A +:1058600063FAFA0E9307F00F63F45701930980006F +:10587000B3D73A01330BFB0083470B00130500023B +:1058800033095A41B3873701B309F540E38209EA86 +:10589000B39A3A01335BFA00B3153A01B3D7FC006F +:1058A00093DB0A0133E9B70013050B0093850B0066 +:1058B000EF001065130A050093850B00139C0A0185 +:1058C00013050B00EF00505F135C0C0193050500FE +:1058D00013050C00EF00905B131A0A01935709019E +:1058E000B367FA0033943C0163FAA700B38757010A +:1058F00063E6570163F4A700B3875701338BA740D2 +:1059000093850B0013050B00EF00905F130A050051 +:1059100093850B0013050B00EF00105A930505004B +:1059200013050C00EF00905693160901131A0A0193 +:1059300093D60601B366DA0063FAA600B386560171 +:1059400063E6560163F4A600B38656013389A64088 +:105950006FF01FDEB707000193090001E3EAFAF0D8 +:10596000930980016FF0DFF06376DA0093050A0097 +:105970006FF01FE7B707010063FAF604930BF00F0F +:1059800033B5DB001315350033D7A60097B70100F8 +:1059900093874792B387E70083CB07009305000204 +:1059A000B38BAB00338B7541631C0B0263E4460180 +:1059B00063EACC003384CC40B306DA4033B98C00C0 +:1059C00033892641930509006FF09FE1B707000175 +:1059D00013050001E3EAF6FA130580016FF0DFFA20 +:1059E000B3966601335D7601336DDD00B35D7A01F8 +:1059F000B3156A0133DC7C0113540D01336CBC0018 +:105A000013850D0093050400B3196601EF00504F94 +:105A1000930A05009305040013850D0033996C016A +:105A2000931C0D01EF00504993DC0C01130A050093 +:105A30009305050013850C00EF005045939A0A0169 +:105A400013570C0133E7EA00930D0A00637EA700A9 +:105A50003307A701930DFAFF6368A7016376A700D8 +:105A6000930DEAFF3307A701330AA740930504000B +:105A700013050A00EF00D04893050400930A0500BF +:105A800013050A00EF0050439305050013040500B9 +:105A900013850C00EF00903F93150C01939A0A01B7 +:105AA00093D50501B3E5BA001307040063FEA50012 +:105AB000B385A5011307F4FF63E8A50163F6A5000C +:105AC0001307E4FFB385A501939D0D01B70C0100F9 +:105AD000B3EDED001384FCFFB3F78D0033F48900C0 +:105AE000338AA54013850700930504002326F1009F +:105AF00093DD0D01EF00903993050400930A050032 +:105B000013850D00EF00903813DC090193050C009C +:105B10002324A10013850D00EF0050378327C10017 +:105B200013040C0093050400130C050013850700F3 +:105B3000EF00D0358326810013D70A013305D50045 +:105B40003307A7006374D700330C9C01B70701002B +:105B50009387F7FF935507013377F7001317070172 +:105B6000B3F7FA00B3858501B307F7006366BA009F +:105B7000631EBA00637CF90033863741B3B7C700B0 +:105B8000B385A541B385F54093070600B307F940F7 +:105B90003339F900B305BA40B38525413394750113 +:105BA000B3D767013364F400B3D565016FF05FC309 +:105BB000130101FD23229102232A51012326110200 +:105BC0002324810223202103232E3101232C410190 +:105BD00023286101232671012324810123229101BD +:105BE0002320A101930A050093840500639E0638D3 +:105BF000130406009309050017A901001309896B16 +:105C000063F8C512B7070100138B05006378F6101F +:105C10001307F00F3337C70013173700B357E600E9 +:105C20003309F900834609003387E6009306000232 +:105C3000B386E640638C0600B394D40033D7EA0001 +:105C40003314D600336B9700B399DA00935A0401EA +:105C500093850A0013050B00EF00902A1309050035 +:105C600093850A00931B040113050B00EF00D02459 +:105C700093DB0B01930405009305050013850B00CE +:105C8000EF00D0201319090193D70901B367F90078 +:105C9000138A040063FEA700B3878700138AF4FF0A +:105CA00063E8870063F6A700138AE4FFB3878700E1 +:105CB000B384A74093850A0013850400EF005024A5 +:105CC0001309050093850A0013850400EF00D01E18 +:105CD000939909019304050093050500131909011F +:105CE00013850B0093D90901EF00501AB3693901EC +:105CF0001386040063FCA900B30934011386F4FF82 +:105D000063E6890063F4A9001386E4FF13140A0113 +:105D10003364C400130A00006F000013B7070001CA +:105D200013070001E36CF6EE130780016FF01FEF1D +:105D3000138A0600631A060093050000130510007D +:105D4000EF00901713040500B7070100637EF412FB +:105D50009307F00F63F48700130A8000B3574401E0 +:105D60003309F9000347090093060002330747018E +:105D7000B386E64063940612B3848440130A10008D +:105D8000135B040193050B0013850400EF0050170B +:105D90001309050093050B0013850400931B0401F0 +:105DA000EF00901193DB0B019304050093050500B0 +:105DB00013850B00EF00900D1319090193D709010A +:105DC000B367F900938A040063FEA700B3878700D6 +:105DD000938AF4FF63E8870063F6A700938AE4FFE1 +:105DE000B3878700B384A74093050B001385040095 +:105DF000EF0010111309050093050B001385040033 +:105E0000EF00900B93990901930405009305050099 +:105E10001319090113850B0093D90901EF0010072D +:105E2000B36939011386040063FCA900B309340186 +:105E30001386F4FF63E6890063F4A9001386E4FF88 +:105E400013940A013364C4001305040093050A0087 +:105E50008320C10203248102832441020329010219 +:105E60008329C101032A8101832A4101032B0101F6 +:105E7000832BC100032C8100832C4100032D0100E2 +:105E80001301010367800000B7070001130A000136 +:105E9000E366F4EC130A80016FF05FEC3314D40076 +:105EA00033DAE400B399DA0033D7EA00935A0401F5 +:105EB000B394D40093850A0013050A00336B97004E +:105EC000EF0010041309050093850A0013050A006A +:105ED000931B0401EF00407E93DB0B01930405004C +:105EE0009305050013850B00EF00407A1319090193 +:105EF00013570B013367E900138A0400637EA70080 +:105F000033078700138AF4FF636887006376A7006E +:105F1000138AE4FF33078700B304A74093850A0080 +:105F200013850400EF00C07D1309050093850A0066 +:105F300013850400EF0040789304050093050500E5 +:105F400013850B00EF00807413170B011357070123 +:105F500013190901B367E9001387040063FEA70062 +:105F6000B38787001387F4FF63E8870063F6A70011 +:105F70001387E4FFB3878700131A0A01B384A7408D +:105F8000336AEA006FF0DFDF63ECD51EB70701006C +:105F900063F4F6041307F00FB335D700939535007B +:105FA00033D7B60097A701009387C730B387E700C0 +:105FB00003C70700130A00023307B700330AEA4099 +:105FC00063160A0213041000E3E096E833B6CA0031 +:105FD000134416006FF05FE7B70700019305000157 +:105FE000E3E0F6FC930580016FF09FFBB35CE600F5 +:105FF000B3964601B3ECDC0033D4E40093DB0C0130 +:10600000B397440133D7EA0093850B0013050400CE +:10601000336BF700B3194601EF00806E13090500DA +:1060200093850B0013050400139C0C01EF00C0685E +:10603000135C0C01930405009305050013050C0087 +:10604000EF00C0641319090113570B013367E9000E +:1060500013840400637EA700330797011384F4FFC1 +:10606000636897016376A7001384E4FF3307970101 +:10607000B304A74093850B0013850400EF0040682C +:106080001309050093850B0013850400EF00C0621F +:10609000930405009305050013050C00EF00005F55 +:1060A00093170B011319090193D70701B367F9007F +:1060B0001386040063FEA700B38797011386F4FFDD +:1060C00063E8970163F6A7001386E4FFB38797019F +:1060D00013140401B70B01003364C4001389FBFFE0 +:1060E000337D240133F92901B384A74093050900C6 +:1060F00013050D00EF008059935C0401930509001E +:10610000130B050013850C00EF00405893D90901CB +:10611000130C05009385090013850C00EF00005750 +:10612000130905009385090013050D00EF000056C3 +:106130003305850193570B013385A70063748501EF +:106140003309790193570501B387270163E6F40208 +:10615000E392F4BCB70701009387F7FF3375F500AE +:1061600013150501337BFB0033964A0133056501A6 +:10617000130A0000E37AA6CC1304F4FF6FF09FB972 +:10618000130A0000130400006FF01FCC130101FB81 +:106190002324810423229104232E310323229103FB +:1061A0002326110423202105232C4103232A5103F4 +:1061B0002328610323267103232481032320A103C1 +:1061C000232EB101930C05009389050013040500EB +:1061D00093840500639E062613090600138A0600B1 +:1061E00097AA0100938A0A0D63F4C514B70701004A +:1061F0006376F6129307F00F63F4C700130A80006A +:10620000B3574601B38AFA0003C70A001305000218 +:1062100033074701330AE540630C0A00B39549018F +:1062200033D7EC0033194601B364B70033944C0103 +:10623000935A090193850A0013850400EF00404C2E +:106240009309050093850A00131B090113850400B7 +:10625000EF008046135B0B019305050013050B004F +:10626000EF00C0429399090193570401B3E7F90085 +:1062700063FAA700B387270163E6270163F4A70049 +:10628000B3872701B384A74093850A0013850400D0 +:10629000EF0000479309050093850A001385040069 +:1062A000EF0080411314040193050500939909013F +:1062B00013050B0013540401EF00403D33E4890043 +:1062C000637AA40033042401636624016374A40088 +:1062D000330424013304A4403355440193050000E2 +:1062E0008320C1040324810483244104032901047D +:1062F0008329C103032A8103832A4103032B01035A +:10630000832BC102032C8102832C4102032D010245 +:10631000832DC1011301010567800000B70700014B +:10632000130A0001E36EF6EC130A80016FF05FEDD3 +:10633000631A06009305000013051000EF00C03734 +:1063400013090500B7070100637AF90E9307F00FF0 +:1063500063F42701130A8000B3574901B38AFA0096 +:1063600003C70A0013050002B3842941330747011C +:10637000330AE540E30E0AEA33194901B3DAE900CA +:10638000B395490133D7EC0093540901336BB7003F +:1063900013850A0093850400EF00803693090500F9 +:1063A00093850400931B090113850A00EF00C03098 +:1063B00093DB0B019305050013850B00EF00002D07 +:1063C0009399090193570B01B3E7F90033944C01FA +:1063D00063FAA700B387270163E6270163F4A700E8 +:1063E000B3872701B38AA7409385040013850A0069 +:1063F000EF000031930905009385040013850A001E +:10640000EF00802B9305050013850B00EF0000289B +:1064100093150B019399090193D50501B3E5B900D3 +:1064200063FAA500B385250163E6250163F4A500A1 +:10643000B3852501B384A5406FF09FDFB707000146 +:10644000130A0001E36AF9F0130A80016FF0DFF02C +:10645000E3E8D5E8B707010063FCF604930BF00FFF +:1064600033B5DB001315350033D7A60097A701001D +:10647000938747E4B387E70083CB070093050002C7 +:10648000B38BAB00338B7541631E0B0263E43601A3 +:1064900063EACC003384CC40B386D94033B58C005A +:1064A000B384A64013050400938504006FF05FE3F6 +:1064B000B707000113050001E3E8F6FA13058001B0 +:1064C0006FF09FFAB3966601335D7601336DDD00A0 +:1064D00033D47901B395690133DC7C0193540D0108 +:1064E000336CBC001305040093850400B31A6601E5 +:1064F000EF000021130A0500938504001305040032 +:1065000033996C01931C0D01EF00001B93DC0C010F +:10651000130405009305050013850C00EF00001718 +:10652000131A0A0113570C013367EA00130A040017 +:10653000637EA7003307A701130AF4FF6368A7016E +:106540006376A700130AE4FF3307A701B309A74046 +:106550009385040013850900EF00801A93850400D9 +:106560001304050013850900EF00001593050500CD +:106570009304050013850C00EF00401193150C01E6 +:106580001314040193D50501B365B4001387040007 +:1065900063FEA500B385A5011387F4FF63E8A50199 +:1065A00063F6A5001387E4FFB385A501131A0A015A +:1065B000B70C0100336AEA001384FCFFB3778A004A +:1065C00033F48A00B384A5401385070093050400C3 +:1065D0002326F100135A0A01EF00400B930905002E +:1065E0009305040013050A00EF00400A13DC0A01BA +:1065F000930D050093050C0013050A00EF00000938 +:106600008327C100130A050093050C0013850700BA +:10661000EF00C0073305B50113D709013307A70001 +:106620006374B701330A9A01B70701009387F7FF34 +:10663000935507013377F70013170701B3F7F900F4 +:10664000B3854501B307F70063E6B400639EB40069 +:10665000637CF90033865741B3B7C700B385A541C2 +:10666000B385F54093070600B307F9403339F900C5 +:10667000B385B440B385254133947501B3D7670121 +:106680003365F400B3D565016FF09FC513060500AF +:106690001305000093F61500638406003305C5005A +:1066A00093D5150013161600E39605FE67800000CB +:1066B0006340050663C605061386050093050500BD +:1066C0001305F0FF630C060293061000637AB60010 +:1066D0006358C0001316160093961600E36AB6FEC0 +:1066E0001305000063E6C500B385C5403365D500DA +:1066F00093D6160013561600E39606FE6780000038 +:1067000093820000EFF05FFB1385050067800200B5 +:106710003305A04063D80500B305B0406FF0DFF942 +:10672000B305B04093820000EFF01FF93305A0409D +:10673000678002009382000063CA0500634C050075 +:10674000EFF09FF71385050067800200B305B040A6 +:10675000E35805FE3305A040EFF01FF63305B040C7 +:106760006780020097A70100938747E2944317A729 +:106770000100130767E01843C8C2637BE50017A751 +:106780000100130727E008438C4391056F905FDBFE +:10679000411122C406C617A701001307E7DE2A84A9 +:1067A00008438C439105EF90BFD997A701009387C9 +:1067B000E7DA9C436376F40097A7010023A087DA09 +:1067C000B24022444101828097A70100938707DCF1 +:1067D000984394437C43FD177CC3FC4299E3736068 +:1067E00004308280011122CC26CA2A84AE844AC891 +:1067F0004EC652C456C206CEEFB06FD11C4003291C +:106800000401B7090001B3E59700930AC4000CC066 +:106810008144FD19370A00021840638C2A030326BD +:1068200009004A85B3654701B376360193175600D0 +:10683000758F0329490063C4070001E7F1BFE39D99 +:10684000E6FC9317760063D30700D58CEFC08FBBAF +:10685000E1B793C4F4FFF98C04C0EFB04FF00840E7 +:10686000F2406244D2444249B249224A924A056106 +:1068700082804111014506C622C4EFE01FC817E718 +:10688000FFFF13074755814605469305200317A5CB +:1068900001001305E5B6EFD0EF8A19C92A84EFB0DD +:1068A0000FC82A860147814685452285EFD02F965D +:0E68B000EFB06FA0B24022440145410182804A +:02000004800278 +:10000000CE44FEFFCC45FEFFD644FEFFCC45FEFFAE +:10001000CC45FEFFCC45FEFFCC45FEFFEE44FEFF87 +:10002000CC45FEFFCC45FEFF0845FEFF1445FEFF14 +:10003000CC45FEFF2645FEFF3245FEFF3245FEFF62 +:100040003245FEFF3245FEFF3245FEFF3245FEFFE0 +:100050003245FEFF3245FEFF3245FEFFCC45FEFF36 +:10006000CC45FEFFCC45FEFFCC45FEFFCC45FEFF58 +:10007000CC45FEFFCC45FEFFCC45FEFFCC45FEFF48 +:10008000CC45FEFFCC45FEFFCC45FEFFCC45FEFF38 +:10009000CC45FEFFCC45FEFFCC45FEFFCC45FEFF28 +:1000A000CC45FEFFCC45FEFFCC45FEFFCC45FEFF18 +:1000B000CC45FEFFCC45FEFFCC45FEFFCC45FEFF08 +:1000C000CC45FEFFCC45FEFFCC45FEFFCC45FEFFF8 +:1000D000CC45FEFFCC45FEFFCC45FEFFCC45FEFFE8 +:1000E000CC45FEFFCC45FEFFCC45FEFFCC45FEFFD8 +:1000F000CC45FEFFCC45FEFFCC45FEFFCC45FEFFC8 +:100100006645FEFF7C45FEFFCC45FEFFCC45FEFF6D +:10011000CC45FEFFCC45FEFFCC45FEFFCC45FEFFA7 +:10012000CC45FEFFC245FEFFCC45FEFFCC45FEFFA1 +:100130007443FEFF2C44FEFFCC45FEFFCC45FEFF82 +:100140006244FEFFCC45FEFFBA44FEFFCC45FEFFF5 +:10015000CC45FEFFE844FEFFDA48FEFF2E49FEFFD5 +:10016000E248FEFF2E49FEFF2E49FEFF2E49FEFF0C +:100170002E49FEFFD447FEFF2E49FEFF2E49FEFF0B +:10018000EE47FEFFFA47FEFF2E49FEFF0C48FEFF3A +:100190001848FEFF1848FEFF1848FEFF1848FEFFEB +:1001A0001848FEFF1848FEFF1848FEFF1848FEFFDB +:1001B0001848FEFF2E49FEFF2E49FEFF2E49FEFF86 +:1001C0002E49FEFF2E49FEFF2E49FEFF2E49FEFF5F +:1001D0002E49FEFF2E49FEFF2E49FEFF2E49FEFF4F +:1001E0002E49FEFF2E49FEFF2E49FEFF2E49FEFF3F +:1001F0002E49FEFF2E49FEFF2E49FEFF2E49FEFF2F +:100200002E49FEFF2E49FEFF2E49FEFF2E49FEFF1E +:100210002E49FEFF2E49FEFF2E49FEFF2E49FEFF0E +:100220002E49FEFF2E49FEFF2E49FEFF2E49FEFFFE +:100230002E49FEFF2E49FEFF2E49FEFF2E49FEFFEE +:100240002E49FEFF2E49FEFF2E49FEFF2E49FEFFDE +:100250002E49FEFF2E49FEFF4C48FEFF7A48FEFF66 +:100260002E49FEFF2E49FEFF2E49FEFF2E49FEFFBE +:100270002E49FEFF2E49FEFF2E49FEFFD048FEFF0D +:100280002E49FEFF2E49FEFF3246FEFF2C47FEFFA1 +:100290002E49FEFF2E49FEFF9E47FEFF2E49FEFF20 +:1002A000C447FEFF2E49FEFF2E49FEFF2849FEFFF0 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D0002047656E5154657374207461736B73208C +:1003E0000D0A00006D69616F750A00006D69616F2B +:1003F00075320A0068756E6772790A004368656332 +:100400006B54696D6572000047656E5F5175657567 +:10041000655F54657374000047656E51000000000D +:1004200047656E5F51756575655F4D7574657800DC +:100430004D754C6F770000004D754D6564000000F0 +:080440004D7548696768000072 +:100448001000000000000000017A5200017C010148 +:100458001B0D02005000000018000000F451FEFFC0 +:100468005805000000440E507489039406990B81C6 +:1004780001880292049305950796089709980A9AA5 +:100488000C9B0D036C010AC144C844C944D244D32F +:1004980044D444D544D644D744D844D944DA44DB78 +:1004A800440E00440B0000004C0000006C000000EB +:1004B800F856FEFFDC05000000440E3070890395F5 +:1004C8000781018802920493059406960897099873 +:1004D8000A990B9A0C0370020AC144C844C944D251 +:1004E80044D344D444D544D644D744D844D944DA30 +:1004F800440E00440B00000050000000BC00000047 +:10050800845CFEFF0005000000440E5074880289D8 +:10051800039305990B810192049406950796089711 +:1005280009980A9A0C9B0D0320010AC144C844C9C2 +:1005380044D244D344D444D544D644D744D844D9E7 +:0C05480044DA44DB440E00440B000000C9 +:0805DC00FC8F01000900000082 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/GenQTest_rv32im_O3.hex b/VexRiscv/src/test/resources/freertos/GenQTest_rv32im_O3.hex new file mode 100644 index 0000000..91b6132 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/GenQTest_rv32im_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/GenQTest_rv32imac_O3.hex b/VexRiscv/src/test/resources/freertos/GenQTest_rv32imac_O3.hex new file mode 100644 index 0000000..33fd310 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/GenQTest_rv32imac_O3.hex @@ -0,0 +1,1644 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900061C9170502001305E54A9795030093858A +:1000A000257201461122170502001305253F970509 +:1000B00002009385E5460146C52817A503001305F0 +:1000C000657097B503009385A56F37B6ABAB130684 +:1000D000B6BAD92817B103001301C16EEF60A009A9 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2C22923A02200F3221034D5 +:1002400096DEEF00002B1701020003216128024116 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E22923A0120023A2A9 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40D025170102000321BA +:10033000211A0241F65273901234B72200009382C0 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A21B83A0B0 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2C20723A0220086DEEF20BB +:10046000402D170102000321A1060241F6527390AC +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF40F005EF10700901E55A +:10050000B24041018280B24041016F2080229307B6 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30101F1F +:1005B0008280411122C406C62A8426C2EF209010F0 +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF20A0566DD5EF2080763C44FD1780 +:1005F0003CC43C44E344F0FEFD573CC4EF20D00E25 +:10060000EF20500C7C40635BF0021C4885CB9304C8 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2060526DD5EF2040729F +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20100A29C5411151 +:1006500022C426C22A8406C6AE84EF20B0063440E7 +:100660005C5C1840232C0402B387F6027D5670C0F0 +:1006700018C430C4B386D740BA9736975CC058C404 +:1006800091EC1C489DE3EF203006B2402244924496 +:100690000545410182807370043001A013050401F7 +:1006A000BD3513054402A535F9BF13050401EF203C +:1006B000E0498547E319F5FC913BF1B701E573701B +:1006C000043001A0011126CA4AC84EC606CE22CC6B +:1006D000B2892E89AA84A5C53305B502130555052F +:1006E000EF3080732A8435C1930745051CC144DC73 +:1006F00023202405EF20007D34405C5C18407D56AB +:10070000B387F602232C040270C018C430C413054A +:100710000401B386D740BA9736975CC058C4C53B2E +:1007200013054402ED33EF20207C23083405228595 +:10073000F2406244D2444249B249056182801305C5 +:100740004005EF30606D2A8401E57370043001A02C +:1007500000C071BF01E57370043001A06375B5007E +:100760007370043001A0411122C409462E848145D2 +:1007700006C6A93711C500DDB2402244410182807E +:100780007370043001A05D7186C6A2C4A6C2CAC03F +:100790004EDE52DC56DA5AD85ED632C6630A051CE3 +:1007A0006382051C89476399F600585D8547630598 +:1007B000F7007370043001A03689AE842A84EF20DC +:1007C000A05B11E5B24781C77370043001A0EF2030 +:1007D000606F185C5C5C014B894BFD59130A040186 +:1007E000930A44026365F70C63077919B247638182 +:1007F000072463150B002808EF206048EF20C06E27 +:10080000EF10C04FEF20006C7C406394370123222F +:1008100004043C446394370123240404EF20C06C97 +:100820006C002808EF20C047631E051EEF2080697A +:10083000185C5C5C630CF70AEF20006BEF208068AB +:100840003C44634FF00039A85685EF20203019C191 +:10085000EF2000503C44FD173CC43C446354F0007E +:100860005C50FDF323243405EF200068EF20806501 +:100870007C40634FF00039A85285EF20202D19C12C +:10088000EF20004D7C40FD177CC07C406354F0009D +:100890001C48FDF323223405EF200065EF10006BA8 +:1008A000054BEF202062185C5C5CE37FF7F2304080 +:1008B0006305060C631F09120844A685EF30006E1D +:1008C0001C4434405840B6971CC463EAE70A1C5CD9 +:1008D00014405850850714C41CDC5DC7130544023E +:1008E000EF20C02685476311F50AC9A8EF20C05F35 +:1008F000B2455285EF204007EF20C05C3C44634F77 +:10090000F00039A85685EF20602419C1EF2040443B +:100910003C44FD173CC43C446354F0005C50FDF380 +:1009200023243405EF20405CEF20C0597C40634F06 +:10093000F00039A85285EF20602119C1EF20404115 +:100940007C40FD177CC07C406354F0001C48FDF3E4 +:1009500023223405EF204059EF10405F31F17D3400 +:10096000054B81B73C41E38F07E27370043001A06F +:100970007370043001A0304021E61C4085C71C5C28 +:10098000585085071CDC39FBEF2000560545B64062 +:10099000264496440649F259625AD25A425BB25BE7 +:1009A000616182804840EF2040491C5C58502322FE +:1009B000040085071CDCE31307F279D5813CE9B715 +:1009C0004844A685EF30805D3C4058441440B3074E +:1009D000F0403E9758C4637CD7025840BA975CC435 +:1009E00009475C506306E902185C050718DCC9DF9B +:1009F000F5B54844A685EF30605A3C405844144051 +:100A0000B307F0403E9758C4E37CD7FCF9B75C507D +:100A1000185C79DB185C7D1718DC185C050718DC9E +:100A2000A5D76DBD22857136EF104052014585B7BF +:100A3000EF20804B0145A1BF411126C2AA841305B6 +:100A4000400522C406C6EF30203D2A8415CD232C54 +:100A50000502FD577CC10547410558DC3CC42322F3 +:100A60000400232004002324040023260400232060 +:100A7000040423089404613C13054402493C814664 +:100A800001468145228501332285B24022449244A9 +:100A9000410182800DC5411122C426C206C64AC04A +:100AA000032945002A84EF20602C8144630CA900AF +:100AB000B2402244268502499244410182807370EB +:100AC000043001A05C448544FD175CC4F5F3814605 +:100AD0000146814522854539E1BF31CDA1C90111CA +:100AE00022CC26CA4AC852C406CE4EC68947B6840E +:100AF00032892E8A2A846399F600585D8547630FF0 +:100B0000F7047370043001A0C932185C5C5CAA89D8 +:100B10006366F70281444E857532F2406244268551 +:100B20004249D244B249224A056182803C41C5DB38 +:100B30007370043001A07370043001A0304041E2B2 +:100B40001C40D5CB1C5C7D5785071CDC3C4463876F +:100B5000E7083C44854485073CC475BFBD32185C3A +:100B60005C5CAA89636DF702304079DA4844D2852B +:100B7000EF30C0423C4058441440B307F0403E9729 +:100B800058C46375D7005840BA975CC48947E39B43 +:100B9000F4FA1C5CC5DB1C5CFD171CDC65B730403F +:100BA00045D24844D285EF30603F3C405844144021 +:100BB000B307F0403E9758C4E367D7FCD9BFDDF4D4 +:100BC0000844D285EF30803D1C4434405840B697ED +:100BD0001CC4E3E9E7F61C401CC4ADB75C50854477 +:100BE0009DDB13054402EF10707615D5E30509F27D +:100BF000232099000DB74840EF2020242322040031 +:100C000091B721CD3C4181C77370043001A01C41D4 +:100C1000A1CB011122CC26CA2A844AC84EC606CED0 +:100C20002E896530185C5C5CAA898144637DF7007D +:100C30001C5C7D5785071CDC3C446388E7023C4410 +:100C4000854485073CC44E85B538F240624426850C +:100C50004249D244B249056182807370043001A0D8 +:100C60005C41C5DB7370043001A05C5099E385449E +:100C7000D9BF13054402EF10706D75D9E30909FE61 +:100C800085472320F900E5B7397106DE22DC26DA34 +:100C90004AD84ED652D456D232C66302051C638A55 +:100CA000051AB68AAE892A84EF20000D11E5B247F5 +:100CB00081C77370043001A0EF20C0201C5C6392D8 +:100CC0000714B247638E070A2808EF10507BFD54C3 +:100CD00013094402130A0401EF200021EF1000025F +:100CE000EF20401E7C4063949700232204043C4480 +:100CF0006394970023240404EF20001F6C0028084D +:100D0000EF10107A63130518EF20C01B1C5CADCFE9 +:100D1000EF20801DEF20001B3C44634FF00039A8FA +:100D20004A85EF10B06219C1EF2080023C44FD17E4 +:100D30003CC43C446354F0005C50FDF324C4EF20F9 +:100D4000A01AEF2020187C40634FF00039A852858C +:100D5000EF10D05F19C1EF10B07F7C40FD177CC051 +:100D60007C406354F0001C48FDF364C0EF20C017C2 +:100D7000EF10C01DEF2000151C5CC1E7B247A9FFB2 +:100D8000EF208016014555A0EF2000161C40DDC75E +:100D9000B2454A85EF10503DEF20C0123C44634FEE +:100DA000F00039A84A85EF10705A19C1EF10507A37 +:100DB0003C44FD173CC43C446354F0005C50FDF3DC +:100DC00024C4EF206012EF20E00F7C40634FF0005E +:100DD00039A85285EF10905719C1EF1070777C40F9 +:100DE000FD177CC07C406354F0001C48FDF364C0D8 +:100DF000EF20800FEF10801531C9EF20A00C1C5C94 +:100E0000B5DFCE8522854444EFF0CFF8639C0A0419 +:100E10001C5C1840FD171CDC01E7EF20601148C086 +:100E20001C48A1EFEF20400C0545F2506254D2540B +:100E30004259B259225A925A21618280EF20800889 +:100E40004840EF107075EF20200A99B7EFF00FDCE3 +:100E50006DB73C41E38707E47370043001A0737001 +:100E6000043001A05C5044C4D5DF13054402EF10E8 +:100E7000F04D4DD9EFF08FD975B713050401EF1080 +:100E8000F04C8547E310F5FAF5B72285EFF06FF2E5 +:100E9000EF10C00B014551BF1DC9411122C44AC00A +:100EA00006C626C244412E892A84EF10306C638323 +:100EB000A40281464A8681452285F933854763002D +:100EC000F502B2402244924402494101828073708B +:100ED000043001A05C44054585075CC4DDB75C4473 +:100EE00085075CC4F9BF15CDADC9011122CC26CA56 +:100EF0004AC84EC652C42A8406CE3289AE89EFF063 +:100F0000CFDC1C5C2A8A814485E35285EFF08FDBBD +:100F1000F240624426854249D244B249224A0561E0 +:100F200082807370043001A0304001CE4C445C409C +:100F3000B2954CC463E4F5000C404CC44E85EF30D0 +:100F4000E0051C5C7D57FD171CDC7C40638CE700D2 +:100F50007C40854485077CC04DBF3C41D9D7737028 +:100F6000043001A01C4899E3854445B713050401EA +:100F7000EF10D03D75D9E30909FE85472320F9001C +:100F8000E5B721C93C41A1CDA1CB011122CC26CA94 +:100F90004AC852C42A8406CE4EC62E8AEFF0EFD23B +:100FA0001C5C2A89814491CB8329C400D285228587 +:100FB000EFF04FDE8544232634014A85EFF08FD0D1 +:100FC000F240624426854249D244B249224A056130 +:100FD00082807370043001A07370043001A0EDDFD3 +:100FE0007370043001A001E57370043001A0411159 +:100FF00022C42A8406C6EF10F06C005CEF10D06E9D +:10100000B240228522444101828001E573700430A0 +:1010100001A0411106C622C42A84EF10B06A1C5CEC +:10102000405C1D8CEF10506C2285B240224441017F +:10103000828019C1085D82807370043001A01DCDCB +:101040009787030093870774D843630CE502D8475A +:10105000630CE504D84B6307E504D84F6302E5044D +:10106000D853630DE502D8576308E502D85B6303E4 +:10107000E502D85F630EE5006F20306C73700430BA +:1010800001A001470E07BA9723A007006F20F06A5E +:101090001D47CDBF1947FDB71547EDB71147DDB760 +:1010A0000D47CDB70947F9BF0547E9BF68458280BD +:1010B0006CC5828003450505828009C5085D13352E +:1010C000150082807370043001A019C51C5D485D55 +:1010D0001D8D1335150082807370043001A0978731 +:1010E00003009387276A984305C3984705C7984B21 +:1010F00005C7984F05C7985305C7985705C7985B0C +:1011000015C7985F15C3828001470E07BA978CC335 +:10111000C8C382800547D5BF0947C5BF0D47F5B78E +:101120001147E5B71547D5B71D47C5B71947F1BFF3 +:101130009787030093870765D8436308E502D8477C +:10114000630BE502D84B630AE502D84F6309E50259 +:10115000D8536308E502D8576307E502D85B6308F4 +:10116000E502D85F6303A702828001470E07BA97A2 +:1011700023A0070082800547D5BF0947C5BF0D479B +:10118000F5B71147E5B71547D5B71D47C5B7194797 +:10119000F1BF411122C426C24AC02A8406C6AE84C9 +:1011A0003289EF1030527840FD576314F700232244 +:1011B00004043844FD576314F70023240404EF109B +:1011C000B0521C5C99E74A86A68513054402EF10CD +:1011D000B00CEF10304F3C44635BF0025C5085CBA9 +:1011E0009304440209A83C44FD173CC43C446350AA +:1011F000F0025C5089CF2685EF1050156DD5EF10A9 +:1012000030353C44FD173CC43C44E344F0FEFD57FC +:101210003CC4EF10704DEF10F04A7C40635BF0026D +:101220001C4885CB9304040109A87C40FD177CC0B1 +:101230007C406350F0021C4889CF2685EF101011C6 +:101240006DD5EF10F0307C40FD177CC07C40E3444E +:10125000F0FEFD577CC02244B24092440249410155 +:101260006F10904805C579714ECE52CC06D63E8A95 +:1012700022D426D24AD056CA5AC85EC662C4A547EE +:10128000BA8963F8E7007370043001A0737004300A +:1012900001A0AA8AAE843289B68B428B63090822E8 +:1012A00013050006EF2050372A84630D05200A0934 +:1012B000232865034A869305500A5A85EF20105C5F +:1012C00003C704001C587119230AE40203C7040071 +:1012D0003E991379C9FF79C783C71400A30AF402A2 +:1012E00083C71400E1C383C72400230BF40283C720 +:1012F0002400CDCB83C73400A30BF40283C7340092 +:10130000D5C383C74400230CF40283C74400D9CB60 +:1013100083C75400A30CF40283C75400C1C783C71A +:101320006400230DF40283C76400ADCF83C774004B +:10133000A30DF40283C77400B5C783C78400230ECE +:10134000F40283C78400B9CF83C79400A30EF402CC +:1013500083C79400A1CB83C7A400230FF40283C7E3 +:10136000A400A9C383C7B400A30FF40283C7B400C9 +:1013700095CB83C7C4002300F40483C7C4009DC376 +:1013800083C7D400A300F40483C7D40081CF83C7EC +:10139000E4002301F40483C7E40089C783C7F40091 +:1013A000A301F404130B44005A85A301040423266B +:1013B000340323283405232A0404EFF08F96130501 +:1013C0008401EFF00F96A947B3873741232C04041B +:1013D00000C81CCC40D023220404232E04045E86C3 +:1013E000D6854A85EFF00F8F08C063040A002320DA +:1013F0008A007370043017F901001309A90B8327C1 +:10140000090097F401009384A40C91C798409C4074 +:101410007C4385077CC317F701001307670A1C4349 +:10142000850797F6010023ADF6089C40D5CB8327AE +:10143000090017FA0100130AAA1889EB9C40DC572F +:1014400063E6F90097F7010023A4870897F70100E6 +:1014500093874705984397F601009386E6055C5409 +:101460009442050717F60100232EE60238C463F6FE +:10147000F60017F701002321F7045147B387E7026D +:10148000DA853305FA00EFF02F8A8327090081C738 +:101490009C40FC43BDE383270900054499C79C4059 +:1014A000DC5763F43701EFE07FF62285B250225417 +:1014B00092540259F249624AD24A424BB24B224CF0 +:1014C000456182805A85EF2050277D54F9BF13155E +:1014D0002600EF2070142A8BE31405DC7D54F1B74D +:1014E00097F7010023A687FE184385476301F7029B +:1014F00017FA0100130ACA0C91BF984094407C432C +:10150000FD177CC3FC42C1FB7360043069B7814A9C +:1015100017FA0100130ACA0A514CA94B33858A03F2 +:10152000850A5295EFE0BFFEE39A7AFF97FB010030 +:10153000938B8B155E85EFE09FFD97FA0100938AF0 +:10154000EA155685EFE0BFFC17F5010013054516B7 +:10155000EFE0FFFB17F501001305C516EFE03FFBB9 +:1015600017F5010013054517EFE07FFA97F7010023 +:1015700023AE77F597F7010023A857F5C1BD4111B8 +:1015800022C406C626C24AC02A847370043097F467 +:101590000100938424F29C40F9E311E497F70100E1 +:1015A000938707F38043130944004A85EFE05FFC0B +:1015B0001C5489C713058401EFE09FFBCA8517F50A +:1015C000010013052510EFE03FF697F70100938720 +:1015D00067EF984397F701009387C7EC9C43050793 +:1015E00097F6010023A0E6EE9840850797F60100E4 +:1015F00023AAF6EA01CB97F70100938767ED98439A +:10160000784349EF9C40B1C797F70100938747ECB7 +:101610009843630F870873700430984039EB17F7CD +:1016200001001307A7EA14439442A5E27D5797F6F9 +:10163000010023A7E6E6984009CF9843784311CBF1 +:10164000984394437C43FD177CC3FC4299E3736049 +:101650000430B2402244924402494101828097F70B +:1016600001009387E7E698439C437C4385077CC34E +:101670002DB794439843F8420507F8C217F70100C5 +:101680001307C7E414439442D5D218435847584728 +:10169000584397F6010023A5E6E071BF98439443B1 +:1016A0007C43FD177CC3FC42B1FF7360043099BFDB +:1016B00097F70100938787DE9C4381C773700430DE +:1016C00001A02244B2409244024941016FE01FD47C +:1016D00041C517F701001307A7DF14438147638B48 +:1016E000A606411106C622C426C27370043097F6BE +:1016F0000100938626DC9C4291C710431C437C4228 +:1017000085077CC29C42AA84404981C71C43FC4394 +:10171000B9E797F70100938767DB9C43638B8704E6 +:1017200097F70100938747DA9C436384870497F710 +:101730000100938767FA6309F40297F70100938722 +:1017400067F86301F4028547B240224492443E8523 +:10175000410182803E8582807370043001A0EF4099 +:10176000F01645BF9147CDB79C5493B71700890732 +:10177000E1BF8947D1BF7370043097F701009387A9 +:1017800067D3984309EF15C59C43485581CB97F71C +:1017900001009387E7D39843784315E3828017F7D6 +:1017A00001001307E7D214431843F8420507F8C2B3 +:1017B00061FD17F701001307A7D10843F1B798435C +:1017C00094437C43FD177CC3FC42E9FB7360043007 +:1017D0008280411122C42A8406C6EFE01FCF11E4A3 +:1017E00097F701009387C7CE80434054EFE09FCD29 +:1017F000B2402285224441018280A54763F5B700AB +:101800007370043001A0011122CC06CE26CA4AC84A +:101810004EC652C42A847370043017F901001309AC +:1018200069C983270900D5EF79C43C486389F50865 +:1018300017F701001307C7C963FCB7001443638D92 +:10184000860C18434457B3B4950093C4140029A0E0 +:101850000443818C93B4140058546384E70A1C4CED +:101860002CC863C60700A947B385B7400CCC9317B3 +:101870002700BA97584897F90100938969D48A07D5 +:10188000CE97631BF702130A44005285EFE05FCE48 +:1018900017F70100130747C25C5418436376F7003B +:1018A00017F70100232AF7C05147B387E702D28513 +:1018B0003385F900EFE05FC799C0EFE03FB58327BC +:1018C000090081CB97F70100938787C09C43FC43B5 +:1018D0009DEBF2406244D2444249B249224A05613A +:1018E000828097F701009387A7BE98439C437C436F +:1018F00085077CC31DF897F70100938767BD804378 +:101900002DB74CD4A9BF6244F240D2444249B249F7 +:10191000224A05616F40807B81443DBF25C1011192 +:1019200026CA97F401009384A4BA9C4006CE22CC28 +:101930004AC84EC6638DA7027370043017F90100C0 +:10194000130949B78327090091C798409C407C43FD +:1019500085077CC3584997F701009387E7D763024F +:10196000F7028327090081C79C40FC43A5EBF240A6 +:101970006244D2444249B2490561828073700430A6 +:1019800001A01C5517F70100130787D2E38BE7FC72 +:10199000E9FB930945002A844E85EFE07FBD17F7E8 +:1019A0000100130767B15C5418436376F70017F71B +:1019B00001002323F7B05147B387E70217F5010071 +:1019C000130505C0CE853E95EFE01FB69C405854E8 +:1019D000DC57E368F7F8EFE07FA361B76244F240B9 +:1019E000D2444249B24905616F40406E15CD0111A4 +:1019F00022CC26CA4AC82A8406CE4EC6EFE0FFACE7 +:101A0000584897F70100938727CD2A8981446300BE +:101A1000F7024A85EFE01FABF24062442685424957 +:101A2000D244B249056182807370043001A01C5415 +:101A300017F501001305C5C7E38DA7FCF9FB97F760 +:101A400001009387A7A59C43A9EB97F70100938713 +:101A500027A89C43445493094400DC574E85B3B4F3 +:101A6000F400EFE0FFB017F701001307E7A45C54A0 +:101A7000184393C414006376F70017F70100232D71 +:101A8000F7A25147B387E70217F50100130545B3E5 +:101A9000CE853E95EFE05FA9ADBF93058401EFE0F1 +:101AA000BFA885BF0111056506CE22CC26CA4AC84B +:101AB0004EC652C456C25AC0EF200036630E051AF5 +:101AC000AA8413050006EF2020352A84630A051C2A +:101AD00005669305500A04D92685EF20205A04583C +:101AE0008567F117BE94B7474C4593879744130A0F +:101AF00044005CD85285230C0402A301040423266D +:101B0000040223280404232A0404EFE09FA1130500 +:101B10008401EFE01FA1A947232C0404F19800C819 +:101B20001CCC40D023220404232E04040146971524 +:101B300000009385E5B12685EFE0DF9908C073705A +:101B4000043017F901001309E9968327090097F477 +:101B500001009384E49791C798409C407C4385079B +:101B60007CC317F701001307A7951C43850797F659 +:101B7000010023A7F6949C40C9C38327090097F965 +:101B800001009389E9A381EB9C40DC5789E797F733 +:101B9000010023AF879297F701009387A79098439E +:101BA00097F60100938646915C549442050717F618 +:101BB00001002329E68E38C463F6F60017F701000A +:101BC000232CF78E139527003E950A05D2854E9556 +:101BD000EFE09F958327090081C79C40FC43DDE728 +:101BE0008327090091C39C40EF1070498547630526 +:101BF000F50C59E17370043001A097F7010023A997 +:101C0000878C1843854797F901009389699BE314F2 +:101C1000F7F8CE8A17FB0100130B0BA75685D10AE4 +:101C2000EFE0FF8EE31C5BFF17F501001305C5A570 +:101C3000EFE0FF8D97FA0100938A4AA65685EFE000 +:101C40001F8D17F501001305A5A6EFE05F8C17F5B2 +:101C50000100130525A7EFE09F8B17F50100130581 +:101C6000A5A7EFE0DF8A97F7010023A1678797F721 +:101C7000010023AB578505B7F2406244D244424984 +:101C8000B249224A924A024B0561828098409440B0 +:101C90007C43FD177CC3FC42A1F77360043089B715 +:101CA0006244F2404249B249224A924A024B268596 +:101CB000D24405616F20602873700430FD5717E728 +:101CC0000100232FF77C624497E7010023A4A77E3D +:101CD000F240D2444249B249224A924A024B97E723 +:101CE000010023AD077C05616FE0AFDB737004304A +:101CF00097E7010023A0077C6FE0EFE817E70100FA +:101D00001307C7791C4385071CC382807370043096 +:101D100097E701009387077A984305E317E70100E7 +:101D20001307C77908439C4381CB97E7010093874A +:101D3000277A9843784301EF828017E70100130761 +:101D4000277914431843F8420507F8C2C1BF9843E6 +:101D500094437C43FD177CC3FC42F9FF736004305D +:101D60008280411106C622C4EFE02FF697E70100FA +:101D70009387C7748043EFE0EFF4B240228522449A +:101D80004101828097E701009387877388438280AF +:101D9000797106D622D426D24AD04ECE52CC56CA1B +:101DA0005AC85EC697E701009387476F9C43B1EF1F +:101DB00017E70100130787701C43850797E60100AA +:101DC00023AEF66E832A0700638F0A0697E70100A9 +:101DD0009387076D9C43814463F1FA0897E90100FA +:101DE0009389097E17EA0100130A8A6E83270A0085 +:101DF0008546D85793172700BA978A07BE9983A7B5 +:101E0000090063FFF600854421A897E70100938746 +:101E1000276A9C438144850717E70100232AF76856 +:101E200097E70100938787689C4391C38544B2502C +:101E30002254268502599254F249624AD24A424BB0 +:101E4000B24B4561828017E40100130424681C40F2 +:101E50009C43DDC37370043001A017E40100130438 +:101E6000E46697E901009389A97517EA0100130A4E +:101E70002A6617EB0100130B2B641C409C43B5C36F +:101E80001C40DC4703A9C70083274900930B490086 +:101E90005E8563EEFA04EFE0AFED83278902130558 +:101EA000890199C3EFE0CFEC8327C90203270B0018 +:101EB000DE85139527003E950A054E956376F7005B +:101EC00017E70100232AF75EEFE00FE683270A00F9 +:101ED0000327C902DC57E362F7FA1C4085449C43A0 +:101EE000C5F3FD5717E70100232CF75A01B717E791 +:101EF00001002327F75ADDBD17E701001307C75C70 +:101F00001C40184397E6010023A2E65C17E7010096 +:101F1000232CF75A97E70100938707599C438507BD +:101F200017E701002322F7581C409C4399E7FD570F +:101F300017E701002326F75651BD1C40DC47DC475C +:101F4000DC4317E70100232DF75449B597E701005B +:101F50009387C754984301E77370043001A00111BF +:101F600006CE22CC26CA4AC84EC652C456C25AC051 +:101F70007370043097E401009384C453984061EB7C +:101F800098437D1797E6010023AAE6509C43D9EBBE +:101F900097E701009387C7529C43C9C797EA01009E +:101FA000938A0A6217EA0100130A0A5117E901002D +:101FB00013090952854919A83385EA00EFE0CFD605 +:101FC000832709005854DC576379F70483A70A0F65 +:101FD000C1CF83A7CA0FC04713058401EFE04FD9D3 +:101FE000130B44005A85EFE0AFD85C5483260A00F7 +:101FF000DA85139527003E950A052A875695E3FD55 +:10200000F6FA17E701002329F74AEFE0EFD183271B +:1020100009005854DC57E36BF7FA97E7010023A750 +:1020200037496DB701449C4081CB97E70100938706 +:10203000274A9C43FC4395E72285F2406244D24400 +:102040004249B249224A924A024B0561828017E70F +:1020500001001307E74714431843F8420507F8C285 +:1020600005B7EF40A006C9BF17E4010013044444BC +:102070001C4095C31C40054999CF193B09C597E7FA +:10208000010023A527431C40FD1717E7010023216A +:10209000F7421C40FDF397E70100938727419843DF +:1020A0008547E311F7F8EFE06FB60544ADBF11CDFA +:1020B00081E57370043001A017E701001307073EA4 +:1020C0001C4399C77370043001A07370043001A0E1 +:1020D000411122C406C614431841850617E60100C3 +:1020E000232ED63A97E601009386463D9442330468 +:1020F000B70000C163F7E602637FE40063FD86007A +:1021000097E701009387C73C88431105EFE04FC66E +:102110002285EF3070751D3D01C9B2402244410156 +:102120008280E36FE4FCD9BF2244B24041016FE0FA +:10213000EFAD11C917E70100130747361C4391C7DC +:102140007370043001A06FE06FAC411106C622C469 +:102150001843AA87050797E6010023A1E63497E60E +:1021600001009386E63617E7010013072735184369 +:1021700088423304F7001105EFE08FBF2285EF306E +:10218000B06EE93311E52244B24041016FE00FA87F +:10219000B240224441018280411122C406C697E721 +:1021A00001009387A72F9C430144850717E701008F +:1021B0002326F72E97E70100938787309C4363E03F +:1021C000F51217EE0100130E6E4B97E20100938299 +:1021D000623E01440147854F1303500A21A0311E7E +:1021E000638DC20B83278EFFBA86F5DB8327CEFF74 +:1021F000DC43232EFEFE638AC70F03AFC700DC4318 +:102200002A97814E232EFEFE6385C70783A8C70049 +:1022100093874803232017015CC383A788042326E0 +:10222000F7011CC783A7C8021CCB83A70805232C72 +:1022300007005CCB03A8080383470800639067048A +:10224000C287850783C60700B3850741E38B66FE17 +:102250008981C205C181231EB700850E13070702BD +:1022600063061F038327CEFFDC43232EFEFEE39F7E +:10227000C7F983274E00232EFEFE49BF8145231E4A +:10228000B700850E13070702E31E1FFD7694131790 +:102290005400311EBA86E397C2F597E70100938791 +:1022A000E72203AE070083270E00639D070E97E722 +:1022B00001009387672103AE070083270E00639216 +:1022C000071817EE0100130EAE2F83274E109DE363 +:1022D00083278E116395072019C223200600BD3184 +:1022E0002285B24022444101828083274E00232E62 +:1022F000FEFE21B783278E1017EF0100130F0F3D4D +:10230000DC4317E701002321F73C6380E73383A216 +:10231000C700DC43AA96814E17E701002326F73A4F +:10232000914F1303500A6388E70783A8C700938778 +:10233000480323A01601DCC283A7880423A6F60164 +:102340009CC683A7C8029CCA83A7080523AC0600C5 +:10235000DCCA03A80803834708006391672AC28781 +:10236000850703C70700B3850741E30B67FE93D7D3 +:102370002500C207C183239EF600850E93860602C0 +:102380006386122983278E10DC4317E70100232D73 +:10239000F732E39CE7F983270E1117E701002325A5 +:1023A000F73261B783274E00130F8E00D4432322E8 +:1023B000DE006388E629B307E500D84283A2C600A1 +:1023C000814E2322EE00894F1303500A6306EF0665 +:1023D0008328C7001387480323A01701D8C303A786 +:1023E000880423A6F70198C703A7C80298CB03A7C0 +:1023F000080523AC0700D8CB03A80803034708004F +:102400006318671C4287050783460700B305074129 +:10241000E38B66FE13D7250042074183239EE70026 +:10242000850E93870702638D121B83264E00D842C8 +:102430002322EE00E31EEFF80327CE002322EE0056 +:1024400041BF83274E00130F8E00DC432322FE0082 +:102450006384E71F83A2C700DC43AA96814E232230 +:10246000FE00894F1303500A6306FF0683A8C700C6 +:102470009387480323A01601DCC283A7880423A600 +:10248000F6019CC683A7C8029CCA83A7080523AC93 +:102490000600DCCA03A80803834708006396671098 +:1024A000C287850703C70700B3850741E30B67FEB3 +:1024B00093D72500C207C183239EF600850E93861D +:1024C0000602638B120F83274E00DC432322FE009B +:1024D000E31EFFF88327CE002322FE0041BF83279F +:1024E000CE1197EE0100938EAE1FDC4317E701007B +:1024F0002326F71E6384D71383AFC700DC4333075B +:10250000D500014397E6010023AAF61C0D4F89422E +:102510009308500A6387D707C8479307450308C342 +:102520005CC33C452326E7011CC75C551CCB1C55EE +:1025300099C3232657003C49232C07005CCB032872 +:1025400005038347080063951705C287850783C67F +:102550000700B3850741E38B16FF93D72500C20719 +:10256000C183231EF700050313070702638AAF0226 +:102570008327CE11DC4397E6010023A1F616E39DE5 +:10258000D7F983274E1297E6010023A9F61469B7FD +:102590008147231EF700050313070702E39AAFFCE8 +:1025A0001A94E31C06D225BB8147239EF600850EB4 +:1025B00093860602E39912F117EE0100130E4E0006 +:1025C00083274E10769493165400E38307D01DB3EF +:1025D0000147239EE700850E93870702E39712E5E4 +:1025E00097E70100938747EE03AE070076949316B2 +:1025F000540083270E00E38607CCA1B58147239EB4 +:10260000F600850E93860602E39E12D783278E116D +:10261000769493165400E38107CCD1B583274E12EC +:1026200017E70100232CF70AC1BD83270E1117E716 +:102630000100232BF708E1B98327CE002322FE00F7 +:1026400011BD8326CE002322DE00B5B3797126D2D8 +:102650004AD04ECE52CC56CA5AC85EC606D622D4EE +:1026600097E90100938989E317E90100130949F506 +:1026700017EA0100130A0AE497EA0100938A4AE57F +:1026800097EB0100938BCBE397E40100938484E301 +:10269000054B21A883A7090003244910850717E7E4 +:1026A0000100232DF7DE5D3011E89C40E5F783271C +:1026B0000900E37CFBFEEFD07FD5C5BF737004300B +:1026C00083270A00B9E383270911C0471305440093 +:1026D000EFD01FEA83A70B00FD1717E701002321A6 +:1026E000F7DE9C40FD1717E70100232DF7DC832759 +:1026F0000A0089C783A70A00FC4385E30858EF1046 +:10270000D0032285EF1070034DB703A70A0083A7FB +:102710000A007C4385077CC37DB703A70A0083A614 +:102720000A007C43FD177CC3FC42E9FB7360043064 +:10273000F1B797E70100938767D69C43DDE341112A +:1027400006C697E7010023A307D617E70100130782 +:1027500027D81C43B7A6A5A59386565A9C5B8C43E5 +:10276000639BD500D0436318B60094476395C600B9 +:10277000DC476388D70008430C4393854503EF206B +:10278000407397E60100938626D3904217E7010035 +:10279000130707E311A89C42B9CB9C42FD1717E62B +:1027A0000100232BF6D0904293172600B2978A0798 +:1027B000BA979C43EDD3904293172600B2978A07AD +:1027C000B305F700D441A107BA97D442D4C16383BB +:1027D000F602DC46B24017E70100232BF7CE410199 +:1027E0008280854717E701002322F7CC828073702F +:1027F000043001A0D1473306F602D442329754C3C5 +:10280000C9BF01114AC806CE22CC26CA4EC62A89A3 +:102810007370043097E401009384C4C99C4017E4AA +:1028200001001304E4CAD9E7631409000329040072 +:10283000930949004E85EFD0BFD38327890289C70A +:1028400013058901EFD0DFD2CE8517E5010013050E +:10285000A5E8EFD07FCD9C4081C71C40FC43ADE391 +:102860001C40638B27079C409DCF737004309C40B5 +:10287000C1EB97E70100938767C59843184325EBA1 +:10288000FD5717E70100232DF7C09C4089CF1C405E +:10289000FC4391CB184014407C43FD177CC3FC42A1 +:1028A00099E373600430F2406244D2444249B24931 +:1028B0000561828018401C407C4385077CC3ADB70E +:1028C000184014407C43FD177CC3FC42D1FB73606D +:1028D00004301C40E39927F99C40A1C397E701000D +:1028E0009387C7BB9C43ADCB7370043001A09C435E +:1028F000DC47DC47DC4317E701002323F7BA71B755 +:1029000018401C407C4385077CC397E701009387F0 +:10291000E7BB984318432DD7D9BF97E701009387AA +:1029200027BA17E701001307A7C99C43032787119C +:10293000631DF700F240624497E7010023AA07B83D +:10294000D2444249B249056182806244F240D24495 +:102950004249B2490561F1BB6244F240D244424966 +:10296000B24905616FD09FAA3DC9411126C297E4C3 +:1029700001009384E4B54AC02E898C4006C622C467 +:10298000E105EFD0FFBB88401105EFD07FBEFD57BA +:10299000630AF90497E70100938747B280439840A0 +:1029A0009C434A9440C3636CF40497E70100938707 +:1029B000E7B188438C409105EFD09FB897E70100BD +:1029C000938707AE9C436376F40097E7010023A941 +:1029D00087ACB24022449244024941018280737024 +:1029E000043001A08C402244B2409244024917E5D1 +:1029F0000100130565CE910541016FD0FFB297E745 +:102A00000100938767AC884322448C40B2409244D3 +:102A10000249910541016FD0BFB211C997E701008A +:102A20009387C7A79C4399E77370043001A0737024 +:102A3000043001A0411126C297E40100938444A907 +:102A40009840AE878C40B7060080D58F06C622C45A +:102A50001CCFE1053284EFD03FAD88401105EFD0A7 +:102A60003FB1FD57630EF40097E70100938707A578 +:102A70008843B24092442295224441016F30C05EA7 +:102A80008C402244B240924417E501001305C5C4AE +:102A9000910541016FD05FA925CD411126C297E470 +:102AA00001009384E4A24AC02E898C4006C622C449 +:102AB000E1053284EFD05FA788401105EFD05FAB0E +:102AC0008547630AF40497E701009387279F8043B3 +:102AD00098409C434A9440C3636CF40497E7010018 +:102AE0009387C79E88438C409105EFD07FA597E7D9 +:102AF00001009387E79A9C436376F40097E701000F +:102B000023A0879AB2402244924402494101828024 +:102B10007370043001A08C402244B24092440249B8 +:102B200017E50100130545BB910541016FD0DF9FFB +:102B300097E7010093874799884322448C40B2402D +:102B400092440249910541016FD09F9F411106C6F1 +:102B500022C426C25C45C04735CC93048401268537 +:102B6000EFD01FA197E70100938747939C43B5E7F8 +:102B7000930444002685EFD0BF9F97E70100938719 +:102B8000A79358549C4363F6E70097E7010023A5F9 +:102B9000E79293172700BA978A0717E501001305F4 +:102BA00025A2A6853E95EFD03F9897E70100938731 +:102BB00027929C4358540145DC5763F8E70085474A +:102BC00017E701002324F78E0545B24022449244C2 +:102BD000410182807370043001A0A68517E50100D1 +:102BE000130505ADEFD05F94C9B797E70100938750 +:102BF000E78A9C4381E77370043001A0411106C647 +:102C000022C426C2B70700804045CD8F1CC12DC409 +:102C1000EFD01F96930444002685EFD07F9597E769 +:102C200001009387678958549C4363F6E70097E750 +:102C3000010023A3E78893172700BA978A0717E5AF +:102C400001001305E5973E95A685EFD0FF8D97E728 +:102C500001009387E7879C4358540145DC5763F88C +:102C6000E700854717E701002322F7840545B240B6 +:102C700022449244410182807370043001A011CD3E +:102C800097E7010093874782984397E7010093876E +:102C9000E7829C4318C15CC182807370043001A03C +:102CA00055C1D1CD411106C622C42A8773700430A4 +:102CB00097E801009388088083A7080091CB97E7E5 +:102CC00001009387E78094439C43FC428507FCC244 +:102CD00017D301001303837E9C4103260300FD5696 +:102CE0000144638FD70217DE0100130EEE7B03252C +:102CF0000E000328070054436305A80005446371D0 +:102D0000D6023308D6400544637CF80003250E0044 +:102D1000918F03260300B6979CC108C350C301449A +:102D200083A7080081CB97D701009387677A9C43DC +:102D3000FC4381EF2285B2402244410182807370BE +:102D4000043001A07370043001A0EF302038DDB7EB +:102D5000854717D70100232BF774828019C1684576 +:102D600082800145828011C16CC5828097D70100A5 +:102D7000938707768843828097D70100938787736C +:102D80009C43054589CB97D70100938727718843DA +:102D9000133515000605828031CD011126CA97D45E +:102DA00001009384E4729C4006CE22CC4AC84EC6F1 +:102DB0005855DC576379F7021C4D63C707009C40E8 +:102DC000D457A947958F1CCD93172700BA97584918 +:102DD00017D901001309C97E8A07CA97630DF70046 +:102DE0009C40DC575CD5F2406244D2444249B2492F +:102DF000056182808280930945002A844E85EFD048 +:102E00002FF79C4017D701001307076B1843DC57B7 +:102E10005CD46376F70017D70100232FF76813956A +:102E200027003E9562440A05F240D244CE854A9579 +:102E3000B249424905616FD02FEF19CD411106C645 +:102E400022C426C297D7010093878768804363070F +:102E5000A4007370043001A0014582807C4881E7A2 +:102E60007370043001A054543848FD177CC8638344 +:102E7000E60099C70145B240224492444101828054 +:102E8000930444002685EFD0AFEE3C4817D70100ED +:102E900013078762144329471D8F5CD418CC63F64F +:102EA000F60017D701002329F7605147B387E702DF +:102EB00017D501001305C570A6853E95EFD0CFE666 +:102EC000054555BF7370043097D701009387875E1F +:102ED0009C4391CB97D701009387875F98439C438E +:102EE0007C4385077CC3828097D701009387875CEA +:102EF0009C438DC397D701009387875D98437843A0 +:102F000011CB984394437C43FD177CC3FC4299E367 +:102F100073600430828097D701009387675B90438A +:102F200094439843A947D456084E958F1CCF82806E +:102F300097D701009387C759984309C79443F84A24 +:102F40000507F8CA8843828079714AD006D622D410 +:102F500026D24ECE2A897370043097D40100938410 +:102F600064559C4017D4010013048456BDE31C40F3 +:102F7000BC4F89E71C400547F8CFD1E19C4081C791 +:102F80001C40FC43F1EB737004309C408DEF1C40FF +:102F9000A84F11C5630409021C4023AC07041C4060 +:102FA00023AE07049C4081C71C40FC438DEBB2500C +:102FB000225492540259F2494561828018403C4F94 +:102FC000FD173CCFE9BF18401C407C4385077CC3FC +:102FD0007DBF18401C407C4385077CC349BF184017 +:102FE00014407C43FD177CC3FC42F1F37360043052 +:102FF000B250225492540259F249456182800840ED +:103000002EC61105EFD0CFD6B245FD576380F50629 +:1030100097D701009387874A83A9070018409C43EC +:10302000AE992322370163ECF90497D70100938707 +:10303000E74988430C409105EFD08FD097D7010026 +:10304000938707469C4363F6F90097D7010023A9AD +:103050003745EFD0AFBB1DB7184014407C43FD1778 +:103060007CC3FC428DF37360043031BF0C4017D534 +:103070000100130565669105EFD00FCBD9BF97D737 +:1030800001009387674488430C409105EFD04FCBF4 +:10309000C9B779714AD04ECE06D622D426D252CCA8 +:1030A0002E89B2897370043097D4010093848440D0 +:1030B0009C4017D401001304A441F9EF184089473C +:1030C000784F630CF7001C401345F5FFB84F798D1E +:1030D000A8CF1C400547F8CFADE69C4081C71C40F7 +:1030E000FC43F5E3737004309C40B1E7638609004C +:1030F0001C40BC4F23A0F900184085470145784F7C +:10310000630BF7001C401349F9FF0545B84F3379AD +:10311000E90023AC27051C4023AE07049C4081C76F +:103120001C40FC43C1E3B250225492540259F2496C +:10313000624A4561828018401C407C4385077CC3FD +:1031400075B7084036C61105EFD08FC2B246FD579D +:103150006385F60897D701009387473603AA0700CF +:1031600018409C43369A232247016363FA0897D795 +:1031700001009387A73588430C409105EFD04FBCE1 +:1031800097D701009387C7319C436370FA0697D79E +:10319000010023A7473191A818401C407C438507B4 +:1031A0007CC329BF184014407C43FD177CC3FC42FC +:1031B000BDFB73600430B250225492540259F2495C +:1031C000624A45618280184014407C43FD177CC3ED +:1031D000FC4289FB7360043031B70C4017D5010005 +:1031E0001305854F9105EFD02FB4EFD02FA2F5B581 +:1031F00097D701009387472D88430C409105EFD066 +:103200002FB4E5B751C1411106C622C426C24AC037 +:103210007370043097D401009384C4299C40B9EBA7 +:1032200099C23C4D9CC2B2878946704D74CD2E87A1 +:103230002A846386D70663FCF6048D456387B7004E +:1032400091456395B7006300D60C38CC8547630F72 +:10325000F60405449C4081CB97D70100938747270C +:103260009C43FC43A9E32285B24022449244024994 +:103270004101828097D701009387872598439C431B +:103280007C4385077CC369BF7370043001A0854609 +:10329000E39ED7FA3C4D33E7B70038CD45BF3C4DF0 +:1032A00085073CCD65B7EF20706275BF13094400F8 +:1032B0004A85EFD0EFAB17D701001307E71F5C5427 +:1032C00018436376F70017D701002327F71E5147ED +:1032D000B387E70217D501001305852ECA853E95F1 +:1032E000EFD08FA41C5481C77370043001A097D70E +:1032F00001009387E71D9C435854DC57E3FBE7F438 +:10330000EFD0CF90B9B70144B1B739CD011122CC7C +:1033100026CA4AC84EC652C456C206CEBA89368A92 +:10332000B2842E892A84EFD04F9AAA8A63050A00B4 +:103330003C4C2320FA00784C89477CCC6389F4020A +:1033400063F4970C8D466387D40091466396D4004E +:10335000630AF70A232C24058547631EF7061C54CD +:1033600099CB7370043001A07370043001A03C4C01 +:1033700085073CCCD5B797D70100938727129C438C +:10338000ADEB930444002685EFD08F9E17D7010044 +:10339000130787125C5418436376F70017D70100B0 +:1033A000232CF7105147B387E70217D50100130507 +:1033B0002521A6853E95EFD02F9797D701009387BB +:1033C00027119C435854DC5763F7E70063850900D5 +:1033D000854723A0F90005445685EFD0AF8E22859E +:1033E000F2406244D2444249B249224A924A0561BB +:1033F00082809305840117D501001305652BEFD05A +:10340000AF9265BF0144C9BF8547E397F4F43C4CD4 +:1034100033E92701232C240581B74DC1011122CCAA +:1034200026CA2A844AC806CE4EC6AE84EFD0EF899B +:103430008947744C7CCC3C4C05472A8985073CCC99 +:103440006395E6061C5481C77370043001A097D7BA +:1034500001009387A7049C43ADE7930944004E8580 +:10346000EFD00F9117D70100130707055C541843DD +:103470006376F70017D701002320F7045147B3877D +:10348000E70217D501001305A513CE853E95EFD0B1 +:10349000AF8997D701009387A7039C435854DC5703 +:1034A00063F5E70099C085479CC06244F240D2446E +:1034B000B2494A85424905616FD0CF8073700430AC +:1034C00001A09305840117D501001305651EEFD0F7 +:1034D000AF85C1B7AA8729C57370043017D701001B +:1034E000130747FD14439DE2F04F894601456315DC +:1034F000D60023AE070405451C4381CB97D70100B6 +:10350000938707FD9843784315E3828097D601009F +:10351000938606FC904294427442850674C2E9B7D1 +:1035200097D701009387C7FA9C437DB7984394438C +:103530007C43FD177CC3FC42E9FB7360043082804E +:103540005D71A6C2CAC052DC56DA5AD85ED686C6AB +:10355000A2C44EDE62D466D26AD017D90100130924 +:1035600029F817DB0100130BEBF697D401009384C5 +:10357000A4F697DA0100938A6AF6054AA54B8327D9 +:103580000900984363010712DC4783A90700EFE0B5 +:10359000EFF6EFE0AFF783270B002A8C6362F50AA2 +:1035A00097D7010023A8A7F201466370351B884016 +:1035B000B3858941EFD0FFBDEFE05F99630105124C +:1035C0008840814601462C00EFD00FEC4DD9A24730 +:1035D000E3C807FEC24983A7490189C7138549008B +:1035E000EFC01FF9EFE08FF283270B002A8C636D89 +:1035F000F508A24717D70100232E87EDE3E2FBFC75 +:10360000B317FA0013F70721631A071613F7770C9D +:103610006318071E93F70702C5D74E85EF00F01118 +:1036200045B7DC47C04783AC0700930944004E858B +:10363000EFC01FF45C50228582975C4C6384470B7B +:1036400083270900984371FF03A70A0097D601005A +:1036500023A286E997D6010023A2F6E897D70100B6 +:1036600023A0E7E8EFE09F8EA1BFDC47C04703AD92 +:103670000700930C44006685EFC09FEF5C502285E5 +:1036800082975C4C6386470983270900984371FF42 +:1036900003A70A0097D6010023A2F6E497D70100FA +:1036A00023A0E7E4B9B7EFE06FE5EFE02FE683276B +:1036B0000B002A8CE366F5F883A70A00884081494D +:1036C0009043B385894117D70100232587E113363D +:1036D0001600EFD01FACEFE07F87E31305EEEFC0DD +:1036E000FFD2F9BD1C4CCE85E69763E9FC049C40F3 +:1036F00089CF02CA66CC22CEEFF00FE8884081461F +:1037000001464C08EFD02F8805FD7370043001A0EE +:103710001C4CE685EA97636CFD049C4089CF02CA85 +:103720006ACC22CEEFF04FE58840814601464C0836 +:10373000EFD06F8531F97370043001A003250900C3 +:103740005CC000C8EFC0DFDFE5BDEFE03F8083274E +:103750000900DC47C047930C44006685EFC05FE179 +:103760005C4C638F47035C502285829791BD032593 +:1037700009005CC000C8EFC0BFDC39B7B24723AC5A +:10378000F900A5CFE29723A2F90023A83901938578 +:103790004900636FFC0403A50A00EFC07FDA0DB592 +:1037A0001C4C00C83387F90058C0636DEC02330C21 +:1037B0003C416363FC029C4089CF02CA4ECC22CEBE +:1037C000EFF08FDB8840814601464C08EFC0BFFB1D +:1037D00059F97370043001A003A50A00E685EFC013 +:1037E0003FD651B703250900E685EFC07FD5A5BFB9 +:1037F00003250900EFC0DFD4E1B37370043001A0EA +:10380000B24783A6890123A839013387D70023A2B1 +:10381000E9006364EC04B307FC4063EBD70483A7BF +:1038200049024E85829783A7C901E39B47D983A6A6 +:103830008901B2479840B69711CF02CA3ECC4ECE0E +:10384000EFF08FD38840814601464C08EFC0BFF3AC +:10385000E31805D67370043001A06374FC00E370B4 +:10386000F7FC0325090093854900EFC07FCD89BB94 +:1038700003A50A0093854900EFC09FCC91B3411185 +:1038800022C406C626C24AC017D401001304C4C409 +:10389000EFF04FE31C4095CBEFF00FE51C409DC3CC +:1038A000814801488147094781461306004097D562 +:1038B00001009385A5B0170500001305A5C8EFD03A +:1038C0007F9A39E97370043001A017D901001309F8 +:1038D000E9E14A85EFC0BFC397D40100938444E275 +:1038E0002685EFC0DFC20146B145114597D70100DB +:1038F00023A827BF97D7010023A297BEEFC01FDCE4 +:1039000017D70100232AA7BC11CD97D501009385B5 +:1039100065AAEFD0CFFC49B7B24022449244024995 +:10392000410182807370043001A0A5C9797156CA23 +:10393000AA8A1305C00222D426D24AD04ECE52CC37 +:1039400006D65AC85EC63A89B689328AAE84E9215B +:103950002A8405C9EFF00FD797D701009387C7B71F +:103960009C439DCFEFF04FD8130544002320540112 +:1039700004CC232E44012320340323222403EFC04C +:103980005FBA2285B250225492540259F249624AD7 +:10399000D24A424BB24B456182807370043001A021 +:1039A00097DB0100938B8BD45E85EFC05FB617DB8E +:1039B0000100130BEBD45A85EFC07FB50146B1452A +:1039C000114597D7010023AD77B197D7010023A701 +:1039D00067B1EFC0BFCE17D70100232FA7AE01C933 +:1039E00097D501009385059DEFD06FEFA5BF73704C +:1039F000043001A039C5011122CC06CE26CA17D445 +:103A00000100130464AD832804002A8801456385FE +:103A10000802BA842EC2368732C442C6954663C5B0 +:103A2000B602EFF06FB58947630BF5020840814697 +:103A300001464C00EFC03FD5F2406244D2440561DC +:103A400082807370043001A04C0081463A8646851E +:103A5000EFD0AF88F2406244D244056182800840D2 +:103A6000814626864C00EFC01FD2F9B719C1084124 +:103A700082807370043001A001E57370043001A0EE +:103A8000411106C622C42A84EFF0CFC3484833341C +:103A9000A000EFF06FC52285B24022444101828030 +:103AA00001E57370043001A0411122C42A8406C6C6 +:103AB000EFF04FC10050EFF02FC3B24022852244F7 +:103AC0004101828001E57370043001A0411122C4DC +:103AD00026C22E84AA8406C6EFF0CFBE80D0224430 +:103AE000B240924441016FF02FC04111714522C490 +:103AF00006C61D262A8411C5232005001105EFC026 +:103B00001FA12285B24022444101828001CDB70726 +:103B100000FFED8F81C77370043001A099E57370C9 +:103B2000043001A07370043001A0011122CC4AC8F6 +:103B30004EC652C456C206CE26CABA893689B28A41 +:103B40002E842A8AEFF04FA311E563850900737074 +:103B5000043001A0EFE08F9A83240A00B377940029 +:103B60006316090295C763870A009347F4FFE58F40 +:103B70002320FA00EFE08FBDF240624426854249DF +:103B8000D244B249224A924A05618280E30DF4FC94 +:103B9000E38209FEB3355001E20563050900B7076A +:103BA0000004DD8D4E86C18D13054A00EFE0FFE66F +:103BB000EFE0CFB919E1EFC07F85EFF0CFB59317F4 +:103BC0006500AA8963D80700B7040001FD14B3F4A7 +:103BD00099005DB7EFF00FAF83290A00B377340186 +:103BE000631E090089CB63880A009347F4FFB3F78B +:103BF00037012320FA00EFF02FAFF9B7E31DF4FEF1 +:103C0000DDB701C9B70700FFED8F99C773700430A6 +:103C100001A07370043001A0411122C42A8406C699 +:103C200026C24AC02E89EFF0EFA904409345F9FF60 +:103C3000B3F795001CC0EFF02FABB24022442685AD +:103C40000249924441018280411122C42A8406C65D +:103C5000EFC0BF870040EFC0FF86B24022852244FC +:103C60004101828009C9370700FFB3F7E50099C712 +:103C70007370043001A07370043001A06F20C0463F +:103C8000B70700FFF18F81C77370043001A001E610 +:103C90007370043001A0011122CC4AC84EC652C430 +:103CA00006CE26CA3689AE892A8A3284EFF0CF8CB6 +:103CB00021E1630509007370043001A0EFE00F8477 +:103CC00083240A00CE855285B3E49900613FB3771F +:103CD00094006307F40683240A00EFE02FA7F24064 +:103CE000624426854249D244B249224A0561828013 +:103CF000EFE0CF8083240A00CE855285B3E43401FF +:103D00009537B3F78400638D8702E30609FCB70596 +:103D100000054A86C18D13054A00EFE01FD0EFE091 +:103D2000EFA219E1EFC08FEEEFF0EF9E9317650061 +:103D3000AA8463DF0700B7070001FD17FD8C45B7B4 +:103D400083270A001344F4FFE18F2320FA0071B7A0 +:103D5000EFF04F9783240A00B377940063978700AE +:103D60009347F4FFE58F2320FA00EFF0EF97E1B7D8 +:103D7000411122C406C62A8426C2EFD03FF85C4017 +:103D800085C308489304C4006316950015A008482D +:103D900063009502B7050002EFE03FE55C40E5FBFC +:103DA0002285612A2244B240924441016FE00F9A79 +:103DB0007370043001A009C9370700FFB3F7E500AD +:103DC00099C77370043001A07370043001A06F2094 +:103DD000A03101C9B70700FFED8F99C77370043098 +:103DE00001A07370043001A0411122C426C206C68E +:103DF0002A84AE84EFF00F8D184093C7F4FFF98F3B +:103E00001CC02244B240924441016FF0EF8D19C1B1 +:103E1000084D828001458280411122C406C62A8451 +:103E2000EFD0DFED97C701009387C76B9C43A1EBF1 +:103E3000E56717D701001307278EF11797C6010012 +:103E400023A8E66A17C701002322F76A17D70100E3 +:103E50002326F78C97C701009387076917D70100BE +:103E6000232CF78A854717C701002325076817C742 +:103E70000100232B076617C701002325F76619E801 +:103E8000EFE0CF8CEF10600201442285B240224463 +:103E9000410182801305840093773500C1EFE56707 +:103EA0001307F5FFE917E3EDE7FC97C601009386DA +:103EB00026649C4229A0984311C7BE86BA87D8437E +:103EC000E36BA7FE17C7010013070762E38AE7FA4F +:103ED000984341461384870098C2D843B306A7404D +:103EE0006378D6023388A7002322D800C8C383256D +:103EF000480097C601009386A65F11A0BA86984233 +:103F00005043E36DB6FE2320E80023A00601D8430A +:103F100097C70100938707619C43998F17C70100DA +:103F20002322F760EFE08F8231DC2285B240224409 +:103F300041018280719911059DB729C9411122C49F +:103F400006C62A84EFD09FDB930584FF0326C4FFB7 +:103F500017C701001307C75911A03E871C43D4435C +:103F6000E3EDC6FE232CF4FE0CC397C70100938734 +:103F7000675B98438327C4FF2244B240BA9717C7B0 +:103F800001002321F75A41016FD05FFC828097C75F +:103F90000100938727598843828082803367B50068 +:103FA0000D8BAA871DE79308D6FFAA982A87AE86AD +:103FB0006371150303A8060011079106232E07FF5E +:103FC000E36A17FF9347F5FFC697F19B9107BE95EC +:103FD000AA972A9663F3C70A938645001388470079 +:103FE000B3B6D70033B8050133E7F50093C6160022 +:103FF000134818000D8BB3E6060113371700758FB1 +:10400000330EF6409386170029CF1337AE0031EBFD +:1040100013532E002E88BE8601478328080005070B +:10402000110823A016019106E36967FE1377CEFFFE +:10403000BA95BA976302EE0483C60500138717008A +:104040002380D700637AC70283C615001387270031 +:10405000A380D7006372C70203C725002381E7004E +:104060008280B307F640AE97850503C7F5FF850646 +:10407000238FE6FEE39AF5FE82808280B367C50057 +:104080008D8BB308C500E9C36371150FB307A0405A +:104090008D8B13873700954693F5F50F13081500A0 +:1040A0003383A8407D166373D70015476363E60C1E +:1040B000D5CF2300B5000547638DE700A300B50009 +:1040C0000D47130825006396E70013083500230108 +:1040D000B500139685004D8E13970501518F33035C +:1040E000F34093968501D98E13562300AA97014772 +:1040F00094C305079107E36DC7FE1377C3FFB307AA +:10410000E8006307E3062380B70013871700637C8A +:104110001703A380B7001387270063761703238153 +:10412000B7001387370063701703A381B7001387A5 +:104130004700637A17012382B7001387570063741F +:104140001701A382B700828093F5F50F13978500BE +:10415000D98D139705014D8FE37715FFAA87910736 +:1041600023AEE7FEE3ED17FF828082802A8895B7B1 +:104170008280AA8749BF834705002A8799C705051A +:1041800083470500EDFF198D82800145828005057A +:104190008347F5FF850503C7F5FF91C7E389E7FE70 +:1041A0003385E74082808147E5BFAA87850503C73D +:1041B000F5FF8507A38FE7FE75FB82808346050028 +:1041C000930700026397F600050583460500E38D1B +:1041D000F6FE938756FD93F7D70F85C7AA878145CB +:1041E00085CE01458507138606FD1317250083C676 +:1041F00007003A9506053295F5F699C13305A040BA +:104200008280938536FD8346150093B5150093078C +:104210001500E1FA0145D5B7014582809C41238014 +:10422000A7009C4185079CC1828097C601009386A8 +:10423000A62C9C42175703001307C750138617007C +:10424000BA972380A70097C5010023A7C52AA947CD +:104250006308F500930700046305F60001458280BA +:1042600075DE370610F005078347F7FF2320F6F0C9 +:104270009C42FD1797C5010023A0F528EDF70145E5 +:1042800082804D712322911423202115232A51135A +:10429000232481132326111423248114232E311364 +:1042A000232C4113232861132326711323229113F6 +:1042B0002320A113232EB111AA842E8932C6130CF8 +:1042C0005002A54A8347090063808703B9CB804029 +:1042D00011A0A1CB2300F40080400509050480C093 +:1042E00083470900E39787FF0346190093081900E5 +:1042F000C686130D0002FD597D5A0148130550056D +:104300009307D6FD93F7F70F138916006363F52A19 +:1043100017C70100130707CF8A07BA979C43BA97BC +:1043200082878320C1140324811483244114032928 +:1043300001148329C113032A8113832A4113032BF8 +:104340000113832BC112032C8112832C4112032DE4 +:104350000112832DC11171618280A14C02C4854775 +:1043600063D50715B2478040938B770093F68BFF98 +:1043700003AB060083A94600938786003EC6668687 +:1043800081465A85CE85EF10F0182AC8814763858B +:104390003723930D4101854B668681465A85CE852C +:1043A000EF106061814666862A8BAE89EF10901609 +:1043B000A24723A0AD0093861B00910D63843701B3 +:1043C000B68BD9BFE37E9BFF63DD4601A2872380C6 +:1043D000A70180407D1A930714009CC03E84E3C867 +:1043E00046FF93962B001C08BE9631A8938777054D +:1043F0002300F4008040FD1B93871B00050480C050 +:10440000F116E351F0EC9C42E3E2FAFE93870703D6 +:10441000C5B79C409306000332472380D7009C40D9 +:1044200093064700C14C1386170090C013068007FF +:10443000A380C700804002C4BA87050480C003ABD4 +:104440000700814936C625BFB24783AC0700938B6E +:10445000470063840C1C635F40199307D002631903 +:10446000FD1683C70C0085C363DC09189440850CD6 +:104470007D1A2380F6008040050480C083C70C00AD +:10448000E5F7635D40018040930700022300F400DC +:1044900080407D1A050480C0E31A0AFE5EC61DB581 +:1044A00002C48547A94CE3CF07EBB247804093860F +:1044B000470071B703C61600CA8699B59C4093069B +:1044C00050022380D7008040050480C0E5BBC14C6A +:1044D00002C471B5B24703C61600CA8683A9070095 +:1044E00091073EC6E35E0AE04E8AFD5911BD03C640 +:1044F0001600130DD002CA8621B59347FAFFFD8737 +:1045000003C61600337AFA00CA86DDBB03C616005E +:10451000130D0003CA86EDB383C51600930906FD8B +:10452000CA86938705FD2E86E3EEFAFA9397290053 +:10453000BE9985068609AE9983C50600938909FD53 +:10454000938705FD2E86E3F3FAFE69BF32479C4050 +:10455000144311073AC62380D7008040050480C069 +:1045600095B3854763D3070BB24793867700E19AEB +:10457000938786003EC683A946009C4263DC090AF5 +:1045800094401306D002B307F0402380C600804059 +:10459000B336F000B3093041050480C03E8BB389C7 +:1045A000D940A94C02C4E1BB03C616000508CA865F +:1045B00081BB9C40468921B7E37D9BDD8547814BCC +:1045C0008546E3C547E131BD97CC0100938C8CDF74 +:1045D000CE856685EF100004330AAA40635C400173 +:1045E00080402300A40180407D1A050480C0E31AA6 +:1045F0000AFE014A83C70C00E38207EAE3C809E622 +:10460000FD197D57E394E9E6ADBDB24793864700B7 +:104610009C4336C693D9F74195B76356400193073B +:10462000D002E313FDFA97CC0100938CACD9930729 +:1046300080021DBD3E8BA94C02C4804089B34D71E0 +:10464000232E3113B70900409387F9FF23248114E7 +:1046500023229114232C4113232A511323261114AE +:10466000232021152328611323267113232481136A +:10467000232291132320A113232EB1112A8A2EC4A1 +:1046800017C40100130444E7975A0300938A8A0B66 +:1046900097C40100938484AC3EC69305500229451B +:1046A00013080004B70610F083470A006386B704B6 +:1046B000C1C71840050A13061700569797C801008E +:1046C00023ACC8E22300F7006382A706E31E06FDC1 +:1046D000175703001307070705078347F7FF23A0B2 +:1046E000F6F01C40FD1717C601002327F6E0EDF792 +:1046F00083470A00E39EB7FA83461A0013051A009F +:104700002A87930B00027D5B7D5C01489305500571 +:10471000A5489387D6FD93F7F70F130A170063E7B1 +:10472000F5348A07A6979C43A697828717570300FC +:104730001307470155F28DBF8320C1140324811450 +:1047400083244114032901148329C113032A8113EB +:10475000832A4113032B0113832BC112032C8112D3 +:10476000832C4112032D0112832DC11171618280AE +:10477000A14C014D854763DB0719A2479D07E19BCB +:1047800083AD070003AB4700138787003AC46686F2 +:1047900081466E85DA85EF10E0572AC863066D35CD +:1047A000130941018549668681466E85DA85EF10D9 +:1047B000802066868146AA8D2E8BEF10A05523207F +:1047C000A90085091109E3106DFFE3FE9DFD1306A5 +:1047D0000004B70610F063D189031C40138717004B +:1047E000D69797C5010023A9E5D023807701630CF4 +:1047F000C7047D1CE3C389FFB2476800A548B386A0 +:10480000F9008A061C08BE962948130300043706DF +:1048100010F0E384A6E89C429305000363F4F800DB +:10482000930570051840AE9793051700569717CE5D +:1048300001002323BECC2300F7006380072B638C89 +:104840006526F116F9B7175703001307A7EF0507F9 +:104850008347F7FF23A0F6F01C40FD1797C5010022 +:1048600023ACF5C8EDF77D1C71B71C40130600049E +:104870001387170097C5010023A0E5C8B386FA0087 +:10488000930500032380B6006300C73E890797C6DF +:10489000010023A3F6C65697930680072300D7008E +:1048A00017570300130707EAB70610F0639EC70007 +:1048B00005078347F7FF23A0F6F01C40FD1717C636 +:1048C0000100232BF6C2EDF7A247C14C014D910721 +:1048D0002247014B832D07003EC455BDA24783AC40 +:1048E000070013894700638F0C3A635480219307B4 +:1048F000D002639EFB2E03C70C00BA85631007200D +:1049000025AC8547A94C014DE3C907E7A2479107AC +:10491000C1B7A24783461700528703AB0700910730 +:104920003EC4E3580CDE5A8C7D5BE5B3834617002A +:10493000930BD0025287F1BB9347FCFFFD87834660 +:104940001700337CFC005287E9B383461700930BB2 +:10495000000352877DBB03461700138B06FD528769 +:10496000930706FDB286E3EEF8FA93172B00DA9769 +:1049700005078607B29703460700138B07FD9307C9 +:1049800006FDB286E3F3F8FE69BFA2451C40294646 +:10499000984193861700D69717C50100232ED5B4EA +:1049A0002380E700138945006301C72A93070004A9 +:1049B0006387F6204AC4D5B1854763DF0729A2473C +:1049C00013877700619B930787003EC4032B470042 +:1049D0001C43635B0B30184013060004930617005A +:1049E00097C5010023AAD5B056979305D00223009E +:1049F000B7006388C62CB307F0403337F000330BA1 +:104A00006041BE8D330BEB40A94C014D49B3834649 +:104A1000170005085287F5B9834617005287D5B9A4 +:104A20001C40930600041387170017C601002325B6 +:104A3000E6ACD697130650022380C700E31FD7C405 +:104A400017570300130707D0B70610F00507834771 +:104A5000F7FF23A0F6F01C40FD1717C60100232D19 +:104A6000F6A8EDF71DB9C14C014D29B31C409306C2 +:104A700000042A8A1387170017C60100232EE6A612 +:104A8000D697130650022380C700E318D7C01757E4 +:104A90000300130727CBB70610F005078347F7FF7E +:104AA00023A0F6F01C40FD1717C601002326F6A42C +:104AB000EDF72A8ADDB6175703001307A7C80507C5 +:104AC0008347F7FF2320F6F01C40FD1797C5010030 +:104AD00023A4F5A2EDF7F1162DBB1757030013071A +:104AE00067C6F1FDF11635B3E3FC9DCB8549C5B131 +:104AF000014C03C70C00BA85E30E07EAFD582945AF +:104B000013080004B70610F063570B0A1C40138605 +:104B10001700D69717C301002320C39E2380E70008 +:104B20006384A50663040609850C03C70C007D1C7D +:104B3000BA8579FBE35080E91C4093050002130617 +:104B40000004B70610F021A07D1CE3050CE61387D6 +:104B50001700D6972380B70017C50100232EE598CC +:104B6000BA87E313C7FE175703001307A7BD05074E +:104B70008347F7FF23A0F6F01C40FD1717C501007F +:104B8000232CF596EDF7C9B717570300130787BB1A +:104B900041DE05078347F7FF23A0F6F01C40FD1711 +:104BA00017C60100232AF694EDF7BDBF175703007F +:104BB000130747B9F9BF7D1BE31A1BF5A5BF1757AC +:104BC0000300130727B8B70610F005078347F7FF60 +:104BD00023A0F6F01C40FD1717C60100232EF69007 +:104BE000EDF74AC45DBC97BC0100938CAC7DDA85BF +:104BF0006685EF003022330CAC40E35C80EF1C4054 +:104C000013060004B70610F021A07D1CE3020CEE91 +:104C100013871700D6972380770197C5010023AD2E +:104C2000E58CBA87E313C7FE17570300130787B154 +:104C300005078347F7FF23A0F6F01C40FD1797C533 +:104C4000010023ABF58AEDF7C9B717570300130727 +:104C500067AFB5FA4AC491B4A247138747009C4393 +:104C60003AC413DBF741B5B317570300130787ADF9 +:104C7000B70610F005078347F7FF23A0F6F01C40A6 +:104C8000FD1717C601002329F686EDF7854717C7DC +:104C900001002323F7869307800717570300230398 +:104CA000F7AA1DB1635680019307D002E39DFBF282 +:104CB00097BC0100938C0C7113078002930580024E +:104CC00035BD975603009386E6A7370610F0850694 +:104CD00003C7F6FF2320E6F018407D1797C50100B3 +:104CE00023ACE5806DF701BBBE8DA94C014D45B4E9 +:104CF000B70710F023A0A7F201A0397113034102F6 +:104D00002ED29A8506CE32D436D63AD83EDA42DC56 +:104D100046DE1AC62D32F2400145216182805D7166 +:104D20001303810322D42AC632DC2A841A8668003F +:104D300006D6BEC236DEBAC0C2C4C6C61ACEEFF0B0 +:104D40004FD4B247238007003245B250018D225420 +:104D500061618280B70710F083A647F403A607F4C9 +:104D600003A747F4E31AD7FE8966938606F4B29642 +:104D700033B6C600B305E60023A4D7F423A6B7F4E0 +:104D80008280B70710F003A747F403A607F483A6B1 +:104D900047F4E31AD7FE8966938606F4B29633B6D3 +:104DA000C60023A4D7F4B305E60023A6B7F49307FF +:104DB000000873A047308280411122C406C697B70D +:104DC00001009387E77317B4010013042473984319 +:104DD0001C401306F07C930647069387470697B559 +:104DE000010023AFD57097B5010023A9F570634684 +:104DF000D600B2402244410182801307478997B709 +:104E0000010023AFE76EEF00607A8547631FF5006E +:104E1000184085679387F7760145E3DCE7FCC93DD9 +:104E20002244B24041016FC07FEC17B50100130569 +:104E3000E559E13509456D3D2244B24041016FC05D +:104E4000FFEA17B501001305255A45BD17B5010046 +:104E50001305055A5DB5411122C426C206C68564F4 +:104E600017B4010013044459938784380100FD17D7 +:104E7000F5FF22855935CDBF014585A5411106C6EF +:104E8000EF00C072054781476316E500B2403E85DA +:104E90004101828017B5010013054553EFF0FFE58E +:104EA000B24089473E85410182807370043001A081 +:104EB00082807370043001A0411122C406C617B469 +:104EC0000100130424650145EFD0BF931C40850702 +:104ED00017B701002320F764FDB7411122C426C291 +:104EE00006C6AA8405440145EFD0BF9181467D5690 +:104EF00081452685EFB05FD9814601468145630033 +:104F00008502268597B7010023AC8760EFB0BF8785 +:104F1000E30B85FC97B7010023A48760E9B78146BE +:104F2000014681452685EFB01F86E30E85FA97B7C7 +:104F3000010023A7875E45BF797156CAAA8A054535 +:104F400006D622D426D24AD04ECE52CC5AC85EC6FD +:104F500062C4EFB07FAE35C9AA8917BA0100130A3F +:104F60002A5B17BC0100130C6C5A97B401009384A0 +:104F7000645A97BB0100938B2B5A0544094B0D498A +:104F80008146014681455685EFB01FD063068500F6 +:104F900097B7010023A68758014597B7010023ABB7 +:104FA0000756EFC04FFD09C597B7010023AA8756E2 +:104FB00003250A00EFC09F9603250A00EFC04FF1BA +:104FC000630865017370043001A07370043001A0A0 +:104FD0000145EFC04FFA6306250197B7010023A1F1 +:104FE000875401458545EFC05F810145EFC0AFF8AB +:104FF0006306250197B7010023A4875203250C00FF +:10500000EFC0DF919C4089C797B7010023AA875062 +:105010008146014681454E85EFB01FC76306850076 +:1050200097B7010023AE874E8146014681455685DC +:10503000EFB06FF56306850097B7010023A2874E96 +:105040009C4089C797B7010023AC874C0145EFC04E +:105050008FF26306250197B7010023A3874C814691 +:10506000014681454E85EFB00FF26306850097B784 +:10507000010023A7874A9C406386870097B70100F9 +:1050800023A0874A0145EFC00FEF6306850097B75D +:10509000010023A7874881450145EFC00FF683A78C +:1050A0000B008146014685078145568517B70100EB +:1050B0002324F746EFB05FBD6306850097B7010074 +:1050C00023A08746014597B7010023A50744EFC0F9 +:1050D0008FEA09C597B7010023A4874403250A0076 +:1050E000EFC0DF8303250A00EFC08FDE6305650193 +:1050F0007370043001A00145EFC0EFE7630625019E +:1051000097B7010023AE874003250C00EFC01F8135 +:105110009C4089C797B7010023A487408146014678 +:1051200081454E85EFB05FB66306850097B70100F5 +:1051300023A8873E8146014681454E85EFB0AFE406 +:105140006306850097B7010023AC873C9C4089C764 +:1051500097B7010023A6873C0145EFC0CFE1630666 +:10516000250197B7010023AD873A81460146814565 +:105170005685EFB04FE16306850097B7010023A184 +:10518000873A9C406386870097B7010023AA873837 +:105190000145EFC04FDE09C597B7010023A287384C +:1051A00083A70B00850717B701002327F736C9BB74 +:1051B000397152D417BA0100130A4A3622DC26DAB2 +:1051C0004AD84ED656D25AD05ECE06DE62CC2A845B +:1051D000D28B094B9144954A2D4999498546014600 +:1051E000DE852285EFB02FDA2285EFB0DFDF85473D +:1051F0006306F50017B701002324F7328146014604 +:105200002C002285EFB05FA885476306F50017B72D +:1052100001002327F73003270A00A2476307F7009E +:10522000854717B70100232DF72E2285EFB0BFDB8E +:1052300011C5854717B701002324F72E8146014683 +:10524000DE852285EFB02FD42285EFB0DFD98547E8 +:105250006306F50017B701002324F72C81460146A9 +:105260002C002285EFB05FA285476306F50017B7D3 +:1052700001002327F72A2285EFB0FFD611C5854705 +:1052800017B70100232EF72803270A00A247630758 +:10529000F700854717B701002324F7285AC4814631 +:1052A00001462C002285EFB00FCEA24785073EC4F1 +:1052B000E3F7F4FE2285EFB01FD38D476307F500B7 +:1052C000854717B70100232DF724854601462C009A +:1052D000054C228562C4EFB00FCB854601462C00F9 +:1052E000228502C4EFB02FCA2285EFB0DFCF63065C +:1052F000550197B7010023A58723854601462C0059 +:105300002285EFB04FC811C5854717B70100232983 +:10531000F720814601462C002285EFB0CFC611C58B +:10532000854717B70100232DF71E02C485460146A5 +:105330006C002285EFB05F958547814601466C0081 +:105340006306F50017B70100232CF71CB24722486B +:10535000228513C7F7FF6307F800854717B80100D8 +:105360002320F81C3AC6EFB03F9285476306F5004C +:1053700017B701002326F71AA247B2461387170072 +:105380006388D714854797B6010023ABF6183AC453 +:10539000E3FEE4F82285EFB01FC511C5854717B7B6 +:1053A0000100232FF716A947814601462C002285CC +:1053B0003EC4EFB04FBD85476306F50017B7010047 +:1053C0002320F716814601462C0022854AC4EFB0FF +:1053D0008FBB85476306F50017B701002322F7143A +:1053E0002285EFB05FC063076501854717B70100ED +:1053F0002328F712A5473EC4854601462C00228586 +:10540000EFB06FB88547630FF50A17B7010023297E +:10541000F710A247FD173EC4E3E0F9FE2285EFB086 +:105420009FBC63075501854717B70100232AF70E74 +:10543000854601462C002285EFB0EFB411C58547A3 +:1054400017B70100232EF70C814601462C00228558 +:10545000EFB06FB311C5854717B701002322F70CD2 +:105460009D473EC4054C814601466C002285EFB045 +:10547000BF816306850197B7010023A3870BA2476D +:10548000B24613871700638AD70297B7010023A992 +:1054900087093AC4E379E9FC2285EFB0DFB411C58E +:1054A000854717B70100232DF70683270A008507D4 +:1054B00017B701002324F70615B385073EC4E3742C +:1054C000F9FAD9BFA247FD173EC4E3E7F9F2B9B72D +:1054D00085073EC4E3FCF4E475BD411126C20146D4 +:1054E000AA849145154506C622C4EFB02F9D97B5F5 +:1054F00001009385A5F12A84EFB07FBE2687A2869E +:105500008148014881471306004097B50100938503 +:10551000E5F0170500001305E5C9EFB0BFD4054558 +:10552000EFB08FD197B501009385C5EF2A84EFB016 +:105530001FBBA286814801488147014713060040EE +:1055400097B50100938505EF170500001305059F2A +:10555000EFB05FD18148014897B70100938707FBFF +:10556000094781461306004097B50100938505ED74 +:105570001705000013058594EFB0DFCEA286224404 +:10558000B24092448148014897B70100938747F899 +:105590000D471306004097B501009385A5EA17054E +:1055A00000001305C59341016FB0DFCB17B70100B1 +:1055B0001307C7F697B60100938606F51C4394427D +:1055C0006397F600854797B6010023ABF6F497B7CB +:1055D0000100938767F417B601001306A6F29443FF +:1055E00010426317D600854617B60100232AD6F26B +:1055F00097B601009386C6F218439C43884297B63B +:10560000010023A3E6F017B70100232DF7EE1335B1 +:1056100015008280AA95AA876385B70003C7070093 +:1056200001E73385A74082808507FDB7814863DAAB +:1056300005003305A040B337A000B305B0409D8DF1 +:10564000FD5863D906003306C040B337C000B30627 +:10565000D0409D8E32883683AA872E87639F061C92 +:1056600097B60100938606C563F1C50C416E63775A +:10567000C60B130EF00F6373CE002143335E66003A +:10568000F29603CE06001A9E130300023303C341B1 +:10569000630C0300B3956500335EC50133186600E3 +:1056A0003367BE00B3176500135E0801B355C70327 +:1056B00013150801418193D607013376C703B3055B +:1056C000B5024206D18E63F8B600C29663E50601C4 +:1056D00063F3B600C2968D8E33F7C603C207C1834B +:1056E000B3D6C603B306D50213150701C98F63F8F5 +:1056F000D700C29763E5070163F3D700C297958F80 +:1057000033D567008145638A0800B307A04033376B +:10571000F000B305B0403E85998D8280370E0001C0 +:105720004143E36DC6F5614391BF01E60548335837 +:10573000680241676373E8081307F00F637307019A +:10574000214333576800BA9603C606001A9613031E +:1057500000023303C34063190306B3850541935622 +:10576000080113150801418113D6070133F7D5024B +:10577000B3D5D5024207518FB305B5026378B700A0 +:105780004297636507016373B7004297B305B7405B +:1057900033F7D502C207C183B3D5D502B305B5022D +:1057A00013150701C98F63F8B700C29763E50701B6 +:1057B00063F3B700C2978D8FA1B73707000141434C +:1057C000E361E8F86143B5BF3318680033DEC50014 +:1057D000935E08013356C500B31765003355DE03E9 +:1057E000B39565004D8E93150801C181935606014E +:1057F0003377DE033385A5024207558F6378A70010 +:105800004297636507016373A7004297B306A740F9 +:1058100033F7D60342064182B3D6D6034207B38696 +:10582000D502B365C70063F8D500C29563E50501ED +:1058300063F3D500C295958D1DB7E3E6D5EC4168BD +:1058400063F50605930EF00F33B8DE000E0833DE65 +:10585000060117B301001303E3A57293834E0300FF +:10586000130E0002C29E330EDE4163170E0263E484 +:10587000B6006369C500B307C540958D3335F500A3 +:105880003387A5403E85BA85BDBD37030001414839 +:10589000E3EF66FA614865BF3357D601B396C60198 +:1058A000D98EB357D50133D7D501B395C501CD8F67 +:1058B00093D506013373B702139F0601135F0F01DF +:1058C00013D807013316C6013315C5013357B70284 +:1058D000420333680301B30FEF023A83637CF8019C +:1058E00036981303F7FF6367D8006375F801130355 +:1058F000E7FF36983308F841B37FB8023358B8024F +:10590000C20FB3050F03139F0701135F0F0133EF9E +:10591000EF014287637CBF00369F1307F8FF636780 +:10592000DF006375BF001307E8FF369F4203C16FB6 +:105930003363E3009387FFFF13580601330FBF4023 +:10594000B375F30013530301F18F3387F502B307E7 +:10595000F302B385050333030303BE951358070110 +:105960002E986373F8007E93C167FD179355080165 +:105970003378F80042087D8F9A9542976366BF009E +:10598000631BBF006379E5003306C7403337C700A8 +:10599000958D998D32873307E5403335E500B305A2 +:1059A000BF40898DB397D5013357C70133E5E70071 +:1059B000B3D5C50189BBAE87328836872A83639603 +:1059C000062097B801009388E88E63FEC50A4167F8 +:1059D0006374E60A1307F00F3337C7000E07B35698 +:1059E000E600B69883C60800369793060002998EA3 +:1059F00099CAB397D7003357E5003318D600B3657B +:105A0000F7003313D50013550801B3D7A5021316B9 +:105A1000080141829356030133F7A502B305F6024C +:105A20004207D98E3E8763FCB600C2961387F7FF04 +:105A300063E7060163F5B6001387E7FFC2968D8E14 +:105A4000B3F7A602420313530301B3D6A602C2075B +:105A500033E36700B305D6023685637BB300429318 +:105A60001385F6FF636603016374B3001385E6FFD5 +:105A70004207498F81454DA8B70600014147E360C1 +:105A8000D6F66147A9BF01E6854633D8C602C1668E +:105A9000637ED8089306F00F63F306012147B356DF +:105AA000E800B69883C60800BA9613070002158F5F +:105AB00049E7B38707418545135608019318080144 +:105AC00093D808019356030133F7C702B3D7C7022F +:105AD0004207D98E3385F8023E8763FCA600C29642 +:105AE0001387F7FF63E7060163F5A6001387E7FF57 +:105AF000C296898EB3F7C602420313530301B3D68D +:105B0000C602C20733E36700B388D8023685637BD9 +:105B1000130142931385F6FF636603016374130157 +:105B20001385E6FF4207498F3A858280B706000158 +:105B30004147E366D8F661479DB73318E800B3D50F +:105B4000D7003313E500B356D50013550801B397BA +:105B5000E70033F7A502B3E8F60093170801C18305 +:105B600013D60801B3D5A5024207518FB386B702F9 +:105B70002E86637CD70042971386F5FF6367070183 +:105B80006375D7001386E5FF4297B306D74033F716 +:105B9000A602C20893D80801B3D6A6024207B3856D +:105BA000D702B3671701368763FCB700C297138724 +:105BB000F6FF63E7070163F5B7001387E6FFC297B7 +:105BC0008D8F93150601D98DC5BD63E2D51441674C +:105BD00063FEE6021308F00F3337D8000E0733D800 +:105BE000E60097A501009385E56CC29503C8050002 +:105BF000930500023A98B385054185E10547E3E541 +:105C0000F6F23335C5001347150039BFB70500015B +:105C10004147E3E6B6FC6147D9B733570601B3966F +:105C2000B600D98E93DE060133D70701B378D703C8 +:105C3000B397B700335805013363F8009397060113 +:105C4000C183135803013316B6003357D703C20874 +:105C500033E80801338FE7023A8E637CE801369817 +:105C6000130EF7FF6367D8006375E801130EE7FFB3 +:105C700036983308E841B378D8033358D803C208BC +:105C8000B38E070393170301C183B3E7F80042877C +:105C900063FCD701B6971307F8FF63E7D70063F5F6 +:105CA000D7011307E8FFB697420EB387D741C16EFD +:105CB0003367EE001388FEFF337307019358070123 +:105CC000337806014182330E030333880803935669 +:105CD0000E013303C30242939A96B388C80263F35A +:105CE0000601F69813D60601B29863E01703E39312 +:105CF00017D9C167FD17FD8EC206337EFE0033152E +:105D0000B500F2968145E371D5E27D17A5B38145D3 +:105D1000014719BDB2883687AA872E886398061C6A +:105D200097A601009386065963F8C50A4163637E0E +:105D300066081303F00F6373C30021473353E60073 +:105D40009A9603CE0600130300023A9E3303C34122 +:105D5000630C0300B3956500335EC501B31866009C +:105D60003368BE00B317650013D608013377C80245 +:105D700013950801418193D607013358C8024207A1 +:105D8000D98E3308050363F80601C69663E516014C +:105D900063F30601C696B386064133F7C602C2070F +:105DA000C183B3D6C602B306D50213150701C98F46 +:105DB00063F8D700C69763E5170163F3D700C6976A +:105DC000958F33D5670081458280370300014147B5 +:105DD000E36666F661479DB701E60546B3581603CC +:105DE000416663F3C8081306F00F63731601214779 +:105DF00033D6E800B29603CE0600130300023A9EA3 +:105E00003303C34163190306B385154113D7080152 +:105E100013950801418113D60701B3F6E502B3D506 +:105E2000E502C206D18EB305B50263F8B600C69688 +:105E300063E5160163F3B600C696B385B640B3F6C4 +:105E4000E502C207C183B3D5E502B305B5021395D8 +:105E50000601C98F63F8B700C69763E5170163F3BE +:105E6000B700C6978D8FB1BF370600014147E3E108 +:105E7000C8F86147B5BFB398680033D7C501B317F9 +:105E80006500335EC50113D50801B376A702B3954B +:105E90006500336EBE0093950801C18113560E0153 +:105EA0003357A702C206D18E3387E50263F8E600B6 +:105EB000C69663E5160163F3E600C6963386E640B0 +:105EC000B376A602420E135E0E013356A602C20638 +:105ED0003386C502B3E5C60163F8C500C69563E520 +:105EE000150163F3C500C695918D0DB7E3EED5ECB2 +:105EF000416763F5E604930EF00F33B7DE000E073B +:105F000033D3E60097A801009388C83A9A9883CEC5 +:105F10000800130E0002BA9E330EDE4163170E0214 +:105F200063E4B6006369C500B307C540958D33359A +:105F3000F5003388A5403E85C28579B5B7080001D4 +:105F40004147E3EF16FB614765BF3357D601B39670 +:105F5000C601D98EB357D50133D7D501B395C50145 +:105F6000CD8F93D506013373B702139F0601135FDC +:105F70000F0193D807013316C6013315C5013357F6 +:105F8000B7024203B36813013308EF023A8363FC9C +:105F90000801B6981303F7FF63E7D80063F508011B +:105FA0001303E7FFB698B3880841B3FFB802B3D82C +:105FB000B802C20FB3051F03139F0701135F0F0140 +:105FC00033EFEF014687637CBF00369F1387F8FFEE +:105FD0006367DF006375BF001387E8FF369F4203E6 +:105FE000C1683363E3009387F8FF13580601330F4A +:105FF000BF40B375F30013530301F18F3387F502EC +:10600000B307F302B385050333030303BE951358A7 +:1060100007012E986373F8004693C167FD179355E7 +:1060200008013378F80042087D8F9A95429763669D +:10603000BF00631BBF006379E5003306C7403337F9 +:10604000C700958D998D32873307E5403335E500DC +:10605000B305BF40898DB397D5013357C70133E5E9 +:10606000E700B3D5C5018DB397A7010093874746D5 +:10607000944317A70100130767441843C8C2637B02 +:10608000E50017A701001307274408438C43910537 +:106090006FA00FCB411122C406C617A7010013073A +:1060A000E7422A8408438C439105EFA06FC997A764 +:1060B00001009387E73E9C436376F40097A70100B5 +:1060C00023A0873EB24022444101828097A701006D +:1060D00093870740984394437C43FD177CC3FC425D +:1060E00099E3736004308280011122CC26CA2A848D +:1060F000AE844AC84EC652C456C206CEEFB01FC0C8 +:106100001C4003290401B7090001B3E59700930A75 +:10611000C4000CC08144FD19370A00021840638C8A +:106120002A03032609004A85B3654701B376360181 +:1061300093175600758F0329490063C4070001E7D0 +:10614000F1BFE39DE6FC9317760063D30700D58C7F +:10615000EFC0BFA9E1B793C4F4FFF98C04C0EFB05E +:10616000FFDE0840F2406244D2444249B249224A2A +:10617000924A056182804111014506C622C4EFF0B2 +:10618000CFB517F7FFFF130767C381460546930591 +:10619000200317A501001305A526EFD00FF919C993 +:1061A0002A84EFB0BFB62A860147814685452285FD +:1061B000EFD05F84EFB01F8FB24022440145410110 +:0261C0008280DB +:02000004800278 +:10000000B444FEFFB245FEFFBC44FEFFB245FEFF16 +:10001000B245FEFFB245FEFFB245FEFFD444FEFFEF +:10002000B245FEFFB245FEFFEE44FEFFFA44FEFF7E +:10003000B245FEFF0C45FEFF1845FEFF1845FEFFCA +:100040001845FEFF1845FEFF1845FEFF1845FEFF48 +:100050001845FEFF1845FEFF1845FEFFB245FEFF9E +:10006000B245FEFFB245FEFFB245FEFFB245FEFFC0 +:10007000B245FEFFB245FEFFB245FEFFB245FEFFB0 +:10008000B245FEFFB245FEFFB245FEFFB245FEFFA0 +:10009000B245FEFFB245FEFFB245FEFFB245FEFF90 +:1000A000B245FEFFB245FEFFB245FEFFB245FEFF80 +:1000B000B245FEFFB245FEFFB245FEFFB245FEFF70 +:1000C000B245FEFFB245FEFFB245FEFFB245FEFF60 +:1000D000B245FEFFB245FEFFB245FEFFB245FEFF50 +:1000E000B245FEFFB245FEFFB245FEFFB245FEFF40 +:1000F000B245FEFFB245FEFFB245FEFFB245FEFF30 +:100100004C45FEFF6245FEFFB245FEFFB245FEFFD5 +:10011000B245FEFFB245FEFFB245FEFFB245FEFF0F +:10012000B245FEFFA845FEFFB245FEFFB245FEFF09 +:100130005A43FEFF1244FEFFB245FEFFB245FEFFEA +:100140004844FEFFB245FEFFA044FEFFB245FEFF5D +:10015000B245FEFFCE44FEFFC048FEFF1449FEFF3D +:10016000C848FEFF1449FEFF1449FEFF1449FEFF74 +:100170001449FEFFBA47FEFF1449FEFF1449FEFF73 +:10018000D447FEFFE047FEFF1449FEFFF247FEFFA3 +:10019000FE47FEFFFE47FEFFFE47FEFFFE47FEFF57 +:1001A000FE47FEFFFE47FEFFFE47FEFFFE47FEFF47 +:1001B000FE47FEFF1449FEFF1449FEFF1449FEFFEF +:1001C0001449FEFF1449FEFF1449FEFF1449FEFFC7 +:1001D0001449FEFF1449FEFF1449FEFF1449FEFFB7 +:1001E0001449FEFF1449FEFF1449FEFF1449FEFFA7 +:1001F0001449FEFF1449FEFF1449FEFF1449FEFF97 +:100200001449FEFF1449FEFF1449FEFF1449FEFF86 +:100210001449FEFF1449FEFF1449FEFF1449FEFF76 +:100220001449FEFF1449FEFF1449FEFF1449FEFF66 +:100230001449FEFF1449FEFF1449FEFF1449FEFF56 +:100240001449FEFF1449FEFF1449FEFF1449FEFF46 +:100250001449FEFF1449FEFF3248FEFF6048FEFFCE +:100260001449FEFF1449FEFF1449FEFF1449FEFF26 +:100270001449FEFF1449FEFF1449FEFFB648FEFF75 +:100280001449FEFF1449FEFF1846FEFF1247FEFF09 +:100290001449FEFF1449FEFF8447FEFF1449FEFF88 +:1002A000AA47FEFF1449FEFF1449FEFF0E49FEFF58 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D0002047656E5154657374207461736B73208C +:1003E0000D0A00006D69616F750A00006D69616F2B +:1003F00075320A0068756E6772790A004368656332 +:100400006B54696D6572000047656E5F5175657567 +:10041000655F54657374000047656E51000000000D +:1004200047656E5F51756575655F4D7574657800DC +:100430004D754C6F770000004D754D6564000000F0 +:080440004D7548696768000072 +:100448001000000000000000017A5200017C010148 +:100458001B0D02001000000018000000C851FEFF2C +:100468008A03000000000000100000002C000000BB +:100478003E55FEFF5E030000000000001000000073 +:10048800400000008858FEFF5403000000000000F0 +:08052000FC8F0100090000003E +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/PollQ_rv32i_O0.hex b/VexRiscv/src/test/resources/freertos/PollQ_rv32i_O0.hex new file mode 100644 index 0000000..7623740 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/PollQ_rv32i_O0.hex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diff --git a/VexRiscv/src/test/resources/freertos/PollQ_rv32i_O3.hex b/VexRiscv/src/test/resources/freertos/PollQ_rv32i_O3.hex new file mode 100644 index 0000000..499dae2 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/PollQ_rv32i_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/PollQ_rv32ic_O0.hex b/VexRiscv/src/test/resources/freertos/PollQ_rv32ic_O0.hex new file mode 100644 index 0000000..3713ea0 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/PollQ_rv32ic_O0.hex @@ -0,0 +1,1466 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000E1B5170502001305E53697950300938532 +:1000A000E566014611221705020013056533970521 +:1000B0000200938565330146C52817A50300130583 +:1000C000256597B503009385656437B6ABAB13061A +:1000D000B6BAD92817B1030013018163EF40407D00 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2C21A23A02200F3221034E4 +:1002400096DEEF00603017010200032161190241C0 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E21523A0120023A2BD +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40604D17010200032102 +:10033000210B0241F65273901234B72200009382CF +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A20783A0C4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2C2F823A0220086DEEF10DA +:10046000B040170102000321A1F70241F652739038 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F0961730020309707BF +:1004C00002009387A7F29843FD576305F700737006 +:1004D000043001A07370043001A041112AC6B24754 +:1004E000739047300100410182804111F327403071 +:1004F0003EC673F04330B2473E85410182804111D0 +:100500002AC62EC432C2B247F1173EC62247B247AE +:1005100098C3B247938787FA3EC61247B24798C33B +:10052000B247A1173EC68E873E87B24798C3B247EF +:10053000D1173EC6170700001307A7F8B24798C3A4 +:10054000B2473E8541018280411106C6EF408023BB +:10055000EF10D014AA8799C3EF1010310100B240F8 +:100560004101828041112AC6B24713878700B247F2 +:10057000D8C3B2477D5798C7B24713878700B247A1 +:10058000D8C7B24713878700B24798CBB24723A09A +:10059000070001004101828041112AC6B24723A809 +:1005A000070001004101828001112AC62EC4B24712 +:1005B000DC433ECEA2477247D8C3F2479847A247D2 +:1005C00098C7F2479C472247D8C3F247224798C7AB +:1005D000A247324798CBB2479C4313871700B247D4 +:1005E00098C301000561828001112AC62EC4A2476A +:1005F0009C433ECC6247FD576316F700B2479C4BC5 +:100600003ECE31A8B247A1073ECE21A0F247DC433F +:100610003ECEF247DC439C436247E379F7FEF24764 +:10062000D843A247D8C3A247DC43224798C7A24772 +:10063000724798C7F2472247D8C3A247324798CBA0 +:10064000B2479C4313871700B24798C30100056166 +:10065000828001112AC6B2479C4B3ECEB247DC4392 +:100660003247184798C7B2479C4732475843D8C3C8 +:10067000F247DC4332476316F700B2479847F24728 +:10068000D8C3B24723A80700F2479C431387F7FF5C +:10069000F24798C3F2479C433E8505618280797199 +:1006A00006D622D42AC62EC4B2473ECEF24781E7F0 +:1006B0007370043001A0EF202057F2478043F247C7 +:1006C000D85FF247BC43BE853A85EF40707BAA876E +:1006D0003307F400F247D8C3F24723AC0702F247CE +:1006E0009843F24798C7F2478043F247DC5F13878D +:1006F000F7FFF247BC43BE853A85EF407078AA8782 +:100700003307F400F247D8C7F2477D57F8C3F247E2 +:100710007D57B8C7A24799EFF2479C4B8DC7F24768 +:10072000C1073E85EF1090402A878547631DF7007B +:10073000F13911A8F247C1073E852D35F24793875D +:1007400047023E850535EF20005185473E85B25072 +:10075000225445618280797106D62AC62EC4B2879A +:10076000A303F10002CCB24781E77370043001A00B +:10077000A24799E302CE01A8A2453245EF4050704E +:10078000AA8785073ECEF247938747053E85EF301F +:10079000805C2ACAD24785CFA24789E7D247524711 +:1007A00098C331A0D24713874705D24798C3D24791 +:1007B0003247D8DFD2472247B8C385455245C535B1 +:1007C000D247034771002388E704D2473ECCE24773 +:1007D00081E77370043001A0E2473E85B250456165 +:1007E0008280797106D6AA87A307F1001305400518 +:1007F000EF3060562ACEF247B9CFF24723A2070066 +:10080000F24723A00700F24723A40700F24723A6DC +:100810000700F24723AC0702F2470547D8DFF2474B +:1008200023A00704F2477D57F8C3F2477D57B8C7A6 +:10083000F2470347F1002388E704F247C1073E85EA +:100840001533F247938747023E85293B814601468F +:10085000814572453122F2473E85B2504561828022 +:10086000797106D622D42AC6B2473ECCE24781E748 +:100870007370043001A0E247C043EF20001DAA8737 +:100880006313F402E247DC471387F7FFE247D8C758 +:10089000E247DC4791E78146014681456245C92030 +:1008A00085473ECE11A002CEF2473E85B25022547B +:1008B00045618280797106D622D42AC62EC4B247F9 +:1008C0003ECCE24781E77370043001A0E247C043A9 +:1008D000EF20A017AA87631BF400E247DC471387C9 +:1008E0001700E247D8C785473ECE0DA08146224675 +:1008F00081456245252E2ACE724785476318F70049 +:10090000E247DC4713871700E247D8C7F2473E8526 +:10091000B250225445618280797106D62AC62EC40F +:10092000B24781E77370043001A02247B24763F5F4 +:10093000E7007370043001A0094681453245213D2E +:100940002ACEF24781C7F247224798DFF24781E774 +:100950007370043001A0F2473E85B2504561828039 +:10096000397106DE2AC62EC432C236C002D6B2475C +:100970003ED4A25781E77370043001A0A24781E7FB +:10098000A257BC4399E3854711A0814781E7737063 +:10099000043001A0024789476317F700A257D85FC8 +:1009A00085476314F700854711A0814781E773707D +:1009B000043001A0EF20C00AAA8799E3924799E387 +:1009C000854711A0814781E77370043001A0EF20B3 +:1009D000A025A257985FA257DC5F6366F700024725 +:1009E0008947631DF7020246A245225565232AD294 +:1009F000A257DC5389CFA257938747023E85EF1059 +:100A0000F0122A8785476317F700093421A092570F +:100A100091C3ED3AEF202024854771A8924789E7DA +:100A2000EF206023814741A8B25799E77C083E85B3 +:100A3000EF10702785473ED6EF20E021EF10401AD7 +:100A4000EF20801EA257F843FD576315F700A25709 +:100A500023A20704A257B847FD576315F700A25712 +:100A600023A40704EF20201F58007C08BA853E8588 +:100A7000EF107026AA879DEB2255EF006071AA87C0 +:100A80008DC3A257C1071247BA853E85EF10E070AB +:100A900022551525EF108016AA87E39A07F2BD327A +:100AA0003DB72255092DEF10601515B722552125A8 +:100AB000EF10C01481473E85F25021618280797128 +:100AC00006D62AC62EC432C236C0B2473ECCE24752 +:100AD00081E77370043001A0A24781E7E247BC437D +:100AE00099E3854711A0814781E77370043001A025 +:100AF000024789476317F700E247D85F85476314C9 +:100B0000F700854711A0814781E77370043001A089 +:100B1000E93AAA873ECAE247985FE247DC5F63662C +:100B2000F700024789476315F7040246A24562456C +:100B30009521E247B847FD576313F702E247DC53BC +:100B40008DC7E247938747023E85EF10207EAA8734 +:100B500089CF924799CB9247054798C339A0E2477E +:100B6000BC4713871700E247B8C785473ECE11A0A0 +:100B700002CED2473E859532F2473E85B25045615E +:100B80008280797106D62AC62EC4B2473ECCE2478F +:100B900081E77370043001A0E247BC4381C77370E2 +:100BA000043001A0E2479C4381E7E247DC4399E33C +:100BB000854711A0814781E77370043001A0353269 +:100BC000AA873ECAE247985FE247DC5F6377F70493 +:100BD000E2479C5F13871700E24798DFE247B84778 +:100BE000FD576313F702E247DC538DC7E247938753 +:100BF00047023E85EF108073AA8789CFA24799CB21 +:100C0000A247054798C339A0E247BC47138717009E +:100C1000E247B8C785473ECE11A002CED2473E85F7 +:100C20006D38F2473E85B25045618280397106DEEB +:100C30002AC62EC432C236C002D6B2473ED4A2570C +:100C400081E77370043001A0A24781E7A257BC433B +:100C500099E3854711A0814781E77370043001A0B3 +:100C6000EF101060AA8799E3924799E3854711A096 +:100C7000814781E77370043001A0EF10F07AA2572A +:100C80009C5FB5CBA257DC473ED2A2452255F92E38 +:100C9000824795EFA2579C5F1387F7FFA25798DF13 +:100CA000A2579C4391E7EF2060022A87A257D8C33E +:100CB000A2579C4B8DCFA257C1073E85EF1000670E +:100CC0002A8785476315F702EFF04FF40DA0A2576E +:100CD0001257D8C7A257DC5399CBA2579387470224 +:100CE0003E85EF10A064AA8799C3EFF02FF2EF10B2 +:100CF000907685475DA8924789E7EF10D0758147C8 +:100D00006DA0B25799E77C083E85EF10C079854702 +:100D10003ED6EF105074EF00B06CEF10F070A25799 +:100D2000F843FD576315F700A25723A20704A25703 +:100D3000B847FD576315F700A25723A40704EF1027 +:100D4000907158007C08BA853E85EF10C078AA875C +:100D5000A1EB2255CD2EAA879DCFA2579C4391EBA4 +:100D6000EF10906CA257DC433E85EF107052EF10ED +:100D7000906EA257938747021247BA853E85EF10BF +:100D8000C04122550D2EEF007067AA87E39707EE4A +:100D9000EFF0CFE7DDB52255392EEF003066F1BD1B +:100DA0002255112EEF00906581473E85F25021615A +:100DB0008280797106D62AC62EC432C2B2473ECC92 +:100DC000E24781E77370043001A0A24781E7E24760 +:100DD000BC4399E3854711A0814781E773700430D4 +:100DE00001A0EFF08FF0AA873ECAE2479C5FA1CB3B +:100DF000A2456245A52CE2479C5F1387F7FFE247B7 +:100E000098DFE247F843FD576312F702E2479C4B35 +:100E100085C7E247C1073E85EF104051AA8789CFB9 +:100E2000924799CB9247054798C339A0E247FC43C4 +:100E300013871700E247F8C385473ECE11A002CEC4 +:100E4000D2473E85EFF06FE9F2473E85B2504561EB +:100E50008280797106D62AC62EC4B2473ECCE247BC +:100E600081E77370043001A0A24781E7E247BC43E9 +:100E700099E3854711A0814781E77370043001A091 +:100E8000E247BC4381E77370043001A0EFF0EFE567 +:100E9000AA873ECAE2479C5F89CFE247DC473EC84B +:100EA000A2456245E122E2474247D8C785473ECE88 +:100EB00011A002CED2473E85EFF02FE2F2473E85E9 +:100EC000B25045618280797106D62AC6B24781E761 +:100ED0007370043001A0EF103055B2479C5F3ECED6 +:100EE000EF107057F2473E85B250456182807971AC +:100EF00006D62AC6B2473ECEF24781E77370043069 +:100F000001A0EF107052F247D85FF2479C5FB30721 +:100F1000F7403ECCEF103054E2473E85B250456179 +:100F2000828001112AC6B24781E77370043001A0A4 +:100F3000B2479C5F3ECEF2473E8505618280797163 +:100F400006D62AC6B2473ECEF24781E77370043018 +:100F500001A07245E5247245EF20F0720100B25005 +:100F60004561828041112AC6B247FC473E85410156 +:100F7000828041112AC62EC4B2472247F8C7010019 +:100F80004101828041112AC6B24783C707053E85C9 +:100F900041018280797106D62AC62EC432C202CEA1 +:100FA000B247BC4391EFB2479C43D5E7B247DC431D +:100FB0003E85EF10903B2ACEB24723A2070061A8DE +:100FC000924785EFB2479847B247BC433E86A24559 +:100FD0003A85EF20F079B2479847B247BC433E9735 +:100FE000B24798C7B2479847B247DC436365F706F4 +:100FF000B2479843B24798C7B9A8B247D847B24753 +:10100000BC433E86A2453A85EF209076B247D8474A +:10101000B247BC43B307F0403E97B247D8C7B24788 +:10102000D847B2479C43637BF700B247D843B247E7 +:10103000BC43B307F0403E97B247D8C71247894731 +:10104000631BF700B2479C5F99C7B2479C5F138749 +:10105000F7FFB24798DFB2479C5F13871700B2478C +:1010600098DFF2473E85B25045618280011106CE7D +:101070002AC62EC4B247BC439DCBB247D847B2471D +:10108000BC433E97B247D8C7B247D847B247DC43C4 +:101090006366F700B2479843B247D8C7B247D8470C +:1010A000B247BC433E86BA852245EF20706C0100F2 +:1010B000F24005618280011106CE2AC6EF10D036BB +:1010C00025A0B247DC5395C7B247938747023E85B8 +:1010D000EF10C025AA8799C3EF104049B247BC471B +:1010E0001387F7FFB247B8C7B247BC47E34BF0FCE2 +:1010F00011A00100B2477D57B8C7EF10D035EF10EF +:10110000B0321DA0B2479C4B8DC7B247C1073E8588 +:10111000EF10C021AA8799C3EF104045B247FC43A6 +:101120001387F7FFB247F8C3B247FC43E34CF0FC28 +:1011300011A00100B2477D57F8C3EF10D031010074 +:10114000F24005618280797106D62AC6EF10D02D53 +:10115000B2479C5F81E785473ECE11A002CEEF10DB +:10116000902FF2473E85B2504561828001112AC618 +:10117000B24781E77370043001A0B2479C5F81E7FA +:1011800085473ECE11A002CEF2473E8505618280A2 +:10119000797106D62AC6EF103029B247985FB24758 +:1011A000DC5F6315F70085473ECE11A002CEEF103D +:1011B000902AF2473E85B2504561828001112AC6CD +:1011C000B24781E77370043001A0B247985FB2471D +:1011D000DC5F6315F70085473ECE11A002CEF247D3 +:1011E0003E850561828001112AC62EC402CE81A0EF +:1011F000178703001307C74DF2478E07BA979C4322 +:1012000085E7178703001307A74CF2478E07BA97A5 +:10121000224798C3178703001307874BF2478E07AF +:10122000BA973247D8C301A8F24785073ECE724726 +:101230009D47E3FFE7FA01000561828001112AC69C +:1012400002CE0DA81787030013078748F2478E07C1 +:10125000BA97DC433247631CF70017870300130774 +:101260002747F2478E07BA9723A0070001A8F24745 +:1012700085073ECE72479D47E3F6E7FC0100056116 +:101280008280797106D62AC62EC432C2B2473ECEBB +:10129000EF109019F247F843FD576315F700F24736 +:1012A00023A20704F247B847FD576315F700F2473A +:1012B00023A40704EF10301AF2479C5F89EBF24732 +:1012C000938747021246A2453E85EF00907E724505 +:1012D000DD330100B25045618280397106DE2ACECD +:1012E0002ECC36C83AC63EC442C246C0B287231B83 +:1012F000F100F24781E77370043001A03247A5473F +:1013000063F5E7007370043001A0835761019245D3 +:101310003E85EF1080512AD4A257638F0712A2573F +:10132000985B83566101B7070040FD17B6978A079F +:10133000BA973ED29257F19B3ED292578D8B81C77E +:101340007370043001A0835761013E8782463246A4 +:10135000E2452255EF10E0274246F2451255EFF0E4 +:101360000F9A2A87A25798C3A24781C7A24722573C +:1013700098C3EF10700B97F701009387E7239C4306 +:101380001387170097F701009387072398C397F7F0 +:1013900001009387E7049C4395E397F70100938747 +:1013A0002704225798C397F701009387E7209843B3 +:1013B0008547631AF702EF10C02C35A097F701009C +:1013C000938747209C4385E397F701009387470164 +:1013D0009C43DC5732476368F70097F70100938717 +:1013E0002700225798C397F701009387A71E9C43B5 +:1013F0001387170097F701009387C71D98C397F7C6 +:1014000001009387271D9843A257B8C7A257D85702 +:1014100097F701009387C71A9C4363F9E700A25727 +:10142000D85797F701009387A71998C3A257D857A1 +:10143000BA878A07BA978A0717F701001307470484 +:101440003E97A2579107BE853A85EFF0EF95854705 +:101450003ED6EF10500019A0FD573ED632578547B3 +:101460006313F70297F701009387C7159C4381CF59 +:1014700097F701009387C7F69C43DC57324763F424 +:10148000E700EFE0BFF8B2573E85F250216182805D +:10149000797106D62AC6EF102079B24799E797F7F7 +:1014A00001009387E7F39C4311A0B2473ECEF24779 +:1014B00091073E85EFF0EF99F2479C5791C7F247AD +:1014C000E1073E85EFF0EF98F2479107BE8517F5EB +:1014D00001001305A50BEFF02F8D97F701009387FF +:1014E000270C9C431387170097F701009387470B3E +:1014F00098C397F701009387E70D9C431387170064 +:1015000097F701009387070D98C3EF10C07497F702 +:1015100001009387270B9C439DCB97F7010093878E +:1015200027EC9C437247631EF70097F701009387EF +:10153000E70A9C4381C77370043001A0EFE01FED00 +:1015400039A0EF10606EEF10A04BEF10C0700100DB +:10155000B25045618280797106D62AC62EC402CE69 +:10156000B24781E77370043001A0A24781E773702E +:10157000043001A097F70100938747069C4381C779 +:101580007370043001A0A92D97F70100938707031A +:101590009C433ECCB2479C432247BA973ECAB247CF +:1015A0009C436247637EF700B2479C435247637493 +:1015B000F7025247E24763F0E70285473ECE21A893 +:1015C000B2479C4352476366F7005247E24763F4D1 +:1015D000E70085473ECEB247524798C3F24789CFCE +:1015E00097F701009387C7DF9C4391073E85EFF093 +:1015F0004F865245EF10001B11252AC8C24799E3B8 +:10160000EFE0DFE00100B25045618280797106D6DB +:101610002AC602CEB247A9C397F70100938707FCF9 +:101620009C4381C77370043001A05D2B97F70100C4 +:101630009387C7F89C433247BA973ECC97F701008F +:10164000938707DA9C4391073E85EFF08F806245D0 +:10165000EF10401565232ACEF24799E3EFE01FDB38 +:101660000100B25045618280797106D62AC6B24720 +:101670003ECCE24781E77370043001A097F7010088 +:10168000938707D69C4362476314F70002CEBDA040 +:10169000EF108059E247DC4B3ECAEF10C05B97F772 +:1016A00001009387E7EC9C435247630AF70097F7E2 +:1016B0000100938727EC9C4352476315F700894745 +:1016C0003ECE2DA8524797F701009387A7ED631BE5 +:1016D000F700E2479C5781E78D473ECE05A089473A +:1016E0003ECE29A8524797F70100938727EA631552 +:1016F000F70091473ECE19A085473ECEF2473E8582 +:10170000B25045618280797106D62AC6EF10C05169 +:10171000B24799E797F70100938787CC9C4311A0C4 +:10172000B2473ECEF247DC573ECCEF10C052E24704 +:101730003E85B25045618280797106D62AC6EFE0B7 +:10174000DFDAAA873ECEB24799E797F70100938781 +:1017500027C99C4311A0B2473ECCE247DC573ECAA2 +:10176000F2473E85EFE07FD7D2473E85B2504561D4 +:101770008280797106D62AC62EC402CE2247A5479A +:1017800063F5E7007370043001A02247A54763F4B6 +:10179000E700A5473EC4EF102049B24799E797F705 +:1017A00001009387E7C39C4311A0B2473ECCE247B8 +:1017B000BC4B3ECA5247A247630AF70E2247D247A4 +:1017C00063F6E70297F70100938787C19C4362475E +:1017D0006308F70297F70100938787C09C43DC57A3 +:1017E0002247636FF70085473ECE19A897F701009F +:1017F000938707BF9C4362476314F70085473ECE3B +:10180000E247DC573EC8E247B84BE247DC57631576 +:10181000F700E2472247D8D7E2472247B8CBE24752 +:101820009C4F63C707002947A2471D8FE24798CF07 +:10183000E247D44B4247BA878A07BA978A0717F715 +:1018400001001307E7C3BA976394F600854711A018 +:101850008147B9C7E24791073E85EFE09FDFE24746 +:10186000D85797F701009387A7D59C4363F9E70002 +:10187000E247D85797F70100938787D498C3E24788 +:10188000D857BA878A07BA978A0717F7010013074C +:1018900027BF3E97E2479107BE853A85EFE0DFD04C +:1018A000724785476314F700EFE05FB6EF10A03A88 +:1018B0000100B25045618280797106D62AC6EF10C8 +:1018C000A036B24799E797F70100938767B19C4329 +:1018D00011A0B2473ECEF24791073E85EFE07FD799 +:1018E000F2479C5791C7F247E1073E85EFE07FD66C +:1018F000F2479107BE8517F501001305A5CAEFE071 +:10190000BFCAEF10403597F70100938767AD9C433E +:1019100072476319F70497F701009387A7CA9C439E +:1019200089CF97F70100938767CB9C4381C773707A +:10193000043001A0EFE09FAD91A097F701009387DD +:1019400067C6984397F70100938707C79C436319BD +:10195000F70097F701009387A7A823A0070039A8ED +:10196000212729A897F701009387C7C59C4399C7EA +:10197000EF10802BEF10C008EF10E02D0100B250E7 +:101980004561828001112AC602CEB2473ECCB247E1 +:1019900081E77370043001A0E247D84B97F701004C +:1019A000938747C06314F700854711A0814795C30B +:1019B000E247985797F70100938707BC630BF7003E +:1019C000E2479C5799E3854711A0814799C3854712 +:1019D0003ECEF2473E8505618280797106D62AC6E1 +:1019E000B2473ECEB24781E77370043001A0F247A0 +:1019F000C9C797F701009387A79E9C437247630E60 +:101A0000F706EF1060227245B53F2A8785476314B9 +:101A1000F706F24791073E85EFE0BFC3F247D8577C +:101A200097F701009387C7B99C4363F9E700F24732 +:101A3000D85797F701009387A7B898C3F247D857AC +:101A4000BA878A07BA978A0717F70100130747A3CF +:101A50003E97F2479107BE853A85EFE0FFB4F24723 +:101A6000D85797F701009387A7979C43DC57636487 +:101A7000F700EFE0BF99EF10001E0100B250456182 +:101A80008280797106D62AC602CEB2473ECCB247D2 +:101A900081E77370043001A0EFE03FA5AA873ECA3A +:101AA0006245CD352A8785476314F70897F701000B +:101AB0009387C7B29C43A5E7E247D85797F7010041 +:101AC000938707929C43DC576364F70085473ECEBB +:101AD000E24791073E85EFE0DFB7E247D85797F737 +:101AE00001009387E7AD9C4363F9E700E247D857CD +:101AF00097F701009387C7AC98C3E247D857BA87D6 +:101B00008A07BA978A0717F70100130767973E9766 +:101B1000E2479107BE853A85EFE01FA911A8E24789 +:101B2000E107BE8517F50100130505A5EFE0DFA766 +:101B3000D2473E85EFE07F9AF2473E85B25045613D +:101B40008280011106CE81480148814701478146C4 +:101B50001306004097E501009385C54A171500005C +:101B6000130585A5EFF06FF72AC632478547631541 +:101B7000F700EF10C06D2AC6324785476319F70298 +:101B80007370043097F70100938707A57D5798C3BA +:101B900097F70100938707A3054798C397F70100BC +:101BA0009387C7A123A00700EFE0AFEF31A0B247B2 +:101BB00081E77370043001A00100F240056182806A +:101BC000411106C67370043097F701009387879F11 +:101BD00023A00700EFE02FFB0100B240410182800B +:101BE00097F701009387879F9C431387170097F708 +:101BF00001009387A79E98C301008280011106CE41 +:101C000002C697F701009387679D9C4381E7737035 +:101C1000043001A0EF10400197F701009387079C63 +:101C20009C431387F7FF97F701009387279B98C37F +:101C300097F701009387879A9C436390071097F763 +:101C40000100938767979C436389070E59A097F714 +:101C5000010093876792DC47DC473EC4A247E10757 +:101C60003E85EFE01F9FA24791073E85EFE07F9EF4 +:101C7000A247D85797F70100938787949C4363F94D +:101C8000E700A247D85797F701009387679398C357 +:101C9000A247D857BA878A07BA978A0717E7010079 +:101CA0001307077E3E97A2479107BE853A85EFE06E +:101CB000BF8FA247D85797E70100938767729C436D +:101CC000DC576368F70097F7010093872790054773 +:101CD00098C397F701009387278A9C43ADFB97F73A +:101CE00001009387678E9C438DCF35A0452AAA8734 +:101CF00099C797F701009387678D054798C397F7B2 +:101D000001009387678C9C431387F7FF97F70100C7 +:101D10009387878B98C397F701009387E78A9C4343 +:101D2000F1F797F701009387678A98438547631611 +:101D3000F70085473EC6EFE06FEDEF00D071B24788 +:101D40003E85F24005618280011106CEEF00D06D24 +:101D500097F70100938787869C433EC6EF00B06FDC +:101D6000B2473E85F24005618280011106CEEFE068 +:101D7000CFF7AA873EC697F70100938727849C4335 +:101D80003EC4B2473E85EFE04FF5A2473E85F240A4 +:101D90000561828097F70100938707829C433E8507 +:101DA0008280797106D62AC62EC432C202CEA947D5 +:101DB0003ECC3D3597F70100938707809C4322472F +:101DC0006368F70CE247FD173ECCF24796073247AF +:101DD000B306F7006247BA878A07BA978A0717E7F8 +:101DE00001001307E769BA970546BE853685EF00FF +:101DF000902A2A87F247BA973ECEE247E1F7F247A8 +:101E0000960732473E9797E70100938767769C4392 +:101E10000946BE853A85EF0010282A87F247BA970F +:101E20003ECEF247960732473E9797E701009387E9 +:101E300067749C430946BE853A85EF00D0252A8702 +:101E4000F247BA973ECEF24796073247BA97114605 +:101E500097E50100938585733E85EF00D0232A879F +:101E6000F247BA973ECEF24796073247BA970D46E9 +:101E700097E50100938505733E85EF00D0212A8701 +:101E8000F247BA973ECE924781C7924723A00700F8 +:101E9000B533F2473E85B25045618280797106D6EE +:101EA00002CE97E70100938767739C4363950718F9 +:101EB00097E70100938787709C431387170097E784 +:101EC00001009387A76F98C397E701009387076F77 +:101ED0009C433ECCE247B9EF97E7010093874769FF +:101EE0009C439C4381C77370043001A097E70100B5 +:101EF000938707689C433ECA97E7010093878767EB +:101F0000984397E701009387A76698C397E7010076 +:101F100093874766524798C397E701009387476BBB +:101F20009C431387170097E701009387676A98C35C +:101F3000EF00102D97E701009387076A9C436247E3 +:101F40006365F70C97E70100938787629C439C4386 +:101F500099E3854711A0814781CB97E701009387DB +:101F6000A7677D5798C355A097E7010093874760FF +:101F70009C43DC47DC473EC8C247DC433EC6624761 +:101F8000B2476379F70097E701009387E764324728 +:101F900098C3A5A8C24791073E85EFE08FEBC247E3 +:101FA0009C5791C7C247E1073E85EFE08FEAC247E1 +:101FB000D85797E701009387A7609C4363F9E70030 +:101FC000C247D85797E701009387875F98C3C247F6 +:101FD000D857BA878A07BA978A0717E70100130705 +:101FE000274A3E97C2479107BE853A85EFE0CFDB8F +:101FF000C247D85797E701009387873E9C43DC5739 +:10200000E362F7F485473ECE35BF97E7010093873B +:10201000273D9C43D85797E6010093866646BA87CA +:102020008A07BA978A07B6979843854763F1E7020C +:1020300085473ECE29A897E701009387E7589C4340 +:102040001387170097E701009387075898C397E70E +:1020500001009387A7579C4399C385473ECEF2471B +:102060003E85B25045618280011106CE97E701009E +:102070009387C7569C4381CB97E701009387075509 +:10208000054798C339A297E701009387275423A0F7 +:10209000070097E701009387A7349C439C5B3EC6EB +:1020A000B7A7A5A59387575A3EC4B2479C4322477A +:1020B0006314F702B24791079C432247631EF7005F +:1020C000B247A1079C4322476318F700B247B10704 +:1020D0009C4322476308F70497E701009387473042 +:1020E000984397E701009387A72F9C439387470363 +:1020F000BE853A85EF20B00A35A097E701009387A7 +:10210000274C9C4381E77370043001A097E70100DE +:102110009387074B9C431387F7FF97E7010093874B +:10212000274A98C397E7010093878749984397E622 +:1021300001009386E634BA878A07BA978A07B6976A +:102140009C43C5DF97E70100938787479843BA8789 +:102150008A07BA978A0717E7010013076732BA9709 +:102160003EC29247DC43D8439247D8C39247D843F4 +:102170009247A1076317F7009247DC43D843924781 +:10218000D8C39247DC43D84797E701009387472598 +:1021900098C30100F24005618280797106D62AC693 +:1021A0002EC4B24781E77370043001A097E70100A5 +:1021B000938707239C43E107BE853245EFE0CFC2FA +:1021C00097E701009387C7219C4391073E85EFE085 +:1021D0004FC82247FD576310F70297E70100938726 +:1021E00027209C439107BE8517E501001305853B19 +:1021F000EFE08FBB19A897E701009387273C9C432A +:102200002247BA973ECE7245712B0100B25045610C +:102210008280797106D62AC62EC432C2B24781E7BF +:102220007370043001A097E701009387273B9C431C +:1022300081E77370043001A097E701009387471A84 +:102240009C43A24637070080558F98CF97E701003F +:10225000938707199C43E107BE853245EFE0CFB471 +:1022600097E701009387C7179C4391073E85EFE0EE +:102270004FBE1247FD576310F70297E7010093879F +:1022800027169C439107BE8517E50100130585318C +:10229000EFE08FB119A897E70100938727329C439D +:1022A0001247BA973ECE7245F5290100B2504561FA +:1022B0008280797106D62AC62EC432C2B24781E71F +:1022C0007370043001A097E70100938767119C4366 +:1022D000E107BE853245EFE02FAD97E70100938718 +:1022E00027109C4391073E85EFE0AFB61247854724 +:1022F0006310F70297E701009387870E9C439107CD +:10230000BE8517E501001305E529EFE0EFA919A83F +:1023100097E701009387872A9C432247BA973ECECE +:10232000724549210100B25045618280797106D61B +:102330002AC6B247DC47DC473ECCE24781E77370F0 +:10234000043001A0E247E1073E85EFE08FB097E758 +:1023500001009387A7289C43A1EBE24791073E85A4 +:10236000EFE02FAFE247D85797E701009387472563 +:102370009C4363F9E700E247D85797E7010093874A +:10238000272498C3E247D857BA878A07BA978A079B +:1023900017E701001307C70E3E97E2479107BE8576 +:1023A0003A85EFE06FA011A8E247E107BE8517E587 +:1023B00001001305651CEFE02F9FE247D85797E710 +:1023C00001009387E7019C43DC5763FBE7008547E7 +:1023D0003ECE97E701009387671F054798C311A07A +:1023E00002CEF2473E85B25045618280797106D6B1 +:1023F0002AC62EC497E701009387471E9C4381E7B6 +:102400007370043001A02247B70700805D8FB24788 +:1024100098C3B247DC473ECCE24781E77370043093 +:1024200001A03245EFE0EFA2E24791073E85EFE0E1 +:102430004FA2E247D85797E70100938767189C435C +:1024400063F9E700E247D85797E7010093874717FA +:1024500098C3E247D857BA878A07BA978A0717E717 +:1024600001001307E7013E97E2479107BE853A85D1 +:10247000EFE08F93E247D85797E70100938747F63D +:102480009C43DC5763FBE70085473ECE97E701009E +:102490009387C713054798C311A002CEF2473E8524 +:1024A000B2504561828041112AC6B24781E77370FC +:1024B000043001A097E70100938787119843B24742 +:1024C00098C397E701009387670F9843B247D8C333 +:1024D000010041018280797106D62AC62EC4B24716 +:1024E00081E77370043001A0A24781E77370043064 +:1024F00001A01D2F97E701009387470C9C433ECC1A +:10250000A2479843FD576314F70002CE91A8B24743 +:10251000984397E701009387A70B9C43630AF70052 +:10252000B247DC4362476365F70085473ECE0DA89E +:10253000B247DC4362471D8FA2479C436370F7029A +:10254000A2479843B247D443E247B387F6403E9749 +:10255000A24798C33245813F02CE19A085473ECE9F +:10256000DD2DF2473E85B2504561828097E701003C +:102570009387C705054798C30100828001112AC6C9 +:10258000B24799C7B2473ECCE247FC473ECE11A0C6 +:1025900002CEF2473E850561828001112AC62EC413 +:1025A000B24791C7B2473ECEF2472247F8C7010073 +:1025B00005618280011106CE2AC6852297E70100B7 +:1025C000938707EC98438547E3F9E7FEEFD01FE4D4 +:1025D000EDB7397106DE2ACE2ECC32CA36C8BA879C +:1025E0002317F10002D625A06247B257BA9703C756 +:1025F0000700F246B257B697238AE7026247B257FE +:10260000BA9783C7070089CBB25785073ED63257A2 +:10261000BD47E3FBE7FC11A00100F247A3810704DB +:102620005247A54763F4E700A5473ECAF247524721 +:10263000D8D7F2475247B8CBF24723AA0704F2474C +:1026400091073E85EFD05FF5F247E1073E85EFD079 +:10265000BFF4F247724798CB2947D2471D8FF24704 +:1026600098CFF2477247D8D3F24723A20704F24724 +:1026700023AC0704F24723AE07040100F2502161A6 +:102680008280011106CE02C60DA03247BA878A07A2 +:10269000BA978A0717E70100130787DEBA973E85C6 +:1026A000EFD05FECB24785073EC63247A547E3FE51 +:1026B000E7FC17E50100130525E9EFD0BFEA17E5B0 +:1026C00001001305A5E9EFD0FFE917E501001305A7 +:1026D000A5EAEFD03FE917E50100130525EBEFD0A0 +:1026E0007FE817E501001305E5EBEFD0BFE797E7BB +:1026F00001009387E7E717E701001307E7E498C3B2 +:1027000097E70100938707E717E70100130707E53D +:1027100098C30100F24005618280011106CE8DA8A8 +:10272000EFF00FCC97E70100938747E69C4393B700 +:10273000170093F7F70F3EC6EFF04FCCB247A9EB67 +:10274000E52197E70100938767E4DC47DC473EC457 +:10275000A24791073E85EFD0DFEF97E7010093870F +:10276000A7E59C431387F7FF97E701009387C7E42A +:1027700098C397E701009387A7E29C431387F7FF6D +:1027800097E701009387C7E198C3F1212245912C77 +:1027900097E701009387C7E09C43D9F30100F2401B +:1027A00005618280011106CE2AC697E70100938752 +:1027B00027C39C433247D8C397E70100938707E0BC +:1027C0009C4332476372F70297E70100938787DAE9 +:1027D000984397E701009387A7C09C439107BE8564 +:1027E0003A85EFD07FE035A897E70100938747D877 +:1027F000984397E701009387A7BE9C439107BE8546 +:102800003A85EFD07FDE97E701009387E7DC9C43B2 +:1028100032476378F70097E701009387E7DB324799 +:1028200098C30100F24005618280797106D6AA87BB +:102830002EC42317F100A24789EB8357E1008A07D2 +:102840003E85EF104051AA8711A0A2473ECCE24737 +:1028500085C313050006EF1000502ACEF24789C742 +:10286000F247624798DB31A06245EF10C06111A0CA +:1028700002CEF24781CFF247985B8357E1008A0787 +:102880003E869305500A3A85EF104075F2473E8523 +:10289000B25045618280397106DE22DC2AC62EC420 +:1028A00032C202D6A2479C43638D070EA2473ED494 +:1028B000A257DC43D843A257D8C3A257D843A25744 +:1028C000A1076317F700A257DC43D843A257D8C328 +:1028D000A257DC43DC473ED2A2473ED08257DC43BE +:1028E000D8438257D8C38257D8438257A10763176A +:1028F000F7008257DC43D8438257D8C38257DC4362 +:10290000DC473ECEB25796073247BA97724798C314 +:10291000B25796073247BA97724713074703D8C38F +:10292000B25796073247BA977247384798C7B25797 +:1029300096073247BA971247D8C7B2579607324719 +:10294000BA977247585798CB12478D47631BF700C9 +:10295000F2479C5799C7B25796073247BA9709472B +:10296000D8C7B25796073247BA977247384BD8CB79 +:10297000B25796073247BA9723AC0700F247945BE9 +:10298000B257960732473304F70036850D20AA87E1 +:10299000231EF400B25785073ED672479257E31DB7 +:1029A000F7F2B2573E85F2506254216182800111E4 +:1029B0002AC602CE39A0B24785073EC6F247850730 +:1029C0003ECEB24703C707009307500AE305F7FE60 +:1029D000F24789833ECEF247C207C1833E85056137 +:1029E0008280011106CE2AC6B2479C5B3E85EF105D +:1029F00080493245EF1020490100F2400561828094 +:102A0000411197E701009387A7B69C439C4399E344 +:102A1000854711A0814781CB97E701009387C7BB0A +:102A20007D5798C305A097E70100938767B49C433F +:102A3000DC47DC473EC6B247D84397E7010093879F +:102A4000A7B998C3010041018280411197E70100B5 +:102A5000938707999C433EC6B2473E8541018280D9 +:102A6000411197E701009387E7B59C4381E78547CC +:102A70003EC619A897E70100938747B69C4381E7B4 +:102A800089473EC611A002C6B2473E8541018280F9 +:102A9000797106D62AC6B2473ECEB247F9C3F2478D +:102AA000D85797E701009387A7939C43DC57637A35 +:102AB000F70AF2479C4F63CC070097E70100938722 +:102AC00027929C43DC5729471D8FF24798CFF24746 +:102AD000D44BF247D857BA878A07BA978A0717E7BD +:102AE00001001307E799BA976394F600854711A090 +:102AF0008147A5C3F24791073E85EFD09FB597E781 +:102B000001009387E78D9C43D857F247D8D7F24707 +:102B1000D85797E701009387A7AA9C4363F9E7007A +:102B2000F247D85797E70100938787A998C3F247E0 +:102B3000D857BA878A07BA978A0717E70100130799 +:102B400027943E97F2479107BE853A85EFD0DFA5DF +:102B500009A897E701009387A7889C43D857F247B5 +:102B6000D8D70100B25045618280797106D62AC655 +:102B7000B2473ECC02CEB247DDC397E70100938750 +:102B800027869C4362476305F7007370043001A0F9 +:102B9000E247FC4B81E77370043001A0E247FC4B35 +:102BA0001387F7FFE247F8CBE247D857E247BC4B21 +:102BB0006307F706E247FC4BBDE3E24791073E851A +:102BC000EFD03FA9E247B84BE247D8D7E247DC57FE +:102BD00029471D8FE24798CFE247D85797E7010072 +:102BE0009387079E9C4363F9E700E247D85797E72E +:102BF00001009387E79C98C3E247D857BA878A07B2 +:102C0000BA978A0717E70100130787873E97E247BD +:102C10009107BE853A85EFD03F9985473ECEF24772 +:102C20003E85B250456182807370043097E70100A1 +:102C3000938747999C4391CF97D701009387477A11 +:102C40009C43F8430507F8C397D70100938747795A +:102C50009C430100828097E701009387A7969C43DD +:102C60008DCB97D701009387A7779C43FC4395C3EF +:102C700097D701009387C7769C43F8437D17F8C325 +:102C800097D701009387C7759C43FC4399E3736012 +:102C9000043001008280411197D701009387477467 +:102CA0009C439C4F3EC697D70100938767739C4314 +:102CB000D85797D701009387A7729C43A9463387BB +:102CC000E64098CFB2473E854101828097D7010008 +:102CD000938707719C4389CB97D7010093874770EF +:102CE0009C43F84B0507F8CB97D701009387476FB4 +:102CF0009C433E858280797106D62AC62EC42D3724 +:102D000097D701009387C76D9C43BC4FADE397D71E +:102D100001009387E76C9C430547F8CFA247A1CBFE +:102D200097D701009387C76B9C4391073E85EFD0EF +:102D30005F922247FD576310F70297D701009387F0 +:102D4000276A9C439107BE8517E501001305858519 +:102D5000EFD09F8519A897E70100938727869C43AA +:102D60002247BA973ECE7245353CEFD02FEAE53583 +:102D7000653D97D701009387A7669C43BC4F3ECC27 +:102D8000E2479DC3B24789CB97D701009387476538 +:102D90009C4323AC070409A897D701009387476495 +:102DA0009C43B84F7D17B8CF97D7010093874763EF +:102DB0009C4323AE07044535E2473E85B25045614A +:102DC0008280797106D62AC62EC432C236C0A93D89 +:102DD00097D701009387C7609C43F84F8947630DDD +:102DE000F70697D701009387A75F9C43B44F3247FC +:102DF0001347F7FF758FB8CF97D701009387475ECA +:102E00009C430547F8CF8247A1CB97D70100938712 +:102E1000275D9C4391073E85EFD0BF830247FD5756 +:102E20006310F70297D701009387875B9C43910754 +:102E3000BE8517D501001305E576EFD0EFF619A88A +:102E400097D70100938787779C430247BA973ECC78 +:102E50006245893AEFD08FDBFD3BF933924789CB4E +:102E600097D701009387C7579C43B84F924798C3A1 +:102E700097D701009387C7569C43F84F8547631443 +:102E8000F70002CE31A897D70100938767559C437E +:102E9000B44F22471347F7FF758FB8CF85473ECE13 +:102EA00097D701009387C7539C4323AE070465332C +:102EB000F2473E85B25045618280797106D62AC6B6 +:102EC0002EC432C236C085473ECEB24781E773700A +:102ED000043001A0B2473ECC813B824789C7E2471C +:102EE000B84F824798C3E247FC4F3ECAE2470947C2 +:102EF000F8CF1247914763EEE704924713972700F4 +:102F000097D7010093878710BA97984397D7010006 +:102F10009387C70FBA978287E247B84FA2475D8F62 +:102F2000E247B8CF3DA0E247BC4F13871700E24706 +:102F3000B8CF05A0E2472247B8CF21A8524789471A +:102F40006306F700E2472247B8CF21A002CE11A0C6 +:102F5000010052478547631AF706E24791073E850D +:102F6000EFD02FEFE247D85797D7010093874765F7 +:102F70009C4363F9E700E247D85797D7010093874E +:102F8000276498C3E247D857BA878A07BA978A074F +:102F900017D701001307C74E3E97E2479107BE853A +:102FA0003A85EFD06FE0E2479C5781C773700430D9 +:102FB00001A0E247D85797D70100938767429C4307 +:102FC000DC5763F4E700EFD06FC47131F2473E8500 +:102FD000B25045618280397106DE2ACE2ECC32CACB +:102FE00036C83AC685473ED6F24781E7737004304B +:102FF00001A0F2473ED4EFD04FCFAA873ED2C247BE +:1030000089C7A257B84FC24798C3A257FC4F3ED0BA +:10301000A2570947F8CF5247914763EEE704D247DA +:103020001397270097D70100938787FFBA97984394 +:1030300097D701009387C7FEBA978287A257B84FE8 +:10304000E2475D8FA257B8CF3DA0A257BC4F138770 +:103050001700A257B8CF05A0A2576247B8CF21A842 +:10306000025789476306F700A2576247B8CF21A0ED +:1030700002D611A0010002578547631DF708A25729 +:103080009C5781C77370043001A097D701009387C4 +:10309000E7549C43A1EBA25791073E85EFD06FDB2D +:1030A000A257D85797D70100938787519C4363F95C +:1030B000E700A257D85797D701009387675098C366 +:1030C000A257D857BA878A07BA978A0717D7010035 +:1030D0001307073B3E97A2579107BE853A85EFD06D +:1030E000AFCC11A8A257E107BE8517D50100130583 +:1030F000A548EFD06FCBA257D85797D70100938739 +:10310000272E9C43DC5763F7E700B24781C7B247DD +:10311000054798C392573E85EFD02FBCB2573E85E6 +:10312000F25021618280797106D62AC62EC4B24738 +:1031300081E77370043001A0B2473ECEEFD0EFBA02 +:10314000AA873ECCF247FC4F3ECAF2470947F8CF68 +:10315000F247BC4F13871700F247B8CF5247854755 +:10316000631DF708F2479C5781C77370043001A0B4 +:1031700097D70100938787469C43A1EBF2479107BD +:103180003E85EFD00FCDF247D85797D701009387F0 +:1031900027439C4363F9E700F247D85797D70100CC +:1031A0009387074298C3F247D857BA878A07BA97D6 +:1031B0008A0717D701001307A72C3E97F2479107FC +:1031C000BE853A85EFD04FBE11A8F247E107BE8514 +:1031D00017D501001305453AEFD00FBDF247D85778 +:1031E00097D701009387C71F9C43DC5763F7E7001D +:1031F000A24781C7A247054798C3E2473E85EFD063 +:10320000CFAD0100B25045618280797106D62AC6E1 +:10321000B2473ECCE24799E797D701009387471C16 +:103220009C4311A0E2473ECC0134E247F84F894766 +:103230006318F700E24723AE070485473ECE11A08E +:1032400002CE113CF2473E85B250456182800111A9 +:1032500006CE02C61D2B97D701009387673B9C4380 +:103260009DC38148014881470947814613060040B4 +:1032700097D50100938505DC170500001305051B94 +:10328000EFE0AF852AC6B24781E77370043001A032 +:10329000B2473E85F24005618280397106DE2ACE52 +:1032A0002ECC32CA36C83AC6E24799E302D62DA8D8 +:1032B0001305C002EF00302A2AD6B25795C7F12174 +:1032C000B257724798C3B257624798CFB257524726 +:1032D000D8CFB257424798D3B2573247D8D3B25714 +:1032E00091073E85EFD04FABE24781E77370043022 +:1032F00001A0B2573E85F25021618280397106DE0D +:103300002ACE2ECC32CA36C83AC602D6F24781E758 +:103310007370043001A097D701009387672F9C43F7 +:10332000B5CBE2473ED0D2473ED2F2473ED46247C9 +:10333000954763C4E704EFF0AFF22A87894763102B +:10334000F70297D701009387A72C9C43181081465A +:103350003246BA853E85EFD0AFE02AD625A897D76A +:1033600001009387E72A9C43181081460146BA85DD +:103370003E85EFD0EFDE2AD631A897D7010093879C +:1033800027299C43181081464246BA853E85EFD0D6 +:103390000FF32AD6B2573E85F25021618280011187 +:1033A0002AC6B2473ECEB24781E77370043001A00F +:1033B000F2479C433E8505618280797106D62AC614 +:1033C0002EC497D70100938727249C43DC47DC4712 +:1033D0003ECEF24791073E85EFD0AFA7F247D84FD8 +:1033E0008547631BF702F247984FB247BA97B24638 +:1033F0002246BE857245B9222A878547631EF7009B +:1034000001478146324681457245CD3D2ACCE2478F +:1034100081E77370043001A0F247DC537245829754 +:103420000100B25045618280797106D62AC63C08F7 +:103430003E8541282ACEE247BE8572451920692281 +:10344000FDB7797106D62AC62EC4EFE06FF93C08A5 +:103450003E8545282ACEE247B9EFA24799EB32478D +:10346000F24763E8E700EFE06FF9F2453245B13724 +:10347000A9A0A24781CF97D70100938727199C4322 +:103480009C4393B7170093F7F70F3EC497D70100FB +:103490009387071894433247F247B307F740224611 +:1034A000BE853685EFD0FFDDEFE04FF5AA8791E7C7 +:1034B000EFC0DFF519A0EFE06FF40100B2504561F5 +:1034C000828001112AC697D701009387E7139C4396 +:1034D0009C4393B7170093F7F70F3E87B24798C303 +:1034E000B2479C4391EB97D701009387E7119C4328 +:1034F000DC479C433ECE11A002CEF2473E850561DB +:103500008280797106D62AC6EFE01F842ACE97D72B +:103510000100938727109C4372476377F700692A5D +:10352000B247054798C321A0B24723A0070097D709 +:1035300001009387270E724798C3F2473E85B25029 +:1035400045618280797106D62AC62EC432C236C041 +:1035500002CEB2472247D8C3B247324798CB224760 +:10356000924763E8E702124782471D8FB2479C4F9C +:103570006365F70085473ECE99A097D701009387F2 +:10358000E7089843B2479107BE853A85EFD0CF85CB +:103590003DA0124782476379F7002247824763655F +:1035A000F70085473ECE21A897D701009387C7052E +:1035B0009843B2479107BE853A85EFD0EF82F24734 +:1035C0003E85B25045618280797106D6D9A0A24766 +:1035D00063C0070CC2473ECEF247DC4B91C7F247AF +:1035E00091073E85EFD0EF865C003E85193F2ACCDF +:1035F000A2472547636FF7081397270097D7010065 +:103600009387C7A3BA97984397D70100938707A3D7 +:10361000BA9782873247F2479C4FBA973247BA86A9 +:103620006246BE857245393F2A8785476313F70690 +:10363000F247DC5372458297F247D84F8547631AA9 +:10364000F7043247F2479C4FBA97014781463E86BE +:103650008145724565312ACAD24785EF73700430BF +:1036600001A03247F24798CFF2479C4F81E7737031 +:10367000043001A0F247984FE247BA97E24662460B +:10368000BE857245C13531A07245EF00C07F11A0E3 +:10369000010097D701009387A7F79C433800814624 +:1036A0000146BA853E85EFD06FD8AA87E39107F22D +:1036B0000100B25045618280797106D671A097D71A +:1036C0000100938767F49C43DC479C433ECC97D72B +:1036D0000100938767F39C43DC47DC473ECAD2472F +:1036E00091073E85EFC0FFF6D247DC5352458297E3 +:1036F000D247D84F85476319F704D2479C4F62479A +:10370000BA973EC84247E24763F4E702D2474247CE +:10371000D8C3D247524798CB97D701009387C7EEBB +:103720009843D2479107BE853A85EFC0FFEB29A8A1 +:1037300001478146624681455245C9362AC6B2478D +:1037400081E77370043001A097D701009387C7EB1E +:103750009C439C43ADF797D701009387E7EA9C43CE +:103760003ECE97D70100938767EA984397D7010029 +:10377000938787E998C397D70100938727E9724712 +:1037800098C30100B25045618280411106C6EFF036 +:10379000AFC997D701009387A7E79C43C9E717D51F +:1037A00001001305E5E3EFC0FFDB17D501001305AA +:1037B00065E4EFC03FDB97D701009387E7E417D7B5 +:1037C00001001307E7E198C397D70100938707E447 +:1037D00017D70100130707E298C30146B145114509 +:1037E000EFC07FF72A8797D70100938767E298C3D6 +:1037F00097D701009387C7E19C4381E7737004303A +:1038000001A097D701009387A7E09C4389CF97D762 +:1038100001009387E7DF9C4397D50100938585845A +:103820003E85EFD05F9CEFF00FC30100B240410135 +:103830008280797106D62AC6B2473ECEB24781E76A +:103840007370043001A0EFF02FBEF247DC4BB337AA +:10385000F00093F7F70F3ECCEFF0EFBFE2473E8565 +:10386000B25045618280797106D62AC6B2473ECEF3 +:10387000B24781E77370043001A0EFF0EFBAF2476E +:103880009C533ECCEFF02FBDE2473E85B2504561E0 +:103890008280797106D62AC62EC4B2473ECEB24780 +:1038A00081E77370043001A0EFF00FB8F2472247B0 +:1038B00098D3EFF04FBA0100B250456182800111F8 +:1038C00006CE714549292AC6B24789CBB24723A003 +:1038D0000700B24791073E85EFC0DFC8B2473E857B +:1038E000F24005618280397106DE2AC62EC432C2DA +:1038F00036C0B2473ED402D21247B70700FFF98F55 +:1039000081C77370043001A0924781E7737004305F +:1039100001A0EFF0EF94AA8799E3824799E38547E6 +:1039200011A0814781E77370043001A0EFE04FAB35 +:10393000A2579C433ED0A245324585240257A24758 +:103940005D8F9247F98F12476310F7020257A24723 +:10395000D98F3ED6A2579843924793C7F7FF7D8FE2 +:10396000A25798C302C025A0824799CFA257938639 +:1039700047001247B7070005D98F0246BE85368536 +:10398000EFE03F8902D621A0A2579C433ED6EFE04C +:10399000EFA62ACE8247B1CBF24799E3EFC01FA72B +:1039A000EFF06FAF2AD63257B7070002F98F85EBD9 +:1039B000EFF08FA7A2579C433ED632579247F98F1C +:1039C0001247631AF700A2579843924793C7F7FF2D +:1039D0007D8FA25798C3EFF00FA885473ED232578C +:1039E000B7070001FD17F98F3ED6B2573E85F2505A +:1039F000216182805D7186C62ACE2ECC32CA36C83D +:103A00003AC6F2473EDA02DC02D8F24781E7737029 +:103A1000043001A06247B70700FFF98F81C77370B8 +:103A2000043001A0E24781E77370043001A0EFF099 +:103A30002F83AA8799E3B24799E3854711A081476D +:103A400081E77370043001A0EFE08F99D2579C4357 +:103A50003ED64246E245325575242AD4A25799CF24 +:103A6000B2573EDE02C6D247A9CBD2579843E247AF +:103A700093C7F7FF7D8FD25798C381A0B24781E7E4 +:103A8000B2573EDE1DA8D24791C76257B707000163 +:103A9000D98F3EDCC24791C76257B7070004D98F60 +:103AA0003EDCD257938647006247E257D98F3246B1 +:103AB000BE853685EFE0EFF502DEEFE02F942AD2E7 +:103AC000B247B9CB925799E3EFC05F94EFF0AF9C48 +:103AD0002ADE7257B7070002F98F8DEBEFF0CF9413 +:103AE000D2579C433EDE4246E2457255212CAA87BE +:103AF00099CBD24789CBD2579843E24793C7F7FF78 +:103B00007D8FD25798C3EFF00F9502D87257B70741 +:103B10000001FD17F98F3EDEF2573E85B640616128 +:103B20008280797106D62AC62EC4B2473ECEB247ED +:103B300081E77370043001A02247B70700FFF98FB7 +:103B400081C77370043001A0EFF00F8EF2479C43E1 +:103B50003ECCF2479843A24793C7F7FF7D8FF247C9 +:103B600098C3EFF04F8FE2473E85B25045618280A7 +:103B7000797106D62AC6B2473ECEEFC01F97AA87F4 +:103B80003ECCF2479C433ECAE2473E85EFC0FF94DD +:103B9000D2473E85B250456182805D7186C62AC695 +:103BA0002EC402DCB2473ED802DAB24781E7737016 +:103BB000043001A02247B70700FFF98F81C7737057 +:103BC000043001A0C25791073ED6B257A1073ED498 +:103BD000EFE00F81B257DC473EDEC2579843A24761 +:103BE0005D8FC25798C3BDA8F257DC433ED2F2574F +:103BF0009C433ED002DA0257B70700FFF98F3ECE52 +:103C00000257B7070001FD17F98F3ED07247B7077B +:103C10000004F98F89EBC25798438257F98F89CFF7 +:103C200085473EDA11A8C25798438257F98F025749 +:103C30006314F70085473EDAD2579DC37247B70732 +:103C40000001F98F89C762578257D98F3EDCC2576E +:103C50009843B7070002D98FBE857255EFE00FF980 +:103C600092573EDE7257A257E310F7F8C2579843B7 +:103C7000E25793C7F7FF7D8FC25798C3EFD01FF865 +:103C8000C2579C433E85B64061618280797106D6F9 +:103C90002AC6B2473ECEF24791073ECCEFD05FF442 +:103CA0000DA0E247D847E247A1076315F7007370FC +:103CB000043001A0E247DC47B70500023E85EFE093 +:103CC000EFF2E2479C43F1FF7245752AEFD01FF3F4 +:103CD0000100B25045618280011106CE2AC62EC471 +:103CE000A24532455D3D0100F2400561828001112F +:103CF00006CE2AC62EC4A245324525350100F24023 +:103D00000561828001112AC62EC432C202CE9247BA +:103D100081EB3247A247F98F81CF85473ECE09A874 +:103D20003247A247F98F22476314F70085473ECEFA +:103D3000F2473E850561828001112AC6B2473ECC1A +:103D4000B24799E302CE21A0E2479C4F3ECEF24714 +:103D50003E8505618280397106DE2AC602D2EFD027 +:103D60003FE8976703009387278C9C4381EB55229C +:103D7000976703009387478B054798C3B24789CF5E +:103D8000A1473E87B247BA973EC6B2478D8B89C7D7 +:103D9000B247F19B91073EC6B247F9CB3247E56780 +:103DA000ED1763E7E70C976703009387E7863ED438 +:103DB00097670300938747869C433ED631A0B2574E +:103DC0003ED4B2579C433ED6B257DC43324763F5EC +:103DD000E700B2579C43E5F7325797670300938794 +:103DE00027846307F708A2579C432147BA973ED21E +:103DF000B2579843A25798C3B257D843B247B307B4 +:103E0000F740214706076378F7043257B247BA975D +:103E10003ECEB257D843B2471D8FF247D8C3B257F0 +:103E20003247D8C3F247DC433ECC97570300938711 +:103E3000A77E3ED021A082579C433ED082579C4310 +:103E4000DC436247E3E9E7FE82579843F24798C3B1 +:103E50008257724798C397C701009387A759984321 +:103E6000B257DC431D8F97C701009387A75898C3AB +:103E7000EFD0DFD8925799E3EF00D03192573E85CB +:103E8000F25021618280797106D62AC6B2473ECCB3 +:103E9000B247BDC3A147B307F0406247BA973ECCD3 +:103EA000E2473ECAEFD0DFD3D247DC433EC8975744 +:103EB0000300938767763ECE21A0F2479C433ECE17 +:103EC000F2479C43DC434247E3E9E7FEF24798436D +:103ED000D24798C3F247524798C3D247D84397C7AF +:103EE0000100938727519C433E9797C70100938712 +:103EF000675098C3EFD09FD00100B25045618280D7 +:103F000097C701009387074F9C433E85828001003D +:103F10008280411197C7010093874770F19B3EC68D +:103F2000975703009387476F324798C3975703000B +:103F30009387876E23A20700975703009387476EE6 +:103F400065677117D8C3975703009387676D23A0E0 +:103F50000700B2473EC4A24765677117D8C3A2479E +:103F6000175703001307C76B98C3010041018280F4 +:103F700001112AC62EC432C2A2473ECEB2473ECC61 +:103F80003247A247D98F8D8BA1E319A8F2479843F6 +:103F9000E24798C3E24791073ECCF24791073ECEF5 +:103FA0009247F5173247BA976247E361F7FE29A8AF +:103FB0007247930717003ECEE2479386170036CC30 +:103FC000034707002380E70032479247BA976247CA +:103FD000E360F7FEB2473E850561828001112AC683 +:103FE0002EC432C232479247D98F8D8B9DEFA247A4 +:103FF00093F7F70F3ECAD247A2075247D98F3ECA5E +:10400000D247C2075247D98F3ECAB2473ECE39A0E7 +:10401000F247138747003ACE524798C33247924738 +:10402000BA977247E366F7FE1DA0B2473ECC11A8CF +:10403000E247138717003ACC22471377F70F238004 +:10404000E70032479247BA976247E363F7FEB24709 +:104050003E850561828001112AC6B2473ECE21A06D +:10406000F24785073ECEF24783C70700F5FB72474C +:10407000B247B307F7403E850561828001112AC629 +:104080002EC4B247138717003AC683C70700A30F91 +:10409000F100A247138717003AC483C70700230F14 +:1040A000F1008347F10199C70347F1018347E1011B +:1040B000E309F7FC0347F1018347E101B307F74048 +:1040C0003E850561828001112AC62EC4B2473ECECC +:1040D00001002247930717003EC4F247938617005A +:1040E00036CE034707002380E70083C70700F5F3B8 +:1040F000B2473E850561828001112AC602CE02CCFC +:1041000021A0B24785073EC6B24703C70700930701 +:104110000002E308F7FEB24703C707009307D00287 +:104120006309F700B24703C707009307B0026311A2 +:10413000F704B24783C70700938737FD93B717008B +:1041400093F7F70F3ECCB24785073EC615A07247DE +:10415000BA878A07BA9786073ECEB24713871700F9 +:104160003AC683C70700938707FD7247BA973ECECA +:10417000B24783C70700E1FFE24789C7F247B307A9 +:10418000F04011A0F2473E850561828001112AC6E8 +:10419000975703009387074B9C4393861700175745 +:1041A00003001307274A14C332471377F70F9756B4 +:1041B00003009386264DB6972380E7003247A94730 +:1041C000630BF700975703009387C74798439307FC +:1041D00000046315F704975703009387A74A3ECE60 +:1041E00005A8F247138717003ACE03C70700B707A1 +:1041F00010F0938707F098C397570300938787447D +:104200009C431387F7FF975703009387A74398C3EF +:1042100097570300938707439C43E1F781473E8507 +:10422000056182804D7123261114232481142322D9 +:10423000911423202115232E3113232C4113232ADB +:1042400051132ACE2ECC32C836CA3AC63EC442C218 +:1042500023260112B2473E8A814AC24752485286FB +:10426000D6863E85C285EF00B071AA872E883E862D +:10427000C2868327C112138717002326E1123287D3 +:104280008A07141AB69723AEE7EEB2473E848144FC +:10429000D247268763E8E702D24726876396E7007E +:1042A000C247228763E0E702B2473E8981494A86D6 +:1042B000CE864245D245EF00F00EAA872E883EC832 +:1042C00042CA49BF010029A0F247E2451245829740 +:1042D000A2471387F7FF3AC40327C112E346F7FE4C +:1042E00025A88327C1128A07181ABA9703A7C7EF10 +:1042F0008327C1128A07141AB69783A6C7EFA5476A +:1043000063F5D7009307700519A093070003BA97C8 +:104310007247E2453E8502978327C1121387F7FF54 +:104320002326E112E34FF0FA01008320C114032495 +:10433000811483244114032901148329C113032AFE +:104340008113832A41137161828041112AC62EC4D0 +:10435000A2460547635ED700B2479C439D07E19B99 +:1043600093868700324714C303A847009C4335A0B7 +:10437000224719CB3247184313064700B24690C272 +:104380001843BA87014811A832471843130647005B +:10439000B24690C21843BA8701483E85C2854101A2 +:1043A000828041112AC62EC4A2460547635ED7000B +:1043B000B2479C439D07E19B93868700324714C315 +:1043C00003A847009C4305A8224701CF3247184362 +:1043D00013064700B24690C21843BA877D873A88D1 +:1043E00019A83247184313064700B24690C2184333 +:1043F000BA877D873A883E85C285410182803971BE +:1044000006DE22DC26DA2AC62EC432C236C011A845 +:1044100063060424924785073EC2B247A24522851F +:104420008297924783C707003E8493075002E311A7 +:10443000F4FE924785073EC292473EC893070002AA +:10444000A30BF100FD573ECEFD573ECC02D0924764 +:10445000138717003AC283C707003E849307D4FD31 +:10446000130750056363F71E1397270097C70100D2 +:10447000938747C0BA97984397C70100938787BF30 +:10448000BA9782879307D002A30BF100C9B79307AD +:104490000003A30BF10065BF02CC6247BA878A070D +:1044A000BA978607A297938707FD3ECC924783C7AA +:1044B00007003E849307F00263D6870293079003B8 +:1044C00063C28702924785073EC2C1BF82471387F6 +:1044D00047003AC09C433ECC39A0F247E3D907F6E7 +:1044E00002CEB5B70100F247E3D307F6E2473ECE6E +:1044F000FD573ECCA9BF825785073ED089BF824772 +:10450000138747003AC09C433247A2453E85029735 +:10451000A9A28247138747003AC0844389E497C41D +:1045200001009384A4B4F2476356F0040347710179 +:104530009307D0026300F704E247BE8526858925EC +:104540002A87F247998F3ECE11A8834771013247DF +:10455000A2453E850297F247FD173ECEF247E3465D +:10456000F0FE09A8B247A245228582978504F2474A +:10457000FD173ECE83C704003E841DC0E247E3C35F +:1045800007FEE247FD173ECCE247E3DD07FC09A842 +:10459000B247A245130500028297F247FD173ECEAF +:1045A000F247E347F0FE55A88A8782553E85D53B02 +:1045B000AA872E883ED442D6A2573258C28763D9E2 +:1045C0000702B247A2451305D0028297A255325680 +:1045D00081470148B386B740368533B5A700330716 +:1045E000C840B307A7403E87B6873A883ED442D634 +:1045F000A9473ED21DA8A9473ED215A0A1473ED249 +:1046000039A885473ED0B247A245130500038297DB +:10461000B247A245130580078297C1473ED28A87D9 +:1046200082553E851D332AD42ED6125783477101F9 +:104630003E88F2472256B256A2453245E53631A8A9 +:10464000B247A2452285829709A8B247A245130521 +:1046500050028297C2473EC20100E1B30100F2500E +:104660006254D2542161828041112AC6B70710F0EA +:10467000938707F2324798C301A05D7106D62AC618 +:104680002EDA32DC36DEBAC0BEC2C2C4C6C69C0850 +:1046900091173ECEF247BE8632468145170500008F +:1046A000130505AFA93B81473E85B2506161828009 +:1046B00001112AC62EC41EC2A2473ECEF2479C4319 +:1046C00032471377F70F2380E700F2479C431387A5 +:1046D0001700F24798C30100056182801D7106DE54 +:1046E0002AC62EC4B2C4B6C6BAC8BECAC2CCC6CECA +:1046F0009C103ED25C084C0817060000130686FB8F +:104700007D779346F7FF0565130505803388A5007F +:104710003378E8001368783B23A007013295698F4E +:1047200013677733D8C333F7D5009315470137871D +:104730000300130737394D8F98C73377D600931688 +:1047400047013707030013077706558FD8C70F10A7 +:104750000000B2473ED69C10A1173ED422575C08F9 +:104760003E857C00BA862246BE855139B2472380F9 +:104770000700B2473E87B257B307F7403E85F25075 +:10478000256182804111370510F0130545F4084179 +:104790002AC6370510F0130505F408412AC4370569 +:1047A00010F0130545F40841B248E39EA8FC3245D9 +:1047B0002A8381431317030081462245AA850146B7 +:1047C000B3E7B6003368C700370710F0130587F466 +:1047D0008565938505FA0146B386B700B688B3B8F8 +:1047E000F8003307C800B387E8003E87B6873A88E9 +:1047F0001CC123220501010041018280411106C62E +:1048000051379307000873A047300100B2404101BF +:104810008280011106CE2AC617C501001305059B2B +:10482000EFF0BFE50100F24005618280011106CE84 +:104830002AC617C501001305E599EFF01FE4010032 +:10484000F24005618280797106D62AC602CE29A07F +:104850000100F24785073ECE724785679387773818 +:10486000E3D8E7FE17C5010013054597EFF0FFE019 +:10487000F1BF411106C601450D2A0100B2404101B8 +:104880008280011106CE02C6552C2A878547630C0B +:10489000F70017C501001305E594EFF01FDEB247DE +:1048A00093E727003EC6B2473E85F240056182800D +:1048B000011106CE02C6753F1707000013076704F3 +:1048C000814605469305400617C50100130505936B +:1048D000EFE0BF9C2AC6B24799CBEFD0EFC6AA87BC +:1048E000014781463E8685453245EFE03FA102C43F +:1048F000EFD02FA581473E85F24005618280797116 +:1049000006D62AC697C701009387C7AD9C43138775 +:10491000470697C701009387E7AC98C397C7010084 +:10492000938787AC9C431387470697C701009387FB +:10493000A7AB98C397C701009387C7AA984393076B +:10494000F07C63D3E70497C701009387A7A99C4332 +:104950001387078397C701009387C7A898C31537A4 +:104960002ACEF24799EB97C701009387E7A79843B0 +:1049700085679387F77663DBE700F2473E85EFF0C4 +:10498000BFCEEFD0EFA321A0010011A00100B250D3 +:10499000456182807370043001A001008280411162 +:1049A0002AC62EC47370043001A0011106CE2AC697 +:1049B000014689452945EFB01FDA2A87975703003A +:1049C0009387C7D098C397570300938727D09C43FA +:1049D00097C50100938545833E85EFC0DF80814800 +:1049E00001488147324797560300938626CE130627 +:1049F000004097C501009385258217050000130527 +:104A0000850BEFC09F8D81480148814732479756FB +:104A100003009386A6CB1306004097C5010093853B +:104A200025801705000013054501EFC01F8B01000D +:104A3000F24005618280797106D62AC6231B0100E7 +:104A400002CE02CCB1A8B2479C4313076101814654 +:104A50000146BA853E85EFB0BFF02A8785476305DA +:104A6000F70085473ECE15A8F2478DE3EFE0CF9BD8 +:104A700097570300938747C59C43138717009757A1 +:104A80000300938767C498C3EFE0EF9C83576101ED +:104A90008507C207C183231BF100E24785073ECC8F +:104AA00062478947E3D1E7FA1305800CEFC01FB6D0 +:104AB00049BF797106D62AC6231F010002CC9DA0EA +:104AC000B2479C431307610181460146BA853E8582 +:104AD000EFC0CF952A8785476316F7048357610196 +:104AE0000357E1016309F70085473ECC8357610115 +:104AF000231FF1001DA0E2478DE3EFE0EF929757EF +:104B00000300938727BC9C43138717009757030024 +:104B1000938747BB98C3EFE00F948357E101850764 +:104B2000231FF100B2479C433E85EFC0CFB9AA874F +:104B3000C1FB1305400BEFC07FADEDB74111975797 +:104B40000300938727B89C4399C79757030093871F +:104B5000A7B79C4399E302C619A085473EC697575D +:104B60000300938727B623A0070097570300938776 +:104B7000A7B523A00700B2473E854101828000000F +:104B8000B305B500930705006386B70003C70700A8 +:104B9000631607003385A7406780000093871700DE +:104BA0006FF09FFE130101FD23229102232A510180 +:104BB000232611022324810223202103232E3101E5 +:104BC000232C410123286101232671012324810123 +:104BD000232291012320A101930A0500938405005B +:104BE000639E0638130406009309050017B90100F7 +:104BF0001309896363F8C512B7070100138B050019 +:104C00006378F6101307F00F3337C7001317370018 +:104C1000B357E6003309F900834609003387E600FD +:104C200093060002B386E640638C0600B394D4007A +:104C300033D7EA003314D600336B9700B399DA0008 +:104C4000935A040193850A0013050B00EF00902A84 +:104C50001309050093850A00931B040113050B003B +:104C6000EF00D02493DB0B019304050093050500AE +:104C700013850B00EF00D0201319090193D7090108 +:104C8000B367F900138A040063FEA700B3878700A7 +:104C9000138AF4FF63E8870063F6A700138AE4FF32 +:104CA000B3878700B384A74093850A001385040067 +:104CB000EF0050241309050093850A0013850400B2 +:104CC000EF00D01E93990901930405009305050098 +:104CD0001319090113850B0093D90901EF00501A2C +:104CE000B36939011386040063FCA900B3093401D8 +:104CF0001386F4FF63E6890063F4A9001386E4FFDA +:104D000013140A013364C400130A00006F00001377 +:104D1000B707000113070001E36CF6EE13078001EB +:104D20006FF01FEF138A0600631A06009305000058 +:104D300013051000EF00901713040500B7070100DA +:104D4000637EF4129307F00F63F48700130A800068 +:104D5000B35744013309F9000347090093060002E1 +:104D600033074701B386E64063940612B384844058 +:104D7000130A1000135B040193050B001385040054 +:104D8000EF0050171309050093050B00138504006D +:104D9000931B0401EF00901193DB0B0193040500BA +:104DA0009305050013850B00EF00900D1319090101 +:104DB00093D70901B367F900938A040063FEA70043 +:104DC000B3878700938AF4FF63E8870063F6A70040 +:104DD000938AE4FFB3878700B384A74093050B0051 +:104DE00013850400EF0010111309050093050B0053 +:104DF00013850400EF00900B9399090193040500BB +:104E0000930505001319090113850B0093D90901B6 +:104E1000EF001007B36939011386040063FCA90091 +:104E2000B30934011386F4FF63E6890063F4A90033 +:104E30001386E4FF13940A013364C40013050400CD +:104E400093050A008320C1020324810283244102C6 +:104E5000032901028329C101032A8101832A410117 +:104E6000032B0101832BC100032C8100832C410003 +:104E7000032D01001301010367800000B707000143 +:104E8000130A0001E366F4EC130A80016FF05FEC93 +:104E90003314D40033DAE400B399DA0033D7EA00EC +:104EA000935A0401B394D40093850A0013050A00B1 +:104EB000336B9700EF0010041309050093850A0077 +:104EC00013050A00931B0401EF00407E93DB0B01E6 +:104ED000930405009305050013850B00EF00407A4D +:104EE0001319090113570B013367E900138A0400F2 +:104EF000637EA70033078700138AF4FF6368870087 +:104F00006376A700138AE4FF33078700B304A74042 +:104F100093850A0013850400EF00C07D1309050086 +:104F200093850A0013850400EF0040789304050080 +:104F30009305050013850B00EF00807413170B0118 +:104F40001357070113190901B367E9001387040018 +:104F500063FEA700B38787001387F4FF63E8870029 +:104F600063F6A7001387E4FFB3878700131A0A01CB +:104F7000B384A740336AEA006FF0DFDF63ECD51E2D +:104F8000B707010063F4F6041307F00FB335D70039 +:104F90009395350033D7B60097B701009387C7289C +:104FA000B387E70003C70700130A00023307B700FF +:104FB000330AEA4063160A0213041000E3E096E89D +:104FC00033B6CA00134416006FF05FE7B70700015D +:104FD00093050001E3E0F6FC930580016FF09FFB71 +:104FE000B35CE600B3964601B3ECDC0033D4E400D6 +:104FF00093DB0C01B397440133D7EA0093850B0090 +:1050000013050400336BF700B3194601EF00806EFF +:105010001309050093850B0013050400139C0C0174 +:10502000EF00C068135C0C019304050093050500B4 +:1050300013050C00EF00C0641319090113570B018D +:105040003367E90013840400637EA70033079701E8 +:105050001384F4FF636897016376A7001384E4FF69 +:1050600033079701B304A74093850B001385040011 +:10507000EF0040681309050093850B0013850400B9 +:10508000EF00C062930405009305050013050C00B2 +:10509000EF00005F93170B011319090193D7070164 +:1050A000B367F9001386040063FEA700B387970176 +:1050B0001386F4FF63E8970163F6A7001386E4FF05 +:1050C000B387970113140401B70B01003364C400C4 +:1050D0001389FBFF337D240133F92901B384A740F1 +:1050E0009305090013050D00EF008059935C04013E +:1050F00093050900130B050013850C00EF004058C1 +:1051000093D90901130C05009385090013850C0040 +:10511000EF000057130905009385090013050D00E2 +:10512000EF0000563305850193570B013385A70027 +:10513000637485013309790193570501B38727010A +:1051400063E6F402E392F4BCB70701009387F7FF2C +:105150003375F50013150501337BFB0033964A01C7 +:1051600033056501130A0000E37AA6CC1304F4FFAB +:105170006FF09FB9130A0000130400006FF01FCCFA +:10518000130101FB2324810423229104232E3103E4 +:10519000232291032326110423202105232C4103DC +:1051A000232A510323286103232671032324810327 +:1051B0002320A103232EB101930C05009389050040 +:1051C0001304050093840500639E06261309060058 +:1051D000138A060097BA0100938A0A0563F4C5147E +:1051E000B70701006376F6129307F00F63F4C70068 +:1051F000130A8000B3574601B38AFA0003C70A00B6 +:105200001305000233074701330AE540630C0A0027 +:10521000B395490133D7EC0033194601B364B700A5 +:1052200033944C01935A090193850A0013850400B5 +:10523000EF00404C9309050093850A00131B0901F8 +:1052400013850400EF008046135B0B0193050500F6 +:1052500013050B00EF00C042939909019357040115 +:10526000B3E7F90063FAA700B387270163E62701D4 +:1052700063F4A700B3872701B384A74093850A008E +:1052800013850400EF0000479309050093850A0089 +:1052900013850400EF0080411314040193050500F9 +:1052A0009399090113050B0013540401EF00403DCD +:1052B00033E48900637AA400330424016366240183 +:1052C0006374A400330424013304A440335544011F +:1052D000930500008320C104032481048324410436 +:1052E000032901048329C103032A8103832A41037B +:1052F000032B0103832BC102032C8102832C410267 +:10530000032D0102832DC1011301010567800000F7 +:10531000B7070001130A0001E36EF6EC130A8001DF +:105320006FF05FED631A060093050000130510008F +:10533000EF00C03713090500B7070100637AF90EC3 +:105340009307F00F63F42701130A8000B357490154 +:10535000B38AFA0003C70A0013050002B384294187 +:1053600033074701330AE540E30E0AEA33194901DE +:10537000B3DAE900B395490133D7EC00935409013E +:10538000336BB70013850A0093850400EF00803665 +:105390009309050093850400931B090113850A00F6 +:1053A000EF00C03093DB0B019305050013850B0064 +:1053B000EF00002D9399090193570B01B3E7F90012 +:1053C00033944C0163FAA700B387270163E62701F2 +:1053D00063F4A700B3872701B38AA740938504002D +:1053E00013850A00EF00003193090500938504003E +:1053F00013850A00EF00802B9305050013850B0031 +:10540000EF00002893150B019399090193D505012D +:10541000B3E5B90063FAA500B385250163E625016C +:1054200063F4A500B3852501B384A5406FF09FDF29 +:10543000B7070001130A0001E36AF9F0130A8001BB +:105440006FF0DFF0E3E8D5E8B707010063FCF6048E +:10545000930BF00F33B5DB001315350033D7A600DF +:1054600097B70100938747DCB387E70083CB07003A +:1054700093050002B38BAB00338B7541631E0B02A7 +:1054800063E4360163EACC003384CC40B386D94070 +:1054900033B58C00B384A640130504009385040043 +:1054A0006FF05FE3B707000113050001E3E8F6FAC8 +:1054B000130580016FF09FFAB3966601335D7601A4 +:1054C000336DDD0033D47901B395690133DC7C01A0 +:1054D00093540D01336CBC00130504009385040044 +:1054E000B31A6601EF000021130A0500938504003A +:1054F0001305040033996C01931C0D01EF00001B90 +:1055000093DC0C01130405009305050013850C00C2 +:10551000EF000017131A0A0113570C013367EA0052 +:10552000130A0400637EA7003307A701130AF4FFE0 +:105530006368A7016376A700130AE4FF3307A70196 +:10554000B309A7409385040013850900EF00801A72 +:10555000938504001304050013850900EF0000156E +:10556000930505009304050013850C00EF0040111E +:1055700093150C011314040193D50501B365B40010 +:105580001387040063FEA500B385A5011387F4FF0C +:1055900063E8A50163F6A5001387E4FFB385A501C1 +:1055A000131A0A01B70C0100336AEA001384FCFFE6 +:1055B000B3778A0033F48A00B384A54013850700CB +:1055C000930504002326F100135A0A01EF00400B53 +:1055D000930905009305040013050A00EF00400A33 +:1055E00013DC0A01930D050093050C0013050A0056 +:1055F000EF0000098327C100130A050093050C0082 +:1056000013850700EF00C0073305B50113D7090163 +:105610003307A7006374B701330A9A01B707010083 +:105620009387F7FF935507013377F70013170701A7 +:10563000B3F7F900B3854501B307F70063E6B4009B +:10564000639EB400637CF90033865741B3B7C7004B +:10565000B385A541B385F54093070600B307F9402C +:105660003339F900B385B440B385254133947501CE +:10567000B3D767013365F400B3D565016FF09FC5FB +:10568000130605001305000093F615006384060059 +:105690003305C50093D5150013161600E39605FED5 +:1056A000678000006340050663C605061386050093 +:1056B000930505001305F0FF630C06029306100026 +:1056C000637AB6006358C00013161600939616004E +:1056D000E36AB6FE1305000063E6C500B385C54066 +:1056E0003365D50093D6160013561600E39606FED2 +:1056F0006780000093820000EFF05FFB13850500D8 +:10570000678002003305A04063D80500B305B040B0 +:105710006FF0DFF9B305B04093820000EFF01FF99E +:105720003305A040678002009382000063CA050031 +:10573000634C0500EFF09FF71385050067800200BA +:10574000B305B040E35805FE3305A040EFF01FF667 +:085750003305B0406780020040 +:02000004800278 +:1000000049444C4500000000482FFEFF102FFEFF22 +:100010001E2FFEFF2C2FFEFF342FFEFF5830FEFF59 +:100020002030FEFF2E30FEFF3C30FEFF4430FEFF4E +:10003000546D722053766300DC35FEFFDC35FEFF25 +:10004000DC35FEFF5A36FEFF2A36FEFF5036FEFF35 +:10005000DC35FEFFDC35FEFF5A36FEFF2A36FEFF9A +:10006000546D725100000000286E756C6C29000000 +:10007000DE43FEFFDA45FEFFD045FEFFDA45FEFF18 +:10008000DA45FEFFDA45FEFFDA45FEFF5C44FEFF7F +:10009000DA45FEFFDA45FEFF1444FEFF6A44FEFF28 +:1000A000DA45FEFF1E44FEFF2844FEFF2844FEFF03 +:1000B0002844FEFF2844FEFF2844FEFF2844FEFF9C +:1000C0002844FEFF2844FEFF2844FEFFDA45FEFFD9 +:1000D000DA45FEFFDA45FEFFDA45FEFFDA45FEFFB0 +:1000E000DA45FEFFDA45FEFFDA45FEFFDA45FEFFA0 +:1000F000DA45FEFFDA45FEFFDA45FEFFDA45FEFF90 +:10010000DA45FEFFDA45FEFFDA45FEFFDA45FEFF7F +:10011000DA45FEFFDA45FEFFDA45FEFFDA45FEFF6F +:10012000DA45FEFFDA45FEFFDA45FEFFDA45FEFF5F +:10013000DA45FEFFDA45FEFFDA45FEFFDA45FEFF4F +:10014000DA45FEFFDA45FEFFDA45FEFFDA45FEFF3F +:10015000DA45FEFFDA45FEFFDA45FEFFDA45FEFF2F +:10016000DA45FEFFDA45FEFFDA45FEFFDA45FEFF1F +:100170008E44FEFF3845FEFFDA45FEFFDA45FEFFFE +:10018000DA45FEFFDA45FEFFDA45FEFFDA45FEFFFF +:10019000DA45FEFF8644FEFFDA45FEFFDA45FEFF44 +:1001A0008C45FEFF9245FEFFDA45FEFFDA45FEFF75 +:1001B000A244FEFFDA45FEFF8645FEFFDA45FEFF5C +:1001C000DA45FEFFAA45FEFF6D69616F750A000002 +:1001D0006D69616F75320A0068756E6772790A0021 +:1001E0004572726F7220696E20506F6C6C51207472 +:1001F00061736B73200D0A00436865636B54696D0E +:1002000065720000506F6C6C5F546573745F51755C +:100210006575650051436F6E734E42005150726FA9 +:10022000644E4200000102020303030304040404B9 +:100230000404040405050505050505050505050572 +:100240000505050506060606060606060606060652 +:10025000060606060606060606060606060606063E +:100260000606060607070707070707070707070722 +:10027000070707070707070707070707070707070E +:1002800007070707070707070707070707070707FE +:1002900007070707070707070707070707070707EE +:1002A00007070707080808080808080808080808D2 +:1002B00008080808080808080808080808080808BE +:1002C00008080808080808080808080808080808AE +:1002D000080808080808080808080808080808089E +:1002E000080808080808080808080808080808088E +:1002F000080808080808080808080808080808087E +:10030000080808080808080808080808080808086D +:10031000080808080808080808080808080808085D +:0403200008080808B9 +:100324001000000000000000017A5200017C01016D +:100334001B0D02004C000000180000006448FEFF82 +:10034400DC05000000440E307089039507810188A4 +:100354000292049305940696089709980A990B9AB1 +:100364000C0370020AC144C844C944D244D344D4DF +:1003740044D544D644D744D844D944DA440E00443E +:100384000B0000005000000068000000F04DFEFF6C +:100394000005000000440E507488028903930599F7 +:1003A4000B810192049406950796089709980A9A76 +:1003B4000C9B0D0320010AC144C844C944D244D350 +:1003C40044D444D544D644D744D844D944DA44DB4D +:0803D400440E00440B00000080 +:1003E800AAAAAAAA08000000FC8F010009000000C0 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/PollQ_rv32ic_O3.hex b/VexRiscv/src/test/resources/freertos/PollQ_rv32ic_O3.hex new file mode 100644 index 0000000..988346f --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/PollQ_rv32ic_O3.hex @@ -0,0 +1,1673 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000A1D1170502001305E5529795030093853A +:1000A000257A01461122170502001305A548970578 +:1000B00002009385254F0146C52817A503001305A7 +:1000C000657897B503009385A57737B6ABAB130674 +:1000D000B6BAD92817B103001301C176EF60A01C8E +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2423323A02200F32210344B +:1002400096DEEF00002B170102000321E13102418D +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E23123A0120023A2A1 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40502717010200032138 +:10033000A1230241F65273901234B7220000938237 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A22383A0A8 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2421123A0220086DEEF2031 +:10046000602E17010200032121100241F652739001 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF407007EF10900A01E5B7 +:10050000B24041018280B24041016F20A023930795 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30B0207E +:1005B0008280411122C406C62A8426C2EF2030124E +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF2040586DD5EF2020783C44FD173C +:1005F0003CC43C44E344F0FEFD573CC4EF20701083 +:10060000EF20F00D7C40635BF0021C4885CB930427 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2000546DD5EF20E0735C +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20B00B29CD0111E8 +:1006500022CC2A8406CE26CA4AC84EC62E89EF204E +:10066000100824404C5C832904002685EF50502557 +:100670007D57232C0402B3079540CE974E9578C042 +:1006800048C0232434015CC438C4631109021C48E7 +:100690008DE7EF201007F2406244D2444249B2494C +:1006A0000545056182807370043001A013050401C3 +:1006B000B93D13054402A13DE9BF13050401EF2034 +:1006C000804A8547E317F5FC9133E1B701E5737084 +:1006D000043001A0011126CA4AC84EC606CE22CC5B +:1006E000AA842E89B289A5C9EF50901D130555051E +:1006F000EF3020742A8435C5930745051CC144DCBE +:1007000023202405EF20A07D24404C5C0329040015 +:100710002685EF50F01AFD56232C0402B3079540AE +:100720003307A900CA9774C058C05CC434C4130509 +:10073000040123242401E13B13054402C93BEF20BB +:10074000407C230834052285F2406244D244424969 +:10075000B2490561828013054005EF30806D2A841F +:1007600001E57370043001A000C051BF01E5737052 +:10077000043001A06375B5007370043001A041110D +:1007800022C409462E84814506C6893711C500DD7D +:10079000B2402244410182807370043001A05D7137 +:1007A00086C6A2C4A6C2CAC04EDE52DC56DA5AD8E9 +:1007B0005ED632C6630A051C6382051C89476399AD +:1007C000F600585D85476305F7007370043001A09B +:1007D0003689AE842A84EF20C05B11E5B24781C719 +:1007E0007370043001A0EF20806F185C5C5C014BDB +:1007F000894BFD59130A0401930A44026365F70CFF +:1008000063077919B2476381072463150B00280831 +:10081000EF208048EF20E06EEF10604FEF20206C5B +:100820007C4063943701232204043C4463943701E1 +:1008300023240404EF20E06C6C002808EF20E0473C +:10084000631E051EEF20A069185C5C5C630CF70A50 +:10085000EF20206BEF20A0683C44634FF00039A8E4 +:100860005685EF20403019C1EF2020503C44FD1741 +:100870003CC43C446354F0005C50FDF32324340535 +:10088000EF202068EF20A0657C40634FF00039A87E +:100890005285EF20402D19C1EF20204D7C40FD17DF +:1008A0007CC07C406354F0001C48FDF323223405D7 +:1008B000EF202065EF10A06A054BEF204062185C26 +:1008C0005C5CE37FF7F230406305060C631F09129E +:1008D0000844A685EF30206E1C4434405840B6973B +:1008E0001CC463EAE70A1C5C14405850850714C412 +:1008F0001CDC5DC713054402EF20E0268547631129 +:10090000F50AC9A8EF20E05FB2455285EF206007E5 +:10091000EF20E05C3C44634FF00039A85685EF209F +:10092000802419C1EF2060443C44FD173CC43C4482 +:100930006354F0005C50FDF323243405EF20605C29 +:10094000EF20E0597C40634FF00039A85285EF203A +:10095000802119C1EF2060417C40FD177CC07C40A4 +:100960006354F0001C48FDF323223405EF20605946 +:10097000EF10E05E31F1593C054B81B73C41E38F0C +:1009800007E27370043001A07370043001A030409E +:1009900021E61C4085C71C5C585085071CDC39FBD0 +:1009A000EF2020560545B640264496440649F259A4 +:1009B000625AD25A425BB25B616182804840EF204A +:1009C00060491C5C58502322040085071CDCE3139B +:1009D00007F279D5253CE9B74844A685EF30A05DFC +:1009E0003C4058441440B307F0403E9758C4637CE1 +:1009F000D7025840BA975CC409475C506306E902C5 +:100A0000185C050718DCC9DFF5B54844A685EF304A +:100A1000805A3C4058441440B307F0403E9758C4B5 +:100A2000E37CD7FCF9B75C50185C79DB185C7D1768 +:100A300018DC185C050718DCA5D76DBD2285953E2E +:100A4000EF10E051014585B7EF20A04B0145A1BF54 +:100A5000411126C2AA841305400522C406C6EF3000 +:100A6000403D2A8415CD232C0502FD577CC1054746 +:100A7000410558DC3CC42322040023200400232425 +:100A80000400232604002320040423089404413492 +:100A900013054402AD3C8146014681452285013360 +:100AA0002285B24022449244410182800DC5411109 +:100AB00022C426C206C64AC0032945002A84EF2064 +:100AC000802C8144630CA900B2402244268502494F +:100AD0009244410182807370043001A05C448544DB +:100AE000FD175CC4F5F381460146814522854539F1 +:100AF000E1BF31CDA1C9011122CC26CA4AC852C4D6 +:100B000006CE4EC68947B68432892E8A2A846399D6 +:100B1000F600585D8547630FF7047370043001A039 +:100B20006D32185C5C5CAA896366F70281444E856D +:100B3000513AF240624426854249D244B249224A9F +:100B4000056182803C41C5DB7370043001A0737085 +:100B5000043001A0304041E21C40D5CB1C5C7D57E5 +:100B600085071CDC3C446387E7083C4485448507D3 +:100B70003CC475BF993A185C5C5CAA89636DF70246 +:100B8000304079DA4844D285EF30E0423C40584466 +:100B90001440B307F0403E9758C46375D7005840DF +:100BA000BA975CC48947E39BF4FA1C5CC5DB1C5C08 +:100BB000FD171CDC65B7304045D24844D285EF3084 +:100BC000803F3C4058441440B307F0403E9758C41F +:100BD000E367D7FCD9BFDDF40844D285EF30A03DF0 +:100BE0001C4434405840B6971CC4E3E9E7F61C4067 +:100BF0001CC4ADB75C5085449DDB13054402EF1067 +:100C0000907615D5E30509F2232099000DB74840E9 +:100C1000EF2040242322040091B721CD3C4181C71D +:100C20007370043001A01C41A1CB011122CC26CA53 +:100C30002A844AC84EC606CE2E894138185C5C5CB0 +:100C4000AA898144637DF7001C5C7D5785071CDC05 +:100C50003C446388E7023C44854485073CC44E8598 +:100C60009530F240624426854249D244B24905613A +:100C700082807370043001A05C41C5DB7370043066 +:100C800001A05C5099E38544D9BF13054402EF10DD +:100C9000906D75D9E30909FE85472320F900E5B772 +:100CA000397106DE22DC26DA4AD84ED652D456D224 +:100CB00032C66302051C638A051AB68AAE892A8485 +:100CC000EF20200D11E5B24781C77370043001A0F9 +:100CD000EF20E0201C5C63920714B247638E070A82 +:100CE0002808EF10707BFD5413094402130A040115 +:100CF000EF202021EF10A001EF20601E7C406394C4 +:100D00009700232204043C446394970023240404A2 +:100D1000EF20201F6C002808EF10307A63130518AD +:100D2000EF20E01B1C5CADCFEF20A01DEF20201BAF +:100D30003C44634FF00039A84A85EF10D06219C1D6 +:100D4000EF20A0023C44FD173CC43C446354F00037 +:100D50005C50FDF324C4EF20C01AEF2040187C4003 +:100D6000634FF00039A85285EF10F05F19C1EF1002 +:100D7000D07F7C40FD177CC07C406354F0001C4851 +:100D8000FDF364C0EF20E017EF10601DEF20201589 +:100D90001C5CC1E7B247A9FFEF20A016014555A092 +:100DA000EF2020161C40DDC7B2454A85EF10703D8C +:100DB000EF20E0123C44634FF00039A84A85EF1061 +:100DC000905A19C1EF10707A3C44FD173CC43C4462 +:100DD0006354F0005C50FDF324C4EF208012EF2038 +:100DE00000107C40634FF00039A85285EF10B057D7 +:100DF00019C1EF1090777C40FD177CC07C40635494 +:100E0000F0001C48FDF364C0EF20A00FEF10201588 +:100E100031C9EF20C00C1C5CB5DFCE85228544446F +:100E2000EFF04FF7639C0A041C5C1840FD171CDCB4 +:100E300001E7EF20801148C01C48A1EFEF20600CB3 +:100E40000545F2506254D2544259B259225A925A2C +:100E500021618280EF20A0084840EF109075EF20BC +:100E6000400A99B7EFF08FDA6DB73C41E38707E4AA +:100E70007370043001A07370043001A05C5044C44E +:100E8000D5DF13054402EF10104E4DD9EFF00FD807 +:100E900075B713050401EF10104D8547E310F5FAFF +:100EA000F5B72285EFF0EFF0EF10600B014551BF71 +:100EB0001DC9411122C44AC006C626C244412E891A +:100EC0002A84EF10506C6383A40281464A868145D0 +:100ED0002285F93385476300F502B24022449244EB +:100EE0000249410182807370043001A05C440545D1 +:100EF00085075CC4DDB75C4485075CC4F9BF15CDCC +:100F0000ADC9011122CC26CA4AC84EC652C42A8491 +:100F100006CE3289AE89EFF04FDB1C5C2A8A814411 +:100F200085E35285EFF00FDAF240624426854249AC +:100F3000D244B249224A056182807370043001A014 +:100F4000304001CE4C445C40B2954CC463E4F500A3 +:100F50000C404CC44E85EF3000061C5C7D57FD17DD +:100F60001CDC7C40638CE7007C40854485077CC0AA +:100F70004DBF3C41D9D77370043001A01C4899E3A0 +:100F8000854445B713050401EF10F03D75D9E30919 +:100F900009FE85472320F900E5B721C93C41A1CDD1 +:100FA000A1CB011122CC26CA4AC852C42A8406CE3B +:100FB0004EC62E8AEFF06FD11C5C2A89814491CBFA +:100FC0008329C400D2852285EFF0CFDC8544232617 +:100FD00034014A85EFF00FCFF24062442685424942 +:100FE000D244B249224A056182807370043001A064 +:100FF0007370043001A0EDDF7370043001A001E5CF +:101000007370043001A0411122C42A8406C6EF1077 +:10101000106D005CEF10F06EB24022852244410159 +:10102000828001E57370043001A0411106C622C41C +:101030002A84EF10D06A1C5C405C1D8CEF10706C31 +:101040002285B24022444101828019C1085D82801C +:101050007370043001A01DCD978703009387877AB2 +:10106000D843630CE502D847630CE504D84B63070B +:10107000E504D84F6302E504D853630DE502D85761 +:101080006308E502D85B6303E502D85F630EE50001 +:101090006F20506C7370043001A001470E07BA979F +:1010A00023A007006F20106B1D47CDBF1947FDB768 +:1010B0001547EDB71147DDB70D47CDB70947F9BF64 +:1010C0000547E9BF684582806CC5828003450505F8 +:1010D000828009C5085D1335150082807370043065 +:1010E00001A019C51C5D485D1D8D1335150082805A +:1010F0007370043001A0978703009387A77098430B +:1011000005C3984705C7984B05C7984F05C798531F +:1011100005C7985705C7985B15C7985F15C38280A8 +:1011200001470E07BA978CC3C8C382800547D5BF55 +:101130000947C5BF0D47F5B71147E5B71547D5B7FF +:101140001D47C5B71947F1BF978703009387876B82 +:10115000D8436308E502D847630BE502D84B630A1E +:10116000E502D84F6309E502D8536308E502D85772 +:101170006307E502D85B6308E502D85F6303A70253 +:10118000828001470E07BA9723A007008280054797 +:10119000D5BF0947C5BF0D47F5B71147E5B7154797 +:1011A000D5B71D47C5B71947F1BF411122C426C2A3 +:1011B0004AC02A8406C6AE843289EF105052784065 +:1011C000FD576314F700232204043844FD576314C9 +:1011D000F70023240404EF10D0521C5C99E74A86E0 +:1011E000A68513054402EF10D00CEF10504F3C447D +:1011F000635BF0025C5085CB9304440209A83C4435 +:10120000FD173CC43C446350F0025C5089CF2685F6 +:10121000EF1070156DD5EF1050353C44FD173CC4F0 +:101220003C44E344F0FEFD573CC4EF10904DEF10FA +:10123000104B7C40635BF0021C4885CB9304040197 +:1012400009A87C40FD177CC07C406350F0021C481C +:1012500089CF2685EF1030116DD5EF1010317C400D +:10126000FD177CC07C40E344F0FEFD577CC0224467 +:10127000B2409244024941016F10B0481DC17971DA +:101280004ECE52CC06D63E8A22D426D24AD056CA58 +:101290005AC85EC6A547BA8963F8E7007370043080 +:1012A00001A07370043001A02A8BAE843289B68B02 +:1012B000C28A6307082213050006EF2090372A84AC +:1012C000630B05200A09232855034A869305500A13 +:1012D0005685EF20505C03C704001C587119230A7F +:1012E000E40203C704003E991379C9FF79C783C795 +:1012F0001400A30AF40283C71400E1C383C72400C7 +:10130000230BF40283C72400CDCB83C73400A30B87 +:10131000F40283C73400D5C383C74400230CF4020E +:1013200083C74400D9CB83C75400A30CF40283C7FE +:101330005400C1C783C76400230DF40283C764004F +:10134000ADCF83C77400A30DF40283C77400B5C783 +:1013500083C78400230EF40283C78400B9CF83C7F8 +:101360009400A30EF40283C79400A1CB83C7A4000A +:10137000230FF40283C7A400A9C383C7B400A30F3B +:10138000F40283C7B40095CB83C7C4002300F404E0 +:1013900083C7C4009DC383C7D400A300F40483C7DC +:1013A000D40081CF83C7E4002301F40483C7E400A1 +:1013B00089C783C7F400A301F404930A4400568547 +:1013C000A30104042326340323283405232A040418 +:1013D000EFF02F9513058401EFF0AF94A947B38781 +:1013E0003741232C040400C81CCC40D02322040421 +:1013F000232E04045E86DA854A85EFF0AF8D08C09F +:1014000063040A0023208A007370043017F9010076 +:101410001309C9138327090097F401009384C414A6 +:1014200091C798409C407C4385077CC317F7010017 +:10143000130787121C43850797F6010023AEF610A9 +:101440009C40C5CB8327090017FB0100130B4B1FE2 +:1014500089EB9C40DC5763E6F90097F7010023A570 +:10146000871097F701009387670D9C4317F70100DA +:101470001307070E48541843850797F6010023AF5A +:10148000F60A3CC46376A70097F7010023A2A70CD5 +:10149000D145EF40F042D6855A95EFF0EF8883278B +:1014A000090081C79C40FC43B5E38327090005443C +:1014B00099C79C40DC5763F43701EFE03FF5228584 +:1014C000B250225492540259F249624AD24A424BD3 +:1014D000B24B456182805685EF20D0277D54C5B739 +:1014E00013152600EF20F014AA8AE31605DC7D54BC +:1014F000F9B797F7010023A98706184385476301C9 +:10150000F70217FB0100130BAB13A1BF98409440E7 +:101510007C43FD177CC3FC42C9FB7360043071B788 +:10152000014A17FB0100130BAB11A94B5285D145A2 +:10153000EF401039050A5A95EFE07FFDE3187AFF76 +:1015400097FB0100938B4B1C5E85EFE05FFC17FA65 +:101550000100130AAA1C5285EFE07FFB17F501007A +:101560001305051DEFE0BFFA17F501001305851DF2 +:10157000EFE0FFF917F501001305051EEFE03FF955 +:1015800097F7010023A077FF97F7010023AA47FDF3 +:10159000C9BD411122C406C626C24AC02A8473703E +:1015A000043097F40100938464FA9C40F9E311E459 +:1015B00097F70100938747FB8043130944004A854E +:1015C000EFE01FFB1C5489C713058401EFE05FFAAD +:1015D000CA8517F501001305E516EFE0FFF497F74C +:1015E00001009387A7F7984397F70100938707F5C2 +:1015F0009C43050797F6010023A2E6F6984085076D +:1016000097F6010023ACF6F201CB97F70100938720 +:10161000A7F59843784349EF9C40B1C797F701007D +:10162000938787F49843630F87087370043098405A +:1016300039EB17F701001307E7F214439442A5E2D0 +:101640007D5797F6010023A9E6EE984009CF98430D +:10165000784311CB984394437C43FD177CC3FC42F1 +:1016600099E373600430B24022449244024941013C +:10167000828097F70100938727EF98439C437C4330 +:1016800085077CC32DB794439843F8420507F8C2F9 +:1016900017F70100130707ED14439442D5D21843FE +:1016A00058475847584397F6010023A7E6E871BF0B +:1016B000984394437C43FD177CC3FC42B1FF7360A5 +:1016C000043099BF97F701009387C7E69C4381C711 +:1016D0007370043001A02244B24092440249410197 +:1016E0006FE0DFD241C517F701001307E7E71443A6 +:1016F0008147638BA606411106C622C426C27370B9 +:10170000043097F60100938666E49C4291C710432B +:101710001C437C4285077CC29C42AA84404981C705 +:101720001C43FC43B9E797F701009387A7E39C4369 +:10173000638B870497F70100938787E29C43638458 +:10174000870497F70100938727016309F40297F74D +:101750000100938727FF6301F4028547B2402244CA +:1017600092443E85410182803E85828073700430C0 +:1017700001A0EF40B02845BF9147CDB79C5493B727 +:1017800017008907E1BF8947D1BF7370043097F70D +:1017900001009387A7DB984309EF15C59C43485583 +:1017A00081CB97F70100938727DC9843784315E3B3 +:1017B000828017F70100130727DB14431843F84210 +:1017C0000507F8C261FD17F701001307E7D90843C1 +:1017D000F1B7984394437C43FD177CC3FC42E9FB7B +:1017E000736004308280411122C42A8406C6EFE06F +:1017F000DFCD11E497F70100938707D7804340546A +:10180000EFE05FCCB2402285224441018280A547AF +:1018100063F5B7007370043001A0011122CC06CE2D +:1018200026CA4AC84EC652C42A847370043017F9B7 +:1018300001001309A9D183270900CDEF71C43C48E9 +:101840006388F50817F70100130707D263FCB70098 +:101850001443638C860C18434457B3B4950093C467 +:10186000140029A00443818C93B41400585463835A +:10187000E70A1C4C2CC863C60700A947B385B740CC +:101880000CCC93172700BA97584897F90100938911 +:1018900029DB8A07CE97631AF702130A44005285A0 +:1018A000EFE01FCD97F70100938787CA48549C4308 +:1018B00063F6A70097F7010023ACA7C8D145EF4016 +:1018C0003000D2854E95EFE03FC699C0EFE01FB4DF +:1018D0008327090081CB97F701009387E7C89C43D2 +:1018E000FC439DEBF2406244D2444249B249224A51 +:1018F0000561828097F70100938707C798439C434F +:101900007C4385077CC305FC97F701009387C7C517 +:10191000804335B74CD4B1BF6244F240D24442490F +:10192000B249224A05616F40700D814481B725C1DB +:10193000011126CA97F40100938404C39C4006CE8B +:1019400022CC4AC84EC6638DA7027370043017F9C3 +:1019500001001309A9BF8327090091C798409C4043 +:101960007C4385077CC3584997F701009387C7DEFE +:101970006302F7028327090081C79C40FC43A5EB63 +:10198000F2406244D2444249B24905618280737098 +:10199000043001A01C5517F70100130767D9E38B2A +:1019A000E7FCE9FB930945002A844E85EFE05FBC24 +:1019B00097F701009387C7B948549C4363F6A70083 +:1019C00097F7010023A6A7B8D145EF40606F97F7BE +:1019D00001009387E7C63E95CE85EFE0FFB49C40BB +:1019E0005854DC57E368F7F8EFE05FA261B7624450 +:1019F000F240D2444249B24905616F40300015CDF2 +:101A0000011122CC26CA4EC62A8406CE4AC8EFE06F +:101A1000DFAB584897F70100938707D4AA89814420 +:101A20006300F7024E85EFE0FFA9F240624426858D +:101A30004249D244B249056182807370043001A0EA +:101A40001C5417F501001305A5CEE38DA7FCF9FB87 +:101A500097F70100938707AE9C43A9EB97F7010026 +:101A6000938787B09C43445413094400DC574A854C +:101A7000B3B4F400EFE0DFAF97F70100938747AD11 +:101A800048549C4393C4140063F6A70097F70100E1 +:101A900023A0A7ACD145EF40A06297F70100938740 +:101AA00027BACA853E95EFE03FA8ADBF93058401F4 +:101AB000EFE09FA785BF0111056506CE22CC26CA9F +:101AC0004AC84EC652C456C25AC0EF208036630E72 +:101AD000051AAA8413050006EF20A0352A84630A9C +:101AE000051C05669305500A04D92685EF20A05AE7 +:101AF00004588567F117BE94B7474C4593879744C0 +:101B0000130A44005CD85285230C0402A301040488 +:101B10002326040223280404232A0404EFE07FA0E0 +:101B200013058401EFE0FF9FA947232C0404F198DB +:101B300000C81CCC40D023220404232E04040146F8 +:101B4000971500009385E5B12685EFE0BF9808C0A2 +:101B50007370043017F901001309499F83270900A6 +:101B600097F40100938444A091C798409C407C4323 +:101B700085077CC317F701001307079E1C438507E1 +:101B800097F6010023AAF69C9C40C9C3832709004D +:101B900097F901009389C9AA81EB9C40DC5789E73A +:101BA00097F7010023A2879C97F701009387079975 +:101BB000984397F601009386A6995C5494420507D2 +:101BC00017F60100232CE69638C463F6F60017F7E3 +:101BD0000100232FF796139527003E950A05D2851D +:101BE0004E95EFE07F948327090081C79C40FC431A +:101BF000DDE78327090091C39C40EF10F04985473A +:101C00006305F50C59E17370043001A097F70100EA +:101C100023AC87941843854797F90100938949A21B +:101C2000E314F7F8CE8A17FB0100130BEBAD5685D2 +:101C3000D10AEFE0DF8DE31C5BFF17F50100130510 +:101C4000A5ACEFE0DF8C97FA0100938A2AAD5685A8 +:101C5000EFE0FF8B17F50100130585ADEFE03F8B3B +:101C600017F50100130505AEEFE07F8A17F50100B7 +:101C7000130585AEEFE0BF8997F7010023A4678FB6 +:101C800097F7010023AE578D05B7F2406244D24466 +:101C90004249B249224A924A024B056182809840E9 +:101CA00094407C43FD177CC3FC42A1F77360043071 +:101CB00089B76244F2404249B249224A924A024BF1 +:101CC0002685D24405616F20E02873700430FD57EB +:101CD00017F701002322F786624497F7010023A734 +:101CE000A786F240D2444249B249224A924A024B64 +:101CF00097F7010023A0078605616FE08FDA737004 +:101D0000043097F7010023A307846FE0CFE717F7AC +:101D10000100130727821C4385071CC38280737050 +:101D2000043097F7010093876782984305E317F71C +:101D300001001307278208439C4381CB97F70100DA +:101D4000938787829843784301EF828017F70100D9 +:101D50001307878114431843F8420507F8C2C1BF2F +:101D6000984394437C43FD177CC3FC42F9FF7360A6 +:101D700004308280411106C622C4EFE00FF597E7D8 +:101D800001009387277D8043EFE0CFF3B2402285A7 +:101D900022444101828097E701009387E77B8843D3 +:101DA0008280797106D622D426D24AD04ECE52CC29 +:101DB00056CA5AC85EC697E701009387A7779C4327 +:101DC000B1EF17E701001307E7781C43850797E693 +:101DD000010023A1F678832A0700638F0A0697E79C +:101DE0000100938767759C43814463F1FA0897F972 +:101DF00001009389E98417EA0100130AEA76832730 +:101E00000A008546D85793172700BA978A07BE99C4 +:101E100083A7090063FFF600854421A897E7010026 +:101E2000938787729C438144850717E70100232D20 +:101E3000F77097E701009387E7709C4391C385444F +:101E4000B2502254268502599254F249624AD24A2B +:101E5000424BB24B4561828017E401001304847049 +:101E60001C409C43DDC37370043001A017E40100E3 +:101E70001304446F97E901009389897C17EA0100F4 +:101E8000130A8A6E17EB0100130B8B6C1C409C43EA +:101E9000B5C31C40DC4703A9C70083274900930B47 +:101EA00049005E8563EEFA04EFE08FEC8327890238 +:101EB0001305890199C3EFE0AFEB8327C90203271C +:101EC0000B00DE85139527003E950A054E95637637 +:101ED000F70017E70100232DF766EFE0EFE4832713 +:101EE0000A000327C902DC57E362F7FA1C40854465 +:101EF0009C43C5F3FD5717E70100232FF76201B795 +:101F000017E70100232AF762DDBD17E70100130779 +:101F100027651C40184397E6010023A5E66417E7F0 +:101F20000100232FF76297E70100938767619C43C5 +:101F3000850717E701002325F7601C409C4399E7BC +:101F4000FD5717E701002329F75E51BD1C40DC4710 +:101F5000DC47DC4317E701002320F75E49B597E72C +:101F600001009387275D984301E77370043001A057 +:101F7000011106CE22CC26CA4AC84EC652C456C249 +:101F80005AC07370043097E401009384245C984035 +:101F900061EB98437D1797E6010023ADE6589C431B +:101FA000D9EB97E701009387275B9C43C9C797EA62 +:101FB0000100938AEA6817EA0100130A6A5917E9CF +:101FC00001001309695A854919A83385EA00EFE031 +:101FD000AFD5832709005854DC576379F70483A7EA +:101FE0000A0FC1CF83A7CA0FC04713058401EFE0D2 +:101FF0002FD8130B44005A85EFE08FD75C5483260B +:102000000A00DA85139527003E950A052A8756951A +:10201000E3FDF6FA17E70100232CF752EFE0CFD0EB +:10202000832709005854DC57E36BF7FA97E7010060 +:1020300023AA37516DB701449C4081CB97E701003B +:10204000938787529C43FC4395E72285F240624484 +:10205000D2444249B249224A924A024B05618280E7 +:1020600017E701001307475014431843F8420507C8 +:10207000F8C205B7EF408018C9BF17E40100130488 +:10208000A44C1C4095C31C40054999CF193B09C578 +:1020900097E7010023A8274B1C40FD1717E7010015 +:1020A0002324F74A1C40FDF397E7010093878749F3 +:1020B00098438547E311F7F8EFE04FB50544ADBF0E +:1020C00011CD81E57370043001A017E701001307FB +:1020D00067461C4399C77370043001A073700430C5 +:1020E00001A0411122C406C614431841850617E613 +:1020F00001002321D64497E601009386A645944229 +:102100003304B70000C163F7E602637FE40063FDB8 +:10211000860097E701009387274588431105EFE084 +:102120002FC52285EF4040071D3D01C9B240224422 +:1021300041018280E36FE4FCD9BF2244B2404101F7 +:102140006FE0CFAC11C917E701001307A73E1C438E +:1021500091C77370043001A06FE04FAB411106C608 +:1021600022C41843AA87050797E6010023A4E63C8A +:1021700097E601009386463F17E701001307873D66 +:10218000184388423304F7001105EFE06FBE228543 +:10219000EF408000E93311E52244B24041016FE095 +:1021A000EFA6B240224441018280411122C406C6FA +:1021B00097E70100938707389C430144850717E799 +:1021C00001002329F73697E701009387E7389C43FE +:1021D00063E0F51217EE0100130E4E5297E2010074 +:1021E0009382424501440147854F1303500A21A0C1 +:1021F000311E638DC20B83278EFFBA86F5DB8327E2 +:10220000CEFFDC43232EFEFE638AC70F03AFC70059 +:10221000DC432A97814E232EFEFE6385C70783A8E1 +:10222000C70093874803232017015CC383A7880452 +:102230002326F7011CC783A7C8021CCB83A7080568 +:10224000232C07005CCB03A8080383470800639096 +:102250006704C287850783C60700B3850741E38B00 +:1022600066FE8981C205C181231EB700850E130752 +:10227000070263061F038327CEFFDC43232EFEFEE7 +:10228000E39FC7F983274E00232EFEFE49BF8145F9 +:10229000231EB700850E13070702E31E1FFD769469 +:1022A00013175400311EBA86E397C2F597E7010071 +:1022B0009387472B03AE070083270E00639D070E0D +:1022C00097E701009387C72903AE070083270E0015 +:1022D0006392071817EE0100130E8E3683274E10F7 +:1022E0009DE383278E116395072019C223200600E2 +:1022F000BD312285B24022444101828083274E00B5 +:10230000232EFEFE21B783278E1017EF0100130F37 +:10231000EF43DC4317E701002328F7426380E733EC +:1023200083A2C700DC43AA96814E17E70100232D44 +:10233000F740914F1303500A6388E70783A8C7004B +:102340009387480323A01601DCC283A7880423A631 +:10235000F6019CC683A7C8029CCA83A7080523ACC4 +:102360000600DCCA03A80803834708006391672AB4 +:10237000C287850703C70700B3850741E30B67FEE4 +:1023800093D72500C207C183239EF600850E93864E +:1023900006026386122983278E10DC4317E70100AB +:1023A0002324F73AE39CE7F983270E1117E701008E +:1023B000232CF73861B783274E00130F8E00D443C8 +:1023C0002322DE006388E629B307E500D84283A212 +:1023D000C600814E2322EE00894F1303500A630684 +:1023E000EF068328C7001387480323A01701D8C32B +:1023F00003A7880423A6F70198C703A7C80298CBB0 +:1024000003A7080523AC0700D8CB03A8080303479C +:1024100008006318671C4287050783460700B30559 +:102420000741E38B66FE13D7250042074183239EB5 +:10243000E700850E93870702638D121B83264E00EB +:10244000D8422322EE00E31EEFF80327CE0023221A +:10245000EE0041BF83274E00130F8E00DC43232282 +:10246000FE006384E71F83A2C700DC43AA96814E67 +:102470002322FE00894F1303500A6306FF0683A838 +:10248000C7009387480323A01601DCC283A78804F2 +:1024900023A6F6019CC683A7C8029CCA83A7080589 +:1024A00023AC0600DCCA03A8080383470800639630 +:1024B0006710C287850703C70700B3850741E30B91 +:1024C00067FE93D72500C207C183239EF600850EC1 +:1024D00093860602638B120F83274E00DC43232270 +:1024E000FE00E31EFFF88327CE002322FE0041BF3B +:1024F0008327CE1197EE0100938E8E26DC4317E7DB +:102500000100232DF7246384D71383AFC700DC4376 +:102510003307D500014397E6010023A1F6240D4FB0 +:1025200089429308500A6387D707C8479307450332 +:1025300008C35CC33C452326E7011CC75C551CCB84 +:102540001C5599C3232657003C49232C07005CCB1C +:10255000032805038347080063951705C28785078D +:1025600083C60700B3850741E38B16FF93D7250089 +:10257000C207C183231EF700050313070702638AFE +:10258000AF028327CE11DC4397E6010023A8F61C97 +:10259000E39DD7F983274E1297E6010023A0F61C8E +:1025A00069B78147231EF700050313070702E39A63 +:1025B000AFFC1A94E31C06D225BB8147239EF6008C +:1025C000850E93860602E39912F117EE0100130EB1 +:1025D0002E0783274E10769493165400E38307D07A +:1025E0001DB30147239EE700850E93870702E397FB +:1025F00012E597E701009387A7F603AE07007694EC +:102600009316540083270E00E38607CCA1B58147BB +:10261000239EF600850E93860602E39E12D783273B +:102620008E11769493165400E38107CCD1B583279D +:102630004E1217E701002323F712C1BD83270E11A5 +:1026400017E701002322F710E1B98327CE002322E8 +:10265000FE0011BD8326CE002322DE00B5B37971C2 +:1026600026D24AD04ECE52CC56CA5AC85EC606D6DC +:1026700022D497E901009389E9EB17E901001309D6 +:1026800029FC17EA0100130A6AEC97EA0100938A11 +:10269000AAED97EB0100938B2BEC97E40100938458 +:1026A000E4EB054B21A883A7090003244910850703 +:1026B00017E701002320F7E85D3011E89C40E5F7BB +:1026C00083270900E37CFBFEEFD05FD4C5BF7370A6 +:1026D000043083270A00B9E383270911C047130593 +:1026E0004400EFD0FFE883A70B00FD1717E70100B8 +:1026F0002324F7E69C40FD1717E701002320F7E6A7 +:1027000083270A0089C783A70A00FC4385E308588A +:10271000EF1050042285EF10F0034DB703A70A0015 +:1027200083A70A007C4385077CC37DB703A70A0003 +:1027300083A60A007C43FD177CC3FC42E9FB73605F +:102740000430F1B797E701009387C7DE9C43DDE7CC +:10275000411106C622C426C297E7010023A407DE62 +:1027600017E70100130747E01C43B7A6A5A593860A +:10277000565A9C5B8C43639BD500D0436318B600CC +:1027800094476395C600DC476388D70008430C4331 +:1027900093854503EF20807317E70100130747DB9C +:1027A000144317E401001304A4E911A81C43A9CFA2 +:1027B0001C43FD1797E6010023ACF6D8144393970A +:1027C0002600B6978A07A2979C43EDD30843931738 +:1027D0002500AA978A073307F4005443A107A2975C +:1027E000C44244C36385F402DC44B240224417E788 +:1027F0000100232BF7D6924441018280854717E7D9 +:1028000001002321F7D482807370043001A0C440FA +:10281000D145EF30F00A229544C1F9B701114AC8F9 +:1028200006CE22CC26CA4EC62A897370043097E49D +:1028300001009384A4D19C4017E401001304C4D286 +:10284000D9E76314090003290400930949004E8560 +:10285000EFD01FD28327890289C713058901EFD0E2 +:102860003FD1CE8517E50100130505EFEFD0DFCB93 +:102870009C4081C71C40FC43ADE31C40638B270791 +:102880009C409DCF737004309C40C1EB97E70100E2 +:10289000938747CD9843184325EBFD5717E7010071 +:1028A000232CF7C89C4089CF1C40FC4391CB184097 +:1028B00014407C43FD177CC3FC4299E373600430F1 +:1028C000F2406244D2444249B249056182801840D4 +:1028D0001C407C4385077CC3ADB7184014407C4343 +:1028E000FD177CC3FC42D1FB736004301C40E399AC +:1028F00027F99C40A1C397E701009387A7C39C4396 +:10290000ADCB7370043001A09C43DC47DC47DC4353 +:1029100017E701002322F7C271B718401C407C431F +:1029200085077CC397E701009387C7C39843184383 +:102930002DD7D9BF97E70100938707C217E701009A +:10294000130707D09C4303278711631DF700F2404C +:10295000624497E7010023A907C0D2444249B24923 +:10296000056182806244F240D2444249B249056125 +:10297000D1BB6244F240D2444249B24905616FD0B2 +:10298000FFA83DC9411126C297E401009384C4BD4C +:102990004AC02E898C4006C622C4E105EFD05FBA3A +:1029A00088401105EFD0DFBCFD57630AF90497E7B3 +:1029B0000100938727BA804398409C434A9440C3C0 +:1029C000636CF40497E701009387C7B988438C4090 +:1029D0009105EFD0FFB697E701009387E7B59C43D9 +:1029E0006376F40097E7010023A887B4B24022443D +:1029F00092440249410182807370043001A08C40EE +:102A00002244B2409244024917E501001305C5D49F +:102A1000910541016FD05FB197E70100938747B4FB +:102A2000884322448C40B2409244024991054101BE +:102A30006FD01FB111C997E701009387A7AF9C43DF +:102A400099E77370043001A07370043001A0411144 +:102A500026C297E40100938424B19840AE878C404D +:102A6000B7060080D58F06C622C41CCFE10532848C +:102A7000EFD09FAB88401105EFD09FAFFD57630E9D +:102A8000F40097E701009387E7AC8843B240924493 +:102A90002295224441016F3020708C402244B24084 +:102AA000924417E50100130525CB910541016FD034 +:102AB000BFA725CD411126C297E401009384C4AA83 +:102AC0004AC02E898C4006C622C4E1053284EFD06C +:102AD000BFA588401105EFD0BFA98547630AF4045C +:102AE00097E70100938707A7804398409C434A9447 +:102AF00040C3636CF40497E701009387A7A688435B +:102B00008C409105EFD0DFA397E701009387C7A220 +:102B10009C436376F40097E7010023AF87A0B2409F +:102B2000224492440249410182807370043001A022 +:102B30008C402244B2409244024917E5010013053B +:102B4000A5C1910541016FD03F9E97E70100938792 +:102B500027A1884322448C40B24092440249910507 +:102B600041016FD0FF9D411106C622C426C25C45BB +:102B7000C04735CC930484012685EFD07F9F97E72B +:102B800001009387279B9C43B5E793044400268567 +:102B9000EFD01F9E97E701009387879B58549C4373 +:102BA00063F6E70097E7010023A4E79A931727004D +:102BB000BA978A0717E50100130585A8A6853E95F3 +:102BC000EFD09F9697E701009387079A9C4358544C +:102BD0000145DC5763F8E700854717E70100232329 +:102BE000F7960545B24022449244410182807370B9 +:102BF000043001A0A68517E50100130565B3EFD0E9 +:102C0000BF92C9B797E701009387C7929C4381E7BA +:102C10007370043001A0411106C622C426C2B70752 +:102C200000804045CD8F1CC12DC4EFD07F9493040C +:102C300044002685EFD0DF9397E701009387479103 +:102C400058549C4363F6E70097E7010023A2E790FE +:102C500093172700BA978A0717E501001305459EC9 +:102C60003E95A685EFD05F8C97E701009387C78FCD +:102C70009C4358540145DC5763F8E700854717E744 +:102C800001002321F78C0545B240224492444101C2 +:102C900082807370043001A011CD97E70100938703 +:102CA000278A984397E701009387C78A9C4318C1F6 +:102CB0005CC182807370043001A055C1D1CD411137 +:102CC00006C622C42A877370043097E801009388EF +:102CD000E88783A7080091CB97E701009387C7880F +:102CE00094439C43FC428507FCC217E30100130395 +:102CF00063869C4103260300FD560144638FD7027F +:102D000017EE0100130ECE8303250E0003280700E3 +:102D100054436305A80005446371D6023308D640C6 +:102D20000544637CF80003250E00918F0326030001 +:102D3000B6979CC108C350C3014483A7080081CB48 +:102D400097E70100938747829C43FC4381EF2285EC +:102D5000B2402244410182807370043001A073703C +:102D6000043001A0EF308049DDB7854717D7010057 +:102D7000232AF77C828019C16845828001458280C0 +:102D800011C16CC5828097D701009387E77D884386 +:102D9000828097D701009387677B9C43054589CB49 +:102DA00097D70100938707798843133515000605E7 +:102DB000828031CD011126CA97D401009384C47A50 +:102DC0009C4006CE22CC4AC84EC65855DC57637983 +:102DD000F7021C4D63C707009C40D457A947958F45 +:102DE0001CCD93172700BA97584917E9010013091A +:102DF00029858A07CA97630DF7009C40DC575CD58C +:102E0000F2406244D2444249B249056182808280E4 +:102E1000930945002A844E85EFD08FF59C4017D743 +:102E200001001307E7721843DC575CD46376F700A0 +:102E300017D70100232EF770139527003E956244A3 +:102E40000A05F240D244CE854A95B249424905610D +:102E50006FD08FED19CD411106C622C426C297D777 +:102E600001009387677080436307A4007370043088 +:102E700001A0014582807C4881E77370043001A085 +:102E800054543848FD177CC86383E60099C7014550 +:102E9000B24022449244410182809304440026853A +:102EA000EFD00FED284897D701009387676A9843C2 +:102EB000A947898F48D41CCC6376A70097D7010017 +:102EC00023A8A768D145EF30A01F97D701009387AB +:102ED00027773E95A685EFD02FE5054555BF737042 +:102EE000043097D70100938767669C4391CB97D7AF +:102EF00001009387676798439C437C4385077CC3A5 +:102F0000828097D70100938767649C438DC397D7CE +:102F10000100938767659843784311CB98439443A6 +:102F20007C43FD177CC3FC4299E3736004308280CC +:102F300097D7010093874763904394439843A947E9 +:102F4000D456084E958F1CCF828097D70100938767 +:102F5000A761984309C79443F84A0507F8CA88430C +:102F6000828079714AD006D622D426D24ECE2A89C2 +:102F70007370043097D401009384445D9C4017D44F +:102F800001001304645EBDE31C40BC4F89E71C4094 +:102F90000547F8CFD1E19C4081C71C40FC43F1EBD1 +:102FA000737004309C408DEF1C40A84F11C5630422 +:102FB00009021C4023AC07041C4023AE07049C40BC +:102FC00081C71C40FC438DEBB250225492540259ED +:102FD000F2494561828018403C4FFD173CCFE9BF64 +:102FE00018401C407C4385077CC37DBF18401C40B3 +:102FF0007C4385077CC349BF184014407C43FD17C0 +:103000007CC3FC42F1F373600430B25022549254FA +:103010000259F2494561828008402EC61105EFD061 +:103020002FD5B245FD576380F50697D701009387EA +:10303000675283A9070018409C43AE9923223701A9 +:1030400063ECF90497D701009387C75188430C407C +:103050009105EFD0EFCE97D701009387E74D9C43C2 +:1030600063F6F90097D7010023A8374DEFD00FBAC8 +:103070001DB7184014407C43FD177CC3FC428DF300 +:103080007360043031BF0C4017D501001305C56CC7 +:103090009105EFD06FC9D9BF97D701009387474CEF +:1030A00088430C409105EFD0AFC9C9B779714AD0B8 +:1030B0004ECE06D622D426D252CC2E89B289737037 +:1030C000043097D40100938464489C4017D40100D5 +:1030D00013048449F9EF18408947784F630CF700CF +:1030E0001C401345F5FFB84F798DA8CF1C4005470C +:1030F000F8CFADE69C4081C71C40FC43F5E37370FC +:1031000004309C40B1E7638609001C40BC4F23A0FB +:10311000F900184085470145784F630BF7001C40C4 +:103120001349F9FF0545B84F3379E90023AC27056A +:103130001C4023AE07049C4081C71C40FC43C1E3F4 +:10314000B250225492540259F249624A4561828037 +:1031500018401C407C4385077CC375B7084036C6C1 +:103160001105EFD0EFC0B246FD576385F60897D73B +:1031700001009387273E03AA070018409C43369A14 +:10318000232247016363FA0897D701009387873D9D +:1031900088430C409105EFD0AFBA97D701009387D1 +:1031A000A7399C436370FA0697D7010023A64739D5 +:1031B00091A818401C407C4385077CC329BF184058 +:1031C00014407C43FD177CC3FC42BDFB736004309C +:1031D000B250225492540259F249624A45618280A7 +:1031E000184014407C43FD177CC3FC4289FB73608C +:1031F000043031B70C4017D501001305E555910592 +:10320000EFD08FB2EFD08FA0F5B597D7010093879D +:10321000273588430C409105EFD08FB2E5B751C1F7 +:10322000411106C622C426C24AC07370043097D426 +:1032300001009384A4319C40B9EB99C23C4D9CC2DF +:10324000B2878946704D74CD2E872A846386D7064F +:1032500063FCF6048D456387B70091456395B7001D +:103260006300D60C38CC8547630FF60405449C40B8 +:1032700081CB97D701009387272F9C43FC43A9E379 +:103280002285B2402244924402494101828097D76C +:1032900001009387672D98439C437C4385077CC33B +:1032A00069BF7370043001A08546E39ED7FA3C4D98 +:1032B00033E7B70038CD45BF3C4D85073CCD65B7FA +:1032C000EF20D07375BF130944004A85EFD04FAA91 +:1032D00097D701009387C72748549C4363F6A700FC +:1032E00097D7010023A6A726D145EF20705D97D779 +:1032F00001009387E7343E95CA85EFD0EFA21C54B6 +:1033000081C77370043001A097D701009387C72548 +:103310009C435854DC57E3FBE7F4EFD02F8FB9B749 +:103320000144B1B739CD011122CC26CA4AC84EC6D4 +:1033300052C456C206CEBA89368AB2842E892A84ED +:10334000EFD0AF98AA8A63050A003C4C2320FA000C +:10335000784C89477CCC6389F40263F4970C8D46E2 +:103360006387D40091466396D400630AF70A232C3E +:1033700024058547631EF7061C5499CB73700430EF +:1033800001A07370043001A03C4C85073CCCD5B73C +:1033900097D701009387071A9C43ADEB9304440031 +:1033A0002685EFD0EF9C97D701009387671A485482 +:1033B0009C4363F6A70097D7010023ABA718D1451C +:1033C000EF20105097D7010093878727A6853E9559 +:1033D000EFD08F9597D70100938707199C435854D6 +:1033E000DC5763F7E70063850900854723A0F900F0 +:1033F00005445685EFD00F8D2285F2406244D244B9 +:103400004249B249224A924A056182809305840169 +:1034100017D501001305C531EFD00F9165BF0144E9 +:10342000C9BF8547E397F4F43C4C33E92701232CCB +:10343000240581B74DC1011122CC26CA2A844EC66B +:1034400006CE4AC8AE84EFD04F888947744C7CCCF6 +:103450003C4C0547AA8985073CCC6395E6061C547D +:1034600081C77370043001A097D701009387870C40 +:103470009C43ADE7130944004A85EFD06F8F97D77F +:1034800001009387E70C48549C4363F6A70097D745 +:10349000010023AFA70AD145EF20904297D7010042 +:1034A0009387071ACA853E95EFD00F8897D70100FA +:1034B0009387870B9C435854DC5763F5E70099C00A +:1034C00085479CC06244F240D24442494E85B2498D +:1034D00005616FC03FFF7370043001A09305840144 +:1034E00017D501001305C524EFD00F84C1B7AA87F3 +:1034F00029C57370043017D701001307270514433B +:103500009DE2F04F894601456315D60023AE0704BE +:1035100005451C4381CB97D701009387E704984367 +:10352000784315E3828097D601009386E6039042A4 +:1035300094427442850674C2E9B797D70100938715 +:10354000A7029C437DB7984394437C43FD177CC3FB +:10355000FC42E9FB7360043082805D71A6C2CAC080 +:1035600052DC56DA5AD85ED686C6A2C44EDE62D483 +:1035700066D26AD017D901001309090017DB0100D0 +:10358000130BCBFE97D40100938484FE97DA0100DD +:10359000938A4AFE054AA54B832709009843630195 +:1035A0000712DC4783A90700EFE06FF6EFE02FF783 +:1035B00083270B002A8C6362F50A97D7010023A7A3 +:1035C000A7FA01466370351B8840B3858941EFD067 +:1035D000DFBDEFE0DF9863010512884081460146B8 +:1035E0002C00EFD0EFEB4DD9A247E3C807FEC2494C +:1035F00083A7490189C713854900EFC07FF7EFE032 +:103600000FF283270B002A8C636DF508A24717D7AA +:103610000100232D87F5E3E2FBFCB317FA0013F753 +:103620000721631A071613F7770C6318071E93F721 +:103630000702C5D74E85EF00F01145B7DC47C047FC +:1036400083AC0700930944004E85EFC07FF25C50C5 +:10365000228582975C4C6384470B8327090098433B +:1036600071FF03A70A0097D6010023A186F197D620 +:10367000010023A1F6F097D7010023AFE7EEEFE0BA +:103680001F8EA1BFDC47C04703AD0700930C440069 +:103690006685EFC0FFED5C50228582975C4C6386A7 +:1036A000470983270900984371FF03A70A0097D6AB +:1036B000010023A1F6EC97D7010023AFE7EAB9B7E1 +:1036C000EFE0EFE4EFE0AFE583270B002A8CE36641 +:1036D000F5F883A70A00884081499043B385894162 +:1036E00017D70100232487E913361600EFD0FFAB6C +:1036F000EFE0FF86E31305EEEFC05FD1F9BD1C4C90 +:10370000CE85E69763E9FC049C4089CF02CA66CC6B +:1037100022CEEFF00FE88840814601464C08EFD0FA +:103720000F8805FD7370043001A01C4CE685EA97F4 +:10373000636CFD049C4089CF02CA6ACC22CEEFF0B4 +:103740004FE58840814601464C08EFD04F8531F95E +:103750007370043001A0032509005CC000C8EFC0ED +:103760003FDEE5BDEFE0AFFF83270900DC47C04740 +:10377000930C44006685EFC0BFDF5C4C638F47034A +:103780005C502285829791BD032509005CC000C86A +:10379000EFC01FDB39B7B24723ACF900A5CFE297E2 +:1037A00023A2F90023A8390193854900636FFC0423 +:1037B00003A50A00EFC0DFD80DB51C4C00C8338745 +:1037C000F90058C0636DEC02330C3C416363FC02AA +:1037D0009C4089CF02CA4ECC22CEEFF08FDB8840CE +:1037E000814601464C08EFC09FFB59F973700430C5 +:1037F00001A003A50A00E685EFC09FD451B70325B9 +:103800000900E685EFC0DFD3A5BF03250900EFC09F +:103810003FD3E1B37370043001A0B24783A689019E +:1038200023A839013387D70023A2E9006364EC049D +:10383000B307FC4063EBD70483A749024E85829708 +:1038400083A7C901E39B47D983A68901B247984062 +:10385000B69711CF02CA3ECC4ECEEFF08FD3884040 +:10386000814601464C08EFC09FF3E31805D67370FC +:10387000043001A06374FC00E370F7FC0325090029 +:1038800093854900EFC0DFCB89BB03A50A00938570 +:103890004900EFC0FFCA91B3411122C406C626C237 +:1038A0004AC017D401001304A4CCEFF04FE31C402E +:1038B00095CBEFF00FE51C409DC38148014881473F +:1038C000094781461306004097D50100938505AF4F +:1038D000170500001305A5C8EFD05F9A39E973708A +:1038E000043001A017D90100130949E84A85EFC047 +:1038F0001FC297D401009384A4E82685EFC03FC17E +:103900000146B145114597D7010023A727C797D78F +:10391000010023A197C6EFC07FDB17D70100232941 +:10392000A7C411CD97D501009385C5A8EFD0AFFCF2 +:1039300049B7B240224492440249410182807370E7 +:10394000043001A0A5C9797156CAAA8A1305C0021C +:1039500022D426D24AD04ECE52CC06D65AC85EC603 +:103960003A89B689328AAE84E9212A8405C9EFF002 +:103970000FD797D701009387A7BF9C439DCFEFF048 +:103980004FD8130544002320540104CC232E4401B6 +:103990002320340323222403EFC0BFB82285B25072 +:1039A000225492540259F249624AD24A424BB24BD3 +:1039B000456182807370043001A097DB0100938B16 +:1039C000EBDA5E85EFC0BFB417DB0100130B4BDBF6 +:1039D0005A85EFC0DFB30146B145114597D70100C5 +:1039E00023AC77B997D7010023A667B9EFC01FCEE4 +:1039F00017D70100232EA7B601C997D501009385DB +:103A0000659BEFD04FEFA5BF7370043001A039C59F +:103A1000011122CC06CE26CA17D40100130444B5E6 +:103A2000832804002A88014563850802BA842EC2CF +:103A3000368732C442C6954663C5B602EFF06FB50D +:103A40008947630BF5020840814601464C00EFC0F0 +:103A50001FD5F2406244D244056182807370043005 +:103A600001A04C0081463A864685EFD08F88F2400F +:103A70006244D244056182800840814626864C001B +:103A8000EFC0FFD1F9B719C10841828073700430CB +:103A900001A001E57370043001A0411106C622C4E3 +:103AA0002A84EFF0CFC348483334A000EFF06FC54D +:103AB0002285B24022444101828001E573700430C6 +:103AC00001A0411122C42A8406C6EFF04FC1005064 +:103AD000EFF02FC3B240228522444101828001E5EC +:103AE0007370043001A0411122C426C22E84AA841E +:103AF00006C6EFF0CFBE80D02244B24092444101CE +:103B00006FF02FC04111714522C406C61D262A84BC +:103B100011C5232005001105EFC07F9F2285B2400B +:103B200022444101828001CDB70700FFED8F81C79C +:103B30007370043001A099E57370043001A07370B4 +:103B4000043001A0011122CC4AC84EC652C456C24C +:103B500006CE26CABA893689B28A2E842A8AEFF01E +:103B60004FA311E5638509007370043001A0EFE0F5 +:103B70000F9A83240A00B37794006316090295C74D +:103B800063870A009347F4FFE58F2320FA00EFE0F4 +:103B90000FBDF240624426854249D244B249224ACE +:103BA000924A05618280E30DF4FCE38209FEB3359D +:103BB0005001E20563050900B7070004DD8D4E865C +:103BC000C18D13054A00EFE0FFE6EFE04FB919E1C0 +:103BD000EFC0DF83EFF0CFB593176500AA8963D8F4 +:103BE0000700B7040001FD14B3F499005DB7EFF0CE +:103BF0000FAF83290A00B3773401631E090089CB14 +:103C000063880A009347F4FFB3F737012320FA00D3 +:103C1000EFF02FAFF9B7E31DF4FEDDB701C9B70729 +:103C200000FFED8F99C77370043001A073700430EA +:103C300001A0411122C42A8406C626C24AC02E8988 +:103C4000EFF0EFA904409345F9FFB3F795001CC0CE +:103C5000EFF02FABB2402244268502499244410145 +:103C60008280411122C42A8406C6EFC01F8600400C +:103C7000EFC05F85B240228522444101828009C99C +:103C8000370700FFB3F7E50099C77370043001A050 +:103C90007370043001A06F202058B70700FFF18F28 +:103CA00081C77370043001A001E67370043001A075 +:103CB000011122CC4AC84EC652C406CE26CA368945 +:103CC000AE892A8A3284EFF0CF8C21E163050900A6 +:103CD0007370043001A0EFE08F8383240A00CE8547 +:103CE0005285B3E49900613FB37794006307F4060B +:103CF00083240A00EFE0AFA6F240624426854249E1 +:103D0000D244B249224A05618280EFE04F80832489 +:103D10000A00CE855285B3E434019537B3F78400A9 +:103D2000638D8702E30609FCB70500054A86C18D4D +:103D300013054A00EFE01FD0EFE06FA219E1EFC0DA +:103D4000EFECEFF0EF9E93176500AA8463DF0700A6 +:103D5000B7070001FD17FD8C45B783270A00134400 +:103D6000F4FFE18F2320FA0071B7EFF04F9783241F +:103D70000A00B3779400639787009347F4FFE58FB9 +:103D80002320FA00EFF0EF97E1B7411122C406C6F5 +:103D90002A8426C2EFD0BFF75C4085C3084893044D +:103DA000C4006316950015A0084863009502B70586 +:103DB0000002EFE03FE55C40E5FB2285612A2244FA +:103DC000B240924441016FE08F997370043001A0BA +:103DD00009C9370700FFB3F7E50099C773700430CE +:103DE00001A07370043001A06F20004301C9B70720 +:103DF00000FFED8F99C77370043001A07370043019 +:103E000001A0411122C426C206C62A84AE84EFF066 +:103E10000F8D184093C7F4FFF98F1CC02244B240A5 +:103E2000924441016FF0EF8D19C1084D8280014528 +:103E30008280411122C406C62A84EFD05FED97C765 +:103E400001009387A7739C43A1EBE56717D7010097 +:103E500013078794F11797C6010023A7E67217C7C7 +:103E600001002321F77217D701002329F79297C782 +:103E700001009387E77017D70100232FF79085473C +:103E800017C701002324077017C70100232A076EF4 +:103E900017C701002324F76E19E8EFE04F8CEF10ED +:103EA000600201442285B240224441018280130510 +:103EB000840093773500C1EFE5671307F5FFE91735 +:103EC000E3EDE7FC97C601009386066C9C4229A0AF +:103ED000984311C7BE86BA87D843E36BA7FE17C7BE +:103EE00001001307E769E38AE7FA98434146138420 +:103EF000870098C2D843B306A7406378D6023388B8 +:103F0000A7002322D800C8C38325480097C6010014 +:103F10009386866711A0BA8698425043E36DB6FE39 +:103F20002320E80023A00601D84397C70100938708 +:103F3000A7679C43998F17C701002327F766EFE017 +:103F40000F8231DC2285B240224441018280719986 +:103F500011059DB729C9411122C406C62A84EFD094 +:103F60001FDB930584FF0326C4FF17C70100130757 +:103F7000A76111A03E871C43D443E3EDC6FE232C6A +:103F8000F4FE0CC397C70100938707629843832709 +:103F9000C4FF2244B240BA9717C701002326F76036 +:103FA00041016FD0DFFB828097C701009387C75F15 +:103FB0008843828082803367B5000D8BAA871DE716 +:103FC0009308D6FFAA982A87AE866371150303A8C3 +:103FD000060011079106232E07FFE36A17FF934798 +:103FE000F5FFC697F19B9107BE95AA972A9663F3B2 +:103FF000C70A9386450013884700B3B6D70033B885 +:10400000050133E7F50093C61600134818000D8B21 +:10401000B3E6060113371700758F330EF64093860B +:10402000170029CF1337AE0031EB13532E002E8823 +:10403000BE860147832808000507110823A0160142 +:104040009106E36967FE1377CEFFBA95BA976302CC +:10405000EE0483C60500138717002380D700637A18 +:10406000C70283C6150013872700A380D700637299 +:10407000C70203C725002381E7008280B307F6400B +:10408000AE97850503C7F5FF8506238FE6FEE39A05 +:10409000F5FE82808280B367C5008D8BB308C500B2 +:1040A000E9C36371150FB307A0408D8B13873700E9 +:1040B000954693F5F50F130815003383A8407D1638 +:1040C0006373D70015476363E60CD5CF2300B500B3 +:1040D0000547638DE700A300B5000D4713082500D1 +:1040E0006396E700130835002301B5001396850099 +:1040F0004D8E13970501518F3303F340939685013D +:10410000D98E13562300AA97014794C30507910738 +:10411000E36DC7FE1377C3FFB307E8006307E30649 +:104120002380B70013871700637C1703A380B700B1 +:1041300013872700637617032381B700138737009F +:1041400063701703A381B70013874700637A1701D1 +:104150002382B7001387570063741701A382B70047 +:10416000828093F5F50F13978500D98D139705017C +:104170004D8FE37715FFAA87910723AEE7FEE3EDA6 +:1041800017FF828082802A8895B78280AA8749BFDC +:10419000834705002A8799C7050583470500EDFF7A +:1041A000198D82800145828005058347F5FF8505CD +:1041B00003C7F5FF91C7E389E7FE3385E7408280B7 +:1041C0008147E5BFAA87850503C7F5FF8507A38F4C +:1041D000E7FE75FB82808346050093070002639724 +:1041E000F600050583460500E38DF6FE938756FD30 +:1041F00093F7D70F85C7AA87814585CE01458507E7 +:10420000138606FD1317250083C607003A95060599 +:104210003295F5F699C13305A0408280938536FD2D +:104220008346150093B5150093071500E1FA014583 +:10423000D5B7014582809C412380A7009C4185071A +:104240009CC1828097C60100938686349C42175792 +:1042500003001307275713861700BA972380A70078 +:1042600097C5010023A6C532A9476308F500930747 +:1042700000046305F6000145828075DE370610F004 +:1042800005078347F7FF2320F6F09C42FD1797C5EB +:10429000010023AFF52EEDF7014582804D712322F9 +:1042A000911423202115232A51132324811323261B +:1042B000111423248114232E3113232C411323287A +:1042C000611323267113232291132320A113232E7C +:1042D000B111AA842E8932C6130C5002A54A834715 +:1042E000090063808703B9CB804011A0A1CB2300D4 +:1042F000F40080400509050480C083470900E39766 +:1043000087FF0346190093081900C686130D0002A3 +:10431000FD597D5A0148130550059307D6FD93F7C3 +:10432000F70F138916006363F52A17C701001307F7 +:1043300067CD8A07BA979C43BA9782878320C114B6 +:104340000324811483244114032901148329C113F4 +:10435000032A8113832A4113032B0113832BC112D8 +:10436000032C8112832C4112032D0112832DC111C4 +:1043700071618280A14C02C4854763D50715B2479D +:104380008040938B770093F68BFF03AB060083A9E5 +:104390004600938786003EC6668681465A85CE854E +:1043A000EF1010022AC8814763853723930D41011E +:1043B000854B668681465A85CE85EF10A0228146C0 +:1043C00066862A8BAE89EF10A07FA24723A0AD009E +:1043D00093861B00910D63843701B68BD9BFE37EB2 +:1043E0009BFF63DD4601A2872380A70180407D1AE1 +:1043F000930714009CC03E84E3C846FF93962B00AD +:104400001C08BE9631A8938777052300F4008040EE +:10441000FD1B93871B00050480C0F116E351F0ECEF +:104420009C42E3E2FAFE93870703C5B79C409306DC +:10443000000332472380D7009C4093064700C14CBD +:104440001386170090C013068007A380C700804022 +:1044500002C4BA87050480C003AB0700814936C691 +:1044600025BFB24783AC0700938B470063840C1CC5 +:10447000635F40199307D0026319FD1683C70C00D0 +:1044800085C363DC09189440850C7D1A2380F600EF +:104490008040050480C083C70C00E5F7635D4001E0 +:1044A0008040930700022300F40080407D1A050439 +:1044B00080C0E31A0AFE5EC61DB502C48547A94C3A +:1044C000E3CF07EBB24780409386470071B703C63E +:1044D0001600CA8699B59C40930650022380D700E7 +:1044E0008040050480C0E5BBC14C02C471B5B24731 +:1044F00003C61600CA8683A9070091073EC6E35E7D +:104500000AE04E8AFD5911BD03C61600130DD002F4 +:10451000CA8621B59347FAFFFD8703C61600337A92 +:10452000FA00CA86DDBB03C61600130D0003CA8657 +:10453000EDB383C51600930906FDCA86938705FD72 +:104540002E86E3EEFAFA93972900BE99850686092E +:10455000AE9983C50600938909FD938705FD2E86D4 +:10456000E3F3FAFE69BF32479C40144311073AC691 +:104570002380D7008040050480C095B3854763D36E +:10458000070BB24793867700E19A938786003EC671 +:1045900083A946009C4263DC090A94401306D002BA +:1045A000B307F0402380C6008040B336F000B30963 +:1045B0003041050480C03E8BB389D940A94C02C468 +:1045C000E1BB03C616000508CA8681BB9C4046892C +:1045D00021B7E37D9BDD8547814B8546E3C547E1F8 +:1045E00031BD97CC0100938CECDDCE856685EF0064 +:1045F000B027330AAA40635C400180402300A40135 +:1046000080407D1A050480C0E31A0AFE014A83C770 +:104610000C00E38207EAE3C809E6FD197D57E3943D +:10462000E9E6ADBDB247938647009C4336C693D9B1 +:10463000F74195B7635640019307D002E313FDFAA3 +:1046400097CC0100938C0CD8930780021DBD3E8B44 +:10465000A94C02C4804089B34D71232E3113B70990 +:1046600000409387F9FF2324811423229114232CE3 +:104670004113232A51132326111423202115232803 +:1046800061132326711323248113232291132320E2 +:10469000A113232EB1112A8A2EC417C401001304BA +:1046A00024EF975A0300938AEA1197C40100938478 +:1046B000E4AA3EC693055002294513080004B70634 +:1046C00010F083470A006386B704C1C71840050A83 +:1046D00013061700569797C8010023ABC8EA2300BA +:1046E000F7006382A706E31E06FD175703001307B2 +:1046F000670D05078347F7FF23A0F6F01C40FD1761 +:1047000017C601002326F6E8EDF783470A00E39E6B +:10471000B7FA83461A0013051A002A87930B000282 +:104720007D5B7D5C014893055005A5489387D6FDC8 +:1047300093F7F70F130A170063E7F5348A07A69774 +:104740009C43A6978287175703001307A70755F2C4 +:104750008DBF8320C11403248114832441140329B1 +:1047600001148329C113032A8113832A4113032BC4 +:104770000113832BC112032C8112832C4112032DB0 +:104780000112832DC11171618280A14C014D8547B9 +:1047900063DB0719A2479D07E19B83AD070003ABCD +:1047A0004700138787003AC4668681466E85DA859E +:1047B000EF1000412AC863066D3513094101854990 +:1047C000668681466E85DA85EF00D0616686814611 +:1047D000AA8D2E8BEF10C03E2320A9008509110958 +:1047E000E3106DFFE3FE9DFD13060004B70610F015 +:1047F00063D189031C4013871700D69797C5010022 +:1048000023A8E5D823807701630CC7047D1CE3C38C +:1048100089FFB2476800A548B386F9008A061C08DC +:10482000BE96294813030004370610F0E384A6E877 +:104830009C429305000363F4F8009305700518404B +:10484000AE9793051700569717CE01002322BED4CA +:104850002300F7006380072B638C6526F116F9B7F8 +:1048600017570300130707F605078347F7FF23A031 +:10487000F6F01C40FD1797C5010023ABF5D0EDF70E +:104880007D1C71B71C40130600041387170097C5E1 +:10489000010023AFE5CEB386FA0093050003238021 +:1048A000B600630FC73C890797C6010023A2F6CE66 +:1048B0005697930680072300D70017570300130766 +:1048C00067F0B70610F0639EC70005078347F7FF40 +:1048D00023A0F6F01C40FD1717C60100232AF6CAD4 +:1048E000EDF7A247C14C014D91072247014B832DA3 +:1048F00007003EC455BDA24783AC0700138947009B +:10490000638E0C3A635480219307D002639EFB2E82 +:1049100003C70C00BA856310072025AC8547A94C56 +:10492000014DE3C907E7A2479107C1B7A2478346F4 +:104930001700528703AB070091073EC4E3580CDE13 +:104940005A8C7D5BE5B383461700930BD0025287E8 +:10495000F1BB9347FCFFFD8783461700337CFC00C7 +:104960005287E9B383461700930B000352877DBB40 +:1049700003461700138B06FD5287930706FDB28688 +:10498000E3EEF8FA93172B00DA9705078607B2973C +:1049900003460700138B07FD930706FDB286E3F37A +:1049A000F8FE69BFA2451C4029469841938617002E +:1049B000D69717C50100232DD5BC2380E7001389A6 +:1049C00045006300C72A930700046387F6204AC4A2 +:1049D000D5B1854763DE0729A24713877700619B1E +:1049E000930787003EC4032B47001C43635A0B30D8 +:1049F0001840130600049306170097C5010023A969 +:104A0000D5B856979305D0022300B7006387C62C0C +:104A1000B307F0403337F000330B6041BE8D330BEA +:104A2000EB40A94C014D49B3834617000508528756 +:104A3000F5B9834617005287D5B91C409306000488 +:104A40001387170017C601002324E6B4D697130670 +:104A500050022380C700E31FD7C417570300130772 +:104A600067D6B70610F005078347F7FF23A0F6F0D7 +:104A70001C40FD1717C60100232CF6B0EDF71DB939 +:104A8000C14C014D29B31C40930600042A8A1387A8 +:104A9000170017C60100232DE6AED6971306500265 +:104AA0002380C700E318D7C017570300130787D127 +:104AB000B70610F005078347F7FF23A0F6F01C4068 +:104AC000FD1717C601002325F6ACEDF72A8ADDB6DF +:104AD00017570300130707CF05078347F7FF232066 +:104AE000F6F01C40FD1797C5010023A3F5AAEDF7CA +:104AF000F1162DBB175703001307C7CCF1FDF116B4 +:104B000035B3E3FC9DCB8549C5B1014C03C70C000F +:104B1000BA85E30E07EAFD58294513080004B706D5 +:104B200010F063570B0A1C4013861700D69717C363 +:104B30000100232FC3A42380E7006384A506630438 +:104B40000609850C03C70C007D1CBA8579FBE35070 +:104B500080E91C409305000213060004B70610F01C +:104B600021A07D1CE3050CE613871700D697238050 +:104B7000B70017C50100232DE5A0BA87E313C7FED0 +:104B800017570300130707C405078347F7FF23A040 +:104B9000F6F01C40FD1717C50100232BF59EEDF71D +:104BA000C9B7175703001307E7C141DE050783475D +:104BB000F7FF23A0F6F01C40FD1717C601002329BC +:104BC000F69CEDF7BDBF175703001307A7BFF9BF4A +:104BD0007D1BE31A1BF5A5BF17570300130787BEFC +:104BE000B70610F005078347F7FF23A0F6F01C4037 +:104BF000FD1717C60100232DF698EDF74AC45DBCDA +:104C000097BC0100938C0C7CDA856685B129330C46 +:104C1000AC40E35D80EF1C4013060004B70610F0C3 +:104C200021A07D1CE3030CEE13871700D697238089 +:104C3000770197C5010023ADE594BA87E313C7FE5A +:104C400017570300130707B805078347F7FF23A08B +:104C5000F6F01C40FD1797C5010023ABF592EDF768 +:104C6000C9B7175703001307E7B5BDFA4AC499B48B +:104C7000A247138747009C433AC413DBF741BDB3F7 +:104C800017570300130707B4B70610F0050783474B +:104C9000F7FF23A0F6F01C40FD1717C601002329DB +:104CA000F68EEDF7854717C701002323F78E93078C +:104CB0008007175703002307F7B025B1635680011B +:104CC0009307D002E39EFBF297BC0100938C8C6F9C +:104CD00013078002930580023DBD9756030093861B +:104CE00066AE370610F0850603C7F6FF2320E6F010 +:104CF00018407D1797C5010023ACE5886DF709BB07 +:104D0000BE8DA94C014D4DB4B70710F023A0A7F2FA +:104D100001A03971130341022ED29A8506CE32D4F6 +:104D200036D63AD83EDA42DC46DE1AC63532F24092 +:104D30000145216182805D711303810322D42AC65B +:104D400032DC2A841A86680006D6BEC236DEBAC0B5 +:104D5000C2C4C6C61ACEEFF06FD4B2472380070094 +:104D60003245B250018D225461618280B70710F044 +:104D700083A647F403A607F403A747F4E31AD7FE74 +:104D80008566938606FAB29633B6C600B305E6008A +:104D900023A4D7F423A6B7F48280B70710F003A7A3 +:104DA00047F403A607F483A647F4E31AD7FE856603 +:104DB000938606FAB29633B6C60023A4D7F4B30599 +:104DC000E60023A6B7F49307000873A0473082805B +:104DD000411122C406C697B701009387E77B17B439 +:104DE00001001304247B98431C401306F07C9306B7 +:104DF00047069387470697B5010023AFD57897B547 +:104E0000010023A9F5786346D600B240224441014F +:104E100082801307478997B7010023AFE776312CCB +:104E20008547631FF500184085679387F77601452E +:104E3000E3DDE7FCD13D2244B24041016FC03FECCD +:104E400017B5010013058558E9350945753D22441C +:104E5000B24041016FC0BFEA17B501001305855884 +:104E60006FF03FEB17B50100130545586FF07FEA6F +:104E7000411122C426C206C6856417B4010013047A +:104E80006457938784380100FD17F5FF2285EFF002 +:104E90005FE8C5BF01453DA2411106C6792A054715 +:104EA00081476316E500B2403E854101828017B517 +:104EB00001001305A551EFF0DFE5B24089473E85BB +:104EC000410182807370043001A0828073700430CD +:104ED00001A0797126D24AD04ECE52CC06D622D429 +:104EE000AA8923170100014917BA0100130A4A6B66 +:104EF00085440D4403A50900814601469305E10060 +:104F0000EFB0FF89630A950005497D1465F4130528 +:104F1000800CEFD02FA3F1BF630809008357E10095 +:104F200085072317F100D5B7EFD07FFB83270A0051 +:104F3000850717B701002325F766EFD09FFCF9BF5F +:104F4000797122D44AD04ECE52CC56CA06D626D239 +:104F50002A84014A814997BA0100938AAA640549C3 +:104F60000840EFC0CF89814601469305E10009ED75 +:104F70001305400BEFD00F9D0840EFC04F888146CE +:104F800001469305E1006DD50840EFB07FD1E319EC +:104F900025FD8354E10063893401054A8504939912 +:104FA000040193D909016DBFE3190AFEEFD03FF365 +:104FB00083A70A00850717B701002325F75EEFD006 +:104FC0005FF4E9BF411126C20146AA8489452945FB +:104FD00006C622C4EFB08FEF17B401001304045CBF +:104FE00097B501009385454208C0EFC0CF90268752 +:104FF000A2868148014881471306004097B5010009 +:1050000093858541170500001305C5F3EFC00FA771 +:10501000A2862244B24026879244814801488147B3 +:105020001306004097B501009385853F17050000E2 +:10503000130565EA41016FC06FA497B7010093871C +:1050400067569C43014581CB97B70100938747552D +:1050500088433335A00097B7010023A5075497B7BD +:10506000010023AF07528280B305B5009307050006 +:105070006386B70003C70700631607003385A740A0 +:1050800067800000938717006FF09FFE130101FBFC +:1050900023229104232C410323229103232611046C +:1050A0002324810423202105232E3103232A5103A5 +:1050B0002328610323267103232481032320A103D2 +:1050C000232EB101930C0500138A05009304000000 +:1050D00063DE05003305A0403337A000B305B040C0 +:1050E000930C0500338AE5409304F0FF63DA060071 +:1050F0003306C040B337C000B306D040B386F64095 +:10510000930A06009389060013840C0013090A0011 +:105110006396062817BB0100130BCB196370CA16E0 +:10512000B70701006372F6149307F00F63F4C7002A +:1051300093098000B3573601330BFB0083470B0004 +:1051400013050002B3873701B309F540638C0900EA +:10515000B3153A01B3D7FC00B31A360133E9B700EF +:1051600033943C0113DB0A0193050B00130509007E +:10517000EF00507C130A050093050B00939B0A0176 +:1051800013050900EF00907693DB0B0193050500F2 +:1051900013850B00EF00D072131A0A019357040114 +:1051A000B367FA0063FAA700B387570163E65701B4 +:1051B00063F4A700B38757013389A74093050B0019 +:1051C00013050900EF001077130A050093050B0083 +:1051D00013050900EF0090711314040193050500F5 +:1051E000131A0A0113850B0013540401EF00506DCC +:1051F00033648A00637AA400330454016366540163 +:105200006374A400330454013304A44033543401C0 +:1052100093050000638A040033048040B3378000A4 +:10522000B305B040B385F540130504008320C104E5 +:105230000324810483244104032901048329C10335 +:10524000032A8103832A4103032B0103832BC10219 +:10525000032C8102832C4102032D0102832DC10105 +:105260001301010567800000B707000193090001E1 +:10527000E362F6EC930980016FF0DFEB631A06003E +:105280009305000013051000EF005066930A050017 +:10529000B707010063FAFA0E9307F00F63F45701A2 +:1052A00093098000B3D73A01330BFB0083470B000F +:1052B0001305000233095A41B3873701B309F5409A +:1052C000E38209EAB39A3A01335BFA00B3153A0173 +:1052D000B3D7FC0093DB0A0133E9B70013050B00D9 +:1052E00093850B00EF001065130A050093850B00F2 +:1052F000139C0A0113050B00EF00505F135C0C01B7 +:105300009305050013050C00EF00905B131A0A01CA +:1053100093570901B367FA0033943C0163FAA7007D +:10532000B387570163E6570163F4A700B3875701BA +:10533000338BA74093850B0013050B00EF00905FA4 +:10534000130A050093850B0013050B00EF00105A9C +:105350009305050013050C00EF0090569316090104 +:10536000131A0A0193D60601B366DA0063FAA6009F +:10537000B386560163E6560163F4A600B386560170 +:105380003389A6406FF01FDEB707000193090001C3 +:10539000E3EAFAF0930980016FF0DFF06376DA0058 +:1053A00093050A006FF01FE7B707010063FAF604E0 +:1053B000930BF00F33B5DB001315350033D7A60080 +:1053C00097B70100938707EFB387E70083CB070008 +:1053D00093050002B38BAB00338B7541631C0B024A +:1053E00063E4460163EACC003384CC40B306DA4080 +:1053F00033B98C0033892641930509006FF09FE192 +:10540000B707000113050001E3EAF6FA130580016E +:105410006FF0DFFAB3966601335D7601336DDD0020 +:10542000B35D7A01B3156A0133DC7C0113540D01BD +:10543000336CBC0013850D0093050400B31966019D +:10544000EF00504F930A05009305040013850D00EB +:1054500033996C01931C0D01EF00504993DC0C0152 +:10546000130A05009305050013850C00EF00504555 +:10547000939A0A0113570C0133E7EA00930D0A00CF +:10548000637EA7003307A701930DFAFF6368A701A6 +:105490006376A700930DEAFF3307A701330AA740FD +:1054A0009305040013050A00EF00D048930504009B +:1054B000930A050013050A00EF0050439305050009 +:1054C0001304050013850C00EF00903F93150C01A9 +:1054D000939A0A0193D50501B3E5BA0013070400B6 +:1054E00063FEA500B385A5011307F4FF63E8A501DA +:1054F00063F6A5001307E4FFB385A501939D0D0195 +:10550000B70C0100B3EDED001384FCFFB3F78D0081 +:1055100033F48900338AA5401385070093050400FE +:105520002326F10093DD0D01EF009039930504006F +:10553000930A050013850D00EF00903813DC090174 +:1055400093050C002324A10013850D00EF005037B4 +:105550008327C10013040C0093050400130C0500FD +:1055600013850700EF00D0358326810013D70A0189 +:105570003305D5003307A7006374D700330C9C01B3 +:10558000B70701009387F7FF935507013377F700BB +:1055900013170701B3F7FA00B3858501B307F700C6 +:1055A0006366BA00631EBA00637CF9003386374134 +:1055B000B3B7C700B385A541B385F540930706008F +:1055C000B307F9403339F900B305BA40B385254133 +:1055D00033947501B3D767013364F400B3D5650123 +:1055E0006FF05FC3130101FD23229102232A5101B1 +:1055F000232611022324810223202103232E31019B +:10560000232C4101232861012326710123248101D8 +:10561000232291012320A101930A05009384050010 +:10562000639E0638130406009309050017B90100AC +:10563000130949C863F8C512B7070100138B0500A9 +:105640006378F6101307F00F3337C70013173700CE +:10565000B357E6003309F900834609003387E600B3 +:1056600093060002B386E640638C0600B394D40030 +:1056700033D7EA003314D600336B9700B399DA00BE +:10568000935A040193850A0013050B00EF00902A3A +:105690001309050093850A00931B040113050B00F1 +:1056A000EF00D02493DB0B01930405009305050064 +:1056B00013850B00EF00D0201319090193D70901BE +:1056C000B367F900138A040063FEA700B38787005D +:1056D000138AF4FF63E8870063F6A700138AE4FFE8 +:1056E000B3878700B384A74093850A00138504001D +:1056F000EF0050241309050093850A001385040068 +:10570000EF00D01E9399090193040500930505004D +:105710001319090113850B0093D90901EF00501AE1 +:10572000B36939011386040063FCA900B30934018D +:105730001386F4FF63E6890063F4A9001386E4FF8F +:1057400013140A013364C400130A00006F0000132D +:10575000B707000113070001E36CF6EE13078001A1 +:105760006FF01FEF138A0600631A0600930500000E +:1057700013051000EF00901713040500B707010090 +:10578000637EF4129307F00F63F48700130A80001E +:10579000B35744013309F900034709009306000297 +:1057A00033074701B386E64063940612B38484400E +:1057B000130A1000135B040193050B00138504000A +:1057C000EF0050171309050093050B001385040023 +:1057D000931B0401EF00901193DB0B019304050070 +:1057E0009305050013850B00EF00900D13190901B7 +:1057F00093D70901B367F900938A040063FEA700F9 +:10580000B3878700938AF4FF63E8870063F6A700F5 +:10581000938AE4FFB3878700B384A74093050B0006 +:1058200013850400EF0010111309050093050B0008 +:1058300013850400EF00900B939909019304050070 +:10584000930505001319090113850B0093D909016C +:10585000EF001007B36939011386040063FCA90047 +:10586000B30934011386F4FF63E6890063F4A900E9 +:105870001386E4FF13940A013364C4001305040083 +:1058800093050A008320C10203248102832441027C +:10589000032901028329C101032A8101832A4101CD +:1058A000032B0101832BC100032C8100832C4100B9 +:1058B000032D01001301010367800000B7070001F9 +:1058C000130A0001E366F4EC130A80016FF05FEC49 +:1058D0003314D40033DAE400B399DA0033D7EA00A2 +:1058E000935A0401B394D40093850A0013050A0067 +:1058F000336B9700EF0010041309050093850A002D +:1059000013050A00931B0401EF00407E93DB0B019B +:10591000930405009305050013850B00EF00407A02 +:105920001319090113570B013367E900138A0400A7 +:10593000637EA70033078700138AF4FF636887003C +:105940006376A700138AE4FF33078700B304A740F8 +:1059500093850A0013850400EF00C07D130905003C +:1059600093850A0013850400EF0040789304050036 +:105970009305050013850B00EF00807413170B01CE +:105980001357070113190901B367E90013870400CE +:1059900063FEA700B38787001387F4FF63E88700DF +:1059A00063F6A7001387E4FFB3878700131A0A0181 +:1059B000B384A740336AEA006FF0DFDF63ECD51EE3 +:1059C000B707010063F4F6041307F00FB335D700EF +:1059D0009395350033D7B60097B701009387878D2D +:1059E000B387E70003C70700130A00023307B700B5 +:1059F000330AEA4063160A0213041000E3E096E853 +:105A000033B6CA00134416006FF05FE7B707000112 +:105A100093050001E3E0F6FC930580016FF09FFB26 +:105A2000B35CE600B3964601B3ECDC0033D4E4008B +:105A300093DB0C01B397440133D7EA0093850B0045 +:105A400013050400336BF700B3194601EF00806EB5 +:105A50001309050093850B0013050400139C0C012A +:105A6000EF00C068135C0C0193040500930505006A +:105A700013050C00EF00C0641319090113570B0143 +:105A80003367E90013840400637EA700330797019E +:105A90001384F4FF636897016376A7001384E4FF1F +:105AA00033079701B304A74093850B0013850400C7 +:105AB000EF0040681309050093850B00138504006F +:105AC000EF00C062930405009305050013050C0068 +:105AD000EF00005F93170B011319090193D707011A +:105AE000B367F9001386040063FEA700B38797012C +:105AF0001386F4FF63E8970163F6A7001386E4FFBB +:105B0000B387970113140401B70B01003364C40079 +:105B10001389FBFF337D240133F92901B384A740A6 +:105B20009305090013050D00EF008059935C0401F3 +:105B300093050900130B050013850C00EF00405876 +:105B400093D90901130C05009385090013850C00F6 +:105B5000EF000057130905009385090013050D0098 +:105B6000EF0000563305850193570B013385A700DD +:105B7000637485013309790193570501B3872701C0 +:105B800063E6F402E392F4BCB70701009387F7FFE2 +:105B90003375F50013150501337BFB0033964A017D +:105BA00033056501130A0000E37AA6CC1304F4FF61 +:105BB0006FF09FB9130A0000130400006FF01FCCB0 +:105BC000130101FB2324810423229104232E31039A +:105BD000232291032326110423202105232C410392 +:105BE000232A5103232861032326710323248103DD +:105BF0002320A103232EB101930C050093890500F6 +:105C00001304050093840500639E0626130906000D +:105C1000138A060097AA0100938ACA6963F4C5141F +:105C2000B70701006376F6129307F00F63F4C7001D +:105C3000130A8000B3574601B38AFA0003C70A006B +:105C40001305000233074701330AE540630C0A00DD +:105C5000B395490133D7EC0033194601B364B7005B +:105C600033944C01935A090193850A00138504006B +:105C7000EF00404C9309050093850A00131B0901AE +:105C800013850400EF008046135B0B0193050500AC +:105C900013050B00EF00C0429399090193570401CB +:105CA000B3E7F90063FAA700B387270163E627018A +:105CB00063F4A700B3872701B384A74093850A0044 +:105CC00013850400EF0000479309050093850A003F +:105CD00013850400EF0080411314040193050500AF +:105CE0009399090113050B0013540401EF00403D83 +:105CF00033E48900637AA400330424016366240139 +:105D00006374A400330424013304A44033554401D4 +:105D1000930500008320C1040324810483244104EB +:105D2000032901048329C103032A8103832A410330 +:105D3000032B0103832BC102032C8102832C41021C +:105D4000032D0102832DC1011301010567800000AD +:105D5000B7070001130A0001E36EF6EC130A800195 +:105D60006FF05FED631A0600930500001305100045 +:105D7000EF00C03713090500B7070100637AF90E79 +:105D80009307F00F63F42701130A8000B35749010A +:105D9000B38AFA0003C70A0013050002B38429413D +:105DA00033074701330AE540E30E0AEA3319490194 +:105DB000B3DAE900B395490133D7EC0093540901F4 +:105DC000336BB70013850A0093850400EF0080361B +:105DD0009309050093850400931B090113850A00AC +:105DE000EF00C03093DB0B019305050013850B001A +:105DF000EF00002D9399090193570B01B3E7F900C8 +:105E000033944C0163FAA700B387270163E62701A7 +:105E100063F4A700B3872701B38AA74093850400E2 +:105E200013850A00EF0000319309050093850400F3 +:105E300013850A00EF00802B9305050013850B00E6 +:105E4000EF00002893150B019399090193D50501E3 +:105E5000B3E5B90063FAA500B385250163E6250122 +:105E600063F4A500B3852501B384A5406FF09FDFDF +:105E7000B7070001130A0001E36AF9F0130A800171 +:105E80006FF0DFF0E3E8D5E8B707010063FCF60444 +:105E9000930BF00F33B5DB001315350033D7A60095 +:105EA00097A7010093870741B387E70083CB0700DB +:105EB00093050002B38BAB00338B7541631E0B025D +:105EC00063E4360163EACC003384CC40B386D94026 +:105ED00033B58C00B384A6401305040093850400F9 +:105EE0006FF05FE3B707000113050001E3E8F6FA7E +:105EF000130580016FF09FFAB3966601335D76015A +:105F0000336DDD0033D47901B395690133DC7C0155 +:105F100093540D01336CBC001305040093850400F9 +:105F2000B31A6601EF000021130A050093850400EF +:105F30001305040033996C01931C0D01EF00001B45 +:105F400093DC0C01130405009305050013850C0078 +:105F5000EF000017131A0A0113570C013367EA0008 +:105F6000130A0400637EA7003307A701130AF4FF96 +:105F70006368A7016376A700130AE4FF3307A7014C +:105F8000B309A7409385040013850900EF00801A28 +:105F9000938504001304050013850900EF00001524 +:105FA000930505009304050013850C00EF004011D4 +:105FB00093150C011314040193D50501B365B400C6 +:105FC0001387040063FEA500B385A5011387F4FFC2 +:105FD00063E8A50163F6A5001387E4FFB385A50177 +:105FE000131A0A01B70C0100336AEA001384FCFF9C +:105FF000B3778A0033F48A00B384A5401385070081 +:10600000930504002326F100135A0A01EF00400B08 +:10601000930905009305040013050A00EF00400AE8 +:1060200013DC0A01930D050093050C0013050A000B +:10603000EF0000098327C100130A050093050C0037 +:1060400013850700EF00C0073305B50113D7090119 +:106050003307A7006374B701330A9A01B707010039 +:106060009387F7FF935507013377F700131707015D +:10607000B3F7F900B3854501B307F70063E6B40051 +:10608000639EB400637CF90033865741B3B7C70001 +:10609000B385A541B385F54093070600B307F940E2 +:1060A0003339F900B385B440B38525413394750184 +:1060B000B3D767013365F400B3D565016FF09FC5B1 +:1060C000130605001305000093F61500638406000F +:1060D0003305C50093D5150013161600E39605FE8B +:1060E000678000006340050663C605061386050049 +:1060F000930505001305F0FF630C060293061000DC +:10610000637AB6006358C000131616009396160003 +:10611000E36AB6FE1305000063E6C500B385C5401B +:106120003365D50093D6160013561600E39606FE87 +:106130006780000093820000EFF05FFB138505008D +:10614000678002003305A04063D80500B305B04066 +:106150006FF0DFF9B305B04093820000EFF01FF954 +:106160003305A040678002009382000063CA0500E7 +:10617000634C0500EFF09FF7138505006780020070 +:10618000B305B040E35805FE3305A040EFF01FF61D +:106190003305B0406780020097A701009387C73C92 +:1061A000944317A701001307E73A1843C8C2637B5B +:1061B000E50017A701001307A73A08438C43910590 +:1061C0006FA00FB8411122C406C617A7010013071C +:1061D00067392A8408438C439105EFA06FB697A7CF +:1061E0000100938767359C436376F40097A701000D +:1061F00023A48734B24022444101828097A7010042 +:1062000093878736984394437C43FD177CC3FC42B5 +:1062100099E3736004308280011122CC26CA2A845B +:10622000AE844AC84EC652C456C206CEEFB03FAE88 +:106230001C4003290401B7090001B3E59700930A44 +:10624000C4000CC08144FD19370A00021840638C59 +:106250002A03032609004A85B3654701B376360150 +:1062600093175600758F0329490063C4070001E79F +:10627000F1BFE39DE6FC9317760063D30700D58C4E +:10628000EFC05F98E1B793C4F4FFF98C04C0EFB09E +:106290001FCD0840F2406244D2444249B249224AEA +:1062A000924A056182804111014506C622C4EFE091 +:1062B0007FD117F7FFFF1307E7B181460546930526 +:1062C000400617A5010013056513EFD0AFE719C904 +:1062D0002A84EFB0DFA42A860147814685452285BE +:1062E000EFD0EFF2EFB02FFDB24022440145410163 +:0262F0008280AA +:02000004800278 +:10000000CE44FEFFCC45FEFFD644FEFFCC45FEFFAE +:10001000CC45FEFFCC45FEFFCC45FEFFEE44FEFF87 +:10002000CC45FEFFCC45FEFF0845FEFF1445FEFF14 +:10003000CC45FEFF2645FEFF3245FEFF3245FEFF62 +:100040003245FEFF3245FEFF3245FEFF3245FEFFE0 +:100050003245FEFF3245FEFF3245FEFFCC45FEFF36 +:10006000CC45FEFFCC45FEFFCC45FEFFCC45FEFF58 +:10007000CC45FEFFCC45FEFFCC45FEFFCC45FEFF48 +:10008000CC45FEFFCC45FEFFCC45FEFFCC45FEFF38 +:10009000CC45FEFFCC45FEFFCC45FEFFCC45FEFF28 +:1000A000CC45FEFFCC45FEFFCC45FEFFCC45FEFF18 +:1000B000CC45FEFFCC45FEFFCC45FEFFCC45FEFF08 +:1000C000CC45FEFFCC45FEFFCC45FEFFCC45FEFFF8 +:1000D000CC45FEFFCC45FEFFCC45FEFFCC45FEFFE8 +:1000E000CC45FEFFCC45FEFFCC45FEFFCC45FEFFD8 +:1000F000CC45FEFFCC45FEFFCC45FEFFCC45FEFFC8 +:100100006645FEFF7C45FEFFCC45FEFFCC45FEFF6D +:10011000CC45FEFFCC45FEFFCC45FEFFCC45FEFFA7 +:10012000CC45FEFFC245FEFFCC45FEFFCC45FEFFA1 +:100130007443FEFF2C44FEFFCC45FEFFCC45FEFF82 +:100140006244FEFFCC45FEFFBA44FEFFCC45FEFFF5 +:10015000CC45FEFFE844FEFFDA48FEFF2E49FEFFD5 +:10016000E248FEFF2E49FEFF2E49FEFF2E49FEFF0C +:100170002E49FEFFD447FEFF2E49FEFF2E49FEFF0B +:10018000EE47FEFFFA47FEFF2E49FEFF0C48FEFF3A +:100190001848FEFF1848FEFF1848FEFF1848FEFFEB +:1001A0001848FEFF1848FEFF1848FEFF1848FEFFDB +:1001B0001848FEFF2E49FEFF2E49FEFF2E49FEFF86 +:1001C0002E49FEFF2E49FEFF2E49FEFF2E49FEFF5F +:1001D0002E49FEFF2E49FEFF2E49FEFF2E49FEFF4F +:1001E0002E49FEFF2E49FEFF2E49FEFF2E49FEFF3F +:1001F0002E49FEFF2E49FEFF2E49FEFF2E49FEFF2F +:100200002E49FEFF2E49FEFF2E49FEFF2E49FEFF1E +:100210002E49FEFF2E49FEFF2E49FEFF2E49FEFF0E +:100220002E49FEFF2E49FEFF2E49FEFF2E49FEFFFE +:100230002E49FEFF2E49FEFF2E49FEFF2E49FEFFEE +:100240002E49FEFF2E49FEFF2E49FEFF2E49FEFFDE +:100250002E49FEFF2E49FEFF4C48FEFF7A48FEFF66 +:100260002E49FEFF2E49FEFF2E49FEFF2E49FEFFBE +:100270002E49FEFF2E49FEFF2E49FEFFD048FEFF0D +:100280002E49FEFF2E49FEFF3246FEFF2C47FEFFA1 +:100290002E49FEFF2E49FEFF9E47FEFF2E49FEFF20 +:1002A000C447FEFF2E49FEFF2E49FEFF2849FEFFF0 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020506F6C6C51207461736B73200D0A0098 +:1003E0006D69616F750A00006D69616F75320A0091 +:1003F00068756E6772790A00436865636B54696D4E +:1004000065720000506F6C6C5F546573745F51755A +:100410006575650051436F6E734E42005150726FA7 +:04042000644E4200E4 +:100424001000000000000000017A5200017C01016C +:100434001B0D020050000000180000004C4CFEFF91 +:100444005805000000440E507489039406990B81EA +:1004540001880292049305950796089709980A9AC9 +:100464000C9B0D036C010AC144C844C944D244D353 +:1004740044D444D544D644D744D844D944DA44DB9C +:10048400440E00440B0000004C0000006C0000000F +:100494005051FEFFDC05000000440E3070890395C6 +:1004A4000781018802920493059406960897099897 +:1004B4000A990B9A0C0370020AC144C844C944D275 +:1004C40044D344D444D544D644D744D844D944DA54 +:1004D400440E00440B00000050000000BC0000006B +:1004E400DC56FEFF0005000000440E5074880289AB +:1004F400039305990B810192049406950796089736 +:1005040009980A9A0C9B0D0320010AC144C844C9E6 +:1005140044D244D344D444D544D644D744D844D90B +:0C05240044DA44DB440E00440B000000ED +:0805A400FC8F010009000000BA +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/PollQ_rv32im_O3.hex b/VexRiscv/src/test/resources/freertos/PollQ_rv32im_O3.hex new file mode 100644 index 0000000..4944dc7 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/PollQ_rv32im_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/PollQ_rv32imac_O3.hex b/VexRiscv/src/test/resources/freertos/PollQ_rv32imac_O3.hex new file mode 100644 index 0000000..deec355 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/PollQ_rv32imac_O3.hex @@ -0,0 +1,1549 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000E1C5170502001305E54697950300938512 +:1000A000256E01461122170502001305E53C970550 +:1000B0000200938565430146C52817A50300130573 +:1000C000656C97B503009385A56B37B6ABAB13068C +:1000D000B6BAD92817B103001301C16AEF50F02C4A +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2822723A02200F322103417 +:1002400096DEEF00002B1701020003212126024158 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E22523A0120023A2AD +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40B025170102000321DA +:10033000E1170241F65273901234B7220000938203 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A21783A0B4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2820523A0220086DEEF20FD +:10046000402D17010200032161040241F6527390EE +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF40D005EF10700901E57A +:10050000B24041018280B24041016F2080229307B6 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30101F1F +:1005B0008280411122C406C62A8426C2EF209010F0 +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF20A0566DD5EF2080763C44FD1780 +:1005F0003CC43C44E344F0FEFD573CC4EF20D00E25 +:10060000EF20500C7C40635BF0021C4885CB9304C8 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2060526DD5EF2040729F +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20100A29C5411151 +:1006500022C426C22A8406C6AE84EF20B0063440E7 +:100660005C5C1840232C0402B387F6027D5670C0F0 +:1006700018C430C4B386D740BA9736975CC058C404 +:1006800091EC1C489DE3EF203006B2402244924496 +:100690000545410182807370043001A013050401F7 +:1006A000BD3513054402A535F9BF13050401EF203C +:1006B000E0498547E319F5FC913BF1B701E573701B +:1006C000043001A0011126CA4AC84EC606CE22CC6B +:1006D000B2892E89AA84A5C53305B502130555052F +:1006E000EF3080732A8435C1930745051CC144DC73 +:1006F00023202405EF20007D34405C5C18407D56AB +:10070000B387F602232C040270C018C430C413054A +:100710000401B386D740BA9736975CC058C4C53B2E +:1007200013054402ED33EF20207C23083405228595 +:10073000F2406244D2444249B249056182801305C5 +:100740004005EF30606D2A8401E57370043001A02C +:1007500000C071BF01E57370043001A06375B5007E +:100760007370043001A0411122C409462E848145D2 +:1007700006C6A93711C500DDB2402244410182807E +:100780007370043001A05D7186C6A2C4A6C2CAC03F +:100790004EDE52DC56DA5AD85ED632C6630A051CE3 +:1007A0006382051C89476399F600585D8547630598 +:1007B000F7007370043001A03689AE842A84EF20DC +:1007C000A05B11E5B24781C77370043001A0EF2030 +:1007D000606F185C5C5C014B894BFD59130A040186 +:1007E000930A44026365F70C63077919B247638182 +:1007F000072463150B002808EF206048EF20C06E27 +:10080000EF10C04FEF20006C7C406394370123222F +:1008100004043C446394370123240404EF20C06C97 +:100820006C002808EF20C047631E051EEF2080697A +:10083000185C5C5C630CF70AEF20006BEF208068AB +:100840003C44634FF00039A85685EF20203019C191 +:10085000EF2000503C44FD173CC43C446354F0007E +:100860005C50FDF323243405EF200068EF20806501 +:100870007C40634FF00039A85285EF20202D19C12C +:10088000EF20004D7C40FD177CC07C406354F0009D +:100890001C48FDF323223405EF200065EF10006BA8 +:1008A000054BEF202062185C5C5CE37FF7F2304080 +:1008B0006305060C631F09120844A685EF30006E1D +:1008C0001C4434405840B6971CC463EAE70A1C5CD9 +:1008D00014405850850714C41CDC5DC7130544023E +:1008E000EF20C02685476311F50AC9A8EF20C05F35 +:1008F000B2455285EF204007EF20C05C3C44634F77 +:10090000F00039A85685EF20602419C1EF2040443B +:100910003C44FD173CC43C446354F0005C50FDF380 +:1009200023243405EF20405CEF20C0597C40634F06 +:10093000F00039A85285EF20602119C1EF20404115 +:100940007C40FD177CC07C406354F0001C48FDF3E4 +:1009500023223405EF204059EF10405F31F17D3400 +:10096000054B81B73C41E38F07E27370043001A06F +:100970007370043001A0304021E61C4085C71C5C28 +:10098000585085071CDC39FBEF2000560545B64062 +:10099000264496440649F259625AD25A425BB25BE7 +:1009A000616182804840EF2040491C5C58502322FE +:1009B000040085071CDCE31307F279D5813CE9B715 +:1009C0004844A685EF30805D3C4058441440B3074E +:1009D000F0403E9758C4637CD7025840BA975CC435 +:1009E00009475C506306E902185C050718DCC9DF9B +:1009F000F5B54844A685EF30605A3C405844144051 +:100A0000B307F0403E9758C4E37CD7FCF9B75C507D +:100A1000185C79DB185C7D1718DC185C050718DC9E +:100A2000A5D76DBD22857136EF104052014585B7BF +:100A3000EF20804B0145A1BF411126C2AA841305B6 +:100A4000400522C406C6EF30203D2A8415CD232C54 +:100A50000502FD577CC10547410558DC3CC42322F3 +:100A60000400232004002324040023260400232060 +:100A7000040423089404613C13054402493C814664 +:100A800001468145228501332285B24022449244A9 +:100A9000410182800DC5411122C426C206C64AC04A +:100AA000032945002A84EF20602C8144630CA900AF +:100AB000B2402244268502499244410182807370EB +:100AC000043001A05C448544FD175CC4F5F3814605 +:100AD0000146814522854539E1BF31CDA1C90111CA +:100AE00022CC26CA4AC852C406CE4EC68947B6840E +:100AF00032892E8A2A846399F600585D8547630FF0 +:100B0000F7047370043001A0C932185C5C5CAA89D8 +:100B10006366F70281444E857532F2406244268551 +:100B20004249D244B249224A056182803C41C5DB38 +:100B30007370043001A07370043001A0304041E2B2 +:100B40001C40D5CB1C5C7D5785071CDC3C4463876F +:100B5000E7083C44854485073CC475BFBD32185C3A +:100B60005C5CAA89636DF702304079DA4844D2852B +:100B7000EF30C0423C4058441440B307F0403E9729 +:100B800058C46375D7005840BA975CC48947E39B43 +:100B9000F4FA1C5CC5DB1C5CFD171CDC65B730403F +:100BA00045D24844D285EF30603F3C405844144021 +:100BB000B307F0403E9758C4E367D7FCD9BFDDF4D4 +:100BC0000844D285EF30803D1C4434405840B697ED +:100BD0001CC4E3E9E7F61C401CC4ADB75C50854477 +:100BE0009DDB13054402EF10707615D5E30509F27D +:100BF000232099000DB74840EF2020242322040031 +:100C000091B721CD3C4181C77370043001A01C41D4 +:100C1000A1CB011122CC26CA2A844AC84EC606CED0 +:100C20002E896530185C5C5CAA898144637DF7007D +:100C30001C5C7D5785071CDC3C446388E7023C4410 +:100C4000854485073CC44E85B538F240624426850C +:100C50004249D244B249056182807370043001A0D8 +:100C60005C41C5DB7370043001A05C5099E385449E +:100C7000D9BF13054402EF10706D75D9E30909FE61 +:100C800085472320F900E5B7397106DE22DC26DA34 +:100C90004AD84ED652D456D232C66302051C638A55 +:100CA000051AB68AAE892A84EF20000D11E5B247F5 +:100CB00081C77370043001A0EF20C0201C5C6392D8 +:100CC0000714B247638E070A2808EF10507BFD54C3 +:100CD00013094402130A0401EF200021EF1000025F +:100CE000EF20401E7C4063949700232204043C4480 +:100CF0006394970023240404EF20001F6C0028084D +:100D0000EF10107A63130518EF20C01B1C5CADCFE9 +:100D1000EF20801DEF20001B3C44634FF00039A8FA +:100D20004A85EF10B06219C1EF2080023C44FD17E4 +:100D30003CC43C446354F0005C50FDF324C4EF20F9 +:100D4000A01AEF2020187C40634FF00039A852858C +:100D5000EF10D05F19C1EF10B07F7C40FD177CC051 +:100D60007C406354F0001C48FDF364C0EF20C017C2 +:100D7000EF10C01DEF2000151C5CC1E7B247A9FFB2 +:100D8000EF208016014555A0EF2000161C40DDC75E +:100D9000B2454A85EF10503DEF20C0123C44634FEE +:100DA000F00039A84A85EF10705A19C1EF10507A37 +:100DB0003C44FD173CC43C446354F0005C50FDF3DC +:100DC00024C4EF206012EF20E00F7C40634FF0005E +:100DD00039A85285EF10905719C1EF1070777C40F9 +:100DE000FD177CC07C406354F0001C48FDF364C0D8 +:100DF000EF20800FEF10801531C9EF20A00C1C5C94 +:100E0000B5DFCE8522854444EFF0CFF8639C0A0419 +:100E10001C5C1840FD171CDC01E7EF20601148C086 +:100E20001C48A1EFEF20400C0545F2506254D2540B +:100E30004259B259225A925A21618280EF20800889 +:100E40004840EF107075EF20200A99B7EFF00FDCE3 +:100E50006DB73C41E38707E47370043001A0737001 +:100E6000043001A05C5044C4D5DF13054402EF10E8 +:100E7000F04D4DD9EFF08FD975B713050401EF1080 +:100E8000F04C8547E310F5FAF5B72285EFF06FF2E5 +:100E9000EF10C00B014551BF1DC9411122C44AC00A +:100EA00006C626C244412E892A84EF10306C638323 +:100EB000A40281464A8681452285F933854763002D +:100EC000F502B2402244924402494101828073708B +:100ED000043001A05C44054585075CC4DDB75C4473 +:100EE00085075CC4F9BF15CDADC9011122CC26CA56 +:100EF0004AC84EC652C42A8406CE3289AE89EFF063 +:100F0000CFDC1C5C2A8A814485E35285EFF08FDBBD +:100F1000F240624426854249D244B249224A0561E0 +:100F200082807370043001A0304001CE4C445C409C +:100F3000B2954CC463E4F5000C404CC44E85EF30D0 +:100F4000E0051C5C7D57FD171CDC7C40638CE700D2 +:100F50007C40854485077CC04DBF3C41D9D7737028 +:100F6000043001A01C4899E3854445B713050401EA +:100F7000EF10D03D75D9E30909FE85472320F9001C +:100F8000E5B721C93C41A1CDA1CB011122CC26CA94 +:100F90004AC852C42A8406CE4EC62E8AEFF0EFD23B +:100FA0001C5C2A89814491CB8329C400D285228587 +:100FB000EFF04FDE8544232634014A85EFF08FD0D1 +:100FC000F240624426854249D244B249224A056130 +:100FD00082807370043001A07370043001A0EDDFD3 +:100FE0007370043001A001E57370043001A0411159 +:100FF00022C42A8406C6EF10F06C005CEF10D06E9D +:10100000B240228522444101828001E573700430A0 +:1010100001A0411106C622C42A84EF10B06A1C5CEC +:10102000405C1D8CEF10506C2285B240224441017F +:10103000828019C1085D82807370043001A01DCDCB +:101040009787030093870770D843630CE502D8475E +:10105000630CE504D84B6307E504D84F6302E5044D +:10106000D853630DE502D8576308E502D85B6303E4 +:10107000E502D85F630EE5006F20306C73700430BA +:1010800001A001470E07BA9723A007006F20F06A5E +:101090001D47CDBF1947FDB71547EDB71147DDB760 +:1010A0000D47CDB70947F9BF0547E9BF68458280BD +:1010B0006CC5828003450505828009C5085D13352E +:1010C000150082807370043001A019C51C5D485D55 +:1010D0001D8D1335150082807370043001A0978731 +:1010E000030093872766984305C3984705C7984B25 +:1010F00005C7984F05C7985305C7985705C7985B0C +:1011000015C7985F15C3828001470E07BA978CC335 +:10111000C8C382800547D5BF0947C5BF0D47F5B78E +:101120001147E5B71547D5B71D47C5B71947F1BFF3 +:101130009787030093870761D8436308E502D84780 +:10114000630BE502D84B630AE502D84F6309E50259 +:10115000D8536308E502D8576307E502D85B6308F4 +:10116000E502D85F6303A702828001470E07BA97A2 +:1011700023A0070082800547D5BF0947C5BF0D479B +:10118000F5B71147E5B71547D5B71D47C5B7194797 +:10119000F1BF411122C426C24AC02A8406C6AE84C9 +:1011A0003289EF1030527840FD576314F700232244 +:1011B00004043844FD576314F70023240404EF109B +:1011C000B0521C5C99E74A86A68513054402EF10CD +:1011D000B00CEF10304F3C44635BF0025C5085CBA9 +:1011E0009304440209A83C44FD173CC43C446350AA +:1011F000F0025C5089CF2685EF1050156DD5EF10A9 +:1012000030353C44FD173CC43C44E344F0FEFD57FC +:101210003CC4EF10704DEF10F04A7C40635BF0026D +:101220001C4885CB9304040109A87C40FD177CC0B1 +:101230007C406350F0021C4889CF2685EF101011C6 +:101240006DD5EF10F0307C40FD177CC07C40E3444E +:10125000F0FEFD577CC02244B24092440249410155 +:101260006F10904805C579714ECE52CC06D63E8A95 +:1012700022D426D24AD056CA5AC85EC662C4A547EE +:10128000BA8963F8E7007370043001A0737004300A +:1012900001A0AA8AAE843289B68B428B63090822E8 +:1012A00013050006EF2050372A84630D05200A0934 +:1012B000232865034A869305500A5A85EF20105C5F +:1012C00003C704001C587119230AE40203C7040071 +:1012D0003E991379C9FF79C783C71400A30AF402A2 +:1012E00083C71400E1C383C72400230BF40283C720 +:1012F0002400CDCB83C73400A30BF40283C7340092 +:10130000D5C383C74400230CF40283C74400D9CB60 +:1013100083C75400A30CF40283C75400C1C783C71A +:101320006400230DF40283C76400ADCF83C774004B +:10133000A30DF40283C77400B5C783C78400230ECE +:10134000F40283C78400B9CF83C79400A30EF402CC +:1013500083C79400A1CB83C7A400230FF40283C7E3 +:10136000A400A9C383C7B400A30FF40283C7B400C9 +:1013700095CB83C7C4002300F40483C7C4009DC376 +:1013800083C7D400A300F40483C7D40081CF83C7EC +:10139000E4002301F40483C7E40089C783C7F40091 +:1013A000A301F404130B44005A85A301040423266B +:1013B000340323283405232A0404EFF08F96130501 +:1013C0008401EFF00F96A947B3873741232C04041B +:1013D00000C81CCC40D023220404232E04045E86C3 +:1013E000D6854A85EFF00F8F08C063040A002320DA +:1013F0008A007370043017F9010013096909832703 +:10140000090097F401009384640A91C798409C40B6 +:101410007C4385077CC317F70100130727081C438B +:10142000850797F6010023ABF6069C40D5CB8327B2 +:10143000090017FA0100130AAA1489EB9C40DC5733 +:1014400063E6F90097F7010023A2870697F70100EA +:1014500093870703984397F601009386A6035C548D +:101460009442050717F60100232CE60038C463F602 +:10147000F60017F70100232FF7005147B387E70263 +:10148000DA853305FA00EFF02F8A8327090081C738 +:101490009C40FC43BDE383270900054499C79C4059 +:1014A000DC5763F43701EFE07FF62285B250225417 +:1014B00092540259F249624AD24A424BB24B224CF0 +:1014C000456182805A85EF2050277D54F9BF13155E +:1014D0002600EF2070142A8BE31405DC7D54F1B74D +:1014E00097F7010023A487FC184385476301F7029F +:1014F00017FA0100130ACA0891BF984094407C4330 +:10150000FD177CC3FC42C1FB7360043069B7814A9C +:1015100017FA0100130ACA06514CA94B33858A03F6 +:10152000850A5295EFE0BFFEE39A7AFF97FB010030 +:10153000938B8B115E85EFE09FFD97FA0100938AF4 +:10154000EA115685EFE0BFFC17F5010013054512BF +:10155000EFE0FFFB17F501001305C512EFE03FFBBD +:1015600017F5010013054513EFE07FFA97F7010027 +:1015700023AC77F397F7010023A657F3C1BD4111C0 +:1015800022C406C626C24AC02A847370043097F467 +:1015900001009384E4EF9C40F9E311E497F7010024 +:1015A0009387C7F08043130944004A85EFE05FFC4E +:1015B0001C5489C713058401EFE09FFBCA8517F50A +:1015C00001001305250CEFE03FF697F70100938724 +:1015D00027ED984397F70100938787EA9C43050717 +:1015E00097F6010023AEE6EA9840850797F60100DA +:1015F00023A8F6E801CB97F70100938727EB9843E0 +:10160000784349EF9C40B1C797F70100938707EAF9 +:101610009843630F870873700430984039EB17F7CD +:101620000100130767E814439442A5E27D5797F63B +:10163000010023A5E6E4984009CF9843784311CBF5 +:10164000984394437C43FD177CC3FC4299E3736049 +:101650000430B2402244924402494101828097F70B +:1016600001009387A7E498439C437C4385077CC390 +:101670002DB794439843F8420507F8C217F70100C5 +:10168000130787E214439442D5D21843584758476A +:10169000584397F6010023A3E6DE71BF98439443B5 +:1016A0007C43FD177CC3FC42B1FF7360043099BFDB +:1016B00097F70100938747DC9C4381C77370043020 +:1016C00001A02244B2409244024941016FE01FD47C +:1016D00041C517F70100130767DD14438147638B8A +:1016E000A606411106C622C426C27370043097F6BE +:1016F00001009386E6D99C4291C710431C437C426B +:1017000085077CC29C42AA84404981C71C43FC4394 +:10171000B9E797F70100938727D99C43638B870428 +:1017200097F70100938707D89C436384870497F752 +:101730000100938767F66309F40297F70100938726 +:1017400067F46301F4028547B240224492443E8527 +:10175000410182803E8582807370043001A0EF4099 +:10176000203A45BF9147CDB79C5493B717008907DE +:10177000E1BF8947D1BF7370043097F701009387A9 +:1017800027D1984309EF15C59C43485581CB97F75E +:1017900001009387A7D19843784315E3828017F718 +:1017A00001001307A7D014431843F8420507F8C2F5 +:1017B00061FD17F70100130767CF0843F1B798439E +:1017C00094437C43FD177CC3FC42E9FB7360043007 +:1017D0008280411122C42A8406C6EFE01FCF11E4A3 +:1017E00097F70100938787CC80434054EFE09FCD6B +:1017F000B2402285224441018280A54763F5B700AB +:101800007370043001A0011122CC06CE26CA4AC84A +:101810004EC652C42A847370043017F901001309AC +:1018200029C783270900D5EF79C43C486389F508A7 +:1018300017F70100130787C763FCB7001443638DD4 +:10184000860C18434457B3B4950093C4140029A0E0 +:101850000443818C93B4140058546384E70A1C4CED +:101860002CC863C60700A947B385B7400CCC9317B3 +:101870002700BA97584897F90100938969D08A07D9 +:10188000CE97631BF702130A44005285EFE05FCE48 +:1018900017F70100130707C05C5418436376F7007D +:1018A00017F701002328F7BE5147B387E702D28517 +:1018B0003385F900EFE05FC799C0EFE03FB58327BC +:1018C000090081CB97F70100938747BE9C43FC43F7 +:1018D0009DEBF2406244D2444249B249224A05613A +:1018E000828097F70100938767BC98439C437C43B1 +:1018F00085077CC31DF897F70100938727BB8043BA +:101900002DB74CD4A9BF6244F240D2444249B249F7 +:10191000224A05616F40C01E81443DBF25C10111AF +:1019200026CA97F40100938464B89C4006CE22CC6A +:101930004AC84EC6638DA7027370043017F90100C0 +:10194000130909B58327090091C798409C407C433F +:1019500085077CC3584997F701009387E7D3630253 +:10196000F7028327090081C79C40FC43A5EBF240A6 +:101970006244D2444249B2490561828073700430A6 +:1019800001A01C5517F70100130787CEE38BE7FC76 +:10199000E9FB930945002A844E85EFE07FBD17F7E8 +:1019A0000100130727AF5C5418436376F70017F75D +:1019B00001002321F7AE5147B387E70217F5010075 +:1019C000130505BCCE853E95EFE01FB69C405854EC +:1019D000DC57E368F7F8EFE07FA361B76244F240B9 +:1019E000D2444249B24905616F40801115CD0111C1 +:1019F00022CC26CA4AC82A8406CE4EC6EFE0FFACE7 +:101A0000584897F70100938727C92A8981446300C2 +:101A1000F7024A85EFE01FABF24062442685424957 +:101A2000D244B249056182807370043001A01C5415 +:101A300017F501001305C5C3E38DA7FCF9FB97F764 +:101A40000100938767A39C43A9EB97F70100938755 +:101A5000E7A59C43445493094400DC574E85B3B436 +:101A6000F400EFE0FFB017F701001307A7A25C54E2 +:101A7000184393C414006376F70017F70100232B73 +:101A8000F7A05147B387E70217F50100130545AFEB +:101A9000CE853E95EFE05FA9ADBF93058401EFE0F1 +:101AA000BFA885BF0111056506CE22CC26CA4AC84B +:101AB0004EC652C456C25AC0EF200036630E051AF5 +:101AC000AA8413050006EF2020352A84630A051C2A +:101AD00005669305500A04D92685EF20205A04583C +:101AE0008567F117BE94B7474C4593879744130A0F +:101AF00044005CD85285230C0402A301040423266D +:101B0000040223280404232A0404EFE09FA1130500 +:101B10008401EFE01FA1A947232C0404F19800C819 +:101B20001CCC40D023220404232E04040146971524 +:101B300000009385E5B12685EFE0DF9908C073705A +:101B4000043017F901001309A9948327090097F4B9 +:101B500001009384A49591C798409C407C438507DD +:101B60007CC317F70100130767931C43850797F69B +:101B7000010023A5F6929C40C9C38327090097F969 +:101B800001009389E99F81EB9C40DC5789E797F737 +:101B9000010023AD879097F701009387678E9843E4 +:101BA00097F601009386068F5C549442050717F65A +:101BB00001002327E68C38C463F6F60017F701000E +:101BC000232AF78C139527003E950A05D2854E955A +:101BD000EFE09F958327090081C79C40FC43DDE728 +:101BE0008327090091C39C40EF1070498547630526 +:101BF000F50C59E17370043001A097F7010023A799 +:101C0000878A1843854797F9010093896997E314F8 +:101C1000F7F8CE8A17FB0100130B0BA35685D10AE8 +:101C2000EFE0FF8EE31C5BFF17F501001305C5A174 +:101C3000EFE0FF8D97FA0100938A4AA25685EFE004 +:101C40001F8D17F501001305A5A2EFE05F8C17F5B6 +:101C50000100130525A3EFE09F8B17F50100130585 +:101C6000A5A3EFE0DF8A97F7010023AF678397F71B +:101C7000010023A9578305B7F2406244D244424988 +:101C8000B249224A924A024B0561828098409440B0 +:101C90007C43FD177CC3FC42A1F77360043089B715 +:101CA0006244F2404249B249224A924A024B268596 +:101CB000D24405616F20602873700430FD5717E728 +:101CC0000100232DF77A624497E7010023A2A77C45 +:101CD000F240D2444249B249224A924A024B97E723 +:101CE000010023AB077A05616FE0AFDB737004304E +:101CF00097E7010023AE07786FE0EFE817E70100F0 +:101D0000130787771C4385071CC3828073700430D8 +:101D100097E701009387C777984305E317E701002A +:101D20001307877708439C4381CB97E7010093878C +:101D3000E7779843784301EF828017E701001307A4 +:101D4000E77614431843F8420507F8C2C1BF984329 +:101D500094437C43FD177CC3FC42F9FF736004305D +:101D60008280411106C622C4EFE02FF697E70100FA +:101D7000938787728043EFE0EFF4B24022852244DC +:101D80004101828097E701009387477188438280F1 +:101D9000797106D622D426D24AD04ECE52CC56CA1B +:101DA0005AC85EC697E701009387076D9C43B1EF61 +:101DB00017E701001307476E1C43850797E60100EC +:101DC00023ACF66C832A0700638F0A0697E70100AD +:101DD0009387C76A9C43814463F1FA0897E901003D +:101DE0009389097A17EA0100130A4A6C83270A00CB +:101DF0008546D85793172700BA978A07BE9983A7B5 +:101E0000090063FFF600854421A897E70100938746 +:101E1000E7679C438144850717E701002328F7669D +:101E200097E70100938747669C4391C38544B2506E +:101E30002254268502599254F249624AD24A424BB0 +:101E4000B24B4561828017E401001304E4651C4035 +:101E50009C43DDC37370043001A017E40100130438 +:101E6000A46497E901009389A97117EA0100130A94 +:101E7000EA6317EB0100130BEB611C409C43B5C3F5 +:101E80001C40DC4703A9C70083274900930B490086 +:101E90005E8563EEFA04EFE0AFED83278902130558 +:101EA000890199C3EFE0CFEC8327C90203270B0018 +:101EB000DE85139527003E950A054E956376F7005B +:101EC00017E701002328F75CEFE00FE683270A00FD +:101ED0000327C902DC57E362F7FA1C4085449C43A0 +:101EE000C5F3FD5717E70100232AF75801B717E795 +:101EF00001002325F758DDBD17E701001307875AB6 +:101F00001C40184397E6010023A0E65A17E701009A +:101F1000232AF75897E701009387C7569C43850704 +:101F200017E701002320F7561C409C4399E7FD5713 +:101F300017E701002324F75451BD1C40DC47DC4760 +:101F4000DC4317E70100232BF75249B597E701005F +:101F500093878752984301E77370043001A0011101 +:101F600006CE22CC26CA4AC84EC652C456C25AC051 +:101F70007370043097E4010093848451984061EBBE +:101F800098437D1797E6010023A8E64E9C43D9EBC2 +:101F900097E70100938787509C43C9C797EA0100E0 +:101FA000938A0A5E17EA0100130ACA4E17E9010074 +:101FB0001309C94F854919A83385EA00EFE0CFD648 +:101FC000832709005854DC576379F70483A70A0F65 +:101FD000C1CF83A7CA0FC04713058401EFE04FD9D3 +:101FE000130B44005A85EFE0AFD85C5483260A00F7 +:101FF000DA85139527003E950A052A875695E3FD55 +:10200000F6FA17E701002327F748EFE0EFD183271F +:1020100009005854DC57E36BF7FA97E7010023A552 +:1020200037476DB701449C4081CB97E70100938708 +:10203000E7479C43FC4395E72285F2406244D24443 +:102040004249B249224A924A024B0561828017E70F +:1020500001001307A74514431843F8420507F8C2C7 +:1020600005B7EF30F029C9BF17E40100130404429B +:102070001C4095C31C40054999CF193B09C597E7FA +:10208000010023A327411C40FD1717E70100232F60 +:10209000F73E1C40FDF397E701009387E73E984326 +:1020A0008547E311F7F8EFE06FB60544ADBF11CDFA +:1020B00081E57370043001A017E701001307C73BE7 +:1020C0001C4399C77370043001A07370043001A0E1 +:1020D000411122C406C614431841850617E60100C3 +:1020E000232CD63897E601009386063B94423304AE +:1020F000B70000C163F7E602637FE40063FD86007A +:1021000097E701009387873A88431105EFE04FC6B0 +:102110002285EF30B0181D3D01C9B2402244410173 +:102120008280E36FE4FCD9BF2244B24041016FE0FA +:10213000EFAD11C917E70100130707341C4391C71E +:102140007370043001A06FE06FAC411106C622C469 +:102150001843AA87050797E6010023AFE63097E604 +:1021600001009386A63417E701001307E7321843EE +:1021700088423304F7001105EFE08FBF2285EF306E +:10218000F011E93311E52244B24041016FE00FA89C +:10219000B240224441018280411122C406C697E721 +:1021A00001009387672D9C430144850717E70100D1 +:1021B0002324F72C97E701009387472E9C4363E085 +:1021C000F51217EE0100130E6E4797E2010093829D +:1021D000623A01440147854F1303500A21A0311E82 +:1021E000638DC20B83278EFFBA86F5DB8327CEFF74 +:1021F000DC43232EFEFE638AC70F03AFC700DC4318 +:102200002A97814E232EFEFE6385C70783A8C70049 +:1022100093874803232017015CC383A788042326E0 +:10222000F7011CC783A7C8021CCB83A70805232C72 +:1022300007005CCB03A8080383470800639067048A +:10224000C287850783C60700B3850741E38B66FE17 +:102250008981C205C181231EB700850E13070702BD +:1022600063061F038327CEFFDC43232EFEFEE39F7E +:10227000C7F983274E00232EFEFE49BF8145231E4A +:10228000B700850E13070702E31E1FFD7694131790 +:102290005400311EBA86E397C2F597E70100938791 +:1022A000A72003AE070083270E00639D070E97E764 +:1022B00001009387271F03AE070083270E00639258 +:1022C000071817EE0100130EAE2B83274E109DE367 +:1022D00083278E116395072019C223200600BD3184 +:1022E0002285B24022444101828083274E00232E62 +:1022F000FEFE21B783278E1017EF0100130F0F3951 +:10230000DC4317E701002321F7386380E73383A21A +:10231000C700DC43AA96814E17E701002326F73653 +:10232000914F1303500A6388E70783A8C700938778 +:10233000480323A01601DCC283A7880423A6F60164 +:102340009CC683A7C8029CCA83A7080523AC0600C5 +:10235000DCCA03A80803834708006391672AC28781 +:10236000850703C70700B3850741E30B67FE93D7D3 +:102370002500C207C183239EF600850E93860602C0 +:102380006386122983278E10DC4317E70100232D73 +:10239000F72EE39CE7F983270E1117E701002325A9 +:1023A000F72E61B783274E00130F8E00D4432322EC +:1023B000DE006388E629B307E500D84283A2C600A1 +:1023C000814E2322EE00894F1303500A6306EF0665 +:1023D0008328C7001387480323A01701D8C303A786 +:1023E000880423A6F70198C703A7C80298CB03A7C0 +:1023F000080523AC0700D8CB03A80803034708004F +:102400006318671C4287050783460700B305074129 +:10241000E38B66FE13D7250042074183239EE70026 +:10242000850E93870702638D121B83264E00D842C8 +:102430002322EE00E31EEFF80327CE002322EE0056 +:1024400041BF83274E00130F8E00DC432322FE0082 +:102450006384E71F83A2C700DC43AA96814E232230 +:10246000FE00894F1303500A6306FF0683A8C700C6 +:102470009387480323A01601DCC283A7880423A600 +:10248000F6019CC683A7C8029CCA83A7080523AC93 +:102490000600DCCA03A80803834708006396671098 +:1024A000C287850703C70700B3850741E30B67FEB3 +:1024B00093D72500C207C183239EF600850E93861D +:1024C0000602638B120F83274E00DC432322FE009B +:1024D000E31EFFF88327CE002322FE0041BF83279F +:1024E000CE1197EE0100938EAE1BDC4317E701007F +:1024F0002326F71A6384D71383AFC700DC4333075F +:10250000D500014397E6010023AAF6180D4F894232 +:102510009308500A6387D707C8479307450308C342 +:102520005CC33C452326E7011CC75C551CCB1C55EE +:1025300099C3232657003C49232C07005CCB032872 +:1025400005038347080063951705C287850783C67F +:102550000700B3850741E38B16FF93D72500C20719 +:10256000C183231EF700050313070702638AAF0226 +:102570008327CE11DC4397E6010023A1F612E39DE9 +:10258000D7F983274E1297E6010023A9F61069B701 +:102590008147231EF700050313070702E39AAFFCE8 +:1025A0001A94E31C06D225BB8147239EF600850EB4 +:1025B00093860602E39912F117EE0100130E4EFC0A +:1025C00083274E10769493165400E38307D01DB3EF +:1025D0000147239EE700850E93870702E39712E5E4 +:1025E00097E70100938707EC03AE070076949316F4 +:1025F000540083270E00E38607CCA1B58147239EB4 +:10260000F600850E93860602E39E12D783278E116D +:10261000769493165400E38107CCD1B583274E12EC +:1026200017E70100232CF706C1BD83270E1117E71A +:102630000100232BF704E1B98327CE002322FE00FB +:1026400011BD8326CE002322DE00B5B3797126D2D8 +:102650004AD04ECE52CC56CA5AC85EC606D622D4EE +:1026600097E90100938949E117E90100130949F14C +:1026700017EA0100130ACAE197EA0100938A0AE304 +:1026800097EB0100938B8BE197E40100938444E185 +:10269000054B21A883A7090003244910850717E7E4 +:1026A0000100232BF7DC5D3011E89C40E5F7832720 +:1026B0000900E37CFBFEEFD07FD5C5BF737004300B +:1026C00083270A00B9E383270911C0471305440093 +:1026D000EFD01FEA83A70B00FD1717E70100232F98 +:1026E000F7DA9C40FD1717E70100232BF7DA832761 +:1026F0000A0089C783A70A00FC4385E30858EF1046 +:10270000D0032285EF1070034DB703A70A0083A7FB +:102710000A007C4385077CC37DB703A70A0083A614 +:102720000A007C43FD177CC3FC42E9FB7360043064 +:10273000F1B797E70100938727D49C43DDE341116C +:1027400006C697E7010023A107D417E70100130786 +:10275000E7D51C43B7A6A5A59386565A9C5B8C4328 +:10276000639BD500D0436318B60094476395C600B9 +:10277000DC476388D70008430C4393854503EF206B +:10278000E07297E601009386E6D0904217E70100D9 +:10279000130707DF11A89C42B9CB9C42FD1717E62F +:1027A00001002329F6CE904293172600B2978A079C +:1027B000BA979C43EDD3904293172600B2978A07AD +:1027C000B305F700D441A107BA97D442D4C16383BB +:1027D000F602DC46B24017E701002329F7CC41019D +:1027E0008280854717E701002320F7CA8280737033 +:1027F000043001A0D1473306F602D442329754C3C5 +:10280000C9BF01114AC806CE22CC26CA4EC62A89A3 +:102810007370043097E40100938484C79C4017E4EC +:1028200001001304A4C8D9E76314090003290400B4 +:10283000930949004E85EFD0BFD38327890289C70A +:1028400013058901EFD0DFD2CE8517E5010013050E +:10285000A5E4EFD07FCD9C4081C71C40FC43ADE395 +:102860001C40638B27079C409DCF737004309C40B5 +:10287000C1EB97E70100938727C39843184325EBE3 +:10288000FD5717E70100232BF7BE9C4089CF1C4062 +:10289000FC4391CB184014407C43FD177CC3FC42A1 +:1028A00099E373600430F2406244D2444249B24931 +:1028B0000561828018401C407C4385077CC3ADB70E +:1028C000184014407C43FD177CC3FC42D1FB73606D +:1028D00004301C40E39927F99C40A1C397E701000D +:1028E000938787B99C43ADCB7370043001A09C43A0 +:1028F000DC47DC47DC4317E701002321F7B871B759 +:1029000018401C407C4385077CC397E701009387F0 +:10291000A7B9984318432DD7D9BF97E701009387EC +:10292000E7B717E701001307A7C59C4303278711E3 +:10293000631DF700F240624497E7010023A807B641 +:10294000D2444249B249056182806244F240D24495 +:102950004249B2490561F1BB6244F240D244424966 +:10296000B24905616FD09FAA3DC9411126C297E4C3 +:1029700001009384A4B34AC02E898C4006C622C4A9 +:10298000E105EFD0FFBB88401105EFD07FBEFD57BA +:10299000630AF90497E70100938707B080439840E2 +:1029A0009C434A9440C3636CF40497E70100938707 +:1029B000A7AF88438C409105EFD09FB897E70100FF +:1029C0009387C7AB9C436376F40097E7010023A786 +:1029D00087AAB24022449244024941018280737026 +:1029E000043001A08C402244B2409244024917E5D1 +:1029F0000100130565CA910541016FD0FFB297E749 +:102A00000100938727AA884322448C40B240924415 +:102A10000249910541016FD0BFB211C997E701008A +:102A2000938787A59C4399E77370043001A0737066 +:102A3000043001A0411126C297E40100938404A749 +:102A40009840AE878C40B7060080D58F06C622C45A +:102A50001CCFE1053284EFD03FAD88401105EFD0A7 +:102A60003FB1FD57630EF40097E701009387C7A2BB +:102A70008843B24092442295224441016F300002C3 +:102A80008C402244B240924417E501001305C5C0B2 +:102A9000910541016FD05FA925CD411126C297E470 +:102AA00001009384A4A04AC02E898C4006C622C48B +:102AB000E1053284EFD05FA788401105EFD05FAB0E +:102AC0008547630AF40497E701009387E79C8043F6 +:102AD00098409C434A9440C3636CF40497E7010018 +:102AE0009387879C88438C409105EFD07FA597E71B +:102AF00001009387A7989C436376F40097E7010051 +:102B000023AE8796B240224492440249410182801A +:102B10007370043001A08C402244B24092440249B8 +:102B200017E50100130545B7910541016FD0DF9FFF +:102B300097E7010093870797884322448C40B2406F +:102B400092440249910541016FD09F9F411106C6F1 +:102B500022C426C25C45C04735CC93048401268537 +:102B6000EFD01FA197E70100938707919C43B5E73A +:102B7000930444002685EFD0BF9F97E70100938719 +:102B8000679158549C4363F6E70097E7010023A33D +:102B9000E79093172700BA978A0717E501001305F6 +:102BA000259EA6853E95EFD03F9897E70100938735 +:102BB000E78F9C4358540145DC5763F8E70085478D +:102BC00017E701002322F78C0545B24022449244C6 +:102BD000410182807370043001A0A68517E50100D1 +:102BE000130505A9EFD05F94C9B797E70100938754 +:102BF000A7889C4381E77370043001A0411106C689 +:102C000022C426C2B70700804045CD8F1CC12DC409 +:102C1000EFD01F96930444002685EFD07F9597E769 +:102C200001009387278758549C4363F6E70097E792 +:102C3000010023A1E78693172700BA978A0717E5B3 +:102C400001001305E5933E95A685EFD0FF8D97E72C +:102C500001009387A7859C4358540145DC5763F8CE +:102C6000E700854717E701002320F7820545B240BA +:102C700022449244410182807370043001A011CD3E +:102C800097E7010093870780984397E701009387B0 +:102C9000A7809C4318C15CC182807370043001A07E +:102CA00055C1D1CD411106C622C42A8773700430A4 +:102CB00097D801009388C87D83A7080091CB97D748 +:102CC00001009387A77E94439C43FC428507FCC286 +:102CD00017D301001303437C9C4103260300FD56D8 +:102CE0000144638FD70217DE0100130EAE7903256E +:102CF0000E000328070054436305A80005446371D0 +:102D0000D6023308D6400544637CF80003250E0044 +:102D1000918F03260300B6979CC108C350C301449A +:102D200083A7080081CB97D70100938727789C431E +:102D3000FC4381EF2285B2402244410182807370BE +:102D4000043001A07370043001A0EF20705BDDB788 +:102D5000854717D701002329F772828019C168457A +:102D600082800145828011C16CC5828097D70100A5 +:102D70009387C7738843828097D7010093874771F1 +:102D80009C43054589CB97D701009387E76E88431D +:102D9000133515000605828031CD011126CA97D45E +:102DA00001009384A4709C4006CE22CC4AC84EC633 +:102DB0005855DC576379F7021C4D63C707009C40E8 +:102DC000D457A947958F1CCD93172700BA97584918 +:102DD00017D901001309C97A8A07CA97630DF7004A +:102DE0009C40DC575CD5F2406244D2444249B2492F +:102DF000056182808280930945002A844E85EFD048 +:102E00002FF79C4017D701001307C7681843DC57FA +:102E10005CD46376F70017D70100232DF76613956E +:102E200027003E9562440A05F240D244CE854A9579 +:102E3000B249424905616FD02FEF19CD411106C645 +:102E400022C426C297D70100938747668043630751 +:102E5000A4007370043001A0014582807C4881E7A2 +:102E60007370043001A054543848FD177CC8638344 +:102E7000E60099C70145B240224492444101828054 +:102E8000930444002685EFD0AFEE3C4817D70100ED +:102E900013074760144329471D8F5CD418CC63F691 +:102EA000F60017D701002327F75E5147B387E702E3 +:102EB00017D501001305C56CA6853E95EFD0CFE66A +:102EC000054555BF7370043097D701009387475C61 +:102ED0009C4391CB97D701009387475D98439C43D0 +:102EE0007C4385077CC3828097D701009387475A2C +:102EF0009C438DC397D701009387475B98437843E2 +:102F000011CB984394437C43FD177CC3FC4299E367 +:102F100073600430828097D70100938727599043CC +:102F200094439843A947D456084E958F1CCF82806E +:102F300097D7010093878757984309C79443F84A66 +:102F40000507F8CA8843828079714AD006D622D410 +:102F500026D24ECE2A897370043097D40100938410 +:102F600024539C4017D4010013044454BDE31C4077 +:102F7000BC4F89E71C400547F8CFD1E19C4081C791 +:102F80001C40FC43F1EB737004309C408DEF1C40FF +:102F9000A84F11C5630409021C4023AC07041C4060 +:102FA00023AE07049C4081C71C40FC438DEBB2500C +:102FB000225492540259F2494561828018403C4F94 +:102FC000FD173CCFE9BF18401C407C4385077CC3FC +:102FD0007DBF18401C407C4385077CC349BF184017 +:102FE00014407C43FD177CC3FC42F1F37360043052 +:102FF000B250225492540259F249456182800840ED +:103000002EC61105EFD0CFD6B245FD576380F50629 +:1030100097D701009387474883A9070018409C432E +:10302000AE992322370163ECF90497D70100938707 +:10303000A74788430C409105EFD08FD097D7010068 +:103040009387C7439C4363F6F90097D7010023A7F2 +:103050003743EFD0AFBB1DB7184014407C43FD177A +:103060007CC3FC428DF37360043031BF0C4017D534 +:103070000100130565629105EFD00FCBD9BF97D73B +:1030800001009387274288430C409105EFD04FCB36 +:10309000C9B779714AD04ECE06D622D426D252CCA8 +:1030A0002E89B2897370043097D401009384443E12 +:1030B0009C4017D401001304643FF9EF184089477E +:1030C000784F630CF7001C401345F5FFB84F798D1E +:1030D000A8CF1C400547F8CFADE69C4081C71C40F7 +:1030E000FC43F5E3737004309C40B1E7638609004C +:1030F0001C40BC4F23A0F900184085470145784F7C +:10310000630BF7001C401349F9FF0545B84F3379AD +:10311000E90023AC27051C4023AE07049C4081C76F +:103120001C40FC43C1E3B250225492540259F2496C +:10313000624A4561828018401C407C4385077CC3FD +:1031400075B7084036C61105EFD08FC2B246FD579D +:103150006385F60897D701009387073403AA070011 +:1031600018409C43369A232247016363FA0897D795 +:1031700001009387673388430C409105EFD04FBC23 +:1031800097D701009387872F9C436370FA0697D7E0 +:10319000010023A5472F91A818401C407C438507B8 +:1031A0007CC329BF184014407C43FD177CC3FC42FC +:1031B000BDFB73600430B250225492540259F2495C +:1031C000624A45618280184014407C43FD177CC3ED +:1031D000FC4289FB7360043031B70C4017D5010005 +:1031E0001305854B9105EFD02FB4EFD02FA2F5B585 +:1031F00097D701009387072B88430C409105EFD0A8 +:103200002FB4E5B751C1411106C622C426C24AC037 +:103210007370043097D40100938484279C40B9EBE9 +:1032200099C23C4D9CC2B2878946704D74CD2E87A1 +:103230002A846386D70663FCF6048D456387B7004E +:1032400091456395B7006300D60C38CC8547630F72 +:10325000F60405449C4081CB97D70100938707254E +:103260009C43FC43A9E32285B24022449244024994 +:103270004101828097D701009387472398439C435D +:103280007C4385077CC369BF7370043001A0854609 +:10329000E39ED7FA3C4D33E7B70038CD45BF3C4DF0 +:1032A00085073CCD65B7EF20B00575BF1309440015 +:1032B0004A85EFD0EFAB17D701001307A71D5C5469 +:1032C00018436376F70017D701002325F71C5147F1 +:1032D000B387E70217D501001305852ACA853E95F5 +:1032E000EFD08FA41C5481C77370043001A097D70E +:1032F00001009387A71B9C435854DC57E3FBE7F47A +:10330000EFD0CF90B9B70144B1B739CD011122CC7C +:1033100026CA4AC84EC652C456C206CEBA89368A92 +:10332000B2842E892A84EFD04F9AAA8A63050A00B4 +:103330003C4C2320FA00784C89477CCC6389F4020A +:1033400063F4970C8D466387D40091466396D4004E +:10335000630AF70A232C24058547631EF7061C54CD +:1033600099CB7370043001A07370043001A03C4C01 +:1033700085073CCCD5B797D701009387E70F9C43CF +:10338000ADEB930444002685EFD08F9E17D7010044 +:10339000130747105C5418436376F70017D70100F2 +:1033A000232AF70E5147B387E70217D5010013050B +:1033B000251DA6853E95EFD02F9797D701009387BF +:1033C000E70E9C435854DC5763F7E7006385090018 +:1033D000854723A0F90005445685EFD0AF8E22859E +:1033E000F2406244D2444249B249224A924A0561BB +:1033F00082809305840117D5010013056527EFD05E +:10340000AF9265BF0144C9BF8547E397F4F43C4CD4 +:1034100033E92701232C240581B74DC1011122CCAA +:1034200026CA2A844AC806CE4EC6AE84EFD0EF899B +:103430008947744C7CCC3C4C05472A8985073CCC99 +:103440006395E6061C5481C77370043001A097D7BA +:103450000100938767029C43ADE7930944004E85C2 +:10346000EFD00F9117D701001307C7025C54184320 +:103470006376F70017D70100232EF7005147B38773 +:10348000E70217D501001305A50FCE853E95EFD0B5 +:10349000AF8997D70100938767019C435854DC5745 +:1034A00063F5E70099C085479CC06244F240D2446E +:1034B000B2494A85424905616FD0CF8073700430AC +:1034C00001A09305840117D501001305651AEFD0FB +:1034D000AF85C1B7AA8729C57370043017D701001B +:1034E000130707FB14439DE2F04F8946014563151E +:1034F000D60023AE070405451C4381CB97D70100B6 +:103500009387C7FA9843784315E3828097D60100E2 +:103510009386C6F9904294427442850674C2E9B714 +:1035200097D70100938787F89C437DB798439443CE +:103530007C43FD177CC3FC42E9FB7360043082804E +:103540005D71A6C2CAC052DC56DA5AD85ED686C6AB +:10355000A2C44EDE62D466D26AD017D90100130924 +:10356000E9F517DB0100130BABF497D4010093844A +:1035700064F497DA0100938A2AF4054AA54B83275D +:103580000900984363010712DC4783A90700EFE0B5 +:10359000EFF6EFE0AFF783270B002A8C6362F50AA2 +:1035A00097D7010023A6A7F001466370351B88401A +:1035B000B3858941EFD0FFBDEFE05F99630105124C +:1035C0008840814601462C00EFD00FEC4DD9A24730 +:1035D000E3C807FEC24983A7490189C7138549008B +:1035E000EFC01FF9EFE08FF283270B002A8C636D89 +:1035F000F508A24717D70100232C87EBE3E2FBFC79 +:10360000B317FA0013F70721631A071613F7770C9D +:103610006318071E93F70702C5D74E85EF00F01118 +:1036200045B7DC47C04783AC0700930944004E858B +:10363000EFC01FF45C50228582975C4C6384470B7B +:1036400083270900984371FF03A70A0097D601005A +:1036500023A086E797D6010023A0F6E697D70100BE +:1036600023AEE7E4EFE09F8EA1BFDC47C04703AD88 +:103670000700930C44006685EFC09FEF5C502285E5 +:1036800082975C4C6386470983270900984371FF42 +:1036900003A70A0097D6010023A0F6E297D70100FE +:1036A00023AEE7E0B9B7EFE06FE5EFE02FE6832761 +:1036B0000B002A8CE366F5F883A70A00884081494D +:1036C0009043B385894117D70100232387DF133641 +:1036D0001600EFD01FACEFE07F87E31305EEEFC0DD +:1036E000FFD2F9BD1C4CCE85E69763E9FC049C40F3 +:1036F00089CF02CA66CC22CEEFF00FE8884081461F +:1037000001464C08EFD02F8805FD7370043001A0EE +:103710001C4CE685EA97636CFD049C4089CF02CA85 +:103720006ACC22CEEFF04FE58840814601464C0836 +:10373000EFD06F8531F97370043001A003250900C3 +:103740005CC000C8EFC0DFDFE5BDEFE03F8083274E +:103750000900DC47C047930C44006685EFC05FE179 +:103760005C4C638F47035C502285829791BD032593 +:1037700009005CC000C8EFC0BFDC39B7B24723AC5A +:10378000F900A5CFE29723A2F90023A83901938578 +:103790004900636FFC0403A50A00EFC07FDA0DB592 +:1037A0001C4C00C83387F90058C0636DEC02330C21 +:1037B0003C416363FC029C4089CF02CA4ECC22CEBE +:1037C000EFF08FDB8840814601464C08EFC0BFFB1D +:1037D00059F97370043001A003A50A00E685EFC013 +:1037E0003FD651B703250900E685EFC07FD5A5BFB9 +:1037F00003250900EFC0DFD4E1B37370043001A0EA +:10380000B24783A6890123A839013387D70023A2B1 +:10381000E9006364EC04B307FC4063EBD70483A7BF +:1038200049024E85829783A7C901E39B47D983A6A6 +:103830008901B2479840B69711CF02CA3ECC4ECE0E +:10384000EFF08FD38840814601464C08EFC0BFF3AC +:10385000E31805D67370043001A06374FC00E370B4 +:10386000F7FC0325090093854900EFC07FCD89BB94 +:1038700003A50A0093854900EFC09FCC91B3411185 +:1038800022C406C626C24AC017D40100130484C24B +:10389000EFF04FE31C4095CBEFF00FE51C409DC3CC +:1038A000814801488147094781461306004097D562 +:1038B00001009385A5B0170500001305A5C8EFD03A +:1038C0007F9A39E97370043001A017D901001309F8 +:1038D000E9DD4A85EFC0BFC397D40100938444DE7D +:1038E0002685EFC0DFC20146B145114597D70100DB +:1038F00023A627BD97D7010023A097BCEFC01FDCEC +:1039000017D701002328A7BA11CD97D501009385B9 +:1039100065AAEFD0CFFC49B7B24022449244024995 +:10392000410182807370043001A0A5C9797156CA23 +:10393000AA8A1305C00222D426D24AD04ECE52CC37 +:1039400006D65AC85EC63A89B689328AAE84E9215B +:103950002A8405C9EFF00FD797D70100938787B561 +:103960009C439DCFEFF04FD8130544002320540112 +:1039700004CC232E44012320340323222403EFC04C +:103980005FBA2285B250225492540259F249624AD7 +:10399000D24A424BB24B456182807370043001A021 +:1039A00097DB0100938B8BD05E85EFC05FB617DB92 +:1039B0000100130BEBD05A85EFC07FB50146B1452E +:1039C000114597D7010023AB77AF97D7010023A507 +:1039D00067AFEFC0BFCE17D70100232DA7AC01C939 +:1039E00097D501009385059DEFD06FEFA5BF73704C +:1039F000043001A039C5011122CC06CE26CA17D445 +:103A00000100130424AB832804002A880145638540 +:103A10000802BA842EC2368732C442C6954663C5B0 +:103A2000B602EFF06FB58947630BF5020840814697 +:103A300001464C00EFC03FD5F2406244D2440561DC +:103A400082807370043001A04C0081463A8646851E +:103A5000EFD0AF88F2406244D244056182800840D2 +:103A6000814626864C00EFC01FD2F9B719C1084124 +:103A700082807370043001A001E57370043001A0EE +:103A8000411106C622C42A84EFF0CFC3484833341C +:103A9000A000EFF06FC52285B24022444101828030 +:103AA00001E57370043001A0411122C42A8406C6C6 +:103AB000EFF04FC10050EFF02FC3B24022852244F7 +:103AC0004101828001E57370043001A0411122C4DC +:103AD00026C22E84AA8406C6EFF0CFBE80D0224430 +:103AE000B240924441016FF02FC04111714522C490 +:103AF00006C61D262A8411C5232005001105EFC026 +:103B00001FA12285B24022444101828001CDB70726 +:103B100000FFED8F81C77370043001A099E57370C9 +:103B2000043001A07370043001A0011122CC4AC8F6 +:103B30004EC652C456C206CE26CABA893689B28A41 +:103B40002E842A8AEFF04FA311E563850900737074 +:103B5000043001A0EFE08F9A83240A00B377940029 +:103B60006316090295C763870A009347F4FFE58F40 +:103B70002320FA00EFE08FBDF240624426854249DF +:103B8000D244B249224A924A05618280E30DF4FC94 +:103B9000E38209FEB3355001E20563050900B7076A +:103BA0000004DD8D4E86C18D13054A00EFE0FFE66F +:103BB000EFE0CFB919E1EFC07F85EFF0CFB59317F4 +:103BC0006500AA8963D80700B7040001FD14B3F4A7 +:103BD00099005DB7EFF00FAF83290A00B377340186 +:103BE000631E090089CB63880A009347F4FFB3F78B +:103BF00037012320FA00EFF02FAFF9B7E31DF4FEF1 +:103C0000DDB701C9B70700FFED8F99C773700430A6 +:103C100001A07370043001A0411122C42A8406C699 +:103C200026C24AC02E89EFF0EFA904409345F9FF60 +:103C3000B3F795001CC0EFF02FABB24022442685AD +:103C40000249924441018280411122C42A8406C65D +:103C5000EFC0BF870040EFC0FF86B24022852244FC +:103C60004101828009C9370700FFB3F7E50099C712 +:103C70007370043001A07370043001A06F10106ADB +:103C8000B70700FFF18F81C77370043001A001E610 +:103C90007370043001A0011122CC4AC84EC652C430 +:103CA00006CE26CA3689AE892A8A3284EFF0CF8CB6 +:103CB00021E1630509007370043001A0EFE00F8477 +:103CC00083240A00CE855285B3E49900613FB3771F +:103CD00094006307F40683240A00EFE02FA7F24064 +:103CE000624426854249D244B249224A0561828013 +:103CF000EFE0CF8083240A00CE855285B3E43401FF +:103D00009537B3F78400638D8702E30609FCB70596 +:103D100000054A86C18D13054A00EFE01FD0EFE091 +:103D2000EFA219E1EFC08FEEEFF0EF9E9317650061 +:103D3000AA8463DF0700B7070001FD17FD8C45B7B4 +:103D400083270A001344F4FFE18F2320FA0071B7A0 +:103D5000EFF04F9783240A00B377940063978700AE +:103D60009347F4FFE58F2320FA00EFF0EF97E1B7D8 +:103D7000411122C406C62A8426C2EFD03FF85C4017 +:103D800085C308489304C4006316950015A008482D +:103D900063009502B7050002EFE03FE55C40E5FBFC +:103DA0002285612A2244B240924441016FE00F9A79 +:103DB0007370043001A009C9370700FFB3F7E500AD +:103DC00099C77370043001A07370043001A06F10A4 +:103DD000F05401C9B70700FFED8F99C77370043025 +:103DE00001A07370043001A0411122C426C206C68E +:103DF0002A84AE84EFF00F8D184093C7F4FFF98F3B +:103E00001CC02244B240924441016FF0EF8D19C1B1 +:103E1000084D828001458280411122C406C62A8451 +:103E2000EFD0DFED97C70100938787699C43A1EB33 +:103E3000E56717D701001307278AF11797C6010016 +:103E400023A6E66817C701002320F76817D70100EB +:103E50002326F78897C701009387C76617D7010005 +:103E6000232CF786854717C701002323076617C74A +:103E700001002329076417C701002323F76419E809 +:103E8000EFE0CF8CEF10000201442285B2402244C3 +:103E9000410182801305840093773500C1EFE56707 +:103EA0001307F5FFE917E3EDE7FC97C601009386DA +:103EB000E6619C4229A0984311C7BE86BA87D843C1 +:103EC000E36BA7FE17C701001307C75FE38AE7FA92 +:103ED000984341461384870098C2D843B306A7404D +:103EE0006378D6023388A7002322D800C8C383256D +:103EF000480097C601009386665D11A0BA86984275 +:103F00005043E36DB6FE2320E80023A00601D8430A +:103F100097C701009387875D9C43998F17C701005E +:103F20002326F75CEFE08F8231DC2285B240224409 +:103F300041018280719911059DB729C9411122C49F +:103F400006C62A84EFD09FDB930584FF0326C4FFB7 +:103F500017C701001307875711A03E871C43D4439E +:103F6000E3EDC6FE232CF4FE0CC397C70100938734 +:103F7000E75798438327C4FF2244B240BA9717C734 +:103F800001002325F75641016FD05FFC828097C75F +:103F900001009387A7558843828082803367B500EC +:103FA0000D8BAA871DE79308D6FFAA982A87AE86AD +:103FB0006371150303A8060011079106232E07FF5E +:103FC000E36A17FF9347F5FFC697F19B9107BE95EC +:103FD000AA972A9663F3C70A938645001388470079 +:103FE000B3B6D70033B8050133E7F50093C6160022 +:103FF000134818000D8BB3E6060113371700758FB1 +:10400000330EF6409386170029CF1337AE0031EBFD +:1040100013532E002E88BE8601478328080005070B +:10402000110823A016019106E36967FE1377CEFFFE +:10403000BA95BA976302EE0483C60500138717008A +:104040002380D700637AC70283C615001387270031 +:10405000A380D7006372C70203C725002381E7004E +:104060008280B307F640AE97850503C7F5FF850646 +:10407000238FE6FEE39AF5FE82808280B367C50057 +:104080008D8BB308C500E9C36371150FB307A0405A +:104090008D8B13873700954693F5F50F13081500A0 +:1040A0003383A8407D166373D70015476363E60C1E +:1040B000D5CF2300B5000547638DE700A300B50009 +:1040C0000D47130825006396E70013083500230108 +:1040D000B500139685004D8E13970501518F33035C +:1040E000F34093968501D98E13562300AA97014772 +:1040F00094C305079107E36DC7FE1377C3FFB307AA +:10410000E8006307E3062380B70013871700637C8A +:104110001703A380B7001387270063761703238153 +:10412000B7001387370063701703A381B7001387A5 +:104130004700637A17012382B7001387570063741F +:104140001701A382B700828093F5F50F13978500BE +:10415000D98D139705014D8FE37715FFAA87910736 +:1041600023AEE7FEE3ED17FF828082802A8895B7B1 +:104170008280AA8749BF834705002A8799C705051A +:1041800083470500EDFF198D82800145828005057A +:104190008347F5FF850503C7F5FF91C7E389E7FE70 +:1041A0003385E74082808147E5BFAA87850503C73D +:1041B000F5FF8507A38FE7FE75FB82808346050028 +:1041C000930700026397F600050583460500E38D1B +:1041D000F6FE938756FD93F7D70F85C7AA878145CB +:1041E00085CE01458507138606FD1317250083C676 +:1041F00007003A9506053295F5F699C13305A040BA +:104200008280938536FD8346150093B5150093078C +:104210001500E1FA0145D5B7014582809C41238014 +:10422000A7009C4185079CC1828097C601009386A8 +:10423000662A9C42175703001307C74C13861700C2 +:10424000BA972380A70097C5010023A5C528A947D1 +:104250006308F500930700046305F60001458280BA +:1042600075DE370610F005078347F7FF2320F6F0C9 +:104270009C42FD1797C5010023AEF524EDF70145DB +:1042800082804D712322911423202115232A51135A +:10429000232481132326111423248114232E311364 +:1042A000232C4113232861132326711323229113F6 +:1042B0002320A113232EB111AA842E8932C6130CF8 +:1042C0005002A54A8347090063808703B9CB804029 +:1042D00011A0A1CB2300F40080400509050480C093 +:1042E00083470900E39787FF0346190093081900E5 +:1042F000C686130D0002FD597D5A0148130550056D +:104300009307D6FD93F7F70F138916006363F52A19 +:1043100017C70100130707CF8A07BA979C43BA97BC +:1043200082878320C1140324811483244114032928 +:1043300001148329C113032A8113832A4113032BF8 +:104340000113832BC112032C8112832C4112032DE4 +:104350000112832DC11171618280A14C02C4854775 +:1043600063D50715B2478040938B770093F68BFF98 +:1043700003AB060083A94600938786003EC6668687 +:1043800081465A85CE85EF10203C2AC88147638537 +:104390003723930D4101854B668681465A85CE852C +:1043A000EF10A004814666862A8BAE89EF10C039D3 +:1043B000A24723A0AD0093861B00910D63843701B3 +:1043C000B68BD9BFE37E9BFF63DD4601A2872380C6 +:1043D000A70180407D1A930714009CC03E84E3C867 +:1043E00046FF93962B001C08BE9631A8938777054D +:1043F0002300F4008040FD1B93871B00050480C050 +:10440000F116E351F0EC9C42E3E2FAFE93870703D6 +:10441000C5B79C409306000332472380D7009C40D9 +:1044200093064700C14C1386170090C013068007FF +:10443000A380C700804002C4BA87050480C003ABD4 +:104440000700814936C625BFB24783AC0700938B6E +:10445000470063840C1C635F40199307D002631903 +:10446000FD1683C70C0085C363DC09189440850CD6 +:104470007D1A2380F6008040050480C083C70C00AD +:10448000E5F7635D40018040930700022300F400DC +:1044900080407D1A050480C0E31A0AFE5EC61DB581 +:1044A00002C48547A94CE3CF07EBB247804093860F +:1044B000470071B703C61600CA8699B59C4093069B +:1044C00050022380D7008040050480C0E5BBC14C6A +:1044D00002C471B5B24703C61600CA8683A9070095 +:1044E00091073EC6E35E0AE04E8AFD5911BD03C640 +:1044F0001600130DD002CA8621B59347FAFFFD8737 +:1045000003C61600337AFA00CA86DDBB03C616005E +:10451000130D0003CA86EDB383C51600930906FD8B +:10452000CA86938705FD2E86E3EEFAFA9397290053 +:10453000BE9985068609AE9983C50600938909FD53 +:10454000938705FD2E86E3F3FAFE69BF32479C4050 +:10455000144311073AC62380D7008040050480C069 +:1045600095B3854763D3070BB24793867700E19AEB +:10457000938786003EC683A946009C4263DC090AF5 +:1045800094401306D002B307F0402380C600804059 +:10459000B336F000B3093041050480C03E8BB389C7 +:1045A000D940A94C02C4E1BB03C616000508CA865F +:1045B00081BB9C40468921B7E37D9BDD8547814BCC +:1045C0008546E3C547E131BD97CC0100938C8CDF74 +:1045D000CE856685EF005027330AAA40635C400110 +:1045E00080402300A40180407D1A050480C0E31AA6 +:1045F0000AFE014A83C70C00E38207EAE3C809E622 +:10460000FD197D57E394E9E6ADBDB24793864700B7 +:104610009C4336C693D9F74195B76356400193073B +:10462000D002E313FDFA97CC0100938CACD9930729 +:1046300080021DBD3E8BA94C02C4804089B34D71E0 +:10464000232E3113B70900409387F9FF23248114E7 +:1046500023229114232C4113232A511323261114AE +:10466000232021152328611323267113232481136A +:10467000232291132320A113232EB1112A8A2EC4A1 +:1046800017C40100130404E5975A0300938A8A07AC +:1046900097C40100938484AC3EC69305500229451B +:1046A00013080004B70610F083470A006386B704B6 +:1046B000C1C71840050A13061700569797C801008E +:1046C00023AAC8E02300F7006382A706E31E06FDC5 +:1046D000175703001307070305078347F7FF23A0B6 +:1046E000F6F01C40FD1717C601002325F6DEEDF796 +:1046F00083470A00E39EB7FA83461A0013051A009F +:104700002A87930B00027D5B7D5C01489305500571 +:10471000A5489387D6FD93F7F70F130A170063E7B1 +:10472000F5348A07A6979C43A697828717570300FC +:10473000130747FD55F28DBF8320C1140324811454 +:1047400083244114032901148329C113032A8113EB +:10475000832A4113032B0113832BC112032C8112D3 +:10476000832C4112032D0112832DC11171618280AE +:10477000A14C014D854763DB0719A2479D07E19BCB +:1047800083AD070003AB4700138787003AC46686F2 +:1047900081466E85DA85EF00307B2AC863066D3569 +:1047A000130941018549668681466E85DA85EF00E9 +:1047B000D04366868146AA8D2E8BEF00F0782320A9 +:1047C000A90085091109E3106DFFE3FE9DFD1306A5 +:1047D0000004B70610F063D189031C40138717004B +:1047E000D69797C5010023A7E5CE23807701630CF8 +:1047F000C7047D1CE3C389FFB2476800A548B386A0 +:10480000F9008A061C08BE962948130300043706DF +:1048100010F0E384A6E89C429305000363F4F800DB +:10482000930570051840AE9793051700569717CE5D +:1048300001002321BECA2300F7006380072B638C8D +:104840006526F116F9B7175703001307A7EB0507FD +:104850008347F7FF23A0F6F01C40FD1797C5010022 +:1048600023AAF5C6EDF77D1C71B71C4013060004A2 +:104870001387170097C5010023AEE5C4B386FA007D +:10488000930500032380B600630FC73C890797C6D2 +:10489000010023A1F6C45697930680072300D70092 +:1048A00017570300130707E6B70610F0639EC7000B +:1048B00005078347F7FF23A0F6F01C40FD1717C636 +:1048C00001002329F6C0EDF7A247C14C014D910725 +:1048D0002247014B832D07003EC455BDA24783AC40 +:1048E000070013894700638E0C3A635480219307B5 +:1048F000D002639EFB2E03C70C00BA85631007200D +:1049000025AC8547A94C014DE3C907E7A2479107AC +:10491000C1B7A24783461700528703AB0700910730 +:104920003EC4E3580CDE5A8C7D5BE5B3834617002A +:10493000930BD0025287F1BB9347FCFFFD87834660 +:104940001700337CFC005287E9B383461700930BB2 +:10495000000352877DBB03461700138B06FD528769 +:10496000930706FDB286E3EEF8FA93172B00DA9769 +:1049700005078607B29703460700138B07FD9307C9 +:1049800006FDB286E3F3F8FE69BFA2451C40294646 +:10499000984193861700D69717C50100232CD5B2EE +:1049A0002380E700138945006300C72A93070004AA +:1049B0006387F6204AC4D5B1854763DE0729A2473D +:1049C00013877700619B930787003EC4032B470042 +:1049D0001C43635A0B30184013060004930617005B +:1049E00097C5010023A8D5AE56979305D0022300A2 +:1049F000B7006387C62CB307F0403337F000330BA2 +:104A00006041BE8D330BEB40A94C014D49B3834649 +:104A1000170005085287F5B9834617005287D5B9A4 +:104A20001C40930600041387170017C601002323B8 +:104A3000E6AAD697130650022380C700E31FD7C407 +:104A400017570300130707CCB70610F00507834775 +:104A5000F7FF23A0F6F01C40FD1717C60100232B1B +:104A6000F6A6EDF71DB9C14C014D29B31C409306C4 +:104A700000042A8A1387170017C60100232CE6A416 +:104A8000D697130650022380C700E318D7C01757E4 +:104A90000300130727C7B70610F005078347F7FF82 +:104AA00023A0F6F01C40FD1717C601002324F6A230 +:104AB000EDF72A8ADDB6175703001307A7C40507C9 +:104AC0008347F7FF2320F6F01C40FD1797C5010030 +:104AD00023A2F5A0EDF7F1162DBB1757030013071E +:104AE00067C2F1FDF11635B3E3FC9DCB8549C5B135 +:104AF000014C03C70C00BA85E30E07EAFD582945AF +:104B000013080004B70610F063570B0A1C40138605 +:104B10001700D69717C30100232EC39A2380E700FE +:104B20006384A50663040609850C03C70C007D1C7D +:104B3000BA8579FBE35080E91C4093050002130617 +:104B40000004B70610F021A07D1CE3050CE61387D6 +:104B50001700D6972380B70017C50100232CE596D0 +:104B6000BA87E313C7FE175703001307A7B9050752 +:104B70008347F7FF23A0F6F01C40FD1717C501007F +:104B8000232AF594EDF7C9B717570300130787B722 +:104B900041DE05078347F7FF23A0F6F01C40FD1711 +:104BA00017C601002328F692EDF7BDBF1757030083 +:104BB000130747B5F9BF7D1BE31A1BF5A5BF1757B0 +:104BC0000300130727B4B70610F005078347F7FF64 +:104BD00023A0F6F01C40FD1717C60100232CF68E0B +:104BE000EDF74AC45DBC97BC0100938CAC7DDA85BF +:104BF00066859929330CAC40E35D80EF1C401306B9 +:104C00000004B70610F021A07D1CE3030CEE13870F +:104C10001700D6972380770197C5010023ACE58A5A +:104C2000BA87E313C7FE175703001307A7AD05079D +:104C30008347F7FF23A0F6F01C40FD1797C501003E +:104C400023AAF588EDF7C9B717570300130787ABF9 +:104C5000BDFA4AC499B4A247138747009C433AC49B +:104C600013DBF741BDB3175703001307A7A9B70616 +:104C700010F005078347F7FF23A0F6F01C40FD174F +:104C800017C601002328F684EDF7854717C70100F2 +:104C90002322F78493078007175703002304F7A6FE +:104CA00025B1635680019307D002E39EFBF297BCC7 +:104CB0000100938C2C7113078002930580023DBD87 +:104CC00097560300938606A4370610F0850603C79F +:104CD000F6FF2320E6F018407D1797B5010023ABBF +:104CE000E57E6DF709BBBE8DA94C014D4DB4B707EC +:104CF00010F023A0A7F201A03971130341022ED2B4 +:104D00009A8506CE32D436D63AD83EDA42DC46DE32 +:104D10001AC63532F2400145216182805D7113036C +:104D2000810322D42AC632DC2A841A86680006D679 +:104D3000BEC236DEBAC0C2C4C6C61ACEEFF06FD449 +:104D4000B247238007003245B250018D2254616181 +:104D50008280B70710F083A647F403A607F403A7E1 +:104D600047F4E31AD7FE8566938606FAB29633B601 +:104D7000C600B305E60023A4D7F423A6B7F48280C7 +:104D8000B70710F003A747F403A607F483A647F478 +:104D9000E31AD7FE8566938606FAB29633B6C60046 +:104DA00023A4D7F4B305E60023A6B7F493070008BD +:104DB00073A047308280411122C406C697B7010014 +:104DC0009387C77117B401001304047198431C4002 +:104DD0001306F07C930647069387470697B50100B4 +:104DE00023AED56E97B5010023A8F56E6346D600B5 +:104DF000B2402244410182801307478997B70100DE +:104E000023AEE76C192C8547631FF50018408567B2 +:104E10009387F7760145E3DDE7FCD13D2244B240BC +:104E200041016FC0BFEC17B501001305255AE935E4 +:104E30000945753D2244B24041016FC03FEB17B5B3 +:104E400001001305255A4DBD17B501001305055A7C +:104E500065B5411122C426C206C6856417B4010097 +:104E600013044459938784380100FD17F5FF228508 +:104E70006135CDBF01453DA2411106C6792A0547DE +:104E800081476316E500B2403E854101828017B537 +:104E900001001305A553EFF03FE6B24089473E8578 +:104EA000410182807370043001A0828073700430ED +:104EB00001A0797126D24AD04ECE52CC06D622D449 +:104EC000AA8923170100014917BA0100130A8A6150 +:104ED00085440D4403A50900814601469305E10080 +:104EE000EFB07F8A630A950005497D1465F41305C8 +:104EF000800CEFD00FA4F1BF630809008357E100D5 +:104F000085072317F100D5B7EFD0DFFB83270A0011 +:104F1000850717B701002327F75CEFD0FFFCF9BF27 +:104F2000797122D44AD04ECE52CC56CA06D626D259 +:104F30002A84014A814997BA0100938AEA5A0549AD +:104F40000840EFC04F8A814601469305E10009ED14 +:104F50001305400BEFD0EF9D0840EFC0CF8881468E +:104F600001469305E1006DD50840EFB0FFD1E3198C +:104F700025FD8354E10063893401054A8504939932 +:104F8000040193D909016DBFE3190AFEEFD09FF325 +:104F900083A70A00850717B701002327F754EFD02E +:104FA000BFF4E9BF411126C20146AA8489452945BB +:104FB00006C622C4EFB08FF017B4010013044452A8 +:104FC00097B501009385454408C0EFC04F912687EF +:104FD000A2868148014881471306004097B5010029 +:104FE00093858543170500001305C5F3EFC08FA710 +:104FF000A2862244B24026879244814801488147D4 +:105000001306004097B50100938585411705000000 +:10501000130565EA41016FC0EFA497B701009387BC +:10502000A74C9C43014581CB97B701009387874BE1 +:1050300088433335A00097B7010023A7074A97B7E5 +:10504000010023A1074A8280AA95AA876385B70039 +:1050500003C7070001E73385A74082808507FDB7B6 +:10506000814863DA05003305A040B337A000B305DB +:10507000B0409D8DFD5863D906003306C040B3375C +:10508000C000B306D0409D8E32883683AA872E8713 +:10509000639F061C97B601009386C62163F1C50C79 +:1050A000416E6377C60B130EF00F6373CE0021437E +:1050B000335E6600F29603CE06001A9E13030002CA +:1050C0003303C341630C0300B3956500335EC50130 +:1050D000331866003367BE00B3176500135E08011E +:1050E000B355C70313150801418193D607013376E1 +:1050F000C703B305B5024206D18E63F8B600C29667 +:1051000063E5060163F3B600C2968D8E33F7C603DE +:10511000C207C183B3D6C603B306D5021315070170 +:10512000C98F63F8D700C29763E5070163F3D7001F +:10513000C297958F33D567008145638A0800B3070E +:10514000A0403337F000B305B0403E85998D828092 +:10515000370E00014143E36DC6F5614391BF01E69F +:1051600005483358680241676373E8081307F00F76 +:1051700063730701214333576800BA9603C60600DC +:105180001A96130300023303C34063190306B38561 +:1051900005419356080113150801418113D60701F3 +:1051A00033F7D502B3D5D5024207518FB305B50207 +:1051B0006378B7004297636507016373B70042974E +:1051C000B305B74033F7D502C207C183B3D5D502C3 +:1051D000B305B50213150701C98F63F8B700C2976D +:1051E00063E5070163F3B700C2978D8FA1B7370757 +:1051F00000014143E361E8F86143B5BF331868003B +:1052000033DEC500935E08013356C500B317650051 +:105210003355DE03B39565004D8E93150801C181AA +:10522000935606013377DE033385A5024207558F77 +:105230006378A7004297636507016373A7004297ED +:10524000B306A74033F7D60342064182B3D6D6034E +:105250004207B386D502B365C70063F8D500C2958F +:1052600063E5050163F3D500C295958D1DB7E3E6AF +:10527000D5EC416863F50605930EF00F33B8DE00F8 +:105280000E0833DE060117B301001303A302729365 +:10529000834E0300130E0002C29E330EDE416317DD +:1052A0000E0263E4B6006369C500B307C540958D7F +:1052B0003335F5003387A5403E85BA85BDBD37033C +:1052C00000014148E3EF66FA614865BF3357D601F4 +:1052D000B396C601D98EB357D50133D7D501B3954F +:1052E000C501CD8F93D506013373B702139F060115 +:1052F000135F0F0113D807013316C6013315C5011B +:105300003357B702420333680301B30FEF023A8306 +:10531000637CF80136981303F7FF6367D800637561 +:10532000F8011303E7FF36983308F841B37FB8025A +:105330003358B802C20FB3050F03139F0701135F61 +:105340000F0133EFEF014287637CBF00369F1307E5 +:10535000F8FF6367DF006375BF001307E8FF369F40 +:105360004203C16F3363E3009387FFFF13580601C5 +:10537000330FBF40B375F30013530301F18F33872D +:10538000F502B307F302B385050333030303BE95A8 +:10539000135807012E986373F8007E93C167FD17B9 +:1053A000935508013378F80042087D8F9A9542970B +:1053B0006366BF00631BBF006379E5003306C74027 +:1053C0003337C700958D998D32873307E5403335E4 +:1053D000E500B305BF40898DB397D5013357C701A9 +:1053E00033E5E700B3D5C50189BBAE873288368780 +:1053F0002A836396062097B801009388A8EB63FE82 +:10540000C50A41676374E60A1307F00F3337C70014 +:105410000E07B356E600B69883C608003697930683 +:105420000002998E99CAB397D7003357E500331815 +:10543000D600B365F7003313D50013550801B3D771 +:10544000A5021316080141829356030133F7A50202 +:10545000B305F6024207D98E3E8763FCB600C296BA +:105460001387F7FF63E7060163F5B6001387E7FFCD +:10547000C2968D8EB3F7A602420313530301B3D62F +:10548000A602C20733E36700B305D6023685637B05 +:10549000B30042931385F6FF636603016374B300A0 +:1054A0001385E6FF4207498F81454DA8B7060001E5 +:1054B0004147E360D6F66147A9BF01E6854633D888 +:1054C000C602C166637ED8089306F00F63F3060137 +:1054D0002147B356E800B69883C60800BA9613076A +:1054E0000002158F49E7B387074185451356080128 +:1054F0009318080193D808019356030133F7C702A4 +:10550000B3D7C7024207D98E3385F8023E8763FCC2 +:10551000A600C2961387F7FF63E7060163F5A600AE +:105520001387E7FFC296898EB3F7C602420313536F +:105530000301B3D6C602C20733E36700B388D802BB +:105540003685637B130142931385F6FF636603017F +:10555000637413011385E6FF4207498F3A85828001 +:10556000B70600014147E366D8F661479DB7331897 +:10557000E800B3D5D7003313E500B356D500135573 +:105580000801B397E70033F7A502B3E8F6009317D5 +:105590000801C18313D60801B3D5A5024207518F74 +:1055A000B386B7022E86637CD70042971386F5FF39 +:1055B000636707016375D7001386E5FF4297B3065B +:1055C000D74033F7A602C20893D80801B3D6A60283 +:1055D0004207B385D702B3671701368763FCB7006C +:1055E000C2971387F6FF63E7070163F5B7001387D8 +:1055F000E6FFC2978D8F93150601D98DC5BD63E275 +:10560000D514416763FEE6021308F00F3337D80064 +:105610000E0733D8E60097B501009385A5C9C2955A +:1056200003C80500930500023A98B385054185E15A +:105630000547E3E5F6F23335C5001347150039BFDA +:10564000B70500014147E3E6B6FC6147D9B73357D8 +:105650000601B396B600D98E93DE060133D7070153 +:10566000B378D703B397B700335805013363F80015 +:1056700093970601C183135803013316B6003357BD +:10568000D703C20833E80801338FE7023A8E637C00 +:10569000E8013698130EF7FF6367D8006375E801D9 +:1056A000130EE7FF36983308E841B378D803335830 +:1056B000D803C208B38E070393170301C183B3E76E +:1056C000F800428763FCD701B6971307F8FF63E73A +:1056D000D70063F5D7011307E8FFB697420EB387EB +:1056E000D741C16E3367EE001388FEFF33730701A5 +:1056F00093580701337806014182330E0303338840 +:10570000080393560E013303C30242939A96B3885B +:10571000C80263F30601F69813D60601B29863E057 +:105720001703E39317D9C167FD17FD8EC206337EB9 +:10573000FE003315B500F2968145E371D5E27D1781 +:10574000A5B38145014719BDB2883687AA872E883F +:105750006398061C97B601009386C6B563F8C50A20 +:105760004163637E66081303F00F6373C300214730 +:105770003353E6009A9603CE0600130300023A9EC6 +:105780003303C341630C0300B3956500335EC50169 +:10579000B31866003368BE00B317650013D608015E +:1057A0003377C80213950801418193D60701335816 +:1057B000C8024207D98E3308050363F80601C6966E +:1057C00063E5160163F30601C696B386064133F717 +:1057D000C602C207C183B3D6C602B306D5021315EB +:1057E0000701C98F63F8D700C69763E5170163F314 +:1057F000D700C697958F33D56700814582803703E0 +:1058000000014147E36666F661479DB701E605463C +:10581000B3581603416663F3C8081306F00F6373A9 +:105820001601214733D6E800B29603CE06001303D3 +:1058300000023A9E3303C34163190306B385154141 +:1058400013D7080113950801418113D60701B3F658 +:10585000E502B3D5E502C206D18EB305B50263F801 +:10586000B600C69663E5160163F3B600C696B38527 +:10587000B640B3F6E502C207C183B3D5E502B3056E +:10588000B50213950601C98F63F8B700C69763E5A3 +:10589000170163F3B700C6978D8FB1BF37060001BC +:1058A0004147E3E1C8F86147B5BFB398680033D713 +:1058B000C501B3176500335EC50113D50801B37682 +:1058C000A702B3956500336EBE0093950801C181B0 +:1058D00013560E013357A702C206D18E3387E50255 +:1058E00063F8E600C69663E5160163F3E600C69624 +:1058F0003386E640B376A602420E135E0E0133569F +:10590000A602C2063386C502B3E5C60163F8C50028 +:10591000C69563E5150163F3C500C695918D0DB776 +:10592000E3EED5EC416763F5E604930EF00F33B771 +:10593000DE000E0733D3E60097B8010093888897FE +:105940009A9883CE0800130E0002BA9E330EDE41F1 +:1059500063170E0263E4B6006369C500B307C54070 +:10596000958D3335F5003388A5403E85C28579B5E0 +:10597000B70800014147E3EF16FB614765BF3357A6 +:10598000D601B396C601D98EB357D50133D7D50109 +:10599000B395C501CD8F93D506013373B702139F1D +:1059A0000601135F0F0193D807013316C6013315A3 +:1059B000C5013357B7024203B36813013308EF023E +:1059C0003A8363FC0801B6981303F7FF63E7D80036 +:1059D00063F508011303E7FFB698B3880841B3FFE6 +:1059E000B802B3D8B802C20FB3051F03139F070153 +:1059F000135F0F0133EFEF014687637CBF00369FD3 +:105A00001387F8FF6367DF006375BF001387E8FF44 +:105A1000369F4203C1683363E3009387F8FF13584E +:105A20000601330FBF40B375F30013530301F18F29 +:105A30003387F502B307F302B3850503330303038A +:105A4000BE95135807012E986373F8004693C167FB +:105A5000FD17935508013378F80042087D8F9A9519 +:105A600042976366BF00631BBF006379E50033069E +:105A7000C7403337C700958D998D32873307E5408E +:105A80003335E500B305BF40898DB397D501335752 +:105A9000C70133E5E700B3D5C5018DB397B7010062 +:105AA0009387C7A0944317B701001307E79E1843D5 +:105AB000C8C2637BE50017B701001307A79E084320 +:105AC0008C4391056FA0DFA7411122C406C617B70A +:105AD00001001307679D2A8408438C439105EFA0BA +:105AE0003FA697B70100938767999C436376F400BC +:105AF00097B7010023A48798B240224441018280D5 +:105B000097B701009387879A984394437C43FD1786 +:105B10007CC3FC4299E3736004308280011122CC83 +:105B200026CA2A84AE844AC84EC652C456C206CE7D +:105B3000EFC0CF9C1C4003290401B7090001B3E565 +:105B40009700930AC4000CC08144FD19370A000273 +:105B50001840638C2A03032609004A85B365470170 +:105B6000B376360193175600758F0329490063C435 +:105B7000070001E7F1BFE39DE6FC9317760063D3CE +:105B80000700D58CEFD06F86E1B793C4F4FFF98C92 +:105B900004C0EFC0AFBB0840F2406244D244424967 +:105BA000B249224A924A056182804111014506C6E6 +:105BB00022C4EFF02FBF17F7FFFF1307072081461E +:105BC00005469305400617B5010013052583EFD060 +:105BD000DFD519C92A84EFC06F932A860147814611 +:105BE00085452285EFD01FE1EFB0DFEBB2402244C4 +:065BF00001454101828025 +:02000004800278 +:10000000B444FEFFB245FEFFBC44FEFFB245FEFF16 +:10001000B245FEFFB245FEFFB245FEFFD444FEFFEF +:10002000B245FEFFB245FEFFEE44FEFFFA44FEFF7E +:10003000B245FEFF0C45FEFF1845FEFF1845FEFFCA +:100040001845FEFF1845FEFF1845FEFF1845FEFF48 +:100050001845FEFF1845FEFF1845FEFFB245FEFF9E +:10006000B245FEFFB245FEFFB245FEFFB245FEFFC0 +:10007000B245FEFFB245FEFFB245FEFFB245FEFFB0 +:10008000B245FEFFB245FEFFB245FEFFB245FEFFA0 +:10009000B245FEFFB245FEFFB245FEFFB245FEFF90 +:1000A000B245FEFFB245FEFFB245FEFFB245FEFF80 +:1000B000B245FEFFB245FEFFB245FEFFB245FEFF70 +:1000C000B245FEFFB245FEFFB245FEFFB245FEFF60 +:1000D000B245FEFFB245FEFFB245FEFFB245FEFF50 +:1000E000B245FEFFB245FEFFB245FEFFB245FEFF40 +:1000F000B245FEFFB245FEFFB245FEFFB245FEFF30 +:100100004C45FEFF6245FEFFB245FEFFB245FEFFD5 +:10011000B245FEFFB245FEFFB245FEFFB245FEFF0F +:10012000B245FEFFA845FEFFB245FEFFB245FEFF09 +:100130005A43FEFF1244FEFFB245FEFFB245FEFFEA +:100140004844FEFFB245FEFFA044FEFFB245FEFF5D +:10015000B245FEFFCE44FEFFC048FEFF1449FEFF3D +:10016000C848FEFF1449FEFF1449FEFF1449FEFF74 +:100170001449FEFFBA47FEFF1449FEFF1449FEFF73 +:10018000D447FEFFE047FEFF1449FEFFF247FEFFA3 +:10019000FE47FEFFFE47FEFFFE47FEFFFE47FEFF57 +:1001A000FE47FEFFFE47FEFFFE47FEFFFE47FEFF47 +:1001B000FE47FEFF1449FEFF1449FEFF1449FEFFEF +:1001C0001449FEFF1449FEFF1449FEFF1449FEFFC7 +:1001D0001449FEFF1449FEFF1449FEFF1449FEFFB7 +:1001E0001449FEFF1449FEFF1449FEFF1449FEFFA7 +:1001F0001449FEFF1449FEFF1449FEFF1449FEFF97 +:100200001449FEFF1449FEFF1449FEFF1449FEFF86 +:100210001449FEFF1449FEFF1449FEFF1449FEFF76 +:100220001449FEFF1449FEFF1449FEFF1449FEFF66 +:100230001449FEFF1449FEFF1449FEFF1449FEFF56 +:100240001449FEFF1449FEFF1449FEFF1449FEFF46 +:100250001449FEFF1449FEFF3248FEFF6048FEFFCE +:100260001449FEFF1449FEFF1449FEFF1449FEFF26 +:100270001449FEFF1449FEFF1449FEFFB648FEFF75 +:100280001449FEFF1449FEFF1846FEFF1247FEFF09 +:100290001449FEFF1449FEFF8447FEFF1449FEFF88 +:1002A000AA47FEFF1449FEFF1449FEFF0E49FEFF58 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020506F6C6C51207461736B73200D0A0098 +:1003E0006D69616F750A00006D69616F75320A0091 +:1003F00068756E6772790A00436865636B54696D4E +:1004000065720000506F6C6C5F546573745F51755A +:100410006575650051436F6E734E42005150726FA7 +:04042000644E4200E4 +:100424001000000000000000017A5200017C01016C +:100434001B0D02001000000018000000204CFEFFFD +:100444008A03000000000000100000002C000000DF +:10045400964FFEFF5E030000000000001000000045 +:1004640040000000E052FEFF5403000000000000C2 +:0804E800FC8F01000900000077 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/QPeek_rv32i_O0.hex b/VexRiscv/src/test/resources/freertos/QPeek_rv32i_O0.hex new file mode 100644 index 0000000..58446be --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/QPeek_rv32i_O0.hex @@ -0,0 +1,2254 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F306F00400365 +:100010001300000013000000130000001300000094 +:100020001300000013000000130000001300000084 +:100030001300000013000000130000001300000074 +:100040009702000093824211739052309300000097 +:10005000130100009301000013020000930200004E +:100060001303000093030000130400009304000036 +:10007000130500009305000013060000930600001E +:100080001307000093070000130800009308000006 +:100090001309000093090000130A0000930A0000EE +:1000A000130B0000930B0000130C0000930C0000D6 +:1000B000130D0000930D0000130E0000930E0000BE +:1000C000130F0000930F000097110200938141B3BA +:1000D0001705020013050537979503009385C5673B +:1000E00013060000EF00001A170502001305853003 +:1000F000970502009385853013060000EF008018F5 +:1001000017A503001305856597B503009385C5649E +:1001100037B6ABAB1306B6BAEF00C01617B1030083 +:100120001301C163EF7000399392120093D2120051 +:100130009382D2FF638A02008322010013014100EF +:100140006F0000177300203083220100130141006B +:10015000730020301301C1FF23205100F32220340B +:10016000E3C402FC8322010013014100130141F8A2 +:1001700023221100232421002326310023284100BB +:10018000232A5100232C6100232E71002320810299 +:10019000232291022324A1022326B1022328C10293 +:1001A000232AD102232CE102232EF1022320010570 +:1001B0002322110523242105232631052328410567 +:1001C000232A5105232C6105232E71052320810745 +:1001D000232291072324A1072326B1072328C1073F +:1001E000232AD107232CE107232EF107732520347E +:1001F000F3251034130601006F000000731015344E +:1002000083204100032181008321C10003220101D9 +:1002100083224101032381018323C10103240102BD +:1002200083244102032581028325C10203260103A1 +:1002300083264103032781038327C1030328010485 +:1002400083284104032981048329C104032A010569 +:10025000832A4105032B8105832BC105032C01064D +:10026000832C4106032D8106832DC106032E010731 +:10027000832E4107032F8107832FC1071301C10775 +:10028000730020302320C5006376B50013054500B8 +:100290006FF05FFF678000000000000000000000BA +:1002A000000000000000000000000000000000004E +:1002B000130101F8232011002322210023243100FF +:1002C0002326410023285100232A6100232C71009A +:1002D000232E8100232091022322A1022324B10294 +:1002E0002326C1022328D102232AE102232CF10272 +:1002F000232E010323201105232221052324310568 +:100300002326410523285105232A6105232C710545 +:10031000232E8105232091072322A1072324B1073F +:100320002326C1072328D107232AE107232CF1071D +:100330009702020083A2020C23A02200F3221034B1 +:10034000232E5106EF008046170102000321810A87 +:10035000032101008322C10773901234B7220000E9 +:100360009382028873A00230832001000322C1001F +:100370008322010103234101832381010324C1015D +:100380008324010203254102832581020326C10241 +:100390008326010303274103832781030328C10325 +:1003A000832801040329410483298104032AC10409 +:1003B000832A0105032B4105832B8105032CC105ED +:1003C000832C0106032D4106832D8106032EC106D1 +:1003D000832E0107032F4107832F81071301010893 +:1003E00073002030970202009382C20523A01200FE +:1003F00023A2220023A4320023A6420023A85200F5 +:1004000023AA620023AC720023AE820023A09202D2 +:1004100023A2A20223A4B20223A6C20223A8D202CC +:1004200023AAE20223ACF20223AE020323A01205A8 +:1004300023A2220523A4320523A6420523A85205A0 +:1004400023AA620523AC720523AE820523A092077E +:1004500023A2A20723A4B20723A6C20723A8D20778 +:1004600023AAE20723ACF207EF6010731701020022 +:10047000032141F8032101008322C1077390123444 +:10048000B72200009382028873A00230832001000B +:100490000322C1008322010103234101832381013F +:1004A0000324C10183240102032541028325810223 +:1004B0000326C10283260103032741038327810307 +:1004C0000328C103832801040329410483298104EB +:1004D000032AC104832A0105032B4105832B8105CF +:1004E000032CC105832C0106032D4106832D8106B3 +:1004F000032EC106832E0107032F4107832F810797 +:10050000130101087300203097020200938282F3E6 +:1005100083A0020003A1420083A1820003A2C200C3 +:1005200083A2020103A3420183A3820103A4C201A7 +:1005300083A4020203A5420283A5820203A6C2028B +:1005400083A6020303A7420383A7820303A8C2036F +:1005500083A8020403A9420483A9820403AAC20453 +:1005600083AA020503AB420583AB820503ACC20537 +:1005700083AC020603AD420683AD820603AEC2061B +:1005800083AE020703AFC20983AF82076780000012 +:1005900073700430130101F823201100232221007D +:1005A000232431002326410023285100232A6100FF +:1005B000232C7100232E8100232091022322A102EB +:1005C0002324B1022326C1022328D102232AE102D7 +:1005D000232CF102232E01032320110523222105C0 +:1005E000232431052326410523285105232A6105AB +:1005F000232C7105232E8105232091072322A10797 +:100600002324B1072326C1072328D107232AE10782 +:10061000232CF1079702020083A2C2DD23A022004F +:10062000232E1106EF20D05817010200032181DC90 +:10063000032101008322C10773901234B722000006 +:100640009382028873A00230832001000322C1003C +:100650008322010103234101832381010324C1017A +:100660008324010203254102832581020326C1025E +:100670008326010303274103832781030328C10342 +:10068000832801040329410483298104032AC10426 +:10069000832A0105032B4105832B8105032CC1050A +:1006A000832C0106032D4106832D8106032EC106EE +:1006B000832E0107032F4107832F810713010108B0 +:1006C0007300203097070200938787D303A70700A2 +:1006D0009307F0FF6306F700737004306F000000AB +:1006E000737004306F000000130101FF2326A10086 +:1006F0008327C100739047301300000013010101EC +:1007000067800000130101FFF32740302326F1002A +:1007100073F043308327C1001385070013010101E3 +:1007200067800000130101FF2326A1002324B100EC +:100730002322C1008327C1009387C7FF2326F1002E +:10074000032781008327C10023A0E7008327C1007E +:10075000938787FA2326F100032741008327C100EE +:1007600023A0E7008327C100938787FE2326F1009B +:1007700093870100138707008327C10023A0E700A8 +:100780008327C100938747FF2326F1001707000046 +:10079000130787F38327C10023A0E7008327C10045 +:1007A000138507001301010167800000130101FF99 +:1007B00023261100EF60D033EF20101693070500B9 +:1007C00063840700EF20D03E130000008320C100A7 +:1007D0001301010167800000130101FF2326A1001E +:1007E0008327C100138787008327C10023A2E70066 +:1007F0008327C1001307F0FF23A4E7008327C1006C +:10080000138787008327C10023A6E7008327C10041 +:10081000138787008327C10023A8E7008327C1002F +:1008200023A00700130000001301010167800000EE +:10083000130101FF2326A1008327C10023A807007D +:10084000130000001301010167800000130101FE85 +:100850002326A1002324B1008327C10083A74700DA +:10086000232EF100832781000327C10123A2E70083 +:100870008327C10103A787008327810023A4E70002 +:100880008327C10183A787000327810023A2E700F4 +:100890008327C1010327810023A4E7008327810068 +:1008A0000327C10023A8E7008327C10083A707000F +:1008B000138717008327C10023A0E700130000005F +:1008C0001301010267800000130101FE2326A1002D +:1008D0002324B1008327810083A70700232CF10084 +:1008E000032781019307F0FF631AF7008327C100F4 +:1008F00083A70701232EF1006F0040038327C10067 +:1009000093878700232EF1006F0000018327C10128 +:1009100083A74700232EF1008327C10183A7470047 +:1009200083A7070003278101E372F7FE8327C10134 +:1009300003A747008327810023A2E70083278100C4 +:1009400083A747000327810023A4E70083278100B2 +:100950000327C10123A4E7008327C10103278100E6 +:1009600023A2E700832781000327C10023A8E70013 +:100970008327C10083A70700138717008327C100BF +:1009800023A0E700130000001301010267800000AC +:10099000130101FE2326A1008327C10083A70701BD +:1009A000232EF1008327C10083A747000327C1003E +:1009B0000327870023A4E7008327C10083A78700BC +:1009C0000327C1000327470023A2E7008327C101B3 +:1009D00083A747000327C100631AF7008327C100DC +:1009E00003A787008327C10123A2E7008327C10053 +:1009F00023A807008327C10183A707001387F7FFF8 +:100A00008327C10123A0E7008327C10183A7070033 +:100A1000138507001301010267800000130101FD27 +:100A200023261102232481022326A1002324B100BE +:100A30008327C100232EF1008327C101639607009D +:100A4000737004306F000000EF3010418327C10144 +:100A500003A407008327C10103A7C7038327C1019C +:100A600083A707049385070013050700EF70505410 +:100A7000930705003307F4008327C10123A2E70091 +:100A80008327C10123AC07028327C10103A7070005 +:100A90008327C10123A4E7008327C10103A4070022 +:100AA0008327C10183A7C7031387F7FF8327C101EA +:100AB00083A707049385070013050700EF70504FC5 +:100AC000930705003307F4008327C10123A6E7003D +:100AD0008327C1011307F0FF23A2E7048327C10185 +:100AE0001307F0FF23A4E70483278100639A07021A +:100AF0008327C10183A70701638407048327C101FA +:100B00009387070113850700EF20504C130705005A +:100B1000930710006316F702EFF09FA76F004002E3 +:100B20008327C1019387070113850700EFF0DFCA10 +:100B30008327C1019387470213850700EFF0DFC9C0 +:100B4000EF30903593071000138507008320C10212 +:100B5000032481021301010367800000130101FDDA +:100B6000232611022326A1002324B10093070600A7 +:100B7000A303F100232C01008327C1006396070023 +:100B8000737004306F0000008327810063960700B4 +:100B9000232E01006F00C001832581000325C100C1 +:100BA000EF7010419307050093871700232EF10083 +:100BB0008327C1019387470513850700EF50006E17 +:100BC000232AA1008327410163820706832781002E +:100BD000639A0700832741010327410123A0E7000F +:100BE0006F00400183274101138747058327410197 +:100BF00023A0E700832741010327C10023AEE702BA +:100C0000832741010327810023A0E70493051000F7 +:100C100003254101EFF09FE0832741010347710065 +:100C20002388E70483274101232CF10083278101D6 +:100C300063960700737004306F0000008327810102 +:100C4000138507008320C1021301010367800000A0 +:100C5000130101FD2326110293070500A307F100EC +:100C600013054005EF508063232EA1008327C101A7 +:100C7000638C07088327C10123A207008327C101D2 +:100C800023A007008327C10123A407008327C101F4 +:100C900023A607008327C10123AC07028327C101D4 +:100CA0001307100023AEE7028327C10123A0070426 +:100CB0008327C1011307F0FF23A2E7048327C101A3 +:100CC0001307F0FF23A4E7048327C1010347F100C2 +:100CD0002388E7048327C101938707011385070051 +:100CE000EFF09FAF8327C101938747021385070069 +:100CF000EFF09FAE9306000013060000930500007E +:100D00000325C101EF00001E8327C10113850700E1 +:100D10008320C1021301010367800000130101FD5C +:100D200023261102232481022326A1008327C10048 +:100D3000232CF10083278101639607007370043030 +:100D40006F0000008327810103A44700EF3000609B +:100D5000930705006312F4048327810183A7C7006A +:100D60001387F7FF8327810123A6E70083278101EB +:100D700083A7C700639C07009306000013060000CA +:100D80009305000003258101EF00C01593071000B3 +:100D9000232EF1006F008000232E01008327C10164 +:100DA000138507008320C10203248102130101037C +:100DB00067800000130101FD232611022324810214 +:100DC0002326A1002324B1008327C100232CF10096 +:100DD0008327810163960700737004306F00000061 +:100DE0008327810103A44700EF3040569307050095 +:100DF0006312F4028327810183A7C70013871700BA +:100E00008327810123A6E70093071000232EF1001A +:100E10006F00C003930600000326810093050000C5 +:100E200003258101EF00C058232EA1000327C10133 +:100E300093071000631CF7008327810183A7C70075 +:100E4000138717008327810123A6E7008327C101A9 +:100E5000138507008320C1020324810213010103CB +:100E600067800000130101FD232611022326A10043 +:100E70002324B1008327C1006396070073700430F8 +:100E80006F000000032781008327C10063F6E7009D +:100E9000737004306F0000001306200093050000FB +:100EA0000325C100EFF09FCB232EA1008327C101B2 +:100EB000638807008327C1010327810023ACE70271 +:100EC0008327C10163960700737004306F00000030 +:100ED0008327C101138507008320C1021301010389 +:100EE00067800000130101FC232E11022326A100BC +:100EF0002324B1002322C1002320D1002326010294 +:100F00008327C1002324F10283278102639607000F +:100F1000737004306F00000083278100639807001E +:100F20008327810283A707046396070093071000B5 +:100F30006F00800093070000639607007370043011 +:100F40006F0000000327010093072000631AF700D9 +:100F50008327810203A7C703930710006316F700D6 +:100F6000930710006F00800093070000639607004E +:100F7000737004306F000000EF30803F930705006E +:100F800063960700832741006396070093071000CC +:100F90006F008000930700006396070073700430B1 +:100FA0006F000000EF30406B8327810203A78703A7 +:100FB0008327810283A7C7036368F7000327010023 +:100FC000930720006310F7060326010083258100A4 +:100FD00003258102EF00101C2322A1028327810236 +:100FE00083A747026384070283278102938747020E +:100FF00013850700EF20807D13070500930710007D +:10100000631CF700EFF0CFD86F0000018327410287 +:1010100063840700EFF0CFD7EF300068930710002C +:101020006F00400F8327410063980700EF30C066D0 +:10103000930700006F00000E8327C102639C070026 +:101040009307C10113850700EF20501D930710007F +:101050002326F102EF304064EF10D049EF30C05F3B +:101060008327810203A747049307F0FF6316F70065 +:101070008327810223A207048327810203A7870411 +:101080009307F0FF6316F7008327810223A4070468 +:10109000EF308060130741009307C10193050700FB +:1010A00013850700EF20501C93070500639C07047D +:1010B00003258102EF00504693070500638C070269 +:1010C00083278102938707010327410093050700C7 +:1010D00013850700EF20004A03258102EF00902CC2 +:1010E000EF10904393070500E39E07EAEFF04FCA25 +:1010F0006FF05FEB03258102EF00D02AEF10D041A3 +:101100006FF05FEA03258102EF00D029EF10D04095 +:1011100093070000138507008320C1031301010416 +:1011200067800000130101FD232611022326A10080 +:101130002324B1002322C1002320D1008327C10032 +:10114000232CF1008327810163960700737004301C +:101150006F000000832781006398070083278101C7 +:1011600083A7070463960700930710006F008000B1 +:101170009307000063960700737004306F0000004F +:101180000327010093072000631AF70083278101DA +:1011900003A7C703930710006316F7009307100017 +:1011A0006F0080009307000063960700737004309F +:1011B0006F000000EFF00FD593070500232AF10020 +:1011C0008327810103A787038327810183A7C7039F +:1011D0006368F70003270100930720006310F708F6 +:1011E000032601008325810003258101EF00807A19 +:1011F0008327810103A787049307F0FF6310F70497 +:101200008327810183A74702638407048327810121 +:101210009387470213850700EF20405B9307050083 +:101220006388070283274100638407028327410004 +:101230001307100023A0E7006F00800183278101BE +:1012400083A78704138717008327810123A4E7045A +:1012500093071000232EF1006F008000232E010061 +:101260008327410113850700EFF00FC88327C101D1 +:10127000138507008320C10213010103678000006A +:10128000130101FD232611022326A1002324B1000E +:101290008327C100232CF100832781016396070077 +:1012A000737004306F0000008327810183A7070457 +:1012B00063860700737004306F000000832781018C +:1012C00083A70700639807008327810183A747004E +:1012D00063960700930710006F00800093070000DB +:1012E00063960700737004306F000000EFF08FC149 +:1012F00093070500232AF1008327810103A78703B1 +:101300008327810183A7C7036372F70883278101BD +:1013100083A78703138717008327810123ACE70284 +:101320008327810103A787049307F0FF6310F70465 +:101330008327810183A747026384070483278101F0 +:101340009387470213850700EF2040489307050065 +:101350006388070283278100638407028327810053 +:101360001307100023A0E7006F008001832781018D +:1013700083A78704138717008327810123A4E70429 +:1013800093071000232EF1006F008000232E010030 +:101390008327410113850700EFF00FB58327C101B3 +:1013A000138507008320C102130101036780000039 +:1013B000130101FC232E11022326A1002324B100D6 +:1013C0002322C1002320D100232601028327C1004C +:1013D0002324F1028327810263960700737004308F +:1013E0006F00000083278100639807008327810234 +:1013F00083A7070463960700930710006F0080001F +:101400009307000063960700737004306F000000BC +:10141000EF201076930705006396070083274100AD +:1014200063960700930710006F0080009307000089 +:1014300063960700737004306F000000EF30C02126 +:101440008327810283A787036382070C8327810296 +:1014500083A7C7002322F10283258100032581028F +:10146000EF00806B832701006392070683278102C8 +:1014700083A787031387F7FF8327810223ACE70243 +:101480008327810283A70700639A0700EF30802B30 +:10149000130705008327810223A2E7008327810227 +:1014A00083A70701638E07048327810293870701BF +:1014B00013850700EF208031130705009307100004 +:1014C0006310F704EFF0CF8C6F0080038327810255 +:1014D0000327410223A6E7008327810283A747024F +:1014E00063800702832781029387470213850700E1 +:1014F000EF20C02D9307050063840700EFF04F89AC +:10150000EF308019930710006F008011832741008E +:1015100063980700EF304018930700006F004010F9 +:101520008327C102639C07009307C101138507004D +:10153000EF20C04E930710002326F102EF30C015B4 +:10154000EF10407BEF3040118327810203A747044F +:101550009307F0FF6316F7008327810223A2070495 +:101560008327810203A787049307F0FF6316F70020 +:101570008327810223A40704EF30001213074100E0 +:101580009307C1019305070013850700EF20C04DA5 +:1015900093070500639E070603258102EF00406F55 +:1015A00093070500638E07048327810283A7070042 +:1015B000639E0700EF30400A8327810283A747001C +:1015C00013850700EF20D05FEF30000D83278102E5 +:1015D00093874702032741009305070013850700FF +:1015E000EF10507903258102EF00C05BEF10C0724D +:1015F00093070500E39407E4EFE09FF96FF01FE421 +:1016000003258102EF00005AEF1000716FF01FE315 +:1016100003258102EF000059EF10007093070000CE +:10162000138507008320C1031301010467800000B4 +:10163000130101FD232611022326A1002324B1005A +:101640002322C1008327C100232CF10083278101BD +:1016500063960700737004306F00000083278100D9 +:10166000639807008327810183A707046396070017 +:10167000930710006F008000930700006396070037 +:10168000737004306F000000EFF0CF879307050000 +:10169000232AF1008327810183A787036388070832 +:1016A0008325810003258101EF0000478327810105 +:1016B00083A787031387F7FF8327810123ACE70202 +:1016C0008327810103A747049307F0FF6310F70402 +:1016D0008327810183A7070163840704832781018E +:1016E0009387070113850700EF20400E930705003D +:1016F0006388070283274100638407028327410030 +:101700001307100023A0E7006F00800183278101E9 +:1017100083A74704138717008327810123A2E704C7 +:1017200093071000232EF1006F008000232E01008C +:101730008327410113850700EFE01FFB8327C101C9 +:10174000138507008320C102130101036780000095 +:10175000130101FD232611022326A1002324B10039 +:101760008327C100232CF1008327810163960700A2 +:10177000737004306F0000008327810063980700B6 +:101780008327810183A7070463960700930710004E +:101790006F008000930700006396070073700430A9 +:1017A0006F0000008327810183A707046396070069 +:1017B000737004306F000000EFE0DFF49307050062 +:1017C000232AF1008327810183A78703638A070205 +:1017D0008327810183A7C7002328F1008325810087 +:1017E00003258101EF004033832781010327010195 +:1017F00023A6E70093071000232EF1006F0080005E +:10180000232E01008327410113850700EFE0DFED60 +:101810008327C101138507008320C102130101033F +:1018200067800000130101FD232611022326A10079 +:101830008327C10063960700737004306F000000B7 +:10184000EF2090618327C10083A78703232EF10037 +:10185000EF2090648327C101138507008320C10214 +:101860001301010367800000130101FD232611020B +:101870002326A1008327C100232EF1008327C10165 +:1018800063960700737004306F000000EF20D05C97 +:101890008327C10103A7C7038327C10183A7870348 +:1018A000B307F740232CF100EF20105F832781015D +:1018B000138507008320C102130101036780000024 +:1018C000130101FE2326A1008327C10063960700B0 +:1018D000737004306F0000008327C10083A7870363 +:1018E000232EF1008327C101138507001301010294 +:1018F00067800000130101FD232611022326A100A9 +:101900008327C100232EF1008327C10163960700BE +:10191000737004306F0000000325C101EF004052D6 +:101920000325C101EF405037130000008320C1029E +:101930001301010367800000130101FF2326A100AA +:101940008327C10083A7C704138507001301010182 +:1019500067800000130101FF2326A1002324B100AA +:101960008327C1000327810023A6E704130000009A +:101970001301010167800000130101FF2326A1006C +:101980008327C10083C707051385070013010101E1 +:1019900067800000130101FD232611022326A10008 +:1019A0002324B1002322C100232E01008327C1007C +:1019B00083A70704639807028327C10083A7070052 +:1019C000639807128327C10083A747001385070088 +:1019D000EF20D034232EA1008327C10023A20700CB +:1019E0006F00001183274100639407068327C1001D +:1019F00003A787008327C10083A707041386070076 +:101A00008325810013050700EF40D03F8327C100E5 +:101A100003A787008327C10083A707043307F700C4 +:101A20008327C10023A4E7008327C10003A7870001 +:101A30008327C10083A74700636CF70A8327C1008F +:101A400003A707008327C10023A4E7006F00400A13 +:101A50008327C10003A7C7008327C10083A707040A +:101A6000138607008325810013050700EF40903996 +:101A70008327C10003A7C7008327C10083A70704EA +:101A8000B307F0403307F7008327C10023A6E70020 +:101A90008327C10003A7C7008327C10083A70700CE +:101AA0006372F7028327C10003A747008327C100A1 +:101AB00083A70704B307F0403307F7008327C1006B +:101AC00023A6E70003274100930720006312F702D3 +:101AD0008327C10083A78703638C07008327C10086 +:101AE00083A787031387F7FF8327C10023ACE7028F +:101AF0008327C10083A78703138717008327C100AB +:101B000023ACE7028327C101138507008320C102AC +:101B10001301010367800000130101FE232E110051 +:101B20002326A1002324B1008327C10083A7070433 +:101B3000638207068327C10003A7C7008327C1006C +:101B400083A707043307F7008327C10023A6E70014 +:101B50008327C10003A7C7008327C10083A74700CD +:101B6000636AF7008327C10003A707008327C1002A +:101B700023A6E7008327C10003A7C7008327C1006E +:101B800083A7070413860700930507000325810038 +:101B9000EF405027130000008320C1011301010210 +:101BA00067800000130101FE232E11002326A100EF +:101BB000EF20902A6F0000048327C10083A747020B +:101BC000638207048327C1009387470213850700B8 +:101BD000EF10D03F9307050063840700EF10D07823 +:101BE0008327C10083A787041387F7FF8327C100DA +:101BF00023A4E7048327C10083A78704E34EF0FAF8 +:101C00006F008000130000008327C1001307F0FF5E +:101C100023A4E704EF205028EF2010246F000004D5 +:101C20008327C10083A70701638207048327C100BC +:101C30009387070113850700EF10503993070500BC +:101C400063840700EF1050728327C10083A7470405 +:101C50001387F7FF8327C10023A2E7048327C1006E +:101C600083A74704E34EF0FA6F00800013000000E2 +:101C70008327C1001307F0FF23A2E704EF20D02140 +:101C8000130000008320C1011301010267800000DE +:101C9000130101FD232611022326A100EF20D01BF2 +:101CA0008327C10083A78703639807009307100069 +:101CB000232EF1006F008000232E0100EF20D01DA5 +:101CC0008327C101138507008320C102130101038B +:101CD00067800000130101FE2326A1008327C100B5 +:101CE00063960700737004306F0000008327C10003 +:101CF00083A787036398070093071000232EF10042 +:101D00006F008000232E01008327C1011385070087 +:101D10001301010267800000130101FD2326110257 +:101D20002326A100EF2050138327C10003A78703B8 +:101D30008327C10083A7C7036318F7009307100028 +:101D4000232EF1006F008000232E0100EF20D0141D +:101D50008327C101138507008320C10213010103FA +:101D600067800000130101FE2326A1008327C10024 +:101D700063960700737004306F0000008327C10072 +:101D800003A787038327C10083A7C7036318F7004E +:101D900093071000232EF1006F008000232E010016 +:101DA0008327C1011385070013010102678000002A +:101DB000130101FE2326A1002324B100232E0100DC +:101DC0006F00800617870300130787948327C101DC +:101DD00093973700B307F70083A7070063900704C2 +:101DE000178703001307C7928327C1019397370012 +:101DF000B307F7000327810023A0E700178703003C +:101E0000130707918327C10193973700B307F700A2 +:101E10000327C10023A2E7006F00C0018327C1018F +:101E200093871700232EF1000327C1019307700049 +:101E3000E3FAE7F8130000001301010267800000D5 +:101E4000130101FE2326A100232E01006F00C00410 +:101E5000178703001307C78B8327C10193973700A8 +:101E6000B307F70083A747000327C1006310F702F9 +:101E7000178703001307C7898327C101939737008A +:101E8000B307F70023A007006F00C0018327C1013B +:101E900093871700232EF1000327C10193077000D9 +:101EA000E3F8E7FA13000000130101026780000065 +:101EB000130101FD232611022326A1002324B100D2 +:101EC0002322C1008327C100232EF100EF20C07818 +:101ED0008327C10103A747049307F0FF6316F700A8 +:101EE0008327C10123A207048327C10103A7870415 +:101EF0009307F0FF6316F7008327C10123A40704AB +:101F0000EF2080798327C10183A78703639E0700A1 +:101F10008327C1019387470203264100832581005F +:101F200013850700EF10007F0325C101EFF09FC765 +:101F3000130000008320C102130101036780000029 +:101F4000130101FC232E1102232EA100232CB1002A +:101F50002328D1002326E1002324F10023220101BC +:101F60002320110193070600231BF1008327C101E1 +:101F700063960700737004306F0000000327C100F0 +:101F80009307900063F6E700737004306F00000061 +:101F9000835761018325410013850700EF10D07E30 +:101FA0002324A102832781026386071C83278102E1 +:101FB00003A7070383566101B70700409387F7FF24 +:101FC000B387F60093972700B307F7002322F102A7 +:101FD0008327410293F7C7FF2322F102832741029F +:101FE00093F7370063860700737004306F000000BA +:101FF0008357610113870700832601000326C10070 +:102000008325810103258102EF1050410326010140 +:102010008325C10103254102EFE0CFF0130705003E +:102020008327810223A0E7008327810063880700BC +:10203000832781000327810223A0E700EF20C061EE +:1020400097E701009387475B83A707001387170073 +:1020500097E701009387475A23A0E70097E701001D +:102060009387473983A707006398070297E7010022 +:10207000938747380327810223A0E70097E70100F1 +:102080009387875703A70700930710006312F7048D +:10209000EF10904B6F00C00397E7010093878756BE +:1020A00083A707006396070297E7010093878734A9 +:1020B00083A7070083A7C7020327C100636AF7004D +:1020C00097E70100938707330327810223A0E700E6 +:1020D00097E701009387075483A70700138717002A +:1020E00097E701009387075323A0E70097E70100D4 +:1020F0009387475203A707008327810223A4E7049D +:102100008327810203A7C70297E701009387474F00 +:1021100083A7070063FCE7008327810203A7C702A8 +:1021200097E701009387C74D23A0E700832781022B +:1021300003A7C7029307070093972700B387E70019 +:102140009397270017E70100130787373387E700C6 +:1021500083278102938747009385070013050700B3 +:10216000EFE0CFEE930710002326F102EF20C052DC +:102170006F00C0009307F0FF2326F1020327C1027E +:10218000930710006318F70297E7010093878747CA +:1021900083A707006380070297E7010093878725DD +:1021A00083A7070083A7C7020327C10063F4E700E2 +:1021B000EFE00FBE8327C102138507008320C10310 +:1021C0001301010467800000130101FD23261102A1 +:1021D0002326A100EF2040488327C100639A07000F +:1021E00097E701009387072183A707006F0080000E +:1021F0008327C100232EF1008327C1019387470065 +:1022000013850700EFE0CFF88327C10183A787027A +:10221000638A07008327C10193878701138507001D +:10222000EFE00FF78327C1019387470093850700ED +:1022300017E5010013058539EFE04FE197E701004D +:102240009387073A83A707001387170097E70100D2 +:102250009387073923A0E70097E701009387873B1F +:1022600083A707001387170097E701009387873A32 +:1022700023A0E700EF20404297E7010093878738CB +:1022800083A707006382070497E7010093878716F7 +:1022900083A707000327C1016312F70297E7010034 +:1022A0009387C73783A707006386070073700430DE +:1022B0006F000000EFE0CFAD6F000001EF20C039EC +:1022C000EF208001EF20403D130000008320C10279 +:1022D0001301010367800000130101FD2326110291 +:1022E0002326A1002324B100232E01008327C1004F +:1022F00063960700737004306F000000832781002D +:1023000063960700737004306F00000097E70100C8 +:102310009387C73083A70700638607007370043074 +:102320006F000000EF00101D97E701009387072D55 +:1023300083A70700232CF1008327C10083A7070090 +:1023400003278100B307F700232AF1008327C10088 +:1023500083A70700032781016376F7028327C10063 +:1023600083A70700032741016370F7040327410196 +:102370008327810163FAE70293071000232EF100FF +:102380006F0080028327C10083A707000327410154 +:102390006368F700032741018327810163F6E700A3 +:1023A00093071000232EF1008327C100032741016A +:1023B00023A0E7008327C1016382070297E701009A +:1023C0009387470383A70700938747001385070078 +:1023D000EFE00FDC03254101EF10502FEF00D01389 +:1023E0002328A1008327010163940700EFE04F9A9F +:1023F000130000008320C102130101036780000065 +:10240000130101FD232611022326A100232E010022 +:102410008327C1006380070697E7010093870720A1 +:1024200083A7070063860700737004306F00000005 +:10243000EF00500C97E701009387471C83A7070024 +:102440000327C100B307F700232CF10097E7010031 +:10245000938747FA83A707009387470013850700F0 +:10246000EFE00FD303258101EF105026EF00D00AD3 +:10247000232EA1008327C10163940700EFE04F9151 +:10248000130000008320C1021301010367800000D4 +:10249000130101FD232611022326A1008327C10079 +:1024A000232CF100832781016396070073700430A9 +:1024B0006F00000097E701009387C7F383A7070029 +:1024C000032781016316F700232E01006F00400AE5 +:1024D000EF2080188327810183A74701232AF10079 +:1024E000EF20801B97E701009387870C83A70700E5 +:1024F00003274101630CF70097E701009387870BDF +:1025000083A70700032741016318F7009307200002 +:10251000232EF1006F00C0050327410197E701005A +:102520009387470C6314F7028327810183A78702EF +:102530006398070093073000232EF1006F004003DB +:1025400093072000232EF1006F0080020327410132 +:1025500097E70100938787076318F7009307400008 +:10256000232EF1006F00C00093071000232EF1000E +:102570008327C101138507008320C10213010103D2 +:1025800067800000130101FD232611022326A1000C +:10259000EF20800C8327C100639A070097E70100B2 +:1025A000938747E583A707006F0080008327C1005A +:1025B000232EF1008327C10183A7C702232CF1003A +:1025C000EF20800D83278101138507008320C1023E +:1025D0001301010367800000130101FD232611028E +:1025E0002326A100EFE00F9293070500232EF100B0 +:1025F0008327C100639A070097E70100938787DF6D +:1026000083A707006F0080008327C100232CF100FF +:102610008327810183A7C702232AF1008327C101F1 +:1026200013850700EFE04F8C8327410113850700D6 +:102630008320C1021301010367800000130101FD23 +:10264000232611022326A1002324B100232E0100FA +:10265000032781009307900063F6E700737004304E +:102660006F000000032781009307900063F6E700E6 +:10267000930790002324F100EF10107E8327C10000 +:10268000639A070097E701009387C7D683A70700DF +:102690006F0080008327C100232CF1008327810174 +:1026A00083A70705232AF10003274101832781001F +:1026B000630EF716032781008327410163FEE702BB +:1026C00097E70100938707D383A7070003278101BA +:1026D0006302F70497E701009387C7D183A7070038 +:1026E00083A7C702032781006366F70293071000E0 +:1026F000232EF1006F00000297E70100938787CF38 +:1027000083A70700032781016316F70093071000D2 +:10271000232EF1008327810183A7C7022328F1001C +:102720008327810103A707058327810183A7C702A8 +:102730006318F700832781010327810023A6E7029E +:10274000832781010327810023A8E70483278101D0 +:1027500083A7870163CC07001307A00083278100AC +:102760003307F7408327810123ACE70083278101EA +:1027700083A64701032701019307070093972700CA +:10278000B387E7009397270017E70100130747D3A4 +:10279000B387E7006396F600930710006F00800090 +:1027A00093070000638C0706832781019387470006 +:1027B00013850700EFE0CF9D8327810103A7C702A0 +:1027C00097E701009387C7E383A7070063FCE7004F +:1027D0008327810103A7C70297E70100938747E298 +:1027E00023A0E7008327810103A7C70293070700FF +:1027F00093972700B387E7009397270017E7010017 +:10280000130707CC3387E7008327810193874700AD +:102810009385070013050700EFE04F830327C101ED +:10282000930710006314F700EFD09FD6EF10D06627 +:10283000130000008320C102130101036780000020 +:10284000130101FD232611022326A100EF10D06001 +:102850008327C100639A070097E70100938787B930 +:1028600083A707006F0080008327C100232EF1009B +:102870008327C1019387470013850700EFE04F913D +:102880008327C10183A78702638A07008327C101C9 +:102890009387870113850700EFE08F8F8327C1019E +:1028A000938747009385070017E50100130585D33B +:1028B000EFD0DFF9EF10505E97E70100938787B301 +:1028C00083A707000327C1016314F70697E70100F8 +:1028D000938747D383A707006382070297E7010026 +:1028E0009387C7D383A707006386070073700430FC +:1028F0006F000000EFD0DFC96F00400597E70100CF +:10290000938747CE03A7070097E701009387C7CEB9 +:1029100083A70700631AF70097E70100938787AD45 +:1029200023A007006F008002EF0090286F000002D4 +:1029300097E70100938707CD83A707006388070007 +:10294000EF109051EF105019EF10105513000000C8 +:102950008320C1021301010367800000130101FEFF +:102960002326A100232E01008327C100232CF10080 +:102970008327C10063960700737004306F00000066 +:102980008327810103A7470197E70100938787C544 +:102990006316F700930710006F0080009307000094 +:1029A000638E07028327810103A7870297E701004F +:1029B000938787C06304F7028327810183A7870277 +:1029C00063960700930710006F00800093070000D4 +:1029D0006386070093071000232EF1008327C101AF +:1029E000138507001301010267800000130101FD38 +:1029F000232611022326A1008327C100232EF100E4 +:102A00008327C10063960700737004306F000000D5 +:102A10008327C1016384070C97E701009387879D93 +:102A200083A707000327C101630AF70AEF10D0420A +:102A30000325C101EFF09FF2130705009307100073 +:102A4000631CF7088327C10193874700138507009C +:102A5000EFD01FF48327C10103A7C70297E7010046 +:102A6000938707BA83A7070063FCE7008327C101A8 +:102A700003A7C70297E70100938787B823A0E70061 +:102A80008327C10103A7C702930707009397270075 +:102A9000B387E7009397270017E70100130747A2C2 +:102AA0003387E7008327C101938747009385070099 +:102AB00013050700EFD09FD98327C10103A7C702E1 +:102AC00097E701009387079383A7070083A7C702AF +:102AD0006364F700EFD0DFABEF10103C1300000091 +:102AE0008320C1021301010367800000130101FD6F +:102AF000232611022326A100232E01008327C100D3 +:102B0000232CF1008327C100639607007370043003 +:102B10006F000000EFD01FBF93070500232AF100CC +:102B200003258101EFF09FE31307050093071000D1 +:102B30006314F70C97E70100938747AE83A707005C +:102B40006390070A8327810103A7C70297E7010063 +:102B50009387478A83A7070083A7C7026366F700A6 +:102B600093071000232EF1008327810193874700EC +:102B700013850700EFD0DFE18327810103A7C70298 +:102B800097E701009387C7A783A7070063FCE700C7 +:102B90008327810103A7C70297E70100938747A610 +:102BA00023A0E7008327810103A7C702930707003B +:102BB00093972700B387E7009397270017E7010053 +:102BC000130707903387E700832781019387470026 +:102BD0009385070013050700EFD05FC76F00C001A2 +:102BE00083278101938787019385070017E50100FB +:102BF0001305859CEFD09FC58327410113850700EE +:102C0000EFD09FAE8327C101138507008320C10247 +:102C10001301010367800000130101FE232E110040 +:102C2000930800001308000093070000130700003A +:102C3000930600001306004097D501009385853C5C +:102C400017150000130505FBEFF08FAF2326A10039 +:102C50000327C100930710006316F700EF20C03A66 +:102C60002326A1000327C10093071000631EF7026B +:102C70007370043097E701009387079A1307F0FFFA +:102C800023A0E70097E701009387C7971307100079 +:102C900023A0E70097E701009387479623A007004A +:102CA000EFD04FF46F0040018327C1006396070007 +:102CB000737004306F000000130000008320C10116 +:102CC0001301010267800000130101FF2326110098 +:102CD0007370043097E701009387C79223A0070021 +:102CE000EFD09F82130000008320C1001301010177 +:102CF0006780000097E701009387479283A707004A +:102D00001387170097E701009387479123A0E700F7 +:102D10001300000067800000130101FE232E110044 +:102D20002326010097E701009387478F83A70700B9 +:102D300063960700737004306F000000EF10D0112D +:102D400097E701009387878D83A707001387F7FF15 +:102D500097E701009387878C23A0E70097E701009E +:102D60009387C78B83A707006394071697E7010033 +:102D70009387878883A70700638C07146F00800CF4 +:102D800097E701009387478383A7C70083A7C700FE +:102D90002324F1008327810093878701138507008F +:102DA000EFD01FBF8327810093874700138507005B +:102DB000EFD01FBE8327810003A7C70297E701005A +:102DC0009387078483A7070063FCE70083278100BC +:102DD00003A7C70297E701009387878223A0E70034 +:102DE0008327810003A7C702930707009397270053 +:102DF000B387E7009397270017D701001307476CA5 +:102E00003387E70083278100938747009385070076 +:102E100013050700EFD09FA38327810003A7C702F4 +:102E200097D701009387075D83A7070083A7C70291 +:102E3000636AF70097D701009387477D1307100057 +:102E400023A0E70097D701009387077783A70700A0 +:102E5000E39807F297D701009387077B83A70700C2 +:102E6000638807046F00C003EF00002B9307050081 +:102E7000638A070097D701009387477913071000EB +:102E800023A0E70097D701009387077883A707005F +:102E90001387F7FF97D701009387077723A0E700F1 +:102EA00097D701009387477683A70700E39E07FA29 +:102EB00097D701009387877503A707009307100032 +:102EC0006318F700930710002326F100EFD04FECB2 +:102ED000EF10807C8327C100138507008320C10188 +:102EE0001301010267800000130101FE232E11006F +:102EF000EF10807697D701009387477083A707006C +:102F00002326F100EF1040798327C10013850700C5 +:102F10008320C1011301010267800000130101FE3B +:102F2000232E1100EFD00FFE930705002326F1009A +:102F300097D701009387876C83A707002324F100AC +:102F40008327C10013850700EFD00FFA8327810084 +:102F5000138507008320C10113010102678000006F +:102F600097D701009387476983A707001385070058 +:102F700067800000130101FD232611022326A10012 +:102F80002324B1002322C100232E01009307A000B7 +:102F9000232CF100EFF01FD697D701009387C76568 +:102FA00083A7070003278100636CF714832781013F +:102FB0009387F7FF232CF1008327C10193975700D4 +:102FC0000327C100B306F700032781019307070019 +:102FD00093972700B387E7009397270017D701003F +:102FE0001307074EB387E700130610009385070009 +:102FF00013850600EF108004130705008327C10125 +:10300000B387E700232EF10083278101E39007FABD +:103010008327C101939757000327C1003307F700A7 +:1030200097D701009387C75883A70700130620008E +:103030009385070013050700EF10400013070500F4 +:103040008327C101B387E700232EF1008327C10145 +:10305000939757000327C1003307F70097D7010064 +:103060009387475583A70700130620009385070021 +:1030700013050700EF00907C130705008327C101AB +:10308000B387E700232EF1008327C10193975700F0 +:103090000327C100B307F7001306400097D50100CE +:1030A0009385C55213850700EF005079130705007B +:1030B0008327C101B387E700232EF1008327C101D5 +:1030C000939757000327C100B307F700130630009A +:1030D00097D501009385055113850700EF00107601 +:1030E000130705008327C101B387E700232EF100F2 +:1030F00083274100638607008327410023A0070040 +:10310000EFF09FC18327C101138507008320C1020F +:103110001301010367800000130101FD2326110242 +:10312000232E010097D701009387474F83A70700FD +:10313000639C072297D701009387474C83A707001A +:103140001387170097D701009387474B23A0E70009 +:1031500097D701009387874A83A70700232CF100A4 +:1031600083278101639C070697D701009387474413 +:1031700083A7070083A707006386070073700430E6 +:103180006F00000097D701009387874283A707004D +:10319000232AF10097D701009387C74103A70700AF +:1031A00097D701009387C74023A0E70097D7010076 +:1031B000938747400327410123A0E70097D70100E9 +:1031C0009387074583A707001387170097D7010048 +:1031D0009387074423A0E700EF10001097D7010062 +:1031E0009387874383A7070003278101636CF71246 +:1031F00097D701009387C73B83A7070083A70700E2 +:1032000063960700930710006F008000930700008B +:10321000638C070097D70100938707401307F0FFDF +:1032200023A0E7006F00001097D70100938747386D +:1032300083A7070083A7C70083A7C7002328F1003F +:103240008327010183A747002326F100032781017B +:103250008327C100637CF70097D701009387C73BA2 +:103260000327C10023A0E7006F00C00B83270101E3 +:103270009387470013850700EFD08FF18327010163 +:1032800083A78702638A0700832701019387870149 +:1032900013850700EFD0CFEF8327010103A7C702F3 +:1032A00097D701009387C73583A7070063FCE70022 +:1032B0008327010103A7C70297D7010093874734EB +:1032C00023A0E7008327010103A7C7029307070094 +:1032D00093972700B387E7009397270017D701003C +:1032E0001307071E3387E7008327010193874700F1 +:1032F0009385070013050700EFD04FD58327010101 +:1033000003A7C70297D701009387C70E83A70700BB +:1033100083A7C702E36EF7EC93071000232EF1009A +:103320006FF01FED97D701009387C70C83A70700A5 +:1033300003A7C70297D60100938686189307070054 +:1033400093972700B387E70093972700B387F6008A +:1033500003A707009307100063F6E7029307100026 +:10336000232EF1006F00000297D701009387C72931 +:1033700083A707001387170097D701009387C728F3 +:1033800023A0E70097D701009387472883A707006A +:103390006386070093071000232EF1008327C101E5 +:1033A000138507008320C102130101036780000019 +:1033B000130101FE232E110097D7010093870726E2 +:1033C00083A70700638C070097D701009387072422 +:1033D0001307100023A0E7006F00C01897D7010063 +:1033E0009387C72223A0070097D701009387870000 +:1033F00083A7070083A707032326F100B7A7A5A586 +:103400009387575A2324F1008327C10083A707001D +:10341000032781006310F7048327C10093874700C7 +:1034200083A70700032781006316F7028327C100E3 +:103430009387870083A7070003278100631CF70099 +:103440008327C1009387C70083A707000327810054 +:103450006302F70697D701009387C7F903A7070010 +:1034600097D70100938707F983A70700938747033E +:103470009385070013050700EF40C0186F00800315 +:1034800097D701009387C71783A7070063960700A4 +:10349000737004306F00000097D7010093874716C0 +:1034A00083A707001387F7FF97D701009387471576 +:1034B00023A0E70097D701009387871403A707008D +:1034C00097D601009386C6FF9307070093972700BE +:1034D000B387E70093972700B387F60083A7070019 +:1034E000E38007FA97D701009387871103A70700A6 +:1034F0009307070093972700B387E7009397270068 +:1035000017D701001307C7FBB387E7002322F10099 +:103510008327410083A7470003A747008327410073 +:1035200023A2E7008327410003A747008327410028 +:1035300093878700631CF7008327410083A7470018 +:1035400003A747008327410023A2E7008327410008 +:1035500083A7470003A7C70097D70100938787E990 +:1035600023A0E700130000008320C1011301010222 +:1035700067800000130101FD232611022326A1000C +:103580002324B1008327C1006396070073700430C1 +:103590006F00000097D701009387C7E583A7070056 +:1035A00093878701938507000325C100EFD0CFB132 +:1035B00097D70100938707E483A707009387470005 +:1035C00013850700EFD0CFBC032781009307F0FFDE +:1035D0006314F70297D701009387C7E183A7070019 +:1035E000938747009385070017D50100130585FFD2 +:1035F000EFD0CFA56F00400297D701009387070057 +:1036000083A7070003278100B307F700232EF100EB +:103610000325C101EF00900B130000008320C102BD +:103620001301010367800000130101FD232611022D +:103630002326A1002324B1002322C1008327C10037 +:1036400063960700737004306F00000097D7010085 +:103650009387C7FC83A70700639607007370043045 +:103660006F00000097D701009387C7D883A7070092 +:10367000832681003707008033E7E60023ACE700AC +:1036800097D70100938707D783A707009387870100 +:10369000938507000325C100EFD04F9B97D701000A +:1036A000938747D583A707009387470013850700B3 +:1036B000EFD00FAE032741009307F0FF6314F7022A +:1036C00097D70100938707D383A707009387470005 +:1036D0009385070017D501001305C5F0EFD00F97AC +:1036E0006F00400297D70100938747F183A7070037 +:1036F00003274100B307F700232EF1000325C10182 +:10370000EF00C07C130000008320C10213010103FD +:1037100067800000130101FD232611022326A1006A +:103720002324B1002322C1008327C1006396070030 +:10373000737004306F00000097D70100938787CB28 +:1037400083A7070093878701938507000325C1009E +:10375000EFD0CF8F97D701009387C7C983A7070002 +:103760009387470013850700EFD08FA203274100FE +:10377000930710006314F70297D70100938787C758 +:1037800083A70700938747009385070017D501009B +:10379000130545E5EFD08F8B6F00400297D70100EE +:1037A0009387C7E583A7070003278100B307F700C6 +:1037B000232EF1000325C101EF004071130000002A +:1037C0008320C1021301010367800000130101FD82 +:1037D000232611022326A1008327C10083A7C70047 +:1037E00083A7C700232CF10083278101639607007C +:1037F000737004306F000000832781019387870175 +:1038000013850700EFD0CF9897D70100938707E182 +:1038100083A70700639E07068327810193874700DC +:1038200013850700EFD0CF968327810103A7C70236 +:1038300097D701009387C7DC83A7070063FCE700E5 +:103840008327810103A7C70297D70100938747DB2E +:1038500023A0E7008327810103A7C702930707007E +:1038600093972700B387E7009397270017D70100A6 +:10387000130707C53387E700832781019387470034 +:103880009385070013050700EFC05FFC6F00C001C0 +:1038900083278101938787019385070017D501004E +:1038A000130585D1EFC09FFA8327810103A7C702C3 +:1038B00097D70100938707B483A7070083A7C702A0 +:1038C00063F0E70293071000232EF10097D7010061 +:1038D0009387C7D31307100023A0E7006F00800071 +:1038E000232E01008327C101138507008320C10215 +:1038F0001301010367800000130101FD232611025B +:103900002326A1002324B10097D70100938707D174 +:1039100083A7070063960700737004306F000000F0 +:1039200003278100B70700803367F7008327C100B2 +:1039300023A0E7008327C10083A7C700232CF10041 +:103940008327810163960700737004306F000000C5 +:103950000325C100EFD0CF838327810193874700E0 +:1039600013850700EFD0CF828327810103A7C70209 +:1039700097D701009387C7C883A7070063FCE700B8 +:103980008327810103A7C70297D70100938747C701 +:1039900023A0E7008327810103A7C702930707003D +:1039A00093972700B387E7009397270017D7010065 +:1039B000130707B13387E700832781019387470007 +:1039C0009385070013050700EFC05FE88327810197 +:1039D00003A7C70297D701009387C7A183A7070052 +:1039E00083A7C70263F0E70293071000232EF100BC +:1039F00097D70100938787C11307100023A0E70022 +:103A00006F008000232E01008327C101138507006A +:103A10008320C1021301010367800000130101FF2D +:103A20002326A1008327C10063960700737004302A +:103A30006F00000097D70100938787BD03A7070099 +:103A40008327C10023A0E70097D70100938707BB16 +:103A500003A707008327C10023A2E700130000008B +:103A60001301010167800000130101FD23261102EB +:103A70002326A1002324B1008327C10063960700F9 +:103A8000737004306F000000832781006396070085 +:103A9000737004306F000000EF00103C97D70100F6 +:103AA0009387C7B583A70700232CF10083278100E4 +:103AB00003A707009307F0FF6316F700232E01000A +:103AC0006F0000098327C10003A7070097D70100F3 +:103AD000938707B483A707006300F7028327C10019 +:103AE00083A74700032781016368F700930710004D +:103AF000232EF1006F00C0058327C10083A7470074 +:103B0000032781013307F7408327810083A707003C +:103B1000637CF7028327810003A707008327C10086 +:103B200083A6470083278101B387F6403307F70058 +:103B30008327810023A0E7000325C100EFF01FEEDB +:103B4000232E01006F00C00093071000232EF10008 +:103B5000EF0090348327C101138507008320C10241 +:103B6000130101036780000097D70100938707AA1C +:103B70001307100023A0E700130000006780000077 +:103B8000130101FE2326A1008327C100638E0700D5 +:103B90008327C100232CF1008327810183A7C70459 +:103BA000232EF1006F008000232E01008327C10126 +:103BB000138507001301010267800000130101FE55 +:103BC0002326A1002324B1008327C100638C0700B2 +:103BD0008327C100232EF1008327C1010327810021 +:103BE00023A6E70413000000130101026780000010 +:103BF000130101FE232E11002326A100EF00002156 +:103C000097D701009387C78B03A70700930710007E +:103C1000E3F6E7FEEFC0DF976FF05FFE130101FCF4 +:103C2000232E1102232EA100232CB100232AC10030 +:103C30002328D100930707002317F1002326010250 +:103C40006F004004032781018327C102B307F700F7 +:103C500003C707008326C1018327C102B387F6008B +:103C6000238AE702032781018327C102B307F700F4 +:103C700083C70700638007028327C1029387170069 +:103C80002326F1020327C1029307F000E3FCE7FAC1 +:103C90006F008000130000008327C101A381070487 +:103CA000032741019307900063F6E7009307900014 +:103CB000232AF1008327C1010327410123A6E7023C +:103CC0008327C1010327410123A8E7048327C101FA +:103CD00023AA07048327C1019387470013850700A0 +:103CE000EFC01FB58327C1019387870113850700A4 +:103CF000EFC01FB48327C1010327C10123A8E70038 +:103D00001307A000832741013307F7408327C10130 +:103D100023ACE7008327C1010327C10123A2E702E7 +:103D20008327C10123A207048327C10123AC070411 +:103D30008327C10123AE0704130000008320C103C1 +:103D40001301010467800000130101FE232E1100FE +:103D5000232601006F0080030327C100930707009B +:103D600093972700B387E7009397270017C70100B1 +:103D700013070775B387E70013850700EFC0DFA5BA +:103D80008327C100938717002326F1000327C10072 +:103D900093079000E3F2E7FC17C501001305C57E09 +:103DA000EFC09FA317C501001305457FEFC0DFA239 +:103DB00017D5010013054580EFC01FA217D50100DC +:103DC0001305C580EFC05FA117D5010013058581DC +:103DD000EFC09FA097C701009387877D17C7010099 +:103DE0001307877A23A0E70097C701009387877C92 +:103DF00017C701001307877A23A0E700130000000C +:103E00008320C1011301010267800000130101FE3C +:103E1000232E11006F008009EFE0DFED97C701004E +:103E20009387C77A83A7070093B7170093F7F70F15 +:103E30002326F100EFE05FEE8327C10063980706B9 +:103E4000EF00900197C701009387477883A7C700C9 +:103E500083A7C7002324F1008327810093874700AD +:103E600013850700EFC0DFB297C701009387C778BB +:103E700083A707001387F7FF97C701009387C777CA +:103E800023A0E70097C701009387877583A70700E2 +:103E90001387F7FF97C701009387877423A0E70074 +:103EA000EF00807F03258100EF00C03F97C701002E +:103EB0009387077383A70700E39007F613000000BA +:103EC0008320C1011301010267800000130101FE7C +:103ED000232E11002326A10097C701009387875145 +:103EE00083A707000327C10023A2E70097C70100AB +:103EF0009387C77083A707000327C1006378F70281 +:103F000097C701009387076B03A7070097C70100B6 +:103F10009387474E83A70700938747009385070041 +:103F200013050700EFC05F9A6F00000597C70100F7 +:103F30009387076803A7070097C701009387874BFC +:103F400083A70700938747009385070013050700A1 +:103F5000EFC09F9797C701009387076C83A707005F +:103F60000327C100637AF70097C701009387C76AE8 +:103F70000327C10023A0E700130000008320C10134 +:103F80001301010267800000130101FD23261102C5 +:103F9000930705002324B1002317F1008327810034 +:103FA000639E07008357E10093972700138507005E +:103FB000EF20C02E930705006F008000832781004B +:103FC000232CF10083278101638A07021305000671 +:103FD000EF20C02C232EA1008327C101638A070094 +:103FE0008327C1010327810123A8E7026F00400155 +:103FF00003258101EF20404A6F008000232E01003D +:104000008327C101638207028327C10103A7070336 +:104010008357E10093972700138607009305500A02 +:1040200013050700EF20006A8327C10113850700ED +:104030008320C1021301010367800000130101FC0A +:10404000232E1102232C81022326A1002324B10058 +:104050002322C100232601028327810083A70700B2 +:104060006388071C832781002324F10283278102B0 +:1040700083A7470003A747008327810223A2E70005 +:104080008327810203A74700832781029387870044 +:10409000631CF7008327810283A7470003A747001B +:1040A0008327810223A2E7008327810283A7470099 +:1040B00083A7C7002322F102832781002320F10276 +:1040C0008327010283A7470003A747008327010234 +:1040D00023A2E7008327010203A7470083270102E9 +:1040E00093878700631CF7008327010283A747009B +:1040F00003A747008327010223A2E70083270102C9 +:1041000083A7470083A7C700232EF1008327C1029E +:10411000939757000327C100B307F7000327C10196 +:1041200023A0E7008327C102939757000327C1000C +:10413000B307F7000327C1011307470323A2E700D2 +:104140008327C102939757000327C100B307F700E5 +:104150000327C1010327870423A4E7008327C102A3 +:10416000939757000327C100B307F70003274100C7 +:1041700023A6E7008327C102939757000327C100B6 +:10418000B307F7000327C1010327C70223A8E700ED +:1041900003274100930730006314F7028327C1010E +:1041A00083A78702638E07008327C1029397570076 +:1041B0000327C100B307F7001307200023A6E70079 +:1041C0008327C102939757000327C100B307F70065 +:1041D0000327C1010327070523AAE7008327C1029C +:1041E000939757000327C100B307F70023AC0700DC +:1041F0008327C10183A607038327C1029397570032 +:104200000327C1003304F70013850600EF00C00345 +:1042100093070500231EF4008327C102938717002C +:104220002326F1020327C10183274102E316F7E8A1 +:104230008327C102138507008320C1030324810360 +:104240001301010467800000130101FE2326A10071 +:10425000232E01006F00C0018327C1009387170040 +:104260002326F1008327C10193871700232EF10035 +:104270008327C10003C707009307500AE30EF7FC2A +:104280008327C10193D72700232EF1008327C10183 +:104290009397070193D707011385070013010102C4 +:1042A00067800000130101FE232E11002326A100C8 +:1042B0008327C10083A7070313850700EF20C01DD4 +:1042C0000325C100EF20401D130000008320C10121 +:1042D0001301010267800000130101FF97C701006D +:1042E0009387072D83A7070083A70700639607001E +:1042F000930710006F00800093070000638C070095 +:1043000097C70100938747311307F0FF23A0E70009 +:104310006F00000397C701009387872983A70700D1 +:1043200083A7C70083A7C7002326F1008327C10006 +:1043300003A7470097C701009387072E23A0E70034 +:10434000130000001301010167800000130101FF49 +:1043500097C701009387070A83A707002326F10068 +:104360008327C10013850700130101016780000046 +:10437000130101FF97C701009387C72883A7070090 +:1043800063980700930710002326F1006F00400296 +:1043900097C701009387872883A7070063980700C2 +:1043A000930720002326F1006F00800023260100E0 +:1043B0008327C100138507001301010167800000F6 +:1043C000130101FD232611022326A1008327C1002A +:1043D000232EF1008327C100638A07128327C101BE +:1043E00003A7C70297C701009387C70083A70700E9 +:1043F00083A7C702637CF7108327C10183A78701C6 +:1044000063C2070297C701009387C7FE83A707000F +:1044100083A7C7021307A0003307F7408327C10112 +:1044200023ACE7008327C10183A647018327C1018D +:1044300003A7C7029307070093972700B387E700F6 +:104440009397270017C7010013078707B387E70073 +:104450006396F600930710006F008000930700003A +:10446000638A07088327C1019387470013850700E4 +:10447000EFC00FD297C701009387C7F783A7070044 +:1044800003A7C7028327C10123A6E7028327C1012F +:1044900003A7C70297C701009387871683A7070062 +:1044A00063FCE7008327C10103A7C70297C7010088 +:1044B0009387071523A0E7008327C10103A7C7023D +:1044C0009307070093972700B387E7009397270088 +:1044D00017C701001307C7FE3387E7008327C10111 +:1044E000938747009385070013050700EFC00FB6B9 +:1044F0006F00C00197C701009387C7EF83A707002C +:1045000003A7C7028327C10123A6E7021300000007 +:104510008320C1021301010367800000130101FD24 +:10452000232611022326A1008327C100232CF1009A +:10453000232E01008327C1006386071097C701005F +:10454000938747EB83A70700032781016306F700E2 +:10455000737004306F0000008327810183A7470533 +:1045600063960700737004306F0000008327810199 +:1045700083A747051387F7FF8327810123AAE70451 +:104580008327810103A7C7028327810183A707052A +:10459000630AF70A8327810183A747056394070A03 +:1045A000832781019387470013850700EFC04FBE23 +:1045B0008327810103A707058327810123A6E7023B +:1045C0008327810183A7C7021307A0003307F740A1 +:1045D0008327810123ACE7008327810103A7C7025A +:1045E00097C701009387C70183A7070063FCE70013 +:1045F0008327810103A7C70297C70100938747005C +:1046000023A0E7008327810103A7C70293070700C0 +:1046100093972700B387E7009397270017C70100F8 +:10462000130707EA3387E700832781019387470051 +:104630009385070013050700EFC04FA193071000F3 +:10464000232EF1008327C101138507008320C102B7 +:1046500013010103678000007370043097C70100E5 +:10466000938747FA83A707006384070297C701006F +:10467000938747D883A7070003A7470413071700AA +:1046800023A2E70497C701009387C7D683A7070033 +:10469000130000006780000097C70100938787F62A +:1046A00083A707006384070497C70100938787D413 +:1046B00083A7070083A74704638A070297C70100FF +:1046C000938747D383A7070003A747041307F7FF80 +:1046D00023A2E70497C701009387C7D183A70700E8 +:1046E00083A747046394070073600430130000003D +:1046F00067800000130101FF97C70100938787CFF0 +:1047000083A7070083A787012326F10097C701002D +:10471000938747CE83A7070003A7C70297C7010067 +:10472000938747CD83A707009306A0003387E64011 +:1047300023ACE7008327C1001385070013010101A3 +:104740006780000097C701009387C7CA83A7070047 +:10475000638E070097C701009387C7C983A7070027 +:1047600003A747051307170023AAE70497C701000B +:10477000938747C883A70700138507006780000059 +:10478000130101FD232611022326A1002324B100D9 +:10479000EFF09FEC97C701009387C7C583A7070079 +:1047A00083A787056396070897C70100938787C487 +:1047B00083A707001307100023AEE70483278100B7 +:1047C0006388070697C701009387C7C283A70700BE +:1047D0009387470013850700EFC08F9B0327810055 +:1047E0009307F0FF6314F70297C70100938787C010 +:1047F00083A70700938747009385070017C501002B +:10480000130545DEEFC08F846F00400297C701009B +:104810009387C7DE83A7070003278100B307F7004C +:10482000232EF1000325C101EFF04FEAEFB05FD670 +:10483000EFF09FE6EFF05FE297C70100938787BB39 +:1048400083A7070083A78705232CF1008327810115 +:10485000638C07028327C100638C070097C70100A0 +:10486000938747B983A7070023AC07046F00C001F3 +:1048700097C70100938707B883A7070003A7870599 +:104880001307F7FF23ACE70497C70100938787B6A8 +:1048900083A7070023AE0704EFF01FE08327810101 +:1048A000138507008320C102130101036780000004 +:1048B000130101FD232611022326A1002324B100A8 +:1048C0002322C1002320D100EFF01FD997C7010098 +:1048D000938747B283A7070003A7C7059307200064 +:1048E0006306F70A97C701009387C7B083A707003D +:1048F00083A687050327C1001347F7FF33F7E600B8 +:1049000023ACE70497C701009387C7AE83A70700CE +:104910001307100023AEE70483270100638807060E +:1049200097C70100938707AD83A7070093874700C8 +:1049300013850700EFC0CF85032701009307F0FF21 +:104940006314F70297C701009387C7AA83A70700DC +:10495000938747009385070017C50100130585C895 +:10496000EFB0DFEE6F00400297C70100938707C9E1 +:1049700083A7070003270100B307F700232CF100EA +:1049800003258101EFF08FD4EFB09FC0EFF0DFD0AF +:10499000EFF09FCC83274100638E070097C701008B +:1049A000938747A583A7070003A7870583274100AF +:1049B00023A0E70097C701009387C7A383A7070039 +:1049C00003A7C705930710006316F700232E010005 +:1049D0006F00C00297C701009387C7A183A7070094 +:1049E00083A68705032781001347F7FF33F7E60007 +:1049F00023ACE70493071000232EF10097C70100B2 +:104A00009387479F83A7070023AE0704EFF0DFC813 +:104A10008327C101138507008320C102130101030D +:104A200067800000130101FD232611022326A10047 +:104A30002324B1002322C1002320D10093071000BA +:104A4000232EF1008327C1006396070073700430A2 +:104A50006F0000008327C100232CF100EFF0DFBFBF +:104A600083270100638A07008327810103A7870545 +:104A70008327010023A0E7008327810183A7C705BF +:104A8000232AF100832781011307200023AEE704C6 +:104A9000032741009307400063ECE70883274100A8 +:104AA0001397270097B7010093874756B307F7007E +:104AB00003A7070097B7010093874755B307F7008F +:104AC000678007008327810103A78705832781006B +:104AD0003367F7008327810123ACE7046F004005AB +:104AE0008327810183A78705138717008327810107 +:104AF00023ACE7046F00C0038327810103278100F3 +:104B000023ACE7046F00C002032741019307200094 +:104B1000630AF700832781010327810023ACE704A0 +:104B20006F000001232E01006F00800013000000C1 +:104B300003274101930710006316F70A83278101B9 +:104B40009387470013850700EFB09FE48327810117 +:104B500003A7C70297C70100938787AA83A7070007 +:104B600063FCE7008327810103A7C70297C7010001 +:104B7000938707A923A0E7008327810103A7C70222 +:104B80009307070093972700B387E70093972700C1 +:104B900017C701001307C7923387E70083278101F6 +:104BA000938747009385070013050700EFB01FCADE +:104BB0008327810183A7870263860700737004300F +:104BC0006F0000008327810103A7C70297C7010078 +:104BD0009387478283A7070083A7C70263F4E70090 +:104BE000EFB01F9BEFF05FAB8327C1011385070078 +:104BF0008320C1021301010367800000130101FC3F +:104C0000232E1102232EA100232CB100232AC10040 +:104C10002328D1002326E100930710002326F10268 +:104C20008327C10163960700737004306F00000092 +:104C30008327C1012324F102EFB0DFAC9307050005 +:104C40002322F10283270101638A0700832781025F +:104C500003A787058327010123A0E700832781029B +:104C600083A7C7052320F1028327810213072000B1 +:104C700023AEE704032741019307400063ECE708F4 +:104C8000832741011397270097B70100938747397E +:104C9000B307F70003A7070097B7010093874738CA +:104CA000B307F700678007008327810203A7870502 +:104CB000832781013367F7008327810223ACE70450 +:104CC0006F0040058327810283A78705138717009C +:104CD0008327810223ACE7046F00C003832781028E +:104CE0000327810123ACE7046F00C0020327010200 +:104CF00093072000630AF7008327810203278101BD +:104D000023ACE7046F000001232601026F0080003E +:104D10001300000003270102930710006314F70E2D +:104D20008327810283A7870263860700737004309C +:104D30006F00000097C701009387478E83A7070085 +:104D4000639E070683278102938747001385070028 +:104D5000EFB01FC48327810203A7C70297C70100D2 +:104D60009387078A83A7070063FCE70083278102F4 +:104D700003A7C70297C701009387878823A0E7008E +:104D80008327810203A7C702930707009397270091 +:104D9000B387E7009397270017B7010013074772FF +:104DA0003387E700832781029387470093850700B5 +:104DB00013050700EFB09FA96F00C0018327810290 +:104DC000938787019385070017B501001305C57EFA +:104DD000EFB0DFA78327810203A7C70297B70100BF +:104DE0009387476183A7070083A7C70263FCE70097 +:104DF0008327C100638807008327C10013071000C1 +:104E000023A0E7008327410213850700EFB0DF8D61 +:104E10008327C102138507008320C1031301010406 +:104E200067800000130101FD232611022326A10043 +:104E30002324B1008327C1006396070073700430F8 +:104E40006F0000008327C100232EF100EFB09F8B7D +:104E500093070500232CF1008327C10183A7C70511 +:104E6000232AF1008327C1011307200023AEE704A2 +:104E70008327C10183A78705138717008327C101F3 +:104E800023ACE70403274101930710006314F70ED6 +:104E90008327C10183A787026386070073700430EC +:104EA0006F00000097B701009387477783A707003B +:104EB000639E07068327C101938747001385070078 +:104EC000EFB01FAD8327C10103A7C70297B7010049 +:104ED0009387077383A7070063FCE7008327C1015B +:104EE00003A7C70297B701009387877123A0E70044 +:104EF0008327C10103A7C7029307070093972700E1 +:104F0000B387E7009397270017B701001307475BA4 +:104F10003387E7008327C101938747009385070004 +:104F200013050700EFB09F926F00C0018327C101F6 +:104F3000938787019385070017B501001305C5679F +:104F4000EFB0DF908327C10103A7C70297B7010025 +:104F50009387474A83A7070083A7C70263FCE7003C +:104F600083278100638807008327810013071000CF +:104F700023A0E7008327810113850700EFB0CFF658 +:104F8000130000008320C1021301010367800000A9 +:104F9000130101FD232611022326A1008327C1004E +:104FA000232CF10083278101639A070097B7010042 +:104FB0009387474483A707006F0080008327810100 +:104FC000232CF100EFF04FE98327810103A7C705E8 +:104FD00093072000631CF7008327810123AE070499 +:104FE00093071000232EF1006F008000232E010094 +:104FF000EFF08FEA8327C101138507008320C102E8 +:105000001301010367800000130101FE232E11002C +:1050100023260100EF00500B97B7010093874763E9 +:1050200083A70700638A07029308000013080000A3 +:1050300093070000130720009306000013060040AA +:1050400097B50100938505FF170500001305852E10 +:10505000EFC01FEF2326A1008327C100639607003E +:10506000737004306F0000008327C10013850700B0 +:105070008320C1011301010267800000130101FCBC +:10508000232E1102232EA100232CB100232AC100BC +:105090002328D1002326E10083278101639607009E +:1050A000232601026F0080061305C002EF10001FC7 +:1050B0002326A1028327C102638A0704EF00D000E0 +:1050C0008327C1020327C10123A0E7008327C10270 +:1050D0000327810123ACE7008327C1020327410195 +:1050E00023AEE7008327C1020327010123A0E702C3 +:1050F0008327C1020327C10023A2E7028327C1023D +:105100009387470013850700EFB08FF28327810153 +:1051100063960700737004306F0000008327C1029C +:10512000138507008320C103130101046780000079 +:10513000130101FC232E1102232EA100232CB10008 +:10514000232AC1002328D1002326E10023260102BF +:105150008327C10163960700737004306F0000005D +:1051600097B701009387C74E83A70700638C070A90 +:10517000832781012320F102832741012322F102A9 +:105180008327C1012324F1020327810193075000E3 +:1051900063C6E706EFF0CF9D1307050093072000D5 +:1051A0006318F70297B701009387874A83A7070020 +:1051B00013070102930600000326C10093050700B0 +:1051C00013850700EFB01FD22326A1026F008005D0 +:1051D00097B701009387C74783A70700130701020A +:1051E00093060000130600009305070013850700CF +:1051F000EFB05FCF2326A1026F00C00297B7010076 +:105200009387074583A70700130701029306000051 +:10521000032601019305070013850700EFB09FF0F7 +:105220002326A1028327C102138507008320C1031F +:105230001301010467800000130101FE2326A10071 +:105240008327C100232EF1008327C1006396070046 +:10525000737004306F0000008327C10183A707002B +:10526000138507001301010267800000130101FD8F +:10527000232611022326A1002324B10097B70100A1 +:105280009387873C83A7070083A7C70083A7C7002E +:10529000232EF1008327C101938747001385070060 +:1052A000EFB00FEF8327C10103A7C70193071000D9 +:1052B0006310F7068327C10103A787018327C10075 +:1052C000B307F7008326C1000326810093850700FA +:1052D0000325C101EF00802213070500930710008A +:1052E0006318F70213070000930600000326C100AD +:1052F000930500000325C101EFF09FE3232CA100DB +:105300008327810163960700737004306F000000EB +:105310008327C10183A747020325C101E780070056 +:10532000130000008320C102130101036780000005 +:10533000130101FD232611022326A10093078101F9 +:1053400013850700EF00800E232EA1008327810123 +:10535000938507000325C101EF00C000EF0000287E +:105360006FF0DFFD130101FD232611022326A100AA +:105370002324B100EFD01F98930781011385070004 +:10538000EF004011232EA1008327810163960708B7 +:1053900083278100639207020327C1008327C1018D +:1053A00063ECE700EFD05F978325C1010325C100BF +:1053B000EFF0DFEB6F008006832781006380070238 +:1053C00097B701009387872883A7070083A7070063 +:1053D00093B7170093F7F70F2324F10097B7010055 +:1053E0009387072783A607000327C1008327C101EE +:1053F000B307F74003268100938507001385060055 +:10540000EFC01FABEFD05F919307050063980700D3 +:10541000EFB00F986F008000EFD01F9013000000D6 +:105420008320C1021301010367800000130101FE04 +:105430002326A10097B701009387072183A70700C0 +:1054400083A7070093B7170093F7F70F1387070099 +:105450008327C10023A0E7008327C10083A707009B +:105460006390070297B701009387071E83A7070081 +:1054700083A7C70083A70700232EF1006F008000D9 +:10548000232E01008327C1011385070013010102A8 +:1054900067800000130101FD232611022326A100CD +:1054A000EFD09FA4232EA10097B701009387871AFE +:1054B00083A707000327C101637CF700EF00C02B1F +:1054C0008327C1001307100023A0E7006F00C0006E +:1054D0008327C10023A0070097B701009387871790 +:1054E0000327C10123A0E7008327C101138507001B +:1054F0008320C1021301010367800000130101FD35 +:10550000232611022326A1002324B1002322C10057 +:105510002320D100232E01008327C100032781000F +:1055200023A2E7008327C1000327C10023A8E700C7 +:10553000032781008327410063E6E7040327410036 +:10554000832701003307F7408327C10083A7870122 +:105550006368F70093071000232EF1006F00C00668 +:1055600097B701009387870E03A707008327C10021 +:10557000938747009385070013050700EFB0CFB46A +:105580006F0080040327410083270100637EF7003A +:1055900003278100832701006368F7009307100049 +:1055A000232EF1006F00400297B701009387C709CF +:1055B00003A707008327C10093874700938507004F +:1055C00013050700EFB04FB08327C1011385070013 +:1055D0008320C1021301010367800000130101FD54 +:1055E000232611026F0080158327810063C60714EC +:1055F00083270101232EF1008327C10183A74701DF +:10560000638A07008327C10193874700138507003A +:10561000EFB00FB89307410013850700EFF09FE745 +:10562000232CA10083278100130790006368F710E3 +:105630001397270097B70100938747A0B307F70098 +:1056400003A7070097B701009387479FB307F700A9 +:10565000678007000327C1008327C10183A7870153 +:10566000B307F7000327C100930607000326810153 +:10567000938507000325C101EFF05FE813070500DC +:1056800093071000631CF70A8327C10183A7470211 +:105690000325C101E78007008327C10103A7C701D4 +:1056A00093071000631CF7080327C1008327C1017B +:1056B00083A78701B307F7001307000093060000D4 +:1056C00013860700930500000325C101EFF05FA6D4 +:1056D000232AA100832741016392070673700430D7 +:1056E0006F0000000327C1008327C10123ACE7003E +:1056F0008327C10183A78701639607007370043075 +:105700006F0000008327C10103A787018327810160 +:10571000B307F700832681010326810193850700E3 +:105720000325C101EFF09FDD6F0040010325C1019A +:10573000EF0090566F0080001300000097B7010043 +:10574000938707F183A707001307810093060000E2 +:10575000130600009305070013850700EFB05FC52F +:1057600093070500E39207E8130000008320C102BD +:105770001301010367800000130101FD23261102BC +:105780006F00C00E97B70100938707EC83A707004F +:1057900083A7C70083A70700232CF10097B7010058 +:1057A000938787EA83A7070083A7C70083A7C7005B +:1057B000232AF100832741019387470013850700BF +:1057C000EFB00F9D8327410183A7470203254101C5 +:1057D000E78007008327410103A7C7019307100053 +:1057E0006316F7088327410183A7870103278101F7 +:1057F000B307F7002328F100032701018327810164 +:1058000063F0E704832741010327010123A2E70096 +:10581000832741010327410123A8E70097B701002F +:10582000938787E203A707008327410193874700F7 +:105830009385070013050700EFB00F896F00000381 +:105840001307000093060000032681019305000062 +:1058500003254101EFF0DF8D2326A1008327C1003E +:1058600063960700737004306F00000097B7010063 +:10587000938787DD83A7070083A70700E39407F0DA +:1058800097B70100938747DC83A70700232EF10019 +:1058900097B70100938787DB03A7070097B701003D +:1058A000938787DA23A0E70097B70100938707DA89 +:1058B0000327C10123A0E700130000008320C102D9 +:1058C0001301010367800000130101FF232611006B +:1058D000EFE09FD897B70100938787D783A707008A +:1058E0006394070A17B50100130585D3EFA0DFEE17 +:1058F00017B50100130505D4EFA01FEE97B70100FF +:10590000938787D417B70100130787D123A0E70037 +:1059100097B70100938787D317B70100130787D183 +:1059200023A0E700130600009305C0001305400004 +:10593000EFB0CFA21307050097B70100938747D1B7 +:1059400023A0E70097B70100938787D083A70700BC +:1059500063960700737004306F00000097B7010072 +:10596000938707CF83A707006380070297B70100DB +:10597000938707CE83A7070097A501009385856EBF +:1059800013850700EFC0CFC2EFE01FD11300000066 +:105990008320C1001301010167800000130101FD94 +:1059A000232611022326A1008327C100232EF10004 +:1059B0008327C10063960700737004306F000000F6 +:1059C000EFE09FC98327C10183A74701B337F000E8 +:1059D00093F7F70F232CF100EFE01FCC8327810111 +:1059E000138507008320C1021301010367800000B3 +:1059F000130101FD232611022326A1008327C100E4 +:105A0000232EF1008327C1006396070073700430D2 +:105A10006F000000EFE05FC48327C10183A7070286 +:105A2000232CF100EFE05FC7832781011385070076 +:105A30008320C1021301010367800000130101FDEF +:105A4000232611022326A1002324B1008327C100AD +:105A5000232EF1008327C100639607007370043082 +:105A60006F000000EFE05FBF8327C10103278100C3 +:105A700023A0E702EFE05FC2130000008320C10211 +:105A80001301010367800000130101FE232E1100A2 +:105A90001305C001EF0090002326A1008327C10059 +:105AA000638E07008327C10023A007008327C1005E +:105AB0009387470013850700EFA01FD28327C100FB +:105AC000138507008320C1011301010267800000D4 +:105AD000130101FC232E11022326A1002324B1006F +:105AE0002322C1002320D1008327C1002324F102F7 +:105AF0002322010203274100B70700FFB377F70015 +:105B000063860700737004306F0000008327410034 +:105B100063960700737004306F000000EFE05F854C +:105B2000930705006396070083270100639607002B +:105B3000930710006F008000930700006396070032 +:105B4000737004306F000000EFD0CF9A832781027A +:105B500083A707002320F102832581000325C100CC +:105B6000EF00804103270102832781003367F7009C +:105B700083274100B377F70003274100631CF70236 +:105B80000327010283278100B367F7002326F10270 +:105B90008327810203A707008327410093C7F7FFEC +:105BA0003377F7008327810223A0E7002320010039 +:105BB0006F00400483270100638807028327810266 +:105BC0009386470003274100B7070005B367F70036 +:105BD000032601009385070013850600EFD0DFA49C +:105BE000232601026F0000018327810283A707009B +:105BF0002326F102EFD04F92232EA100832701002C +:105C0000638407088327C10163940700EFA05F98AE +:105C1000EFE05FAE2326A1020327C102B70700020F +:105C2000B377F70063980704EFE01FA3832781028F +:105C300083A707002326F1020327C102832741001F +:105C4000B377F700032741006310F702832781022F +:105C500003A707008327410093C7F7FF3377F700B7 +:105C60008327810223A0E700EFE01FA39307100022 +:105C70002322F1020327C102B70700019387F7FF30 +:105C8000B377F7002326F1028327C10213850700AB +:105C90008320C1031301010467800000130101FB8D +:105CA00023261104232EA100232CB100232AC10096 +:105CB0002328D1002326E1008327C101232AF102F2 +:105CC000232C0102232801028327C10163960700C8 +:105CD000737004306F00000003278101B70700FFD5 +:105CE000B377F70063860700737004306F0000001D +:105CF0008327810163960700737004306F000000F2 +:105D0000EFE00FE793070500639607008327C100C4 +:105D100063960700930710006F0080009307000050 +:105D200063960700737004306F000000EFC09FFCA3 +:105D30008327410383A707002326F10203260101DD +:105D4000832581010325C102EF00404B2324A102DA +:105D500083278102638C07028327C102232EF1026D +:105D60002326010083274101638807088327410315 +:105D700003A707008327810193C7F7FF3377F70055 +:105D80008327410323A0E7006F0000078327C1009A +:105D9000639807008327C102232EF1026F00C0051C +:105DA00083274101638A070003278103B7070001A6 +:105DB000B367F700232CF10283270101638A0700F0 +:105DC00003278103B7070004B367F700232CF10210 +:105DD000832741039386470003278101832781039B +:105DE000B367F7000326C1009385070013850600FB +:105DF000EFD09F83232E0102EFC01FF22322A102C6 +:105E00008327C10063880708832741026394070042 +:105E1000EFA00FF8EFE01F8E232EA1020327C1038E +:105E2000B7070002B377F700639C0704EFE0DF8257 +:105E30008327410383A70700232EF10203260101D4 +:105E4000832581010325C103EF00403B9307050033 +:105E5000638407028327410163800702832741038C +:105E600003A707008327810193C7F7FF3377F70064 +:105E70008327410323A0E700EFE01F8223280102CC +:105E80000327C103B70700019387F7FFB377F70034 +:105E9000232EF1028327C103138507008320C10449 +:105EA0001301010567800000130101FD2326110283 +:105EB0002326A1002324B1008327C100232EF10053 +:105EC0008327C10063960700737004306F000000E1 +:105ED00003278100B70700FFB377F7006386070049 +:105EE000737004306F000000EFE00FF78327C101EB +:105EF00083A70700232CF1008327C10103A7070014 +:105F00008327810093C7F7FF3377F7008327C10109 +:105F100023A0E700EFE04FF88327810113850700F6 +:105F20008320C1021301010367800000130101FDFA +:105F3000232611022326A1008327C100232EF1006E +:105F4000EFA04FFC93070500232CF1008327C1012C +:105F500083A70700232AF100832781011385070007 +:105F6000EFA08FF883274101138507008320C1022A +:105F70001301010367800000130101FB23261104B4 +:105F80002326A1002324B100232C01028327C10072 +:105F90002328F102232A01028327C1006396070008 +:105FA000737004306F00000003278100B70700FF03 +:105FB000B377F70063860700737004306F0000004A +:105FC00083270103938747002326F1028327C10219 +:105FD000938787002324F102EFC0DFD18327C1021A +:105FE00083A7C700232EF1028327010303A707001D +:105FF000832781003367F7008327010323A0E7008D +:106000006F00000E8327C10383A747002322F102FC +:106010008327C10383A707002320F102232A01025B +:1060200003270102B70700FFB377F700232EF10023 +:1060300003270102B70700019387F7FFB377F70043 +:106040002320F1020327C101B7070004B377F7004B +:10605000639207028327010303A707008327010236 +:10606000B377F7006388070293071000232AF10231 +:106070006F0040028327010303A707008327010263 +:10608000B377F700032701026316F70093071000A8 +:10609000232AF10283274103638007040327C101F8 +:1060A000B7070001B377F700638A0700032781036E +:1060B00083270102B367F700232CF1028327010332 +:1060C00003A70700B7070002B367F700938507002F +:1060D0000325C103EFD05F8283274102232EF10203 +:1060E0000327C10383278102E31EF7F083270103FF +:1060F00003A707008327810393C7F7FF3377F700D0 +:106100008327010323A0E700EFC01FC183270103FA +:1061100083A70700138507008320C104130101052D +:1061200067800000130101FD232611022326A10030 +:106130008327C100232EF1008327C10193874700E5 +:10614000232CF100EFC01FBB6F00400383278101A8 +:1061500003A7C70083278101938787006316F70091 +:10616000737004306F0000008327810183A7C7008C +:10617000B705000213850700EFD00FF883278101D0 +:1061800083A70700E39407FC0325C101EF00C0309B +:10619000EFC09FB8130000008320C1021301010368 +:1061A00067800000130101FE232E11002326A100A9 +:1061B0002324B100832581000325C100EFF0DFDB3C +:1061C000130000008320C101130101026780000059 +:1061D000130101FE232E11002326A1002324B10068 +:1061E000832581000325C100EFF01FCC13000000C0 +:1061F0008320C1011301010267800000130101FE29 +:106200002326A1002324B1002322C100232E010054 +:1062100083274100639007020327C1008327810081 +:10622000B377F7006386070293071000232EF1006F +:106230006F0000020327C10083278100B377F700B6 +:10624000032781006316F70093071000232EF10047 +:106250008327C10113850700130101026780000035 +:10626000130101FE2326A1008327C100232CF10086 +:106270008327C10063960700232E01006F000001F1 +:106280008327810183A78701232EF1008327C10182 +:10629000138507001301010267800000130101FC50 +:1062A000232E11022326A10023220102EFC09FA466 +:1062B000973703009387473B83A70700639C07003A +:1062C000EF00002C973703009387073A130710005D +:1062D00023A0E7008327C100638A07029307800099 +:1062E000138707008327C100B387E7002326F10047 +:1062F0008327C10093F73700638A07008327C10013 +:1063000093F7C7FF938747002326F1008327C10037 +:10631000638207160327C100B79701009387B7FF71 +:1063200063EAE71497370300938707332324F102C6 +:10633000973703009387473283A707002326F1028C +:106340006F0080018327C1022324F1028327C10249 +:1063500083A707002326F1028327C10283A74700F2 +:106360000327C10063F8E7008327C10283A7070062 +:10637000E39A07FC0327C102973703009387472E50 +:10638000630AF70E8327810283A7070013078000A3 +:10639000B387E7002322F1028327C10203A7070086 +:1063A0008327810223A0E7008327C10203A74700B8 +:1063B0008327C100B307F7401307800013171700A6 +:1063C0006378F7080327C1028327C100B307F700EA +:1063D000232EF1008327C10203A747008327C100B2 +:1063E0003307F7408327C10123A2E7008327C102B7 +:1063F0000327C10023A2E7008327C10183A7470029 +:10640000232CF10097370300938707252320F102FF +:106410006F0000018327010283A707002320F102F8 +:106420008327010283A7070083A747000327810171 +:10643000E3E2E7FE8327010203A707008327C101E8 +:1064400023A0E700832701020327C10123A0E7005F +:1064500097A70100938747FB03A707008327C10283 +:1064600083A747003307F74097A701009387C7F931 +:1064700023A0E700EFC05F8A8327410263940700EF +:10648000EF10401783274102138507008320C103C3 +:106490001301010467800000130101FD232611028E +:1064A0002326A1008327C100232CF1008327C100EC +:1064B0006382070A93078000B307F0400327810136 +:1064C000B307F700232CF10083278101232AF10071 +:1064D000EFC05F828327410183A747002328F10093 +:1064E0009737030093874717232EF1006F000001B1 +:1064F0008327C10183A70700232EF1008327C10151 +:1065000083A7070083A7470003270101E3E2E7FE13 +:106510008327C10103A707008327410123A0E700C8 +:106520008327C1010327410123A0E70083274101FD +:1065300003A7470097A70100938707ED83A70700EC +:106540003307F70097A70100938707EC23A0E70024 +:10655000EFC08FFC130000008320C1021301010370 +:106560006780000097A70100938707EA83A70700C9 +:10657000138507006780000013000000678000009B +:10658000130101FF97A701009387470D93F7C7FFFA +:106590002326F100973703009387070C0327C100D8 +:1065A00023A0E700973703009387070B23A2070078 +:1065B000973703009387C70A379701001307C7FF70 +:1065C00023A2E700973703009387870923A00700DA +:1065D0008327C1002324F10083278100379701001E +:1065E0001307C7FF23A2E7008327810017370300A3 +:1065F0001307070723A0E7001300000013010101A0 +:1066000067800000130101FE2326A1002324B100AE +:106610002322C10083278100232EF1008327C1009C +:10662000232CF1000327C10083278100B367F70003 +:1066300093F73700639607066F00C0028327C101F6 +:1066400003A707008327810123A0E7008327810197 +:1066500093874700232CF1008327C10193874700CC +:10666000232EF100832741009387D7FF0327C10022 +:10667000B307F70003278101E362F7FC6F004002D4 +:106680000327C10193071700232EF10083278101FF +:1066900093861700232CD100034707002380E700CF +:1066A0000327C10083274100B307F70003278101B7 +:1066B000E368F7FC8327C10013850700130101027B +:1066C00067800000130101FE2326A1002324B100EE +:1066D0002322C1000327C10083274100B367F700CD +:1066E00093F73700639807068327810093F7F70F26 +:1066F000232AF10083274101939787000327410153 +:10670000B367F700232AF10083274101939707011C +:1067100003274101B367F700232AF1008327C10053 +:10672000232EF1006F0080018327C10113874700EA +:10673000232EE1000327410123A0E7000327C10026 +:1067400083274100B307F7000327C101E36EF7FC7D +:106750006F00C0038327C100232CF1006F00C0012C +:106760008327810113871700232CE1000327810071 +:106770001377F70F2380E7000327C1008327410029 +:10678000B307F70003278101E36CF7FC8327C100FF +:10679000138507001301010267800000130101FE49 +:1067A0002326A1008327C100232EF1006F000001E2 +:1067B0008327C10193871700232EF1008327C1018E +:1067C00083C70700E39607FE0327C1018327C100A3 +:1067D000B307F7401385070013010102678000002B +:1067E000130101FE2326A1002324B1008327C10049 +:1067F000138717002326E10083C70700A30FF100CA +:1068000083278100138717002324E10083C7070033 +:10681000230FF1008347F101638807000347F1016B +:106820008347E101E304F7FC0347F1018347E101FA +:10683000B307F740138507001301010267800000CA +:10684000130101FE2326A1002324B1008327C100E8 +:10685000232EF10013000000032781009307170087 +:106860002324F1008327C10193861700232ED10032 +:10687000034707002380E70083C70700E39E07FC68 +:106880008327C10013850700130101026780000000 +:10689000130101FE2326A100232E0100232C010059 +:1068A0006F0000018327C100938717002326F100A2 +:1068B0008327C10003C7070093070002E304F7FE24 +:1068C0008327C10003C707009307D002630AF700BC +:1068D0008327C10003C707009307B0026312F706BE +:1068E0008327C10083C70700938737FD93B717003D +:1068F00093F7F70F232CF1008327C100938717002C +:106900002326F1006F00C0030327C101930707008E +:1069100093972700B387E70093971700232EF10082 +:106920008327C100138717002326E10083C70700D0 +:10693000938707FD0327C101B307F700232EF1005A +:106940008327C10083C70700E39007FC83278101E9 +:10695000638807008327C101B307F0406F00800000 +:106960008327C1011385070013010102678000001E +:10697000130101FE2326A10097370300938787D0D8 +:1069800083A707009386170017370300130787CFE5 +:106990002320D7000327C1001377F70F9736030092 +:1069A000938646D2B387F6002380E7000327C10011 +:1069B0009307A000630CF70097370300938787CCF9 +:1069C00003A7070093070004631EF704973703002B +:1069D000938747CF232EF1006F00C0038327C101A7 +:1069E00013871700232EE10003C70700B70710F035 +:1069F000938707F023A0E70097370300938787C8A2 +:106A000083A707001387F7FF97370300938787C78C +:106A100023A0E700973703009387C7C683A7070023 +:106A2000E39E07FA93070000138507001301010294 +:106A300067800000130101EB232611142324811425 +:106A40002322911423202115232E3113232C4113AB +:106A5000232A5113232EA100232CB1002328C10087 +:106A6000232AD1002326E1002324F100232201015F +:106A7000232601128327C100138A0700930A00000E +:106A8000832701010328410113060A0093860A00A7 +:106A90001385070093050800EF1090019307050088 +:106AA0001388050013860700930608008327C11288 +:106AB000138717002326E112130706009397270078 +:106AC00093060113B387F60023AEE7EE8327C100D8 +:106AD00013840700930400008327410113870400F7 +:106AE00063E8E70483274101138704006398E70004 +:106AF000832701011307040063ECE7028327C10029 +:106B00001389070093090000130609009386090002 +:106B10000325010183254101EF10C01B93070500E8 +:106B2000138805002328F100232A01016FF09FF448 +:106B3000130000006F0040018327C10183258101FC +:106B400003254100E7800700832781001387F7FFB3 +:106B50002324E1000327C112E340F7FE6F00400544 +:106B60008327C1129397270013070113B307F70078 +:106B700003A7C7EF8327C11293972700930601133A +:106B8000B387F60083A6C7EF9307900063F6D7009C +:106B9000930770056F00800093070003B387E70039 +:106BA0000327C1018325810113850700E700070042 +:106BB0008327C1121387F7FF2326E112E342F0FA7D +:106BC000130000008320C114032481148324411482 +:106BD000032901148329C113032A8113832A411332 +:106BE0001301011567800000130101FF2326A10096 +:106BF0002324B10083268100130710006356D702B7 +:106C00008327C10083A707009387770093F787FF47 +:106C1000938687000327C1002320D70003A84700DD +:106C200083A707006F0000050327810063040702A4 +:106C30000327C10003270700130647008326C1006E +:106C400023A0C600032707009307070013080000CE +:106C50006F0040020327C100032707001306470007 +:106C60008326C10023A0C60003270700930707005F +:106C700013080000138507009305080013010101A4 +:106C800067800000130101FF2326A1002324B10027 +:106C900083268100130710006356D7028327C100A3 +:106CA00083A707009387770093F787FF9386870072 +:106CB0000327C1002320D70003A8470083A70700AC +:106CC0006F00800503278100630607020327C100C8 +:106CD00003270700130647008326C10023A0C60030 +:106CE00003270700930707001357F741130807000E +:106CF0006F0080020327C100032707001306470027 +:106D00008326C10023A0C6000327070093070700BE +:106D10001357F74113080700138507009305080070 +:106D20001301010167800000130101FC232E1102F1 +:106D3000232C8102232A91022326A1002324B100BF +:106D40002322C1002320D1006F0040026300043CD5 +:106D500083274100938717002322F1008327C10076 +:106D60008325810013050400E78007008327410085 +:106D700083C707001384070093075002E318F4FC4D +:106D800083274100938717002322F10083274100C6 +:106D90002328F10093070002A30BF1009307F0FFF3 +:106DA000232EF1009307F0FF232CF1002320010292 +:106DB00083274100138717002322E10083C70700C0 +:106DC000138407009307D4FD130750056360F7325F +:106DD00013972700979701009387C729B307F700F8 +:106DE00003A70700979701009387C728B307F70009 +:106DF000678007009307D002A30BF1006FF05FFBE1 +:106E000093070003A30BF1006FF09FFA232C0100FE +:106E1000032781019307070093972700B387E700B3 +:106E200093971700B307F400938707FD232CF10015 +:106E30008327410083C70700138407009307F002EC +:106E400063D287049307900363CE870283274100B0 +:106E5000938717002322F1006FF09FFB8327010027 +:106E6000138747002320E10083A70700232CF100AC +:106E70006F0080018327C101E3DC07F2232E0100AC +:106E80006FF01FF3130000008327C101E3D207F264 +:106E900083278101232EF1009307F0FF232CF100BB +:106EA0006FF01FF183270102938717002320F1025F +:106EB0006FF01FF083270100138747002320E100B4 +:106EC00083A707000327C1008325810013850700DE +:106ED000E70007006F004023832701001387470066 +:106EE0002320E10083A40700639604009794010027 +:106EF0009384C4178327C1016350F00803477101CD +:106F00009307D002630AF706832781019385070060 +:106F100013850400EF00D059130705008327C10132 +:106F2000B387E740232EF1006F00400283477101D1 +:106F30000327C1008325810013850700E7000700B0 +:106F40008327C1019387F7FF232EF1008327C10117 +:106F5000E34EF0FC6F0040028327C10083258100CF +:106F600013050400E7800700938414008327C10100 +:106F70009387F7FF232EF10083C7040013840700D3 +:106F80006300040483278101E3C807FC8327810190 +:106F90009387F7FF232CF10083278101E3DE07FAB3 +:106FA0006F0000028327C1008325810013050002C2 +:106FB000E78007008327C1019387F7FF232EF100A5 +:106FC0008327C101E340F0FE6F0000149307010026 +:106FD0008325010213850700EFF0DFCA9307050040 +:106FE000138805002324F10223260103832781024D +:106FF0000328C1029307080063D607048327C10052 +:10700000832581001305D002E780070083258102D4 +:107010000326C1029307000013080000B386B7409F +:107020001385060033B5A7003307C840B307A74050 +:107030001387070093870600130807002324F10233 +:10704000232601039307A0002322F1026F004006CC +:107050009307A0002322F1026F0000049307800031 +:107060002322F1026F004003930710002320F10256 +:107070008327C1008325810013050003E7800700F3 +:107080008327C1008325810013058007E78007005F +:10709000930700012322F1029307010083250102D7 +:1070A00013850700EFF05FB42324A1022326B10269 +:1070B0000327410283477101138807008327C10119 +:1070C000032681028326C102832581000325C10096 +:1070D000EFF05F966F0040038327C1008325810096 +:1070E00013050400E78007006F0000028327C1003A +:1070F0008325810013055002E780070083270101E3 +:107100002322F100130000006FF05FC6130000009F +:107110008320C10303248103832441031301010459 +:1071200067800000130101FF2326A100B70710F0BC +:10713000938707F20327C10023A0E7006F00000038 +:10714000130101FB232611022326A100232AB102E9 +:10715000232CC102232ED1022320E1042322F10497 +:10716000232401052326110593070105938747FE74 +:10717000232EF1008327C101938607000326C10057 +:107180009305000017F5FFFF1305C57EEFF0DFB98B +:1071900093070000138507008320C1021301010536 +:1071A00067800000130101FE2326A1002324B10003 +:1071B0002322710083278100232EF1008327C10140 +:1071C00083A707000327C1001377F70F2380E70089 +:1071D0008327C10183A70700138717008327C101F5 +:1071E00023A0E700130000001301010267800000E4 +:1071F000130101FA232E11022326A1002324B1003A +:107200002324C1042326D1042328E104232AF104E2 +:10721000232C0105232E1105930701062322F102D9 +:107220009307410193054101170600001306C6F7B5 +:1072300037F7FFFF9346F7FF37150000130505806A +:107240003388A5003378E8001368783B23A0070152 +:107250003305A6003377E5001367773323A2E700F1 +:1072600033F7D500931547013787030013073739E4 +:1072700033E7E50023A4E7003377D60093164701F0 +:10728000370703001307770633E7E60023A6E70076 +:107290000F1000008327C1002326F1029307010687 +:1072A000938787FE2324F10203278102930741017C +:1072B000138507009307C10093060700032681008A +:1072C00093850700EFF05FA68327C10023800700A6 +:1072D0008327C100138707008327C102B307F74044 +:1072E000138507008320C103130101066780000096 +:1072F000130101FF370510F0130545F403250500C0 +:107300002326A100370510F0130505F40325050019 +:107310002324A100370510F0130545F403250500CB +:107320008328C100E398A8FC0325C10013030500CE +:107330009303000013170300930600000325810048 +:107340009305050013060000B3E7B6003368C700D5 +:10735000370710F0130587F4B7150000938505FA79 +:1073600013060000B386B70093880600B3B8F80090 +:107370003307C800B387E800138707009387060028 +:10738000130807002320F500232205011300000045 +:107390001301010167800000130101FF2326110082 +:1073A000EFF01FF59307000873A0473013000000AB +:1073B0008320C1001301010167800000130101FE59 +:1073C000232E11002326A10017950100130505E0C7 +:1073D000EFF01FD7130000008320C1011301010249 +:1073E00067800000130101FE232E11002326A10057 +:1073F00017950100130505DEEFF09FD41300000080 +:107400008320C1011301010267800000130101FD07 +:10741000232611022326A100232E01006F00400124 +:10742000130000008327C10193871700232EF1006A +:107430000327C101B717000093877738E3D2E7FE2F +:1074400017950100130585D9EFF09FCF6FF0DFFC92 +:10745000130101FF23261100EF00001C13000000A0 +:107460008320C1001301010167800000130101FEA8 +:10747000232E110023260100EF00807D1307050055 +:1074800093071000630EF70017950100130585D5CB +:10749000EFF01FCB8327C10093E727002326F100DD +:1074A0008327C100138507008320C1011301010256 +:1074B00067800000130101FE232E11002326010026 +:1074C000EFF01FF917070000130787069306000067 +:1074D000130610009305400617950100130505D209 +:1074E000EFD0DFB92326A1008327C1006382070202 +:1074F000EFB09F9F9307050013070000930600005D +:1075000013860700930510000325C100EFD05FC26A +:1075100023240100EFB04FF093070000138507000C +:107520008320C1011301010267800000130101FDE6 +:10753000232611022326A100979701009387C7EB0A +:1075400083A7070013874706979701009387C7EA29 +:1075500023A0E70097970100938747EA83A70700D6 +:107560001387470697970100938747E923A0E70011 +:1075700097970100938747E803A707009307F07CDC +:1075800063DEE70497970100938707E783A7070067 +:107590001387078397970100938707E623A0E700E7 +:1075A000EFF0DFEC232EA1008327C101639E0700CB +:1075B00097970100938787E403A70700B717000098 +:1075C0009387F77663D0E7028327C101138507000D +:1075D000EFF05FB5EFB04FEF6F0000011300000058 +:1075E0006F008000130000008320C102130101031B +:1075F00067800000737004306F000000130000000B +:1076000067800000130101FF2326A1002324B1009D +:10761000737004306F000000130101FE232E11006F +:10762000130600009305400013055000EF900FD3A0 +:107630002326A10097950100938505BD0325C10070 +:10764000EFA00FF793080000130800009307000055 +:10765000130700008326C100130600409795010020 +:107660009385C5BB1705000013058541EFA05F8D0D +:107670009308000013080000972703009387870DE5 +:10768000130710008326C1001306004097950100E0 +:10769000938545B91705000013054533EFA05F8AB0 +:1076A0009308000013080000972703009387470AF8 +:1076B000130720008326C1001306004097950100A0 +:1076C0009385C5B61705000013050521EFA05F8758 +:1076D0009308000013080000972703009387C7074B +:1076E000130730008326C100130600409795010060 +:1076F000938545B4170500001305C501EFA05F840D +:10770000130000008320C101130101026780000003 +:10771000130101FD232611022326A1008327C100A6 +:10772000232EF10093078101930610001306F0FF4A +:10773000938507000325C101EF909FC7130705003C +:1077400093071000630AF70097270300938787FBCE +:107750001307100023A0E70003278101B737221188 +:1077600093874734630AF70097270300938787F9C5 +:107770001307100023A0E7000325C101EFA08F8AA3 +:107780001307050093071000630AF700972703000B +:10779000938747F71307100023A0E700232C01006D +:1077A00093078101930600001306000093850700EC +:1077B0000325C101EF90DFBF1307050093071000F9 +:1077C000630AF700972703009387C7F31307100096 +:1077D00023A0E70003278101B7372211938747349D +:1077E000630AF700972703009387C7F11307100078 +:1077F00023A0E70093078101930610001306F0FF12 +:10780000938507000325C101EF909FBA1307050078 +:1078100093071000630AF70097270300938787EE0A +:107820001307100023A0E70003278101B7472301B6 +:1078300093877756630AF70097270300938787ECAF +:107840001307100023A0E7000325C101EF909FFD5F +:107850001307050093071000630AF700972703003A +:10786000938747EA1307100023A0E70013050000E1 +:10787000EFA01FFD93078101930610001306F0FF90 +:10788000938507000325C101EF909FB21307050000 +:1078900093071000630AF70097270300938787E692 +:1078A0001307100023A0E70003278101B7B7BBAA85 +:1078B0009387B7AB630AF70097270300938787E4A2 +:1078C0001307100023A0E70013050000EFA05FF7E7 +:1078D0006FF05FE5130101FD232611022326A100AD +:1078E0008327C100232EF100930781019306100026 +:1078F0001306F0FF938507000325C101EF905FABEE +:107900001307050093071000630AF7009727030089 +:10791000938747DF1307100023A0E70003278101A7 +:10792000B747230193877756630AF7009727030029 +:10793000938747DD1307100023A0E7000325C1014B +:10794000EF905FEE1307050093071000630AF7003E +:1079500097270300938707DB1307100023A0E70096 +:1079600013050000EFA0DFED9307810193060000EF +:107970001306F0FF938507000325C101EF905FA375 +:107980001307050093071000630AF7009727030009 +:10799000938747D71307100023A0E700032781012F +:1079A000B7B7BBAA9387B7AB630AF7009727030063 +:1079B000938747D51307100023A0E70013050000A5 +:1079C000EFA01FE86FF05FF2130101FD2326110203 +:1079D0002326A1008327C100232EF10093078101F4 +:1079E000930610001306F0FF938507000325C101DD +:1079F000EF901F9C1307050093071000630AF70020 +:107A000097270300938707D01307100023A0E700F0 +:107A100003278101B747230193877756630AF7004D +:107A200097270300938707CE1307100023A0E700D2 +:107A30000325C101EF901FDF130705009307100016 +:107A4000630AF700972703009387C7CB130710003B +:107A500023A0E70097270300938707CB83A707009E +:107A60001387170097270300938707CA23A0E7000F +:107A700013050000EFA0DFDC6FF05FF6130101FDDE +:107A8000232611022326A1008327C100232EF10003 +:107A9000B737221193874734232CF10093078101D4 +:107AA0009306000013060000938507000325C1011B +:107AB000EF904FC31307050093071000630AF70008 +:107AC00097270300938707C41307100023A0E7003C +:107AD0000325C101EF901FD593070500638A0700B6 +:107AE00097270300938707C21307100023A0E7001E +:107AF000B747230193877756232CF1009307810121 +:107B00009306000013060000938507000325C101BA +:107B1000EF904FBD1307050093071000630AF700AD +:107B200097270300938707BE1307100023A0E700E1 +:107B3000232C010093078101930600001306000027 +:107B4000938507000325C101EF909F861307050069 +:107B500093071000630AF70097270300938787BAFB +:107B60001307100023A0E7001305A000EFA05F8912 +:107B700097270300938707BE83A707001385070095 +:107B8000EFA0DFE697270300938787BC83A7070052 +:107B900013850700EFA09FE5972703009387C7BBD6 +:107BA00083A7070013850700EFA05FE4B7B7BBAA60 +:107BB0009387B7AB232CF100930781019306100044 +:107BC00013060000938507000325C101EF908FB1D4 +:107BD0001307050093071000630AF70097270300B7 +:107BE000938747B21307100023A0E7009307810192 +:107BF0009306100013060000938507000325C101BA +:107C0000EF900FFB93070500638A07009727030097 +:107C1000938747AF1307100023A0E70097270300BF +:107C2000938707B383A7070013850700EFA01FDC26 +:107C300097270300938747B283A7070013850700A0 +:107C4000EFA0DFDA1305A000EFA08FFB6FF05FE479 +:107C500097270300938787AB03A7070097270300A5 +:107C6000938787AA83A70700631AF7009727030063 +:107C7000938747A91307100023A0E7009727030065 +:107C8000938787A803A7070097270300938707A870 +:107C900023A0E700972703009387C7A683A70700C1 +:107CA00093B7170093F7F70F13850700678000005D +:107CB000B305B500930705006386B70003C7070047 +:107CC000631607003385A74067800000938717007D +:107CD0006FF09FFE130101FD23229102232A51011F +:107CE000232611022324810223202103232E310184 +:107CF000232C4101232861012326710123248101C2 +:107D0000232291012320A101930A050093840500F9 +:107D1000639E0638130406009309050017890100C5 +:107D20001309C95163F8C512B7070100138B050089 +:107D30006378F6101307F00F3337C70013173700B7 +:107D4000B357E6003309F900834609003387E6009C +:107D500093060002B386E640638C0600B394D40019 +:107D600033D7EA003314D600336B9700B399DA00A7 +:107D7000935A040193850A0013050B00EF00902A23 +:107D80001309050093850A00931B040113050B00DA +:107D9000EF00D02493DB0B0193040500930505004D +:107DA00013850B00EF00D0201319090193D70901A7 +:107DB000B367F900138A040063FEA700B387870046 +:107DC000138AF4FF63E8870063F6A700138AE4FFD1 +:107DD000B3878700B384A74093850A001385040006 +:107DE000EF0050241309050093850A001385040051 +:107DF000EF00D01E93990901930405009305050037 +:107E00001319090113850B0093D90901EF00501ACA +:107E1000B36939011386040063FCA900B309340176 +:107E20001386F4FF63E6890063F4A9001386E4FF78 +:107E300013140A013364C400130A00006F00001316 +:107E4000B707000113070001E36CF6EE130780018A +:107E50006FF01FEF138A0600631A060093050000F7 +:107E600013051000EF00901713040500B707010079 +:107E7000637EF4129307F00F63F48700130A800007 +:107E8000B35744013309F900034709009306000280 +:107E900033074701B386E64063940612B3848440F7 +:107EA000130A1000135B040193050B0013850400F3 +:107EB000EF0050171309050093050B00138504000C +:107EC000931B0401EF00901193DB0B019304050059 +:107ED0009305050013850B00EF00900D13190901A0 +:107EE00093D70901B367F900938A040063FEA700E2 +:107EF000B3878700938AF4FF63E8870063F6A700DF +:107F0000938AE4FFB3878700B384A74093050B00EF +:107F100013850400EF0010111309050093050B00F1 +:107F200013850400EF00900B939909019304050059 +:107F3000930505001319090113850B0093D9090155 +:107F4000EF001007B36939011386040063FCA90030 +:107F5000B30934011386F4FF63E6890063F4A900D2 +:107F60001386E4FF13940A013364C400130504006C +:107F700093050A008320C102032481028324410265 +:107F8000032901028329C101032A8101832A4101B6 +:107F9000032B0101832BC100032C8100832C4100A2 +:107FA000032D01001301010367800000B7070001E2 +:107FB000130A0001E366F4EC130A80016FF05FEC32 +:107FC0003314D40033DAE400B399DA0033D7EA008B +:107FD000935A0401B394D40093850A0013050A0050 +:107FE000336B9700EF0010041309050093850A0016 +:107FF00013050A00931B0401EF00407E93DB0B0185 +:10800000930405009305050013850B00EF00407AEB +:108010001319090113570B013367E900138A040090 +:10802000637EA70033078700138AF4FF6368870025 +:108030006376A700138AE4FF33078700B304A740E1 +:1080400093850A0013850400EF00C07D1309050025 +:1080500093850A0013850400EF004078930405001F +:108060009305050013850B00EF00807413170B01B7 +:108070001357070113190901B367E90013870400B7 +:1080800063FEA700B38787001387F4FF63E88700C8 +:1080900063F6A7001387E4FFB3878700131A0A016A +:1080A000B384A740336AEA006FF0DFDF63ECD51ECC +:1080B000B707010063F4F6041307F00FB335D700D8 +:1080C0009395350033D7B60097870100938707173C +:1080D000B387E70003C70700130A00023307B7009E +:1080E000330AEA4063160A0213041000E3E096E83C +:1080F00033B6CA00134416006FF05FE7B7070001FC +:1081000093050001E3E0F6FC930580016FF09FFB0F +:10811000B35CE600B3964601B3ECDC0033D4E40074 +:1081200093DB0C01B397440133D7EA0093850B002E +:1081300013050400336BF700B3194601EF00806E9E +:108140001309050093850B0013050400139C0C0113 +:10815000EF00C068135C0C01930405009305050053 +:1081600013050C00EF00C0641319090113570B012C +:108170003367E90013840400637EA7003307970187 +:108180001384F4FF636897016376A7001384E4FF08 +:1081900033079701B304A74093850B0013850400B0 +:1081A000EF0040681309050093850B001385040058 +:1081B000EF00C062930405009305050013050C0051 +:1081C000EF00005F93170B011319090193D7070103 +:1081D000B367F9001386040063FEA700B387970115 +:1081E0001386F4FF63E8970163F6A7001386E4FFA4 +:1081F000B387970113140401B70B01003364C40063 +:108200001389FBFF337D240133F92901B384A7408F +:108210009305090013050D00EF008059935C0401DC +:1082200093050900130B050013850C00EF0040585F +:1082300093D90901130C05009385090013850C00DF +:10824000EF000057130905009385090013050D0081 +:10825000EF0000563305850193570B013385A700C6 +:10826000637485013309790193570501B3872701A9 +:1082700063E6F402E392F4BCB70701009387F7FFCB +:108280003375F50013150501337BFB0033964A0166 +:1082900033056501130A0000E37AA6CC1304F4FF4A +:1082A0006FF09FB9130A0000130400006FF01FCC99 +:1082B000130101FB2324810423229104232E310383 +:1082C000232291032326110423202105232C41037B +:1082D000232A5103232861032326710323248103C6 +:1082E0002320A103232EB101930C050093890500DF +:1082F0001304050093840500639E062613090600F7 +:10830000138A0600978A0100938A4AF363F4C5141E +:10831000B70701006376F6129307F00F63F4C70006 +:10832000130A8000B3574601B38AFA0003C70A0054 +:108330001305000233074701330AE540630C0A00C6 +:10834000B395490133D7EC0033194601B364B70044 +:1083500033944C01935A090193850A001385040054 +:10836000EF00404C9309050093850A00131B090197 +:1083700013850400EF008046135B0B019305050095 +:1083800013050B00EF00C0429399090193570401B4 +:10839000B3E7F90063FAA700B387270163E6270173 +:1083A00063F4A700B3872701B384A74093850A002D +:1083B00013850400EF0000479309050093850A0028 +:1083C00013850400EF008041131404019305050098 +:1083D0009399090113050B0013540401EF00403D6C +:1083E00033E48900637AA400330424016366240122 +:1083F0006374A400330424013304A44033554401BE +:10840000930500008320C1040324810483244104D4 +:10841000032901048329C103032A8103832A410319 +:10842000032B0103832BC102032C8102832C410205 +:10843000032D0102832DC101130101056780000096 +:10844000B7070001130A0001E36EF6EC130A80017E +:108450006FF05FED631A060093050000130510002E +:10846000EF00C03713090500B7070100637AF90E62 +:108470009307F00F63F42701130A8000B3574901F3 +:10848000B38AFA0003C70A0013050002B384294126 +:1084900033074701330AE540E30E0AEA331949017D +:1084A000B3DAE900B395490133D7EC0093540901DD +:1084B000336BB70013850A0093850400EF00803604 +:1084C0009309050093850400931B090113850A0095 +:1084D000EF00C03093DB0B019305050013850B0003 +:1084E000EF00002D9399090193570B01B3E7F900B1 +:1084F00033944C0163FAA700B387270163E6270191 +:1085000063F4A700B3872701B38AA74093850400CB +:1085100013850A00EF0000319309050093850400DC +:1085200013850A00EF00802B9305050013850B00CF +:10853000EF00002893150B019399090193D50501CC +:10854000B3E5B90063FAA500B385250163E625010B +:1085500063F4A500B3852501B384A5406FF09FDFC8 +:10856000B7070001130A0001E36AF9F0130A80015A +:108570006FF0DFF0E3E8D5E8B707010063FCF6042D +:10858000930BF00F33B5DB001315350033D7A6007E +:1085900097870100938787CAB387E70083CB0700DB +:1085A00093050002B38BAB00338B7541631E0B0246 +:1085B00063E4360163EACC003384CC40B386D9400F +:1085C00033B58C00B384A6401305040093850400E2 +:1085D0006FF05FE3B707000113050001E3E8F6FA67 +:1085E000130580016FF09FFAB3966601335D760143 +:1085F000336DDD0033D47901B395690133DC7C013F +:1086000093540D01336CBC001305040093850400E2 +:10861000B31A6601EF000021130A050093850400D8 +:108620001305040033996C01931C0D01EF00001B2E +:1086300093DC0C01130405009305050013850C0061 +:10864000EF000017131A0A0113570C013367EA00F1 +:10865000130A0400637EA7003307A701130AF4FF7F +:108660006368A7016376A700130AE4FF3307A70135 +:10867000B309A7409385040013850900EF00801A11 +:10868000938504001304050013850900EF0000150D +:10869000930505009304050013850C00EF004011BD +:1086A00093150C011314040193D50501B365B400AF +:1086B0001387040063FEA500B385A5011387F4FFAB +:1086C00063E8A50163F6A5001387E4FFB385A50160 +:1086D000131A0A01B70C0100336AEA001384FCFF85 +:1086E000B3778A0033F48A00B384A540138507006A +:1086F000930504002326F100135A0A01EF00400BF2 +:10870000930905009305040013050A00EF00400AD1 +:1087100013DC0A01930D050093050C0013050A00F4 +:10872000EF0000098327C100130A050093050C0020 +:1087300013850700EF00C0073305B50113D7090102 +:108740003307A7006374B701330A9A01B707010022 +:108750009387F7FF935507013377F7001317070146 +:10876000B3F7F900B3854501B307F70063E6B4003A +:10877000639EB400637CF90033865741B3B7C700EA +:10878000B385A541B385F54093070600B307F940CB +:108790003339F900B385B440B3852541339475016D +:1087A000B3D767013365F400B3D565016FF09FC59A +:1087B000130605001305000093F6150063840600F8 +:1087C0003305C50093D5150013161600E39605FE74 +:1087D000678000006340050663C605061386050032 +:1087E000930505001305F0FF630C060293061000C5 +:1087F000637AB6006358C0001316160093961600ED +:10880000E36AB6FE1305000063E6C500B385C54004 +:108810003365D50093D6160013561600E39606FE70 +:108820006780000093820000EFF05FFB1385050076 +:10883000678002003305A04063D80500B305B0404F +:108840006FF0DFF9B305B04093820000EFF01FF93D +:108850003305A040678002009382000063CA0500D0 +:10886000634C0500EFF09FF7138505006780020059 +:10887000B305B040E35805FE3305A040EFF01FF606 +:088880003305B04067800200DF +:02000004800278 +:1000000049444C4500000000244BFEFFBC4AFEFF63 +:10001000D84AFEFFF04AFEFF004BFEFFF44CFEFF05 +:100020008C4CFEFFA84CFEFFC04CFEFFD04CFEFFE8 +:10003000546D7220537663001C56FEFF1C56FEFF63 +:100040001C56FEFF0457FEFFAC56FEFFF456FEFFA3 +:100050001C56FEFF1C56FEFF0457FEFFAC56FEFF6B +:10006000546D725100000000286E756C6C29000000 +:10007000406DFEFF7C70FEFF6870FEFF7C70FEFF2F +:100080007C70FEFF7C70FEFF7C70FEFFEC6DFEFF5F +:100090007C70FEFF7C70FEFF846DFEFF046EFEFF31 +:1000A0007C70FEFF906DFEFF9C6DFEFF9C6DFEFF61 +:1000B0009C6DFEFF9C6DFEFF9C6DFEFF9C6DFEFF28 +:1000C0009C6DFEFF9C6DFEFF9C6DFEFF7C70FEFF35 +:1000D0007C70FEFF7C70FEFF7C70FEFF7C70FEFF7C +:1000E0007C70FEFF7C70FEFF7C70FEFF7C70FEFF6C +:1000F0007C70FEFF7C70FEFF7C70FEFF7C70FEFF5C +:100100007C70FEFF7C70FEFF7C70FEFF7C70FEFF4B +:100110007C70FEFF7C70FEFF7C70FEFF7C70FEFF3B +:100120007C70FEFF7C70FEFF7C70FEFF7C70FEFF2B +:100130007C70FEFF7C70FEFF7C70FEFF7C70FEFF1B +:100140007C70FEFF7C70FEFF7C70FEFF7C70FEFF0B +:100150007C70FEFF7C70FEFF7C70FEFF7C70FEFFFB +:100160007C70FEFF7C70FEFF7C70FEFF7C70FEFFEB +:10017000446EFEFF5C6FFEFF7C70FEFF7C70FEFF36 +:100180007C70FEFF7C70FEFF7C70FEFF7C70FEFFCB +:100190007C70FEFF346EFEFF7C70FEFF7C70FEFF05 +:1001A000EC6FFEFFF86FFEFF7C70FEFF7C70FEFFC1 +:1001B000686EFEFF7C70FEFFE06FFEFF7C70FEFF4E +:1001C0007C70FEFF2070FEFF6D69616F750A000094 +:1001D0006D69616F75320A0068756E6772790A0021 +:1001E0004572726F7220696E20515065656B207484 +:1001F00061736B73200D0A00436865636B54696D0E +:1002000065720000515065656B5F546573745F5192 +:1002100075657565000000005065656B4C00000059 +:100220005065656B4D0000005065656B48310000FE +:100230005065656B483200000001020203030303AE +:100240000404040404040404050505050505050566 +:100250000505050505050505060606060606060646 +:10026000060606060606060606060606060606062E +:100270000606060606060606070707070707070716 +:1002800007070707070707070707070707070707FE +:1002900007070707070707070707070707070707EE +:1002A00007070707070707070707070707070707DE +:1002B00007070707070707070808080808080808C6 +:1002C00008080808080808080808080808080808AE +:1002D000080808080808080808080808080808089E +:1002E000080808080808080808080808080808088E +:1002F000080808080808080808080808080808087E +:10030000080808080808080808080808080808086D +:10031000080808080808080808080808080808085D +:10032000080808080808080808080808080808084D +:08033000080808080808080885 +:100338001000000000000000017A5200017C010159 +:100348001B0D02004C000000180000008079FEFF21 +:10035800DC05000000440E30708903950781018890 +:100368000292049305940696089709980A990B9A9D +:100378000C0370020AC144C844C944D244D344D4CB +:1003880044D544D644D744D844D944DA440E00442A +:100398000B00000050000000680000000C7FFEFF0A +:1003A8000005000000440E507488028903930599E3 +:1003B8000B810192049406950796089709980A9A62 +:1003C8000C9B0D0320010AC144C844C944D244D33C +:1003D80044D444D544D644D744D844D944DA44DB39 +:0803E800440E00440B0000006C +:1003FC00AAAAAAAA08000000FC8F010009000000AC +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/QPeek_rv32i_O3.hex b/VexRiscv/src/test/resources/freertos/QPeek_rv32i_O3.hex new file mode 100644 index 0000000..0f9401a --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/QPeek_rv32i_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/QPeek_rv32ic_O0.hex b/VexRiscv/src/test/resources/freertos/QPeek_rv32ic_O0.hex new file mode 100644 index 0000000..be4136b --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/QPeek_rv32ic_O0.hex @@ -0,0 +1,1513 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900021B7170502001305E53A979503009385EC +:1000A000A56B01461122170502001305A53497051B +:1000B00002009385A5340146C52817A50300130542 +:1000C000E56997B503009385256937B6ABAB130691 +:1000D000B6BAD92817B1030013014168EF40407D3B +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2021C23A02200F3221034A2 +:1002400096DEEF006030170102000321A11A02417F +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E21923A0120023A2B9 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40804D170102000321E2 +:10033000610C0241F65273901234B722000093828E +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A20B83A0C0 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A202FA23A0220086DEEF1098 +:10046000B040170102000321E1F80241F6527390F7 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F0961730020309707BF +:1004C00002009387E7F39843FD576305F7007370C5 +:1004D000043001A07370043001A041112AC6B24754 +:1004E000739047300100410182804111F327403071 +:1004F0003EC673F04330B2473E85410182804111D0 +:100500002AC62EC432C2B247F1173EC62247B247AE +:1005100098C3B247938787FA3EC61247B24798C33B +:10052000B247A1173EC68E873E87B24798C3B247EF +:10053000D1173EC6170700001307A7F8B24798C3A4 +:10054000B2473E8541018280411106C6EF40A0239B +:10055000EF10D014AA8799C3EF1010310100B240F8 +:100560004101828041112AC6B24713878700B247F2 +:10057000D8C3B2477D5798C7B24713878700B247A1 +:10058000D8C7B24713878700B24798CBB24723A09A +:10059000070001004101828041112AC6B24723A809 +:1005A000070001004101828001112AC62EC4B24712 +:1005B000DC433ECEA2477247D8C3F2479847A247D2 +:1005C00098C7F2479C472247D8C3F247224798C7AB +:1005D000A247324798CBB2479C4313871700B247D4 +:1005E00098C301000561828001112AC62EC4A2476A +:1005F0009C433ECC6247FD576316F700B2479C4BC5 +:100600003ECE31A8B247A1073ECE21A0F247DC433F +:100610003ECEF247DC439C436247E379F7FEF24764 +:10062000D843A247D8C3A247DC43224798C7A24772 +:10063000724798C7F2472247D8C3A247324798CBA0 +:10064000B2479C4313871700B24798C30100056166 +:10065000828001112AC6B2479C4B3ECEB247DC4392 +:100660003247184798C7B2479C4732475843D8C3C8 +:10067000F247DC4332476316F700B2479847F24728 +:10068000D8C3B24723A80700F2479C431387F7FF5C +:10069000F24798C3F2479C433E8505618280797199 +:1006A00006D622D42AC62EC4B2473ECEF24781E7F0 +:1006B0007370043001A0EF202057F2478043F247C7 +:1006C000D85FF247BC43BE853A85EF50A029AA8780 +:1006D0003307F400F247D8C3F24723AC0702F247CE +:1006E0009843F24798C7F2478043F247DC5F13878D +:1006F000F7FFF247BC43BE853A85EF50A026AA8794 +:100700003307F400F247D8C7F2477D57F8C3F247E2 +:100710007D57B8C7A24799EFF2479C4B8DC7F24768 +:10072000C1073E85EF1090402A878547631DF7007B +:10073000F13911A8F247C1073E852D35F24793875D +:1007400047023E850535EF20005185473E85B25072 +:10075000225445618280797106D62AC62EC4B2879A +:10076000A303F10002CCB24781E77370043001A00B +:10077000A24799E302CE01A8A2453245EF50801E60 +:10078000AA8785073ECEF247938747053E85EF301F +:10079000805C2ACAD24785CFA24789E7D247524711 +:1007A00098C331A0D24713874705D24798C3D24791 +:1007B0003247D8DFD2472247B8C385455245C535B1 +:1007C000D247034771002388E704D2473ECCE24773 +:1007D00081E77370043001A0E2473E85B250456165 +:1007E0008280797106D6AA87A307F1001305400518 +:1007F000EF3060562ACEF247B9CFF24723A2070066 +:10080000F24723A00700F24723A40700F24723A6DC +:100810000700F24723AC0702F2470547D8DFF2474B +:1008200023A00704F2477D57F8C3F2477D57B8C7A6 +:10083000F2470347F1002388E704F247C1073E85EA +:100840001533F247938747023E85293B814601468F +:10085000814572453122F2473E85B2504561828022 +:10086000797106D622D42AC6B2473ECCE24781E748 +:100870007370043001A0E247C043EF20001DAA8737 +:100880006313F402E247DC471387F7FFE247D8C758 +:10089000E247DC4791E78146014681456245C92030 +:1008A00085473ECE11A002CEF2473E85B25022547B +:1008B00045618280797106D622D42AC62EC4B247F9 +:1008C0003ECCE24781E77370043001A0E247C043A9 +:1008D000EF20A017AA87631BF400E247DC471387C9 +:1008E0001700E247D8C785473ECE0DA08146224675 +:1008F00081456245252E2ACE724785476318F70049 +:10090000E247DC4713871700E247D8C7F2473E8526 +:10091000B250225445618280797106D62AC62EC40F +:10092000B24781E77370043001A02247B24763F5F4 +:10093000E7007370043001A0094681453245213D2E +:100940002ACEF24781C7F247224798DFF24781E774 +:100950007370043001A0F2473E85B2504561828039 +:10096000397106DE2AC62EC432C236C002D6B2475C +:100970003ED4A25781E77370043001A0A24781E7FB +:10098000A257BC4399E3854711A0814781E7737063 +:10099000043001A0024789476317F700A257D85FC8 +:1009A00085476314F700854711A0814781E773707D +:1009B000043001A0EF20C00AAA8799E3924799E387 +:1009C000854711A0814781E77370043001A0EF20B3 +:1009D000A025A257985FA257DC5F6366F700024725 +:1009E0008947631DF7020246A245225565232AD294 +:1009F000A257DC5389CFA257938747023E85EF1059 +:100A0000F0122A8785476317F700093421A092570F +:100A100091C3ED3AEF202024854771A8924789E7DA +:100A2000EF206023814741A8B25799E77C083E85B3 +:100A3000EF10702785473ED6EF20E021EF10401AD7 +:100A4000EF20801EA257F843FD576315F700A25709 +:100A500023A20704A257B847FD576315F700A25712 +:100A600023A40704EF20201F58007C08BA853E8588 +:100A7000EF107026AA879DEB2255EF006071AA87C0 +:100A80008DC3A257C1071247BA853E85EF10E070AB +:100A900022551525EF108016AA87E39A07F2BD327A +:100AA0003DB72255092DEF10601515B722552125A8 +:100AB000EF10C01481473E85F25021618280797128 +:100AC00006D62AC62EC432C236C0B2473ECCE24752 +:100AD00081E77370043001A0A24781E7E247BC437D +:100AE00099E3854711A0814781E77370043001A025 +:100AF000024789476317F700E247D85F85476314C9 +:100B0000F700854711A0814781E77370043001A089 +:100B1000E93AAA873ECAE247985FE247DC5F63662C +:100B2000F700024789476315F7040246A24562456C +:100B30009521E247B847FD576313F702E247DC53BC +:100B40008DC7E247938747023E85EF10207EAA8734 +:100B500089CF924799CB9247054798C339A0E2477E +:100B6000BC4713871700E247B8C785473ECE11A0A0 +:100B700002CED2473E859532F2473E85B25045615E +:100B80008280797106D62AC62EC4B2473ECCE2478F +:100B900081E77370043001A0E247BC4381C77370E2 +:100BA000043001A0E2479C4381E7E247DC4399E33C +:100BB000854711A0814781E77370043001A0353269 +:100BC000AA873ECAE247985FE247DC5F6377F70493 +:100BD000E2479C5F13871700E24798DFE247B84778 +:100BE000FD576313F702E247DC538DC7E247938753 +:100BF00047023E85EF108073AA8789CFA24799CB21 +:100C0000A247054798C339A0E247BC47138717009E +:100C1000E247B8C785473ECE11A002CED2473E85F7 +:100C20006D38F2473E85B25045618280397106DEEB +:100C30002AC62EC432C236C002D6B2473ED4A2570C +:100C400081E77370043001A0A24781E7A257BC433B +:100C500099E3854711A0814781E77370043001A0B3 +:100C6000EF101060AA8799E3924799E3854711A096 +:100C7000814781E77370043001A0EF10F07AA2572A +:100C80009C5FB5CBA257DC473ED2A2452255F92E38 +:100C9000824795EFA2579C5F1387F7FFA25798DF13 +:100CA000A2579C4391E7EF2060022A87A257D8C33E +:100CB000A2579C4B8DCFA257C1073E85EF1000670E +:100CC0002A8785476315F702EFF04FF40DA0A2576E +:100CD0001257D8C7A257DC5399CBA2579387470224 +:100CE0003E85EF10A064AA8799C3EFF02FF2EF10B2 +:100CF000907685475DA8924789E7EF10D0758147C8 +:100D00006DA0B25799E77C083E85EF10C079854702 +:100D10003ED6EF105074EF00B06CEF10F070A25799 +:100D2000F843FD576315F700A25723A20704A25703 +:100D3000B847FD576315F700A25723A40704EF1027 +:100D4000907158007C08BA853E85EF10C078AA875C +:100D5000A1EB2255CD2EAA879DCFA2579C4391EBA4 +:100D6000EF10906CA257DC433E85EF107052EF10ED +:100D7000906EA257938747021247BA853E85EF10BF +:100D8000C04122550D2EEF007067AA87E39707EE4A +:100D9000EFF0CFE7DDB52255392EEF003066F1BD1B +:100DA0002255112EEF00906581473E85F25021615A +:100DB0008280797106D62AC62EC432C2B2473ECC92 +:100DC000E24781E77370043001A0A24781E7E24760 +:100DD000BC4399E3854711A0814781E773700430D4 +:100DE00001A0EFF08FF0AA873ECAE2479C5FA1CB3B +:100DF000A2456245A52CE2479C5F1387F7FFE247B7 +:100E000098DFE247F843FD576312F702E2479C4B35 +:100E100085C7E247C1073E85EF104051AA8789CFB9 +:100E2000924799CB9247054798C339A0E247FC43C4 +:100E300013871700E247F8C385473ECE11A002CEC4 +:100E4000D2473E85EFF06FE9F2473E85B2504561EB +:100E50008280797106D62AC62EC4B2473ECCE247BC +:100E600081E77370043001A0A24781E7E247BC43E9 +:100E700099E3854711A0814781E77370043001A091 +:100E8000E247BC4381E77370043001A0EFF0EFE567 +:100E9000AA873ECAE2479C5F89CFE247DC473EC84B +:100EA000A2456245E122E2474247D8C785473ECE88 +:100EB00011A002CED2473E85EFF02FE2F2473E85E9 +:100EC000B25045618280797106D62AC6B24781E761 +:100ED0007370043001A0EF103055B2479C5F3ECED6 +:100EE000EF107057F2473E85B250456182807971AC +:100EF00006D62AC6B2473ECEF24781E77370043069 +:100F000001A0EF107052F247D85FF2479C5FB30721 +:100F1000F7403ECCEF103054E2473E85B250456179 +:100F2000828001112AC6B24781E77370043001A0A4 +:100F3000B2479C5F3ECEF2473E8505618280797163 +:100F400006D62AC6B2473ECEF24781E77370043018 +:100F500001A07245E5247245EF20F0720100B25005 +:100F60004561828041112AC6B247FC473E85410156 +:100F7000828041112AC62EC4B2472247F8C7010019 +:100F80004101828041112AC6B24783C707053E85C9 +:100F900041018280797106D62AC62EC432C202CEA1 +:100FA000B247BC4391EFB2479C43D5E7B247DC431D +:100FB0003E85EF10903B2ACEB24723A2070061A8DE +:100FC000924785EFB2479847B247BC433E86A24559 +:100FD0003A85EF20F079B2479847B247BC433E9735 +:100FE000B24798C7B2479847B247DC436365F706F4 +:100FF000B2479843B24798C7B9A8B247D847B24753 +:10100000BC433E86A2453A85EF209076B247D8474A +:10101000B247BC43B307F0403E97B247D8C7B24788 +:10102000D847B2479C43637BF700B247D843B247E7 +:10103000BC43B307F0403E97B247D8C71247894731 +:10104000631BF700B2479C5F99C7B2479C5F138749 +:10105000F7FFB24798DFB2479C5F13871700B2478C +:1010600098DFF2473E85B25045618280011106CE7D +:101070002AC62EC4B247BC439DCBB247D847B2471D +:10108000BC433E97B247D8C7B247D847B247DC43C4 +:101090006366F700B2479843B247D8C7B247D8470C +:1010A000B247BC433E86BA852245EF20706C0100F2 +:1010B000F24005618280011106CE2AC6EF10D036BB +:1010C00025A0B247DC5395C7B247938747023E85B8 +:1010D000EF10C025AA8799C3EF104049B247BC471B +:1010E0001387F7FFB247B8C7B247BC47E34BF0FCE2 +:1010F00011A00100B2477D57B8C7EF10D035EF10EF +:10110000B0321DA0B2479C4B8DC7B247C1073E8588 +:10111000EF10C021AA8799C3EF104045B247FC43A6 +:101120001387F7FFB247F8C3B247FC43E34CF0FC28 +:1011300011A00100B2477D57F8C3EF10D031010074 +:10114000F24005618280797106D62AC6EF10D02D53 +:10115000B2479C5F81E785473ECE11A002CEEF10DB +:10116000902FF2473E85B2504561828001112AC618 +:10117000B24781E77370043001A0B2479C5F81E7FA +:1011800085473ECE11A002CEF2473E8505618280A2 +:10119000797106D62AC6EF103029B247985FB24758 +:1011A000DC5F6315F70085473ECE11A002CEEF103D +:1011B000902AF2473E85B2504561828001112AC6CD +:1011C000B24781E77370043001A0B247985FB2471D +:1011D000DC5F6315F70085473ECE11A002CEF247D3 +:1011E0003E850561828001112AC62EC402CE81A0EF +:1011F000178703001307C751F2478E07BA979C431E +:1012000085E7178703001307A750F2478E07BA97A1 +:10121000224798C3178703001307874FF2478E07AB +:10122000BA973247D8C301A8F24785073ECE724726 +:101230009D47E3FFE7FA01000561828001112AC69C +:1012400002CE0DA8178703001307874CF2478E07BD +:10125000BA97DC433247631CF70017870300130774 +:10126000274BF2478E07BA9723A0070001A8F24741 +:1012700085073ECE72479D47E3F6E7FC0100056116 +:101280008280797106D62AC62EC432C2B2473ECEBB +:10129000EF109019F247F843FD576315F700F24736 +:1012A00023A20704F247B847FD576315F700F2473A +:1012B00023A40704EF10301AF2479C5F89EBF24732 +:1012C000938747021246A2453E85EF00907E724505 +:1012D000DD330100B25045618280397106DE2ACECD +:1012E0002ECC36C83AC63EC442C246C0B287231B83 +:1012F000F100F24781E77370043001A03247A5473F +:1013000063F5E7007370043001A0835761019245D3 +:101310003E85EF1080512AD4A257638F0712A2573F +:10132000985B83566101B7070040FD17B6978A079F +:10133000BA973ED29257F19B3ED292578D8B81C77E +:101340007370043001A0835761013E8782463246A4 +:10135000E2452255EF10E0274246F2451255EFF0E4 +:101360000F9A2A87A25798C3A24781C7A24722573C +:1013700098C3EF10700B97F701009387E7279C4302 +:101380001387170097F701009387072798C397F7EC +:101390000100938727069C4395E397F70100938705 +:1013A0006705225798C397F701009387E72498436E +:1013B0008547631AF702EF10C02C35A097F701009C +:1013C000938747249C4385E397F70100938787021F +:1013D0009C43DC5732476368F70097F70100938717 +:1013E0006701225798C397F701009387A7229C4370 +:1013F0001387170097F701009387C72198C397F7C2 +:101400000100938727219843A257B8C7A257D857FE +:1014100097F701009387C71E9C4363F9E700A25723 +:10142000D85797F701009387A71D98C3A257D8579D +:10143000BA878A07BA978A0717F701001307470880 +:101440003E97A2579107BE853A85EFF0EF95854705 +:101450003ED6EF10500019A0FD573ED632578547B3 +:101460006313F70297F701009387C7199C4381CF55 +:1014700097F70100938707F89C43DC57324763F4E2 +:10148000E700EFE0BFF8B2573E85F250216182805D +:10149000797106D62AC6EF102079B24799E797F7F7 +:1014A0000100938727F59C4311A0B2473ECEF24737 +:1014B00091073E85EFF0EF99F2479C5791C7F247AD +:1014C000E1073E85EFF0EF98F2479107BE8517F5EB +:1014D00001001305A50FEFF02F8D97F701009387FB +:1014E00027109C431387170097F701009387470F36 +:1014F00098C397F701009387E7119C431387170060 +:1015000097F701009387071198C3EF10C07497F7FE +:1015100001009387270F9C439DCB97F7010093878A +:1015200067ED9C437247631EF70097F701009387AE +:10153000E70E9C4381C77370043001A0EFE01FEDFC +:1015400039A0EF10606EEF10A04BEF10C0700100DB +:10155000B25045618280797106D62AC62EC402CE69 +:10156000B24781E77370043001A0A24781E773702E +:10157000043001A097F701009387470A9C4381C775 +:101580007370043001A0A92D97F701009387070716 +:101590009C433ECCB2479C432247BA973ECAB247CF +:1015A0009C436247637EF700B2479C435247637493 +:1015B000F7025247E24763F0E70285473ECE21A893 +:1015C000B2479C4352476366F7005247E24763F4D1 +:1015D000E70085473ECEB247524798C3F24789CFCE +:1015E00097F70100938707E19C4391073E85EFF051 +:1015F0004F865245EF10001B11252AC8C24799E3B8 +:10160000EFE0DFE00100B25045618280797106D6DB +:101610002AC602CEB247A9C397F7010093870700F5 +:101620009C4381C77370043001A05D2B97F70100C4 +:101630009387C7FC9C433247BA973ECC97F701008B +:10164000938747DB9C4391073E85EFF08F8062458F +:10165000EF10401565232ACEF24799E3EFE01FDB38 +:101660000100B25045618280797106D62AC6B24720 +:101670003ECCE24781E77370043001A097F7010088 +:10168000938747D79C4362476314F70002CEBDA0FF +:10169000EF108059E247DC4B3ECAEF10C05B97F772 +:1016A00001009387E7F09C435247630AF70097F7DE +:1016B0000100938727F09C4352476315F700894741 +:1016C0003ECE2DA8524797F701009387A7F1631BE1 +:1016D000F700E2479C5781E78D473ECE05A089473A +:1016E0003ECE29A8524797F70100938727EE63154E +:1016F000F70091473ECE19A085473ECEF2473E8582 +:10170000B25045618280797106D62AC6EF10C05169 +:10171000B24799E797F701009387C7CD9C4311A083 +:10172000B2473ECEF247DC573ECCEF10C052E24704 +:101730003E85B25045618280797106D62AC6EFE0B7 +:10174000DFDAAA873ECEB24799E797F70100938781 +:1017500067CA9C4311A0B2473ECCE247DC573ECA61 +:10176000F2473E85EFE07FD7D2473E85B2504561D4 +:101770008280797106D62AC62EC402CE2247A5479A +:1017800063F5E7007370043001A02247A54763F4B6 +:10179000E700A5473EC4EF102049B24799E797F705 +:1017A0000100938727C59C4311A0B2473ECCE24776 +:1017B000BC4B3ECA5247A247630AF70E2247D247A4 +:1017C00063F6E70297F701009387C7C29C4362471D +:1017D0006308F70297F701009387C7C19C43DC5762 +:1017E0002247636FF70085473ECE19A897F701009F +:1017F000938747C09C4362476314F70085473ECEFA +:10180000E247DC573EC8E247B84BE247DC57631576 +:10181000F700E2472247D8D7E2472247B8CBE24752 +:101820009C4F63C707002947A2471D8FE24798CF07 +:10183000E247D44B4247BA878A07BA978A0717F715 +:1018400001001307E7C7BA976394F600854711A014 +:101850008147B9C7E24791073E85EFE09FDFE24746 +:10186000D85797F701009387A7D99C4363F9E700FE +:10187000E247D85797F70100938787D898C3E24784 +:10188000D857BA878A07BA978A0717F7010013074C +:1018900027C33E97E2479107BE853A85EFE0DFD048 +:1018A000724785476314F700EFE05FB6EF10A03A88 +:1018B0000100B25045618280797106D62AC6EF10C8 +:1018C000A036B24799E797F701009387A7B29C43E8 +:1018D00011A0B2473ECEF24791073E85EFE07FD799 +:1018E000F2479C5791C7F247E1073E85EFE07FD66C +:1018F000F2479107BE8517F501001305A5CEEFE06D +:10190000BFCAEF10403597F701009387A7AE9C43FD +:1019100072476319F70497F701009387A7CE9C439A +:1019200089CF97F70100938767CF9C4381C7737076 +:10193000043001A0EFE09FAD91A097F701009387DD +:1019400067CA984397F70100938707CB9C436319B5 +:10195000F70097F701009387E7A923A0070039A8AC +:10196000212729A897F701009387C7C99C4399C7E6 +:10197000EF10802BEF10C008EF10E02D0100B250E7 +:101980004561828001112AC602CEB2473ECCB247E1 +:1019900081E77370043001A0E247D84B97F701004C +:1019A000938747C46314F700854711A0814795C307 +:1019B000E247985797F70100938707C0630BF7003A +:1019C000E2479C5799E3854711A0814799C3854712 +:1019D0003ECEF2473E8505618280797106D62AC6E1 +:1019E000B2473ECEB24781E77370043001A0F247A0 +:1019F000C9C797F701009387E79F9C437247630E1F +:101A0000F706EF1060227245B53F2A8785476314B9 +:101A1000F706F24791073E85EFE0BFC3F247D8577C +:101A200097F701009387C7BD9C4363F9E700F2472E +:101A3000D85797F701009387A7BC98C3F247D857A8 +:101A4000BA878A07BA978A0717F70100130747A7CB +:101A50003E97F2479107BE853A85EFE0FFB4F24723 +:101A6000D85797F701009387E7989C43DC57636446 +:101A7000F700EFE0BF99EF10001E0100B250456182 +:101A80008280797106D62AC602CEB2473ECCB247D2 +:101A900081E77370043001A0EFE03FA5AA873ECA3A +:101AA0006245CD352A8785476314F70897F701000B +:101AB0009387C7B69C43A5E7E247D85797F701003D +:101AC000938747939C43DC576364F70085473ECE7A +:101AD000E24791073E85EFE0DFB7E247D85797F737 +:101AE00001009387E7B19C4363F9E700E247D857C9 +:101AF00097F701009387C7B098C3E247D857BA87D2 +:101B00008A07BA978A0717F701001307679B3E9762 +:101B1000E2479107BE853A85EFE01FA911A8E24789 +:101B2000E107BE8517F50100130505A9EFE0DFA762 +:101B3000D2473E85EFE07F9AF2473E85B25045613D +:101B40008280011106CE81480148814701478146C4 +:101B50001306004097E501009385C54A171500005C +:101B6000130585A5EFF06FF72AC632478547631541 +:101B7000F700EF10C06D2AC6324785476319F70298 +:101B80007370043097F70100938707A97D5798C3B6 +:101B900097F70100938707A7054798C397F70100B8 +:101BA0009387C7A523A00700EFE0AFEF31A0B247AE +:101BB00081E77370043001A00100F240056182806A +:101BC000411106C67370043097F70100938787A30D +:101BD00023A00700EFE02FFB0100B240410182800B +:101BE00097F70100938787A39C431387170097F704 +:101BF00001009387A7A298C301008280011106CE3D +:101C000002C697F70100938767A19C4381E7737031 +:101C1000043001A0EF10400197F70100938707A05F +:101C20009C431387F7FF97F701009387279F98C37B +:101C300097F701009387879E9C436390071097F75F +:101C400001009387679B9C436389070E59A097F710 +:101C5000010093876796DC47DC473EC4A247E10753 +:101C60003E85EFE01F9FA24791073E85EFE07F9EF4 +:101C7000A247D85797F70100938787989C4363F949 +:101C8000E700A247D85797F701009387679798C353 +:101C9000A247D857BA878A07BA978A0717F7010069 +:101CA000130707823E97A2479107BE853A85EFE06A +:101CB000BF8FA247D85797E701009387A7739C432C +:101CC000DC576368F70097F701009387279405476F +:101CD00098C397F701009387278E9C43ADFB97F736 +:101CE0000100938767929C438DCF35A0452AAA8730 +:101CF00099C797F7010093876791054798C397F7AE +:101D00000100938767909C431387F7FF97F70100C3 +:101D10009387878F98C397F701009387E78E9C433B +:101D2000F1F797F701009387678E9843854763160D +:101D3000F70085473EC6EFE06FEDEF00D071B24788 +:101D40003E85F24005618280011106CEEF00D06D24 +:101D500097F701009387878A9C433EC6EF00B06FD8 +:101D6000B2473E85F24005618280011106CEEFE068 +:101D7000CFF7AA873EC697F70100938727889C4331 +:101D80003EC4B2473E85EFE04FF5A2473E85F240A4 +:101D90000561828097F70100938707869C433E8503 +:101DA0008280797106D62AC62EC432C202CEA947D5 +:101DB0003ECC3D3597F70100938707849C4322472B +:101DC0006368F70CE247FD173ECCF24796073247AF +:101DD000B306F7006247BA878A07BA978A0717E7F8 +:101DE00001001307E76DBA970546BE853685EF00FB +:101DF000902A2A87F247BA973ECEE247E1F7F247A8 +:101E0000960732473E9797E701009387677A9C438E +:101E10000946BE853A85EF0010282A87F247BA970F +:101E20003ECEF247960732473E9797E701009387E9 +:101E300067789C430946BE853A85EF00D0252A87FE +:101E4000F247BA973ECEF24796073247BA97114605 +:101E500097E50100938585773E85EF00D0232A879B +:101E6000F247BA973ECEF24796073247BA970D46E9 +:101E700097E50100938505773E85EF00D0212A87FD +:101E8000F247BA973ECE924781C7924723A00700F8 +:101E9000B533F2473E85B25045618280797106D6EE +:101EA00002CE97E70100938767779C4363950718F5 +:101EB00097E70100938787749C431387170097E780 +:101EC00001009387A77398C397E70100938707736F +:101ED0009C433ECCE247B9EF97E701009387476DFB +:101EE0009C439C4381C77370043001A097E70100B5 +:101EF0009387076C9C433ECA97E701009387876BE3 +:101F0000984397E701009387A76A98C397E7010072 +:101F10009387476A524798C397E701009387476FB3 +:101F20009C431387170097E701009387676E98C358 +:101F3000EF00102D97E701009387076E9C436247DF +:101F40006365F70C97E70100938787669C439C4382 +:101F500099E3854711A0814781CB97E701009387DB +:101F6000A76B7D5798C355A097E7010093874764F7 +:101F70009C43DC47DC473EC8C247DC433EC6624761 +:101F8000B2476379F70097E701009387E768324724 +:101F900098C3A5A8C24791073E85EFE08FEBC247E3 +:101FA0009C5791C7C247E1073E85EFE08FEAC247E1 +:101FB000D85797E701009387A7649C4363F9E7002C +:101FC000C247D85797E701009387876398C3C247F2 +:101FD000D857BA878A07BA978A0717E70100130705 +:101FE000274E3E97C2479107BE853A85EFE0CFDB8B +:101FF000C247D85797E701009387C73F9C43DC57F8 +:10200000E362F7F485473ECE35BF97E7010093873B +:10201000673E9C43D85797E601009386664ABA8785 +:102020008A07BA978A07B6979843854763F1E7020C +:1020300085473ECE29A897E701009387E75C9C433C +:102040001387170097E701009387075C98C397E70A +:1020500001009387A75B9C4399C385473ECEF24717 +:102060003E85B25045618280011106CE97E701009E +:102070009387C75A9C4381CB97E701009387075901 +:10208000054798C339A297E701009387275823A0F3 +:10209000070097E701009387E7359C439C5B3EC6AA +:1020A000B7A7A5A59387575A3EC4B2479C4322477A +:1020B0006314F702B24791079C432247631EF7005F +:1020C000B247A1079C4322476318F700B247B10704 +:1020D0009C4322476308F70497E701009387873101 +:1020E000984397E701009387E7309C439387470322 +:1020F000BE853A85EF20B00A35A097E701009387A7 +:1021000027509C4381E77370043001A097E70100DA +:102110009387074F9C431387F7FF97E70100938747 +:10212000274E98C397E701009387874D984397E61A +:1021300001009386E638BA878A07BA978A07B69766 +:102140009C43C5DF97E701009387874B9843BA8785 +:102150008A07BA978A0717E7010013076736BA9705 +:102160003EC29247DC43D8439247D8C39247D843F4 +:102170009247A1076317F7009247DC43D843924781 +:10218000D8C39247DC43D84797E701009387872657 +:1021900098C30100F24005618280797106D62AC693 +:1021A0002EC4B24781E77370043001A097E70100A5 +:1021B000938747249C43E107BE853245EFE0CFC2B9 +:1021C00097E70100938707239C4391073E85EFE043 +:1021D0004FC82247FD576310F70297E70100938726 +:1021E00067219C439107BE8517E501001305853FD4 +:1021F000EFE08FBB19A897E70100938727409C4326 +:102200002247BA973ECE7245712B0100B25045610C +:102210008280797106D62AC62EC432C2B24781E7BF +:102220007370043001A097E701009387273F9C4318 +:1022300081E77370043001A097E701009387871B43 +:102240009C43A24637070080558F98CF97E701003F +:102250009387471A9C43E107BE853245EFE0CFB430 +:1022600097E70100938707199C4391073E85EFE0AC +:102270004FBE1247FD576310F70297E7010093879F +:1022800067179C439107BE8517E501001305853547 +:10229000EFE08FB119A897E70100938727369C4399 +:1022A0001247BA973ECE7245F5290100B2504561FA +:1022B0008280797106D62AC62EC432C2B24781E71F +:1022C0007370043001A097E701009387A7129C4325 +:1022D000E107BE853245EFE02FAD97E70100938718 +:1022E00067119C4391073E85EFE0AFB612478547E3 +:1022F0006310F70297E701009387C70F9C4391078C +:10230000BE8517E501001305E52DEFE0EFA919A83B +:1023100097E701009387872E9C432247BA973ECECA +:10232000724549210100B25045618280797106D61B +:102330002AC6B247DC47DC473ECCE24781E77370F0 +:10234000043001A0E247E1073E85EFE08FB097E758 +:1023500001009387A72C9C43A1EBE24791073E85A0 +:10236000EFE02FAFE247D85797E70100938747295F +:102370009C4363F9E700E247D85797E7010093874A +:10238000272898C3E247D857BA878A07BA978A0797 +:1023900017E701001307C7123E97E2479107BE8572 +:1023A0003A85EFE06FA011A8E247E107BE8517E587 +:1023B000010013056520EFE02F9FE247D85797E70C +:1023C0000100938727039C43DC5763FBE7008547A5 +:1023D0003ECE97E7010093876723054798C311A076 +:1023E00002CEF2473E85B25045618280797106D6B1 +:1023F0002AC62EC497E70100938747229C4381E7B2 +:102400007370043001A02247B70700805D8FB24788 +:1024100098C3B247DC473ECCE24781E77370043093 +:1024200001A03245EFE0EFA2E24791073E85EFE0E1 +:102430004FA2E247D85797E701009387671C9C4358 +:1024400063F9E700E247D85797E701009387471BF6 +:1024500098C3E247D857BA878A07BA978A0717E717 +:1024600001001307E7053E97E2479107BE853A85CD +:10247000EFE08F93E247D85797E70100938787F7FC +:102480009C43DC5763FBE70085473ECE97E701009E +:102490009387C717054798C311A002CEF2473E8520 +:1024A000B2504561828041112AC6B24781E77370FC +:1024B000043001A097E70100938787159843B2473E +:1024C00098C397E70100938767139843B247D8C32F +:1024D000010041018280797106D62AC62EC4B24716 +:1024E00081E77370043001A0A24781E77370043064 +:1024F00001A01D2F97E70100938747109C433ECC16 +:10250000A2479843FD576314F70002CE91A8B24743 +:10251000984397E701009387A70F9C43630AF7004E +:10252000B247DC4362476365F70085473ECE0DA89E +:10253000B247DC4362471D8FA2479C436370F7029A +:10254000A2479843B247D443E247B387F6403E9749 +:10255000A24798C33245813F02CE19A085473ECE9F +:10256000DD2DF2473E85B2504561828097E701003C +:102570009387C709054798C30100828001112AC6C5 +:10258000B24799C7B2473ECCE247FC473ECE11A0C6 +:1025900002CEF2473E850561828001112AC62EC413 +:1025A000B24791C7B2473ECEF2472247F8C7010073 +:1025B00005618280011106CE2AC6852297E70100B7 +:1025C000938707F098438547E3F9E7FEEFD01FE4D0 +:1025D000EDB7397106DE2ACE2ECC32CA36C8BA879C +:1025E0002317F10002D625A06247B257BA9703C756 +:1025F0000700F246B257B697238AE7026247B257FE +:10260000BA9783C7070089CBB25785073ED63257A2 +:10261000BD47E3FBE7FC11A00100F247A3810704DB +:102620005247A54763F4E700A5473ECAF247524721 +:10263000D8D7F2475247B8CBF24723AA0704F2474C +:1026400091073E85EFD05FF5F247E1073E85EFD079 +:10265000BFF4F247724798CB2947D2471D8FF24704 +:1026600098CFF2477247D8D3F24723A20704F24724 +:1026700023AC0704F24723AE07040100F2502161A6 +:102680008280011106CE02C60DA03247BA878A07A2 +:10269000BA978A0717E70100130787E2BA973E85C2 +:1026A000EFD05FECB24785073EC63247A547E3FE51 +:1026B000E7FC17E50100130525EDEFD0BFEA17E5AC +:1026C00001001305A5EDEFD0FFE917E501001305A3 +:1026D000A5EEEFD03FE917E50100130525EFEFD098 +:1026E0007FE817E501001305E5EFEFD0BFE797E7B7 +:1026F00001009387E7EB17E701001307E7E898C3AA +:1027000097E70100938707EB17E70100130707E935 +:1027100098C30100F24005618280011106CE8DA8A8 +:10272000EFF00FCC97E70100938747EA9C4393B7FC +:10273000170093F7F70F3EC6EFF04FCCB247A9EB67 +:10274000E52197E70100938767E8DC47DC473EC453 +:10275000A24791073E85EFD0DFEF97E7010093870F +:10276000A7E99C431387F7FF97E701009387C7E822 +:1027700098C397E701009387A7E69C431387F7FF69 +:1027800097E701009387C7E598C3F1212245912C73 +:1027900097E701009387C7E49C43D9F30100F24017 +:1027A00005618280011106CE2AC697E70100938752 +:1027B00067C49C433247D8C397E70100938707E477 +:1027C0009C4332476372F70297E70100938787DEE5 +:1027D000984397E701009387E7C19C439107BE8523 +:1027E0003A85EFD07FE035A897E70100938747DC73 +:1027F000984397E701009387E7BF9C439107BE8505 +:102800003A85EFD07FDE97E701009387E7E09C43AE +:1028100032476378F70097E701009387E7DF324795 +:1028200098C30100F24005618280797106D6AA87BB +:102830002EC42317F100A24789EB8357E1008A07D2 +:102840003E85EF104051AA8711A0A2473ECCE24737 +:1028500085C313050006EF1000502ACEF24789C742 +:10286000F247624798DB31A06245EF10C06111A0CA +:1028700002CEF24781CFF247985B8357E1008A0787 +:102880003E869305500A3A85EF104075F2473E8523 +:10289000B25045618280397106DE22DC2AC62EC420 +:1028A00032C202D6A2479C43638D070EA2473ED494 +:1028B000A257DC43D843A257D8C3A257D843A25744 +:1028C000A1076317F700A257DC43D843A257D8C328 +:1028D000A257DC43DC473ED2A2473ED08257DC43BE +:1028E000D8438257D8C38257D8438257A10763176A +:1028F000F7008257DC43D8438257D8C38257DC4362 +:10290000DC473ECEB25796073247BA97724798C314 +:10291000B25796073247BA97724713074703D8C38F +:10292000B25796073247BA977247384798C7B25797 +:1029300096073247BA971247D8C7B2579607324719 +:10294000BA977247585798CB12478D47631BF700C9 +:10295000F2479C5799C7B25796073247BA9709472B +:10296000D8C7B25796073247BA977247384BD8CB79 +:10297000B25796073247BA9723AC0700F247945BE9 +:10298000B257960732473304F70036850D20AA87E1 +:10299000231EF400B25785073ED672479257E31DB7 +:1029A000F7F2B2573E85F2506254216182800111E4 +:1029B0002AC602CE39A0B24785073EC6F247850730 +:1029C0003ECEB24703C707009307500AE305F7FE60 +:1029D000F24789833ECEF247C207C1833E85056137 +:1029E0008280011106CE2AC6B2479C5B3E85EF105D +:1029F00080493245EF1020490100F2400561828094 +:102A0000411197E701009387A7BA9C439C4399E340 +:102A1000854711A0814781CB97E701009387C7BF06 +:102A20007D5798C305A097E70100938767B89C433B +:102A3000DC47DC473EC6B247D84397E7010093879F +:102A4000A7BD98C3010041018280411197E70100B1 +:102A50009387479A9C433EC6B2473E854101828098 +:102A6000411197E701009387E7B99C4381E78547C8 +:102A70003EC619A897E70100938747BA9C4381E7B0 +:102A800089473EC611A002C6B2473E8541018280F9 +:102A9000797106D62AC6B2473ECEB247F9C3F2478D +:102AA000D85797E701009387E7949C43DC57637AF4 +:102AB000F70AF2479C4F63CC070097E70100938722 +:102AC00067939C43DC5729471D8FF24798CFF24705 +:102AD000D44BF247D857BA878A07BA978A0717E7BD +:102AE00001001307E79DBA976394F600854711A08C +:102AF0008147A5C3F24791073E85EFD09FB597E781 +:102B000001009387278F9C43D857F247D8D7F247C5 +:102B1000D85797E701009387A7AE9C4363F9E70076 +:102B2000F247D85797E70100938787AD98C3F247DC +:102B3000D857BA878A07BA978A0717E70100130799 +:102B400027983E97F2479107BE853A85EFD0DFA5DB +:102B500009A897E701009387E7899C43D857F24774 +:102B6000D8D70100B25045618280797106D62AC655 +:102B7000B2473ECC02CEB247DDC397E70100938750 +:102B800067879C4362476305F7007370043001A0B8 +:102B9000E247FC4B81E77370043001A0E247FC4B35 +:102BA0001387F7FFE247F8CBE247D857E247BC4B21 +:102BB0006307F706E247FC4BBDE3E24791073E851A +:102BC000EFD03FA9E247B84BE247D8D7E247DC57FE +:102BD00029471D8FE24798CFE247D85797E7010072 +:102BE000938707A29C4363F9E700E247D85797E72A +:102BF00001009387E7A098C3E247D857BA878A07AE +:102C0000BA978A0717E701001307878B3E97E247B9 +:102C10009107BE853A85EFD03F9985473ECEF24772 +:102C20003E85B250456182807370043097E70100A1 +:102C30009387479D9C4391CF97D701009387877BCC +:102C40009C43F8430507F8C397D701009387877A19 +:102C50009C430100828097E701009387A79A9C43D9 +:102C60008DCB97D701009387E7789C43FC4395C3AE +:102C700097D70100938707789C43F8437D17F8C3E3 +:102C800097D70100938707779C43FC4399E37360D0 +:102C9000043001008280411197D701009387877526 +:102CA0009C439C4F3EC697D701009387A7749C43D3 +:102CB000D85797D701009387E7739C43A94633877A +:102CC000E64098CFB2473E854101828097D7010008 +:102CD000938747729C4389CB97D70100938787716D +:102CE0009C43F84B0507F8CB97D701009387877073 +:102CF0009C433E858280797106D62AC62EC42D3724 +:102D000097D701009387076F9C43BC4FADE397D7DC +:102D100001009387276E9C430547F8CFA247A1CBBC +:102D200097D701009387076D9C4391073E85EFD0AD +:102D30005F922247FD576310F70297D701009387F0 +:102D4000676B9C439107BE8517E5010013058589D4 +:102D5000EFD09F8519A897E701009387278A9C43A6 +:102D60002247BA973ECE7245353CEFD02FEAE53583 +:102D7000653D97D701009387E7679C43BC4F3ECCE6 +:102D8000E2479DC3B24789CB97D7010093878766F7 +:102D90009C4323AC070409A897D701009387876554 +:102DA0009C43B84F7D17B8CF97D7010093878764AE +:102DB0009C4323AE07044535E2473E85B25045614A +:102DC0008280797106D62AC62EC432C236C0A93D89 +:102DD00097D70100938707629C43F84F8947630D9B +:102DE000F70697D701009387E7609C43B44F3247BB +:102DF0001347F7FF758FB8CF97D701009387875F89 +:102E00009C430547F8CF8247A1CB97D70100938712 +:102E1000675E9C4391073E85EFD0BF830247FD5715 +:102E20006310F70297D701009387C75C9C43910713 +:102E3000BE8517D501001305E57AEFD0EFF619A886 +:102E400097D701009387877B9C430247BA973ECC74 +:102E50006245893AEFD08FDBFD3BF933924789CB4E +:102E600097D70100938707599C43B84F924798C35F +:102E700097D70100938707589C43F84F8547631401 +:102E8000F70002CE31A897D701009387A7569C433D +:102E9000B44F22471347F7FF758FB8CF85473ECE13 +:102EA00097D70100938707559C4323AE07046533EA +:102EB000F2473E85B25045618280797106D62AC6B6 +:102EC0002EC432C236C085473ECEB24781E773700A +:102ED000043001A0B2473ECC813B824789C7E2471C +:102EE000B84F824798C3E247FC4F3ECAE2470947C2 +:102EF000F8CF1247914763EEE704924713972700F4 +:102F000097D7010093878710BA97984397D7010006 +:102F10009387C70FBA978287E247B84FA2475D8F62 +:102F2000E247B8CF3DA0E247BC4F13871700E24706 +:102F3000B8CF05A0E2472247B8CF21A8524789471A +:102F40006306F700E2472247B8CF21A002CE11A0C6 +:102F5000010052478547631AF706E24791073E850D +:102F6000EFD02FEFE247D85797D7010093874769F3 +:102F70009C4363F9E700E247D85797D7010093874E +:102F8000276898C3E247D857BA878A07BA978A074B +:102F900017D701001307C7523E97E2479107BE8536 +:102FA0003A85EFD06FE0E2479C5781C773700430D9 +:102FB00001A0E247D85797D701009387A7439C43C6 +:102FC000DC5763F4E700EFD06FC47131F2473E8500 +:102FD000B25045618280397106DE2ACE2ECC32CACB +:102FE00036C83AC685473ED6F24781E7737004304B +:102FF00001A0F2473ED4EFD04FCFAA873ED2C247BE +:1030000089C7A257B84FC24798C3A257FC4F3ED0BA +:10301000A2570947F8CF5247914763EEE704D247DA +:103020001397270097D70100938787FFBA97984394 +:1030300097D701009387C7FEBA978287A257B84FE8 +:10304000E2475D8FA257B8CF3DA0A257BC4F138770 +:103050001700A257B8CF05A0A2576247B8CF21A842 +:10306000025789476306F700A2576247B8CF21A0ED +:1030700002D611A0010002578547631DF708A25729 +:103080009C5781C77370043001A097D701009387C4 +:10309000E7589C43A1EBA25791073E85EFD06FDB29 +:1030A000A257D85797D70100938787559C4363F958 +:1030B000E700A257D85797D701009387675498C362 +:1030C000A257D857BA878A07BA978A0717D7010035 +:1030D0001307073F3E97A2579107BE853A85EFD069 +:1030E000AFCC11A8A257E107BE8517D50100130583 +:1030F000A54CEFD06FCBA257D85797D70100938735 +:10310000672F9C43DC5763F7E700B24781C7B2479C +:10311000054798C392573E85EFD02FBCB2573E85E6 +:10312000F25021618280797106D62AC62EC4B24738 +:1031300081E77370043001A0B2473ECEEFD0EFBA02 +:10314000AA873ECCF247FC4F3ECAF2470947F8CF68 +:10315000F247BC4F13871700F247B8CF5247854755 +:10316000631DF708F2479C5781C77370043001A0B4 +:1031700097D701009387874A9C43A1EBF2479107B9 +:103180003E85EFD00FCDF247D85797D701009387F0 +:1031900027479C4363F9E700F247D85797D70100C8 +:1031A0009387074698C3F247D857BA878A07BA97D2 +:1031B0008A0717D701001307A7303E97F2479107F8 +:1031C000BE853A85EFD04FBE11A8F247E107BE8514 +:1031D00017D501001305453EEFD00FBDF247D85774 +:1031E00097D70100938707219C43DC5763F7E700DB +:1031F000A24781C7A247054798C3E2473E85EFD063 +:10320000CFAD0100B25045618280797106D62AC6E1 +:10321000B2473ECCE24799E797D701009387871DD5 +:103220009C4311A0E2473ECC0134E247F84F894766 +:103230006318F700E24723AE070485473ECE11A08E +:1032400002CE113CF2473E85B250456182800111A9 +:1032500006CE02C61D2B97D701009387673F9C437C +:103260009DC38148014881470947814613060040B4 +:1032700097D50100938505DC170500001305051B94 +:10328000EFE0AF852AC6B24781E77370043001A032 +:10329000B2473E85F24005618280397106DE2ACE52 +:1032A0002ECC32CA36C83AC6E24799E302D62DA8D8 +:1032B0001305C002EF00302A2AD6B25795C7F12174 +:1032C000B257724798C3B257624798CFB257524726 +:1032D000D8CFB257424798D3B2573247D8D3B25714 +:1032E00091073E85EFD04FABE24781E77370043022 +:1032F00001A0B2573E85F25021618280397106DE0D +:103300002ACE2ECC32CA36C83AC602D6F24781E758 +:103310007370043001A097D70100938767339C43F3 +:10332000B5CBE2473ED0D2473ED2F2473ED46247C9 +:10333000954763C4E704EFF0AFF22A87894763102B +:10334000F70297D701009387A7309C431810814656 +:103350003246BA853E85EFD0AFE02AD625A897D76A +:1033600001009387E72E9C43181081460146BA85D9 +:103370003E85EFD0EFDE2AD631A897D7010093879C +:10338000272D9C43181081464246BA853E85EFD0D2 +:103390000FF32AD6B2573E85F25021618280011187 +:1033A0002AC6B2473ECEB24781E77370043001A00F +:1033B000F2479C433E8505618280797106D62AC614 +:1033C0002EC497D70100938727289C43DC47DC470E +:1033D0003ECEF24791073E85EFD0AFA7F247D84FD8 +:1033E0008547631BF702F247984FB247BA97B24638 +:1033F0002246BE857245B9222A878547631EF7009B +:1034000001478146324681457245CD3D2ACCE2478F +:1034100081E77370043001A0F247DC537245829754 +:103420000100B25045618280797106D62AC63C08F7 +:103430003E8541282ACEE247BE8572451920692281 +:10344000FDB7797106D62AC62EC4EFE06FF93C08A5 +:103450003E8545282ACEE247B9EFA24799EB32478D +:10346000F24763E8E700EFE06FF9F2453245B13724 +:10347000A9A0A24781CF97D701009387271D9C431E +:103480009C4393B7170093F7F70F3EC497D70100FB +:103490009387071C94433247F247B307F74022460D +:1034A000BE853685EFD0FFDDEFE04FF5AA8791E7C7 +:1034B000EFC0DFF519A0EFE06FF40100B2504561F5 +:1034C000828001112AC697D701009387E7179C4392 +:1034D0009C4393B7170093F7F70F3E87B24798C303 +:1034E000B2479C4391EB97D701009387E7159C4324 +:1034F000DC479C433ECE11A002CEF2473E850561DB +:103500008280797106D62AC6EFE01F842ACE97D72B +:103510000100938727149C4372476377F700692A59 +:10352000B247054798C321A0B24723A0070097D709 +:10353000010093872712724798C3F2473E85B25025 +:1035400045618280797106D62AC62EC432C236C041 +:1035500002CEB2472247D8C3B247324798CB224760 +:10356000924763E8E702124782471D8FB2479C4F9C +:103570006365F70085473ECE99A097D701009387F2 +:10358000E70C9843B2479107BE853A85EFD0CF85C7 +:103590003DA0124782476379F7002247824763655F +:1035A000F70085473ECE21A897D701009387C7092A +:1035B0009843B2479107BE853A85EFD0EF82F24734 +:1035C0003E85B25045618280797106D6D9A0A24766 +:1035D00063C0070CC2473ECEF247DC4B91C7F247AF +:1035E00091073E85EFD0EF865C003E85193F2ACCDF +:1035F000A2472547636FF7081397270097D7010065 +:103600009387C7A3BA97984397D70100938707A3D7 +:10361000BA9782873247F2479C4FBA973247BA86A9 +:103620006246BE857245393F2A8785476313F70690 +:10363000F247DC5372458297F247D84F8547631AA9 +:10364000F7043247F2479C4FBA97014781463E86BE +:103650008145724565312ACAD24785EF73700430BF +:1036600001A03247F24798CFF2479C4F81E7737031 +:10367000043001A0F247984FE247BA97E24662460B +:10368000BE857245C13531A07245EF00C07F11A0E3 +:10369000010097D701009387A7FB9C433800814620 +:1036A0000146BA853E85EFD06FD8AA87E39107F22D +:1036B0000100B25045618280797106D671A097D71A +:1036C0000100938767F89C43DC479C433ECC97D727 +:1036D0000100938767F79C43DC47DC473ECAD2472B +:1036E00091073E85EFC0FFF6D247DC5352458297E3 +:1036F000D247D84F85476319F704D2479C4F62479A +:10370000BA973EC84247E24763F4E702D2474247CE +:10371000D8C3D247524798CB97D701009387C7F2B7 +:103720009843D2479107BE853A85EFC0FFEB29A8A1 +:1037300001478146624681455245C9362AC6B2478D +:1037400081E77370043001A097D701009387C7EF1A +:103750009C439C43ADF797D701009387E7EE9C43CA +:103760003ECE97D70100938767EE984397D7010025 +:10377000938787ED98C397D70100938727ED72470A +:1037800098C30100B25045618280411106C6EFF036 +:10379000AFC997D701009387A7EB9C43C9E717D51B +:1037A00001001305E5E7EFC0FFDB17D501001305A6 +:1037B00065E8EFC03FDB97D701009387E7E817D7AD +:1037C00001001307E7E598C397D70100938707E83F +:1037D00017D70100130707E698C30146B145114505 +:1037E000EFC07FF72A8797D70100938767E698C3D2 +:1037F00097D701009387C7E59C4381E77370043036 +:1038000001A097D701009387A7E49C4389CF97D75E +:1038100001009387E7E39C4397D501009385858456 +:103820003E85EFD05F9CEFF00FC30100B240410135 +:103830008280797106D62AC6B2473ECEB24781E76A +:103840007370043001A0EFF02FBEF247DC4BB337AA +:10385000F00093F7F70F3ECCEFF0EFBFE2473E8565 +:10386000B25045618280797106D62AC6B2473ECEF3 +:10387000B24781E77370043001A0EFF0EFBAF2476E +:103880009C533ECCEFF02FBDE2473E85B2504561E0 +:103890008280797106D62AC62EC4B2473ECEB24780 +:1038A00081E77370043001A0EFF00FB8F2472247B0 +:1038B00098D3EFF04FBA0100B250456182800111F8 +:1038C00006CE714549292AC6B24789CBB24723A003 +:1038D0000700B24791073E85EFC0DFC8B2473E857B +:1038E000F24005618280397106DE2AC62EC432C2DA +:1038F00036C0B2473ED402D21247B70700FFF98F55 +:1039000081C77370043001A0924781E7737004305F +:1039100001A0EFF0EF94AA8799E3824799E38547E6 +:1039200011A0814781E77370043001A0EFE04FAB35 +:10393000A2579C433ED0A245324585240257A24758 +:103940005D8F9247F98F12476310F7020257A24723 +:10395000D98F3ED6A2579843924793C7F7FF7D8FE2 +:10396000A25798C302C025A0824799CFA257938639 +:1039700047001247B7070005D98F0246BE85368536 +:10398000EFE03F8902D621A0A2579C433ED6EFE04C +:10399000EFA62ACE8247B1CBF24799E3EFC01FA72B +:1039A000EFF06FAF2AD63257B7070002F98F85EBD9 +:1039B000EFF08FA7A2579C433ED632579247F98F1C +:1039C0001247631AF700A2579843924793C7F7FF2D +:1039D0007D8FA25798C3EFF00FA885473ED232578C +:1039E000B7070001FD17F98F3ED6B2573E85F2505A +:1039F000216182805D7186C62ACE2ECC32CA36C83D +:103A00003AC6F2473EDA02DC02D8F24781E7737029 +:103A1000043001A06247B70700FFF98F81C77370B8 +:103A2000043001A0E24781E77370043001A0EFF099 +:103A30002F83AA8799E3B24799E3854711A081476D +:103A400081E77370043001A0EFE08F99D2579C4357 +:103A50003ED64246E245325575242AD4A25799CF24 +:103A6000B2573EDE02C6D247A9CBD2579843E247AF +:103A700093C7F7FF7D8FD25798C381A0B24781E7E4 +:103A8000B2573EDE1DA8D24791C76257B707000163 +:103A9000D98F3EDCC24791C76257B7070004D98F60 +:103AA0003EDCD257938647006247E257D98F3246B1 +:103AB000BE853685EFE0EFF502DEEFE02F942AD2E7 +:103AC000B247B9CB925799E3EFC05F94EFF0AF9C48 +:103AD0002ADE7257B7070002F98F8DEBEFF0CF9413 +:103AE000D2579C433EDE4246E2457255212CAA87BE +:103AF00099CBD24789CBD2579843E24793C7F7FF78 +:103B00007D8FD25798C3EFF00F9502D87257B70741 +:103B10000001FD17F98F3EDEF2573E85B640616128 +:103B20008280797106D62AC62EC4B2473ECEB247ED +:103B300081E77370043001A02247B70700FFF98FB7 +:103B400081C77370043001A0EFF00F8EF2479C43E1 +:103B50003ECCF2479843A24793C7F7FF7D8FF247C9 +:103B600098C3EFF04F8FE2473E85B25045618280A7 +:103B7000797106D62AC6B2473ECEEFC01F97AA87F4 +:103B80003ECCF2479C433ECAE2473E85EFC0FF94DD +:103B9000D2473E85B250456182805D7186C62AC695 +:103BA0002EC402DCB2473ED802DAB24781E7737016 +:103BB000043001A02247B70700FFF98F81C7737057 +:103BC000043001A0C25791073ED6B257A1073ED498 +:103BD000EFE00F81B257DC473EDEC2579843A24761 +:103BE0005D8FC25798C3BDA8F257DC433ED2F2574F +:103BF0009C433ED002DA0257B70700FFF98F3ECE52 +:103C00000257B7070001FD17F98F3ED07247B7077B +:103C10000004F98F89EBC25798438257F98F89CFF7 +:103C200085473EDA11A8C25798438257F98F025749 +:103C30006314F70085473EDAD2579DC37247B70732 +:103C40000001F98F89C762578257D98F3EDCC2576E +:103C50009843B7070002D98FBE857255EFE00FF980 +:103C600092573EDE7257A257E310F7F8C2579843B7 +:103C7000E25793C7F7FF7D8FC25798C3EFD01FF865 +:103C8000C2579C433E85B64061618280797106D6F9 +:103C90002AC6B2473ECEF24791073ECCEFD05FF442 +:103CA0000DA0E247D847E247A1076315F7007370FC +:103CB000043001A0E247DC47B70500023E85EFE093 +:103CC000EFF2E2479C43F1FF7245752AEFD01FF3F4 +:103CD0000100B25045618280011106CE2AC62EC471 +:103CE000A24532455D3D0100F2400561828001112F +:103CF00006CE2AC62EC4A245324525350100F24023 +:103D00000561828001112AC62EC432C202CE9247BA +:103D100081EB3247A247F98F81CF85473ECE09A874 +:103D20003247A247F98F22476314F70085473ECEFA +:103D3000F2473E850561828001112AC6B2473ECC1A +:103D4000B24799E302CE21A0E2479C4F3ECEF24714 +:103D50003E8505618280397106DE2AC602D2EFD027 +:103D60003FE897670300938727909C4381EB552298 +:103D7000976703009387478F054798C3B24789CF5A +:103D8000A1473E87B247BA973EC6B2478D8B89C7D7 +:103D9000B247F19B91073EC6B247F9CB3247E56780 +:103DA000ED1763E7E70C976703009387E78A3ED434 +:103DB000976703009387478A9C433ED631A0B2574A +:103DC0003ED4B2579C433ED6B257DC43324763F5EC +:103DD000E700B2579C43E5F7325797670300938794 +:103DE00027886307F708A2579C432147BA973ED21A +:103DF000B2579843A25798C3B257D843B247B307B4 +:103E0000F740214706076378F7043257B247BA975D +:103E10003ECEB257D843B2471D8FF247D8C3B257F0 +:103E20003247D8C3F247DC433ECC97670300938701 +:103E3000A7823ED021A082579C433ED082579C430C +:103E4000DC436247E3E9E7FE82579843F24798C3B1 +:103E50008257724798C397C701009387E75A9843E0 +:103E6000B257DC431D8F97C701009387E75998C36A +:103E7000EFD0DFD8925799E3EF00D03192573E85CB +:103E8000F25021618280797106D62AC6B2473ECCB3 +:103E9000B247BDC3A147B307F0406247BA973ECCD3 +:103EA000E2473ECAEFD0DFD3D247DC433EC8975744 +:103EB00003009387677A3ECE21A0F2479C433ECE13 +:103EC000F2479C43DC434247E3E9E7FEF24798436D +:103ED000D24798C3F247524798C3D247D84397C7AF +:103EE0000100938767529C433E9797C701009387D1 +:103EF000A75198C3EFD09FD00100B2504561828096 +:103F000097C70100938747509C433E8582800100FC +:103F10008280411197C7010093874774F19B3EC689 +:103F20009757030093874773324798C39757030007 +:103F30009387877223A207009757030093874772DE +:103F400065677117D8C3975703009387677123A0DC +:103F50000700B2473EC4A24765677117D8C3A2479E +:103F6000175703001307C76F98C3010041018280F0 +:103F700001112AC62EC432C2A2473ECEB2473ECC61 +:103F80003247A247D98F8D8BA1E319A8F2479843F6 +:103F9000E24798C3E24791073ECCF24791073ECEF5 +:103FA0009247F5173247BA976247E361F7FE29A8AF +:103FB0007247930717003ECEE2479386170036CC30 +:103FC000034707002380E70032479247BA976247CA +:103FD000E360F7FEB2473E850561828001112AC683 +:103FE0002EC432C232479247D98F8D8B9DEFA247A4 +:103FF00093F7F70F3ECAD247A2075247D98F3ECA5E +:10400000D247C2075247D98F3ECAB2473ECE39A0E7 +:10401000F247138747003ACE524798C33247924738 +:10402000BA977247E366F7FE1DA0B2473ECC11A8CF +:10403000E247138717003ACC22471377F70F238004 +:10404000E70032479247BA976247E363F7FEB24709 +:104050003E850561828001112AC6B2473ECE21A06D +:10406000F24785073ECEF24783C70700F5FB72474C +:10407000B247B307F7403E850561828001112AC629 +:104080002EC4B247138717003AC683C70700A30F91 +:10409000F100A247138717003AC483C70700230F14 +:1040A000F1008347F10199C70347F1018347E1011B +:1040B000E309F7FC0347F1018347E101B307F74048 +:1040C0003E850561828001112AC62EC4B2473ECECC +:1040D00001002247930717003EC4F247938617005A +:1040E00036CE034707002380E70083C70700F5F3B8 +:1040F000B2473E850561828001112AC602CE02CCFC +:1041000021A0B24785073EC6B24703C70700930701 +:104110000002E308F7FEB24703C707009307D00287 +:104120006309F700B24703C707009307B0026311A2 +:10413000F704B24783C70700938737FD93B717008B +:1041400093F7F70F3ECCB24785073EC615A07247DE +:10415000BA878A07BA9786073ECEB24713871700F9 +:104160003AC683C70700938707FD7247BA973ECECA +:10417000B24783C70700E1FFE24789C7F247B307A9 +:10418000F04011A0F2473E850561828001112AC6E8 +:10419000975703009387074F9C4393861700175741 +:1041A00003001307274E14C332471377F70F9756B0 +:1041B000030093862651B6972380E7003247A9472C +:1041C000630BF700975703009387C74B98439307F8 +:1041D00000046315F704975703009387A74E3ECE5C +:1041E00005A8F247138717003ACE03C70700B707A1 +:1041F00010F0938707F098C3975703009387874879 +:104200009C431387F7FF975703009387A74798C3EB +:1042100097570300938707479C43E1F781473E8503 +:10422000056182804D7123261114232481142322D9 +:10423000911423202115232E3113232C4113232ADB +:1042400051132ACE2ECC32C836CA3AC63EC442C218 +:1042500023260112B2473E8A814AC24752485286FB +:10426000D6863E85C285EF10E01FAA872E883E863F +:10427000C2868327C112138717002326E1123287D3 +:104280008A07141AB69723AEE7EEB2473E848144FC +:10429000D247268763E8E702D24726876396E7007E +:1042A000C247228763E0E702B2473E8981494A86D6 +:1042B000CE864245D245EF00303DAA872E883EC8C3 +:1042C00042CA49BF010029A0F247E2451245829740 +:1042D000A2471387F7FF3AC40327C112E346F7FE4C +:1042E00025A88327C1128A07181ABA9703A7C7EF10 +:1042F0008327C1128A07141AB69783A6C7EFA5476A +:1043000063F5D7009307700519A093070003BA97C8 +:104310007247E2453E8502978327C1121387F7FF54 +:104320002326E112E34FF0FA01008320C114032495 +:10433000811483244114032901148329C113032AFE +:104340008113832A41137161828041112AC62EC4D0 +:10435000A2460547635ED700B2479C439D07E19B99 +:1043600093868700324714C303A847009C4335A0B7 +:10437000224719CB3247184313064700B24690C272 +:104380001843BA87014811A832471843130647005B +:10439000B24690C21843BA8701483E85C2854101A2 +:1043A000828041112AC62EC4A2460547635ED7000B +:1043B000B2479C439D07E19B93868700324714C315 +:1043C00003A847009C4305A8224701CF3247184362 +:1043D00013064700B24690C21843BA877D873A88D1 +:1043E00019A83247184313064700B24690C2184333 +:1043F000BA877D873A883E85C285410182803971BE +:1044000006DE22DC26DA2AC62EC432C236C011A845 +:1044100063070424924785073EC2B247A24522851E +:104420008297924783C707003E8493075002E311A7 +:10443000F4FE924785073EC292473EC893070002AA +:10444000A30BF100FD573ECEFD573ECC02D0924764 +:10445000138717003AC283C707003E849307D4FD31 +:10446000130750056364F71E1397270097C70100D1 +:10447000938747C0BA97984397C70100938787BF30 +:10448000BA9782879307D002A30BF100C9B79307AD +:104490000003A30BF10065BF02CC6247BA878A070D +:1044A000BA978607A297938707FD3ECC924783C7AA +:1044B00007003E849307F00263D6870293079003B8 +:1044C00063C28702924785073EC2C1BF82471387F6 +:1044D00047003AC09C433ECC39A0F247E3D907F6E7 +:1044E00002CEB5B70100F247E3D307F6E2473ECE6E +:1044F000FD573ECCA9BF825785073ED089BF824772 +:10450000138747003AC09C433247A2453E85029735 +:10451000B1A28247138747003AC0844389E497C415 +:1045200001009384A4B4F2476357F0040347710178 +:104530009307D0026301F704E247BE852685EF00AA +:1045400070122A87F247998F3ECE11A883477101D6 +:104550003247A2453E850297F247FD173ECEF2470D +:10456000E346F0FE09A8B247A2452285829785045A +:10457000F247FD173ECE83C704003E841DC0E247CC +:10458000E3C307FEE247FD173ECCE247E3DD07FC4D +:1045900009A8B247A245130500028297F247FD170A +:1045A0003ECEF247E347F0FE55A88A8782553E8506 +:1045B000CD3BAA872E883ED442D6A2573258C28716 +:1045C00063D90702B247A2451305D0028297A255CC +:1045D000325681470148B386B740368533B5A700C8 +:1045E0003307C840B307A7403E87B6873A883ED412 +:1045F00042D6A9473ED21DA8A9473ED215A0A14741 +:104600003ED239A885473ED0B247A24513050003E4 +:104610008297B247A245130580078297C1473ED2D1 +:104620008A8782553E8515332AD42ED61257834762 +:1046300071013E88F2472256B256A2453245DD3618 +:1046400031A8B247A2452285829709A8B247A24560 +:10465000130550028297C2473EC20100D9B3010040 +:10466000F2506254D2542161828041112AC6B707A8 +:1046700010F0938707F2324798C301A05D7106D608 +:104680002AC62EDA32DC36DEBAC0BEC2C2C4C6C604 +:104690009C0891173ECEF247BE86324681451705EB +:1046A00000001305E5AEA13B81473E85B250616134 +:1046B000828001112AC62EC41EC2A2473ECEF247F6 +:1046C0009C4332471377F70F2380E700F2479C4360 +:1046D00013871700F24798C30100056182801D719E +:1046E00006DE2AC62EC4B2C4B6C6BAC8BECAC2CC7A +:1046F000C6CE9C103ED25C084C081706000013067C +:1047000086FB7D779346F7FF0565130505803388A3 +:10471000A5003378E8001368783B23A007013295A1 +:10472000698F13677733D8C333F7D50093154701E3 +:1047300037870300130737394D8F98C73377D60073 +:10474000931647013707030013077706558FD8C71D +:104750000F100000B2473ED69C10A1173ED422573E +:104760005C083E857C00BA862246BE854939B24740 +:1047700023800700B2473E87B257B307F7403E8514 +:10478000F250256182804111370510F0130545F480 +:1047900008412AC6370510F0130505F408412AC45C +:1047A000370510F0130545F40841B248E39EA8FC14 +:1047B00032452A8381431317030081462245AA8587 +:1047C0000146B3E7B6003368C700370710F013059A +:1047D00087F48565938505FA0146B386B700B688E8 +:1047E000B3B8F8003307C800B387E8003E87B68740 +:1047F0003A881CC123220501010041018280411138 +:1048000006C651379307000873A047300100B24035 +:1048100041018280011106CE2AC617C50100130589 +:10482000E59AEFF0BFE50100F240056182800111D9 +:1048300006CE2AC617C501001305C599EFF01FE47F +:104840000100F24005618280797106D62AC602CE47 +:1048500029A00100F24785073ECE724785679387FE +:104860007738E3D8E7FE17C5010013052597EFF069 +:10487000FFE0F1BF411106C60D2A0100B24041011F +:104880008280011106CE02C679232A878547630CF0 +:10489000F70017C501001305E594EFF03FDEB247BE +:1048A00093E727003EC6B2473E85F240056182800D +:1048B000011106CE02C67D3F1707000013076704EB +:1048C000814605469305400617C50100130505936B +:1048D000EFE0BF9C2AC6B24799CBEFD0EFC6AA87BC +:1048E000014781463E8685453245EFE03FA102C43F +:1048F000EFD02FA581473E85F24005618280797116 +:1049000006D62AC697C70100938707AF9C43138733 +:10491000470697C70100938727AE98C397C7010042 +:104920009387C7AD9C431387470697C701009387BA +:10493000E7AC98C397C70100938707AC98439307E8 +:10494000F07C63D3E70497C701009387E7AA9C43F1 +:104950001387078397C70100938707AA98C3153762 +:104960002ACEF24799EB97C70100938727A998436E +:1049700085679387F77663DBE700F2473E85EFF0C4 +:10498000DFCEEFD0EFA321A0010011A00100B250B3 +:10499000456182807370043001A001008280411162 +:1049A0002AC62EC47370043001A0011106CE014640 +:1049B00091451545EFB03FDA2AC697C501009385AA +:1049C000A5843245EFC03F828148014881470147B5 +:1049D000B2461306004097C501009385258417054C +:1049E00000001305452FEFC05F8F8148014897579E +:1049F0000300938727D60547B2461306004097C5A4 +:104A0000010093852582170500001305C524EFC01A +:104A1000DF8C8148014897570300938767D3094784 +:104A2000B2461306004097C50100938525801705FF +:104A300000001305A517EFC05F8A8148014897570A +:104A40000300938767D10D47B2461306004097B520 +:104A500001009385257E1705000013054501EFC071 +:104A6000DF870100F24005618280797106D62AC68F +:104A7000B2473ECE3C0885467D56BE857245EFC0A6 +:104A8000EF9A2A8785476308F700975703009387B3 +:104A900067C7054798C36247B737221193874734E2 +:104AA0006308F700975703009387C7C5054798C366 +:104AB0007245EFC04FC12A8785476308F7009757B3 +:104AC0000300938727C4054798C302CC3C0881465E +:104AD0000146BE857245EFC06F952A8785476308FA +:104AE000F700975703009387E7C1054798C36247CC +:104AF000B7372211938747346308F70097570300AD +:104B0000938747C0054798C33C0885467D56BE85B8 +:104B10007245EFC0AF912A8785476308F700975722 +:104B20000300938727BE054798C36247B747230111 +:104B3000938777566308F70097570300938787BCDE +:104B4000054798C37245EFC00FB82A8785476308A9 +:104B5000F700975703009387E7BA054798C30145C5 +:104B6000EFC09FD53C0885467D56BE857245EFC097 +:104B7000EF8B2A8785476308F700975703009387D1 +:104B800067B8054798C36247B7B7BBAA9387B7AB67 +:104B90006308F700975703009387C7B6054798C384 +:104BA0000145EFC07FD1F9B5797106D62AC6B24763 +:104BB0003ECE3C0885467D56BE857245EFC00F87C8 +:104BC0002A8785476308F70097570300938787B3C1 +:104BD000054798C36247B747230193877756630811 +:104BE000F700975703009387E7B1054798C37245CD +:104BF000EFC06FAD2A8785476308F700975703001A +:104C0000938747B0054798C30145EFC0FFCA3C08EA +:104C100081467D56BE857245EFC04F812A87854704 +:104C20006308F700975703009387C7AD054798C3FC +:104C30006247B7B7BBAA9387B7AB6308F70097572C +:104C40000300938727AC054798C30145EFC0DFC633 +:104C50008DB7797106D62AC6B2473ECE3C08854646 +:104C60007D56BE857245EFB07FFC2A878547630875 +:104C7000F700975703009387E7A8054798C3624753 +:104C8000B7472301938777566308F70097570300C8 +:104C9000938747A7054798C37245EFC0CFA22A87DD +:104CA00085476308F700975703009387A7A5054733 +:104CB00098C397570300938727A59C431387170032 +:104CC00097570300938747A498C30145EFC0DFBE01 +:104CD00071B7797106D62AC6B2473ECEB7372211D0 +:104CE000938747343ECC3C0881460146BE857245D9 +:104CF000EFB01FC72A8785476308F700975703005F +:104D0000938747A0054798C37245EFC0CF9BAA87FA +:104D100099C7975703009387E79E054798C3B747FE +:104D20002301938777563ECC3C0881460146BE85D9 +:104D30007245EFB0FFC22A8785476308F70097578F +:104D400003009387279C054798C302CC3C08814603 +:104D50000146BE857245EFB07FED2A87854763081F +:104D6000F700975703009387E799054798C32945AC +:104D7000EFC0DF89975703009387C79D9C433E850B +:104D8000EFC0BFC5975703009387879C9C433E8520 +:104D9000EFC0BFC4975703009387079C9C433E8591 +:104DA000EFC0BFC3B7B7BBAA9387B7AB3ECC3C0835 +:104DB00085460146BE857245EFB09FBA2A87854772 +:104DC0006308F700975703009387C793054798C375 +:104DD0003C0885460146BE857245EFB03FE5AA878F +:104DE00099C7975703009387E791054798C397574B +:104DF00003009387E7959C433E85EFC01FBE9757FE +:104E00000300938767959C433E85EFC01FBD2945EE +:104E1000EFC0CFFFE1B5975703009387278F9843E3 +:104E2000975703009387478E9C436318F700975763 +:104E300003009387278D054798C3975703009387EF +:104E4000A78C9843975703009387478C98C397572D +:104E500003009387278B9C4393B7170093F7F70FB3 +:104E60003E858280B305B500930705006386B700D1 +:104E700003C70700631607003385A740678000005B +:104E8000938717006FF09FFE130101FD232291020B +:104E9000232A5101232611022324810223202103E6 +:104EA000232E3101232C4101232861012326710186 +:104EB00023248101232291012320A101930A0500CB +:104EC00093840500639E06381304060093090500C9 +:104ED00017B901001309893663F8C512B707010035 +:104EE000138B05006378F6101307F00F3337C700F4 +:104EF00013173700B357E6003309F900834609005A +:104F00003387E60093060002B386E640638C060012 +:104F1000B394D40033D7EA003314D600336B970030 +:104F2000B399DA00935A040193850A0013050B0024 +:104F3000EF00902A1309050093850A00931B0401D2 +:104F400013050B00EF00D02493DB0B019304050045 +:104F50009305050013850B00EF00D02013190901FC +:104F600093D70901B367F900138A040063FEA70011 +:104F7000B3878700138AF4FF63E8870063F6A7000E +:104F8000138AE4FFB3878700B384A74093850A00A0 +:104F900013850400EF0050241309050093850A00CF +:104FA00013850400EF00D01E9399090193040500B6 +:104FB000930505001319090113850B0093D9090105 +:104FC000EF00501AB36939011386040063FCA9008D +:104FD000B30934011386F4FF63E6890063F4A90082 +:104FE0001386E4FF13140A013364C400130A00009B +:104FF0006F000013B707000113070001E36CF6EE22 +:10500000130780016FF01FEF138A0600631A060072 +:105010009305000013051000EF009017130405001E +:10502000B7070100637EF4129307F00F63F4870063 +:10503000130A8000B35744013309F90003470900FC +:105040009306000233074701B386E64063940612D5 +:10505000B3848440130A1000135B040193050B0012 +:1050600013850400EF0050171309050093050B008A +:1050700013850400931B0401EF00901193DB0B01D7 +:10508000930405009305050013850B00EF00900DB8 +:105090001319090193D70901B367F900938A040032 +:1050A00063FEA700B3878700938AF4FF63E8870055 +:1050B00063F6A700938AE4FFB3878700B384A74011 +:1050C00093050B0013850400EF0010111309050070 +:1050D00093050B0013850400EF00900B93990901D1 +:1050E00093040500930505001319090113850B00AE +:1050F00093D90901EF001007B36939011386040041 +:1051000063FCA900B30934011386F4FF63E6890048 +:1051100063F4A9001386E4FF13940A013364C40006 +:105120001305040093050A008320C10203248102B1 +:1051300083244102032901028329C101032A810139 +:10514000832A4101032B0101832BC100032C810021 +:10515000832C4100032D010013010103678000002F +:10516000B7070001130A0001E366F4EC130A80019B +:105170006FF05FEC3314D40033DAE400B399DA0053 +:1051800033D7EA00935A0401B394D40093850A00FC +:1051900013050A00336B9700EF0010041309050094 +:1051A00093850A0013050A00931B0401EF00407E5B +:1051B00093DB0B01930405009305050013850B0099 +:1051C000EF00407A1319090113570B013367E90007 +:1051D000138A0400637EA70033078700138AF4FF55 +:1051E000636887006376A700138AE4FF33078700AC +:1051F000B304A74093850A0013850400EF00C07D27 +:105200001309050093850A0013850400EF00407818 +:10521000930405009305050013850B00EF008074CF +:1052200013170B011357070113190901B367E9009D +:105230001387040063FEA700B38787001387F4FF7A +:1052400063E8870063F6A7001387E4FFB38787004E +:10525000131A0A01B384A740336AEA006FF0DFDF54 +:1052600063ECD51EB707010063F4F6041307F00FD3 +:10527000B335D7009395350033D7B60097B7010003 +:105280009387C7FBB387E70003C70700130A000231 +:105290003307B700330AEA4063160A02130410000A +:1052A000E3E096E833B6CA00134416006FF05FE7F8 +:1052B000B707000193050001E3E0F6FC93058001C8 +:1052C0006FF09FFBB35CE600B3964601B3ECDC00E5 +:1052D00033D4E40093DB0C01B397440133D7EA00E5 +:1052E00093850B0013050400336BF700B3194601D7 +:1052F000EF00806E1309050093850B001305040071 +:10530000139C0C01EF00C068135C0C0193040500B2 +:105310009305050013050C00EF00C0641319090183 +:1053200013570B013367E90013840400637EA70061 +:10533000330797011384F4FF636897016376A7002E +:105340001384E4FF33079701B304A74093850B0050 +:1053500013850400EF0040681309050093850B00D6 +:1053600013850400EF00C062930405009305050057 +:1053700013050C00EF00005F93170B0113190901CF +:1053800093D70701B367F9001386040063FEA700F3 +:10539000B38797011386F4FF63E8970163F6A700CC +:1053A0001386E4FFB387970113140401B70B0100C0 +:1053B0003364C4001389FBFF337D240133F92901D1 +:1053C000B384A7409305090013050D00EF00805931 +:1053D000935C040193050900130B050013850C0071 +:1053E000EF00405893D90901130C0500938509007B +:1053F00013850C00EF000057130905009385090081 +:1054000013050D00EF0000563305850193570B017E +:105410003385A7006374850133097901935705012A +:10542000B387270163E6F402E392F4BCB7070100F7 +:105430009387F7FF3375F50013150501337BFB00E8 +:1054400033964A0133056501130A0000E37AA6CCBE +:105450001304F4FF6FF09FB9130A00001304000057 +:105460006FF01FCC130101FB23248104232291043C +:10547000232E310323229103232611042320210507 +:10548000232C4103232A510323286103232671037C +:10549000232481032320A103232EB101930C0500B3 +:1054A000938905001304050093840500639E062676 +:1054B00013090600138A060097BA0100938A0AD8D6 +:1054C00063F4C514B70701006376F6129307F00F73 +:1054D00063F4C700130A8000B3574601B38AFA0089 +:1054E00003C70A001305000233074701330AE540EA +:1054F000630C0A00B395490133D7EC003319460118 +:10550000B364B70033944C01935A090193850A00A0 +:1055100013850400EF00404C9309050093850A00B1 +:10552000131B090113850400EF008046135B0B0178 +:105530009305050013050B00EF00C0429399090184 +:1055400093570401B3E7F90063FAA700B387270173 +:1055500063E6270163F4A700B3872701B384A7405C +:1055600093850A0013850400EF00004793090500A6 +:1055700093850A0013850400EF0080411314040191 +:10558000930505009399090113050B0013540401B9 +:10559000EF00403D33E48900637AA4003304240122 +:1055A000636624016374A400330424013304A4401B +:1055B00033554401930500008320C1040324810472 +:1055C00083244104032901048329C103032A81039D +:1055D000832A4103032B0103832BC102032C810285 +:1055E000832C4102032D0102832DC101130101050A +:1055F00067800000B7070001130A0001E36EF6ECB4 +:10560000130A80016FF05FED631A06009305000036 +:1056100013051000EF00C03713090500B70701009C +:10562000637AF90E9307F00F63F42701130A8000E1 +:10563000B3574901B38AFA0003C70A0013050002F1 +:10564000B384294133074701330AE540E30E0AEAF0 +:1056500033194901B3DAE900B395490133D7EC00B6 +:1056600093540901336BB70013850A009385040036 +:10567000EF0080369309050093850400931B090110 +:1056800013850A00EF00C03093DB0B019305050082 +:1056900013850B00EF00002D9399090193570B011F +:1056A000B3E7F90033944C0163FAA700B3872701ED +:1056B00063E6270163F4A700B3872701B38AA740F5 +:1056C0009385040013850A00EF000031930905005B +:1056D0009385040013850A00EF00802B93050500D5 +:1056E00013850B00EF00002893150B019399090116 +:1056F00093D50501B3E5B90063FAA500B38525018B +:1057000063E6250163F4A500B3852501B384A540B4 +:105710006FF09FDFB7070001130A0001E36AF9F099 +:10572000130A80016FF0DFF0E3E8D5E8B707010066 +:1057300063FCF604930BF00F33B5DB001315350053 +:1057400033D7A60097B70100938747AFB387E70029 +:1057500083CB070093050002B38BAB00338B7541FD +:10576000631E0B0263E4360163EACC003384CC4051 +:10577000B386D94033B58C00B384A640130504002A +:10578000938504006FF05FE3B70700011305000184 +:10579000E3E8F6FA130580016FF09FFAB39666010D +:1057A000335D7601336DDD0033D47901B395690142 +:1057B00033DC7C0193540D01336CBC0013050400F1 +:1057C00093850400B31A6601EF000021130A050057 +:1057D000938504001305040033996C01931C0D019B +:1057E000EF00001B93DC0C0113040500930505007A +:1057F00013850C00EF000017131A0A0113570C0150 +:105800003367EA00130A0400637EA7003307A70189 +:10581000130AF4FF6368A7016376A700130AE4FF85 +:105820003307A701B309A740938504001385090036 +:10583000EF00801A93850400130405001385090006 +:10584000EF000015930505009304050013850C0077 +:10585000EF00401193150C011314040193D50501B9 +:10586000B365B4001387040063FEA500B385A501EA +:105870001387F4FF63E8A50163F6A5001387E4FF2F +:10588000B385A501131A0A01B70C0100336AEA00B7 +:105890001384FCFFB3778A0033F48A00B384A540F5 +:1058A00013850700930504002326F100135A0A010B +:1058B000EF00400B930905009305040013050A004F +:1058C000EF00400A13DC0A01930D050093050C005C +:1058D00013050A00EF0000098327C100130A050021 +:1058E00093050C0013850700EF00C0073305B501D1 +:1058F00013D709013307A7006374B701330A9A016C +:10590000B70701009387F7FF935507013377F70037 +:1059100013170701B3F7F900B3854501B307F70083 +:1059200063E6B400639EB400637CF900338657419C +:10593000B3B7C700B385A541B385F540930706000B +:10594000B307F9403339F900B385B440B385254135 +:1059500033947501B3D767013365F400B3D565019E +:105960006FF09FC5130605001305000093F61500A0 +:10597000638406003305C50093D515001316160081 +:10598000E39605FE678000006340050663C60506D2 +:1059900013860500930505001305F0FF630C06024E +:1059A00093061000637AB6006358C0001316160001 +:1059B00093961600E36AB6FE1305000063E6C50081 +:1059C000B385C5403365D50093D61600135616002F +:1059D000E39606FE6780000093820000EFF05FFB15 +:1059E00013850500678002003305A04063D80500D9 +:1059F000B305B0406FF0DFF9B305B040938200000B +:105A0000EFF01FF93305A040678002009382000089 +:105A100063CA0500634C0500EFF09FF7138505008E +:105A200067800200B305B040E35805FE3305A0408F +:0C5A3000EFF01FF63305B0406780020065 +:02000004800278 +:1000000049444C4500000000482FFEFF102FFEFF22 +:100010001E2FFEFF2C2FFEFF342FFEFF5830FEFF59 +:100020002030FEFF2E30FEFF3C30FEFF4430FEFF4E +:10003000546D722053766300DC35FEFFDC35FEFF25 +:10004000DC35FEFF5A36FEFF2A36FEFF5036FEFF35 +:10005000DC35FEFFDC35FEFF5A36FEFF2A36FEFF9A +:10006000546D725100000000286E756C6C29000000 +:10007000DE43FEFFDC45FEFFD245FEFFDC45FEFF12 +:10008000DC45FEFFDC45FEFFDC45FEFF5C44FEFF79 +:10009000DC45FEFFDC45FEFF1444FEFF6A44FEFF24 +:1000A000DC45FEFF1E44FEFF2844FEFF2844FEFF01 +:1000B0002844FEFF2844FEFF2844FEFF2844FEFF9C +:1000C0002844FEFF2844FEFF2844FEFFDC45FEFFD7 +:1000D000DC45FEFFDC45FEFFDC45FEFFDC45FEFFA8 +:1000E000DC45FEFFDC45FEFFDC45FEFFDC45FEFF98 +:1000F000DC45FEFFDC45FEFFDC45FEFFDC45FEFF88 +:10010000DC45FEFFDC45FEFFDC45FEFFDC45FEFF77 +:10011000DC45FEFFDC45FEFFDC45FEFFDC45FEFF67 +:10012000DC45FEFFDC45FEFFDC45FEFFDC45FEFF57 +:10013000DC45FEFFDC45FEFFDC45FEFFDC45FEFF47 +:10014000DC45FEFFDC45FEFFDC45FEFFDC45FEFF37 +:10015000DC45FEFFDC45FEFFDC45FEFFDC45FEFF27 +:10016000DC45FEFFDC45FEFFDC45FEFFDC45FEFF17 +:100170008E44FEFF3A45FEFFDC45FEFFDC45FEFFF8 +:10018000DC45FEFFDC45FEFFDC45FEFFDC45FEFFF7 +:10019000DC45FEFF8644FEFFDC45FEFFDC45FEFF3E +:1001A0008E45FEFF9445FEFFDC45FEFFDC45FEFF6D +:1001B000A244FEFFDC45FEFF8845FEFFDC45FEFF56 +:1001C000DC45FEFFAC45FEFF6D69616F750A0000FE +:1001D0006D69616F75320A0068756E6772790A0021 +:1001E0004572726F7220696E20515065656B207484 +:1001F00061736B73200D0A00436865636B54696D0E +:1002000065720000515065656B5F546573745F5192 +:1002100075657565000000005065656B4C00000059 +:100220005065656B4D0000005065656B48310000FE +:100230005065656B483200000001020203030303AE +:100240000404040404040404050505050505050566 +:100250000505050505050505060606060606060646 +:10026000060606060606060606060606060606062E +:100270000606060606060606070707070707070716 +:1002800007070707070707070707070707070707FE +:1002900007070707070707070707070707070707EE +:1002A00007070707070707070707070707070707DE +:1002B00007070707070707070808080808080808C6 +:1002C00008080808080808080808080808080808AE +:1002D000080808080808080808080808080808089E +:1002E000080808080808080808080808080808088E +:1002F000080808080808080808080808080808087E +:10030000080808080808080808080808080808086D +:10031000080808080808080808080808080808085D +:10032000080808080808080808080808080808084D +:08033000080808080808080885 +:100338001000000000000000017A5200017C010159 +:100348001B0D02004C00000018000000344BFEFF9B +:10035800DC05000000440E30708903950781018890 +:100368000292049305940696089709980A990B9A9D +:100378000C0370020AC144C844C944D244D344D4CB +:1003880044D544D644D744D844D944DA440E00442A +:100398000B0000005000000068000000C050FEFF85 +:1003A8000005000000440E507488028903930599E3 +:1003B8000B810192049406950796089709980A9A62 +:1003C8000C9B0D0320010AC144C844C944D244D33C +:1003D80044D444D544D644D744D844D944DA44DB39 +:0803E800440E00440B0000006C +:1003FC00AAAAAAAA08000000FC8F010009000000AC +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/QPeek_rv32ic_O3.hex b/VexRiscv/src/test/resources/freertos/QPeek_rv32ic_O3.hex new file mode 100644 index 0000000..8b525c8 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/QPeek_rv32ic_O3.hex @@ -0,0 +1,1713 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000E1D2170502001305E552979503009385F9 +:1000A000E57A01461122170502001305E549970577 +:1000B0000200938565500146C52817A50300130566 +:1000C000257997B503009385657837B6ABAB1306F2 +:1000D000B6BAD92817B1030013018177EF60204425 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2823423A02200F32210340A +:1002400096DEEF00002B170102000321213302414B +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E23123A0120023A2A1 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40502717010200032138 +:10033000E1240241F65273901234B72200009382F6 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A22383A0A8 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2821223A0220086DEEF20F0 +:10046000602E17010200032161110241F6527390C0 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF407007EF10900A01E5B7 +:10050000B24041018280B24041016F20A023930795 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30B0207E +:1005B0008280411122C406C62A8426C2EF2030124E +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF2040586DD5EF2020783C44FD173C +:1005F0003CC43C44E344F0FEFD573CC4EF20701083 +:10060000EF20F00D7C40635BF0021C4885CB930427 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2000546DD5EF20E0735C +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20B00B29CD0111E8 +:1006500022CC2A8406CE26CA4AC84EC62E89EF204E +:10066000100824404C5C832904002685EF50D04CB0 +:100670007D57232C0402B3079540CE974E9578C042 +:1006800048C0232434015CC438C4631109021C48E7 +:100690008DE7EF201007F2406244D2444249B2494C +:1006A0000545056182807370043001A013050401C3 +:1006B000B93D13054402A13DE9BF13050401EF2034 +:1006C000804A8547E317F5FC9133E1B701E5737084 +:1006D000043001A0011126CA4AC84EC606CE22CC5B +:1006E000AA842E89B289A5C9EF5010451305550576 +:1006F000EF3020742A8435C5930745051CC144DCBE +:1007000023202405EF20A07D24404C5C0329040015 +:100710002685EF507042FD56232C0402B307954006 +:100720003307A900CA9774C058C05CC434C4130509 +:10073000040123242401E13B13054402C93BEF20BB +:10074000407C230834052285F2406244D244424969 +:10075000B2490561828013054005EF30806D2A841F +:1007600001E57370043001A000C051BF01E5737052 +:10077000043001A06375B5007370043001A041110D +:1007800022C409462E84814506C6893711C500DD7D +:10079000B2402244410182807370043001A05D7137 +:1007A00086C6A2C4A6C2CAC04EDE52DC56DA5AD8E9 +:1007B0005ED632C6630A051C6382051C89476399AD +:1007C000F600585D85476305F7007370043001A09B +:1007D0003689AE842A84EF20C05B11E5B24781C719 +:1007E0007370043001A0EF20806F185C5C5C014BDB +:1007F000894BFD59130A0401930A44026365F70CFF +:1008000063077919B2476381072463150B00280831 +:10081000EF208048EF20E06EEF10604FEF20206C5B +:100820007C4063943701232204043C4463943701E1 +:1008300023240404EF20E06C6C002808EF20E0473C +:10084000631E051EEF20A069185C5C5C630CF70A50 +:10085000EF20206BEF20A0683C44634FF00039A8E4 +:100860005685EF20403019C1EF2020503C44FD1741 +:100870003CC43C446354F0005C50FDF32324340535 +:10088000EF202068EF20A0657C40634FF00039A87E +:100890005285EF20402D19C1EF20204D7C40FD17DF +:1008A0007CC07C406354F0001C48FDF323223405D7 +:1008B000EF202065EF10A06A054BEF204062185C26 +:1008C0005C5CE37FF7F230406305060C631F09129E +:1008D0000844A685EF30206E1C4434405840B6973B +:1008E0001CC463EAE70A1C5C14405850850714C412 +:1008F0001CDC5DC713054402EF20E0268547631129 +:10090000F50AC9A8EF20E05FB2455285EF206007E5 +:10091000EF20E05C3C44634FF00039A85685EF209F +:10092000802419C1EF2060443C44FD173CC43C4482 +:100930006354F0005C50FDF323243405EF20605C29 +:10094000EF20E0597C40634FF00039A85285EF203A +:10095000802119C1EF2060417C40FD177CC07C40A4 +:100960006354F0001C48FDF323223405EF20605946 +:10097000EF10E05E31F1593C054B81B73C41E38F0C +:1009800007E27370043001A07370043001A030409E +:1009900021E61C4085C71C5C585085071CDC39FBD0 +:1009A000EF2020560545B640264496440649F259A4 +:1009B000625AD25A425BB25B616182804840EF204A +:1009C00060491C5C58502322040085071CDCE3139B +:1009D00007F279D5253CE9B74844A685EF30A05DFC +:1009E0003C4058441440B307F0403E9758C4637CE1 +:1009F000D7025840BA975CC409475C506306E902C5 +:100A0000185C050718DCC9DFF5B54844A685EF304A +:100A1000805A3C4058441440B307F0403E9758C4B5 +:100A2000E37CD7FCF9B75C50185C79DB185C7D1768 +:100A300018DC185C050718DCA5D76DBD2285953E2E +:100A4000EF10E051014585B7EF20A04B0145A1BF54 +:100A5000411126C2AA841305400522C406C6EF3000 +:100A6000403D2A8415CD232C0502FD577CC1054746 +:100A7000410558DC3CC42322040023200400232425 +:100A80000400232604002320040423089404413492 +:100A900013054402AD3C8146014681452285013360 +:100AA0002285B24022449244410182800DC5411109 +:100AB00022C426C206C64AC0032945002A84EF2064 +:100AC000802C8144630CA900B2402244268502494F +:100AD0009244410182807370043001A05C448544DB +:100AE000FD175CC4F5F381460146814522854539F1 +:100AF000E1BF31CDA1C9011122CC26CA4AC852C4D6 +:100B000006CE4EC68947B68432892E8A2A846399D6 +:100B1000F600585D8547630FF7047370043001A039 +:100B20006D32185C5C5CAA896366F70281444E856D +:100B3000513AF240624426854249D244B249224A9F +:100B4000056182803C41C5DB7370043001A0737085 +:100B5000043001A0304041E21C40D5CB1C5C7D57E5 +:100B600085071CDC3C446387E7083C4485448507D3 +:100B70003CC475BF993A185C5C5CAA89636DF70246 +:100B8000304079DA4844D285EF30E0423C40584466 +:100B90001440B307F0403E9758C46375D7005840DF +:100BA000BA975CC48947E39BF4FA1C5CC5DB1C5C08 +:100BB000FD171CDC65B7304045D24844D285EF3084 +:100BC000803F3C4058441440B307F0403E9758C41F +:100BD000E367D7FCD9BFDDF40844D285EF30A03DF0 +:100BE0001C4434405840B6971CC4E3E9E7F61C4067 +:100BF0001CC4ADB75C5085449DDB13054402EF1067 +:100C0000907615D5E30509F2232099000DB74840E9 +:100C1000EF2040242322040091B721CD3C4181C71D +:100C20007370043001A01C41A1CB011122CC26CA53 +:100C30002A844AC84EC606CE2E894138185C5C5CB0 +:100C4000AA898144637DF7001C5C7D5785071CDC05 +:100C50003C446388E7023C44854485073CC44E8598 +:100C60009530F240624426854249D244B24905613A +:100C700082807370043001A05C41C5DB7370043066 +:100C800001A05C5099E38544D9BF13054402EF10DD +:100C9000906D75D9E30909FE85472320F900E5B772 +:100CA000397106DE22DC26DA4AD84ED652D456D224 +:100CB00032C66302051C638A051AB68AAE892A8485 +:100CC000EF20200D11E5B24781C77370043001A0F9 +:100CD000EF20E0201C5C63920714B247638E070A82 +:100CE0002808EF10707BFD5413094402130A040115 +:100CF000EF202021EF10A001EF20601E7C406394C4 +:100D00009700232204043C446394970023240404A2 +:100D1000EF20201F6C002808EF10307A63130518AD +:100D2000EF20E01B1C5CADCFEF20A01DEF20201BAF +:100D30003C44634FF00039A84A85EF10D06219C1D6 +:100D4000EF20A0023C44FD173CC43C446354F00037 +:100D50005C50FDF324C4EF20C01AEF2040187C4003 +:100D6000634FF00039A85285EF10F05F19C1EF1002 +:100D7000D07F7C40FD177CC07C406354F0001C4851 +:100D8000FDF364C0EF20E017EF10601DEF20201589 +:100D90001C5CC1E7B247A9FFEF20A016014555A092 +:100DA000EF2020161C40DDC7B2454A85EF10703D8C +:100DB000EF20E0123C44634FF00039A84A85EF1061 +:100DC000905A19C1EF10707A3C44FD173CC43C4462 +:100DD0006354F0005C50FDF324C4EF208012EF2038 +:100DE00000107C40634FF00039A85285EF10B057D7 +:100DF00019C1EF1090777C40FD177CC07C40635494 +:100E0000F0001C48FDF364C0EF20A00FEF10201588 +:100E100031C9EF20C00C1C5CB5DFCE85228544446F +:100E2000EFF04FF7639C0A041C5C1840FD171CDCB4 +:100E300001E7EF20801148C01C48A1EFEF20600CB3 +:100E40000545F2506254D2544259B259225A925A2C +:100E500021618280EF20A0084840EF109075EF20BC +:100E6000400A99B7EFF08FDA6DB73C41E38707E4AA +:100E70007370043001A07370043001A05C5044C44E +:100E8000D5DF13054402EF10104E4DD9EFF00FD807 +:100E900075B713050401EF10104D8547E310F5FAFF +:100EA000F5B72285EFF0EFF0EF10600B014551BF71 +:100EB0001DC9411122C44AC006C626C244412E891A +:100EC0002A84EF10506C6383A40281464A868145D0 +:100ED0002285F93385476300F502B24022449244EB +:100EE0000249410182807370043001A05C440545D1 +:100EF00085075CC4DDB75C4485075CC4F9BF15CDCC +:100F0000ADC9011122CC26CA4AC84EC652C42A8491 +:100F100006CE3289AE89EFF04FDB1C5C2A8A814411 +:100F200085E35285EFF00FDAF240624426854249AC +:100F3000D244B249224A056182807370043001A014 +:100F4000304001CE4C445C40B2954CC463E4F500A3 +:100F50000C404CC44E85EF3000061C5C7D57FD17DD +:100F60001CDC7C40638CE7007C40854485077CC0AA +:100F70004DBF3C41D9D77370043001A01C4899E3A0 +:100F8000854445B713050401EF10F03D75D9E30919 +:100F900009FE85472320F900E5B721C93C41A1CDD1 +:100FA000A1CB011122CC26CA4AC852C42A8406CE3B +:100FB0004EC62E8AEFF06FD11C5C2A89814491CBFA +:100FC0008329C400D2852285EFF0CFDC8544232617 +:100FD00034014A85EFF00FCFF24062442685424942 +:100FE000D244B249224A056182807370043001A064 +:100FF0007370043001A0EDDF7370043001A001E5CF +:101000007370043001A0411122C42A8406C6EF1077 +:10101000106D005CEF10F06EB24022852244410159 +:10102000828001E57370043001A0411106C622C41C +:101030002A84EF10D06A1C5C405C1D8CEF10706C31 +:101040002285B24022444101828019C1085D82801C +:101050007370043001A01DCD978703009387877AB2 +:10106000D843630CE502D847630CE504D84B63070B +:10107000E504D84F6302E504D853630DE502D85761 +:101080006308E502D85B6303E502D85F630EE50001 +:101090006F20506C7370043001A001470E07BA979F +:1010A00023A007006F20106B1D47CDBF1947FDB768 +:1010B0001547EDB71147DDB70D47CDB70947F9BF64 +:1010C0000547E9BF684582806CC5828003450505F8 +:1010D000828009C5085D1335150082807370043065 +:1010E00001A019C51C5D485D1D8D1335150082805A +:1010F0007370043001A0978703009387A77098430B +:1011000005C3984705C7984B05C7984F05C798531F +:1011100005C7985705C7985B15C7985F15C38280A8 +:1011200001470E07BA978CC3C8C382800547D5BF55 +:101130000947C5BF0D47F5B71147E5B71547D5B7FF +:101140001D47C5B71947F1BF978703009387876B82 +:10115000D8436308E502D847630BE502D84B630A1E +:10116000E502D84F6309E502D8536308E502D85772 +:101170006307E502D85B6308E502D85F6303A70253 +:10118000828001470E07BA9723A007008280054797 +:10119000D5BF0947C5BF0D47F5B71147E5B7154797 +:1011A000D5B71D47C5B71947F1BF411122C426C2A3 +:1011B0004AC02A8406C6AE843289EF105052784065 +:1011C000FD576314F700232204043844FD576314C9 +:1011D000F70023240404EF10D0521C5C99E74A86E0 +:1011E000A68513054402EF10D00CEF10504F3C447D +:1011F000635BF0025C5085CB9304440209A83C4435 +:10120000FD173CC43C446350F0025C5089CF2685F6 +:10121000EF1070156DD5EF1050353C44FD173CC4F0 +:101220003C44E344F0FEFD573CC4EF10904DEF10FA +:10123000104B7C40635BF0021C4885CB9304040197 +:1012400009A87C40FD177CC07C406350F0021C481C +:1012500089CF2685EF1030116DD5EF1010317C400D +:10126000FD177CC07C40E344F0FEFD577CC0224467 +:10127000B2409244024941016F10B0481DC17971DA +:101280004ECE52CC06D63E8A22D426D24AD056CA58 +:101290005AC85EC6A547BA8963F8E7007370043080 +:1012A00001A07370043001A02A8BAE843289B68B02 +:1012B000C28A6307082213050006EF2090372A84AC +:1012C000630B05200A09232855034A869305500A13 +:1012D0005685EF20505C03C704001C587119230A7F +:1012E000E40203C704003E991379C9FF79C783C795 +:1012F0001400A30AF40283C71400E1C383C72400C7 +:10130000230BF40283C72400CDCB83C73400A30B87 +:10131000F40283C73400D5C383C74400230CF4020E +:1013200083C74400D9CB83C75400A30CF40283C7FE +:101330005400C1C783C76400230DF40283C764004F +:10134000ADCF83C77400A30DF40283C77400B5C783 +:1013500083C78400230EF40283C78400B9CF83C7F8 +:101360009400A30EF40283C79400A1CB83C7A4000A +:10137000230FF40283C7A400A9C383C7B400A30F3B +:10138000F40283C7B40095CB83C7C4002300F404E0 +:1013900083C7C4009DC383C7D400A300F40483C7DC +:1013A000D40081CF83C7E4002301F40483C7E400A1 +:1013B00089C783C7F400A301F404930A4400568547 +:1013C000A30104042326340323283405232A040418 +:1013D000EFF02F9513058401EFF0AF94A947B38781 +:1013E0003741232C040400C81CCC40D02322040421 +:1013F000232E04045E86DA854A85EFF0AF8D08C09F +:1014000063040A0023208A007370043017F9010076 +:10141000130909158327090097F401009384041622 +:1014200091C798409C407C4385077CC317F7010017 +:101430001307C7131C43850797F6010023A8F6126C +:101440009C40C5CB8327090017FB0100130B4B1FE2 +:1014500089EB9C40DC5763E6F90097F7010023AF66 +:10146000871097F701009387A70E9C4317F7010099 +:101470001307470F48541843850797F6010023A91F +:10148000F60C3CC46376A70097F7010023ACA70CC9 +:10149000D145EF40706AD6855A95EFF0EF888327E3 +:1014A000090081C79C40FC43B5E38327090005443C +:1014B00099C79C40DC5763F43701EFE03FF5228584 +:1014C000B250225492540259F249624AD24A424BD3 +:1014D000B24B456182805685EF20D0277D54C5B739 +:1014E00013152600EF20F014AA8AE31605DC7D54BC +:1014F000F9B797F7010023A38708184385476301CD +:10150000F70217FB0100130BAB13A1BF98409440E7 +:101510007C43FD177CC3FC42C9FB7360043071B788 +:10152000014A17FB0100130BAB11A94B5285D145A2 +:10153000EF409060050A5A95EFE07FFDE3187AFFCF +:1015400097FB0100938B4B1C5E85EFE05FFC17FA65 +:101550000100130AAA1C5285EFE07FFB17F501007A +:101560001305051DEFE0BFFA17F501001305851DF2 +:10157000EFE0FFF917F501001305051EEFE03FF955 +:1015800097F7010023AA77FF97F7010023A447FFED +:10159000C9BD411122C406C626C24AC02A8473703E +:1015A000043097F401009384A4FB9C40F9E311E418 +:1015B00097F70100938787FC8043130944004A850D +:1015C000EFE01FFB1C5489C713058401EFE05FFAAD +:1015D000CA8517F501001305E516EFE0FFF497F74C +:1015E00001009387E7F8984397F70100938747F640 +:1015F0009C43050797F6010023ACE6F69840850763 +:1016000097F6010023A6F6F401CB97F70100938724 +:10161000E7F69843784349EF9C40B1C797F701003C +:101620009387C7F59843630F870873700430984019 +:1016300039EB17F70100130727F414439442A5E28E +:101640007D5797F6010023A3E6F0984009CF984311 +:10165000784311CB984394437C43FD177CC3FC42F1 +:1016600099E373600430B24022449244024941013C +:10167000828097F70100938767F098439C437C43EF +:1016800085077CC32DB794439843F8420507F8C2F9 +:1016900017F70100130747EE14439442D5D21843BD +:1016A00058475847584397F6010023A1E6EA71BF0F +:1016B000984394437C43FD177CC3FC42B1FF7360A5 +:1016C000043099BF97F70100938707E89C4381C7CF +:1016D0007370043001A02244B24092440249410197 +:1016E0006FE0DFD241C517F70100130727E9144364 +:1016F0008147638BA606411106C622C426C27370B9 +:10170000043097F601009386A6E59C4291C71043EA +:101710001C437C4285077CC29C42AA84404981C705 +:101720001C43FC43B9E797F701009387E7E49C4328 +:10173000638B870497F701009387C7E39C43638417 +:10174000870497F70100938727016309F40297F74D +:101750000100938727FF6301F4028547B2402244CA +:1017600092443E85410182803E85828073700430C0 +:1017700001A0EF40305045BF9147CDB79C5493B77F +:1017800017008907E1BF8947D1BF7370043097F70D +:1017900001009387E7DC984309EF15C59C43485542 +:1017A00081CB97F70100938767DD9843784315E372 +:1017B000828017F70100130767DC14431843F842CF +:1017C0000507F8C261FD17F70100130727DB08437F +:1017D000F1B7984394437C43FD177CC3FC42E9FB7B +:1017E000736004308280411122C42A8406C6EFE06F +:1017F000DFCD11E497F70100938747D88043405429 +:10180000EFE05FCCB2402285224441018280A547AF +:1018100063F5B7007370043001A0011122CC06CE2D +:1018200026CA4AC84EC652C42A847370043017F9B7 +:1018300001001309E9D283270900CDEF71C43C48A8 +:101840006388F50817F70100130747D363FCB70057 +:101850001443638C860C18434457B3B4950093C467 +:10186000140029A00443818C93B41400585463835A +:10187000E70A1C4C2CC863C60700A947B385B740CC +:101880000CCC93172700BA97584897F90100938911 +:1018900029DB8A07CE97631AF702130A44005285A0 +:1018A000EFE01FCD97F701009387C7CB48549C43C7 +:1018B00063F6A70097F7010023A6A7CAD145EF401A +:1018C000B027D2854E95EFE03FC699C0EFE01FB438 +:1018D0008327090081CB97F70100938727CA9C4390 +:1018E000FC439DEBF2406244D2444249B249224A51 +:1018F0000561828097F70100938747C898439C430E +:101900007C4385077CC305FC97F70100938707C7D5 +:10191000804335B74CD4B1BF6244F240D24442490F +:10192000B249224A05616F40F034814481B725C134 +:10193000011126CA97F40100938444C49C4006CE4A +:1019400022CC4AC84EC6638DA7027370043017F9C3 +:1019500001001309E9C08327090091C798409C4002 +:101960007C4385077CC3584997F701009387C7DEFE +:101970006302F7028327090081C79C40FC43A5EB63 +:10198000F2406244D2444249B24905618280737098 +:10199000043001A01C5517F70100130767D9E38B2A +:1019A000E7FCE9FB930945002A844E85EFE05FBC24 +:1019B00097F70100938707BB48549C4363F6A70041 +:1019C00097F7010023A0A7BAD145EF40F01697F78B +:1019D00001009387E7C63E95CE85EFE0FFB49C40BB +:1019E0005854DC57E368F7F8EFE05FA261B7624450 +:1019F000F240D2444249B24905616F40B02715CD4B +:101A0000011122CC26CA4EC62A8406CE4AC8EFE06F +:101A1000DFAB584897F70100938707D4AA89814420 +:101A20006300F7024E85EFE0FFA9F240624426858D +:101A30004249D244B249056182807370043001A0EA +:101A40001C5417F501001305A5CEE38DA7FCF9FB87 +:101A500097F70100938747AF9C43A9EB97F70100E5 +:101A60009387C7B19C43445413094400DC574A850B +:101A7000B3B4F400EFE0DFAF97F70100938787AED0 +:101A800048549C4393C4140063F6A70097F70100E1 +:101A900023AAA7ACD145EF40300A97F701009387FE +:101AA00027BACA853E95EFE03FA8ADBF93058401F4 +:101AB000EFE09FA785BF0111056506CE22CC26CA9F +:101AC0004AC84EC652C456C25AC0EF208036630E72 +:101AD000051AAA8413050006EF20A0352A84630A9C +:101AE000051C05669305500A04D92685EF20A05AE7 +:101AF00004588567F117BE94B7474C4593879744C0 +:101B0000130A44005CD85285230C0402A301040488 +:101B10002326040223280404232A0404EFE07FA0E0 +:101B200013058401EFE0FF9FA947232C0404F198DB +:101B300000C81CCC40D023220404232E04040146F8 +:101B4000971500009385E5B12685EFE0BF9808C0A2 +:101B50007370043017F90100130989A08327090065 +:101B600097F40100938484A191C798409C407C43E2 +:101B700085077CC317F701001307479F1C438507A0 +:101B800097F6010023A4F69E9C40C9C38327090051 +:101B900097F901009389C9AA81EB9C40DC5789E73A +:101BA00097F7010023AC879C97F701009387479A2A +:101BB000984397F601009386E69A5C549442050791 +:101BC00017F601002326E69838C463F6F60017F7E7 +:101BD00001002329F798139527003E950A05D28521 +:101BE0004E95EFE07F948327090081C79C40FC431A +:101BF000DDE78327090091C39C40EF10F04985473A +:101C00006305F50C59E17370043001A097F70100EA +:101C100023A687961843854797F90100938949A21F +:101C2000E314F7F8CE8A17FB0100130BEBAD5685D2 +:101C3000D10AEFE0DF8DE31C5BFF17F50100130510 +:101C4000A5ACEFE0DF8C97FA0100938A2AAD5685A8 +:101C5000EFE0FF8B17F50100130585ADEFE03F8B3B +:101C600017F50100130505AEEFE07F8A17F50100B7 +:101C7000130585AEEFE0BF8997F7010023AE678FAC +:101C800097F7010023A8578F05B7F2406244D2446A +:101C90004249B249224A924A024B056182809840E9 +:101CA00094407C43FD177CC3FC42A1F77360043071 +:101CB00089B76244F2404249B249224A924A024BF1 +:101CC0002685D24405616F20E02873700430FD57EB +:101CD00017F70100232CF786624497F7010023A130 +:101CE000A788F240D2444249B249224A924A024B62 +:101CF00097F7010023AA078605616FE08FDA7370FA +:101D0000043097F7010023AD07846FE0CFE717F7A2 +:101D10000100130767831C4385071CC3828073700F +:101D2000043097F701009387A783984305E317F7DB +:101D300001001307678308439C4381CB97F7010099 +:101D40009387C7839843784301EF828017F7010098 +:101D50001307C78214431843F8420507F8C2C1BFEE +:101D6000984394437C43FD177CC3FC42F9FF7360A6 +:101D700004308280411106C622C4EFE00FF597E7D8 +:101D800001009387677E8043EFE0CFF3B240228566 +:101D900022444101828097E701009387277D884391 +:101DA0008280797106D622D426D24AD04ECE52CC29 +:101DB00056CA5AC85EC697E701009387E7789C43E6 +:101DC000B1EF17E701001307277A1C43850797E651 +:101DD000010023ABF678832A0700638F0A0697E792 +:101DE00001009387A7769C43814463F1FA0897F931 +:101DF00001009389E98417EA0100130A2A788327EE +:101E00000A008546D85793172700BA978A07BE99C4 +:101E100083A7090063FFF600854421A897E7010026 +:101E20009387C7739C438144850717E701002327E5 +:101E3000F77297E70100938727729C4391C385440B +:101E4000B2502254268502599254F249624AD24A2B +:101E5000424BB24B4561828017E401001304C47108 +:101E60001C409C43DDC37370043001A017E40100E3 +:101E70001304847097E901009389897C17EA0100B3 +:101E8000130ACA6F17EB0100130BCB6D1C409C4368 +:101E9000B5C31C40DC4703A9C70083274900930B47 +:101EA00049005E8563EEFA04EFE08FEC8327890238 +:101EB0001305890199C3EFE0AFEB8327C90203271C +:101EC0000B00DE85139527003E950A054E95637637 +:101ED000F70017E701002327F768EFE0EFE4832717 +:101EE0000A000327C902DC57E362F7FA1C40854465 +:101EF0009C43C5F3FD5717E701002329F76401B799 +:101F000017E701002324F764DDBD17E7010013077D +:101F100067661C40184397E6010023AFE66417E7A5 +:101F200001002329F76497E701009387A7629C4388 +:101F3000850717E70100232FF7601C409C4399E7B2 +:101F4000FD5717E701002323F76051BD1C40DC4714 +:101F5000DC47DC4317E70100232AF75E49B597E722 +:101F600001009387675E984301E77370043001A016 +:101F7000011106CE22CC26CA4AC84EC652C456C249 +:101F80005AC07370043097E401009384645D9840F4 +:101F900061EB98437D1797E6010023A7E65A9C431F +:101FA000D9EB97E701009387675C9C43C9C797EA21 +:101FB0000100938AEA6817EA0100130AAA5A17E98E +:101FC00001001309A95B854919A83385EA00EFE0F0 +:101FD000AFD5832709005854DC576379F70483A7EA +:101FE0000A0FC1CF83A7CA0FC04713058401EFE0D2 +:101FF0002FD8130B44005A85EFE08FD75C5483260B +:102000000A00DA85139527003E950A052A8756951A +:10201000E3FDF6FA17E701002326F754EFE0CFD0EF +:10202000832709005854DC57E36BF7FA97E7010060 +:1020300023A437536DB701449C4081CB97E701003F +:102040009387C7539C43FC4395E72285F240624443 +:10205000D2444249B249224A924A024B05618280E7 +:1020600017E701001307875114431843F842050787 +:10207000F8C205B7EF400040C9BF17E401001304E0 +:10208000E44D1C4095C31C40054999CF193B09C537 +:1020900097E7010023A2274D1C40FD1717E7010019 +:1020A000232EF74A1C40FDF397E701009387C74AA8 +:1020B00098438547E311F7F8EFE04FB50544ADBF0E +:1020C00011CD81E57370043001A017E701001307FB +:1020D000A7471C4399C77370043001A07370043084 +:1020E00001A0411122C406C614431841850617E613 +:1020F0000100232BD64497E601009386E6469442DE +:102100003304B70000C163F7E602637FE40063FDB8 +:10211000860097E701009387674688431105EFE043 +:102120002FC52285EF40C02E1D3D01C9B24022447B +:1021300041018280E36FE4FCD9BF2244B2404101F7 +:102140006FE0CFAC11C917E701001307E73F1C434D +:1021500091C77370043001A06FE04FAB411106C608 +:1021600022C41843AA87050797E6010023AEE63C80 +:1021700097E601009386864017E701001307C73EE4 +:10218000184388423304F7001105EFE06FBE228543 +:10219000EF400028E93311E52244B24041016FE0ED +:1021A000EFA6B240224441018280411122C406C6FA +:1021B00097E70100938747399C430144850717E758 +:1021C00001002323F73897E701009387273A9C43C0 +:1021D00063E0F51217EE0100130E4E5297E2010074 +:1021E0009382424501440147854F1303500A21A0C1 +:1021F000311E638DC20B83278EFFBA86F5DB8327E2 +:10220000CEFFDC43232EFEFE638AC70F03AFC70059 +:10221000DC432A97814E232EFEFE6385C70783A8E1 +:10222000C70093874803232017015CC383A7880452 +:102230002326F7011CC783A7C8021CCB83A7080568 +:10224000232C07005CCB03A8080383470800639096 +:102250006704C287850783C60700B3850741E38B00 +:1022600066FE8981C205C181231EB700850E130752 +:10227000070263061F038327CEFFDC43232EFEFEE7 +:10228000E39FC7F983274E00232EFEFE49BF8145F9 +:10229000231EB700850E13070702E31E1FFD769469 +:1022A00013175400311EBA86E397C2F597E7010071 +:1022B0009387872C03AE070083270E00639D070ECC +:1022C00097E701009387072B03AE070083270E00D3 +:1022D0006392071817EE0100130E8E3683274E10F7 +:1022E0009DE383278E116395072019C223200600E2 +:1022F000BD312285B24022444101828083274E00B5 +:10230000232EFEFE21B783278E1017EF0100130F37 +:10231000EF43DC4317E701002328F7426380E733EC +:1023200083A2C700DC43AA96814E17E70100232D44 +:10233000F740914F1303500A6388E70783A8C7004B +:102340009387480323A01601DCC283A7880423A631 +:10235000F6019CC683A7C8029CCA83A7080523ACC4 +:102360000600DCCA03A80803834708006391672AB4 +:10237000C287850703C70700B3850741E30B67FEE4 +:1023800093D72500C207C183239EF600850E93864E +:1023900006026386122983278E10DC4317E70100AB +:1023A0002324F73AE39CE7F983270E1117E701008E +:1023B000232CF73861B783274E00130F8E00D443C8 +:1023C0002322DE006388E629B307E500D84283A212 +:1023D000C600814E2322EE00894F1303500A630684 +:1023E000EF068328C7001387480323A01701D8C32B +:1023F00003A7880423A6F70198C703A7C80298CBB0 +:1024000003A7080523AC0700D8CB03A8080303479C +:1024100008006318671C4287050783460700B30559 +:102420000741E38B66FE13D7250042074183239EB5 +:10243000E700850E93870702638D121B83264E00EB +:10244000D8422322EE00E31EEFF80327CE0023221A +:10245000EE0041BF83274E00130F8E00DC43232282 +:10246000FE006384E71F83A2C700DC43AA96814E67 +:102470002322FE00894F1303500A6306FF0683A838 +:10248000C7009387480323A01601DCC283A78804F2 +:1024900023A6F6019CC683A7C8029CCA83A7080589 +:1024A00023AC0600DCCA03A8080383470800639630 +:1024B0006710C287850703C70700B3850741E30B91 +:1024C00067FE93D72500C207C183239EF600850EC1 +:1024D00093860602638B120F83274E00DC43232270 +:1024E000FE00E31EFFF88327CE002322FE0041BF3B +:1024F0008327CE1197EE0100938E8E26DC4317E7DB +:102500000100232DF7246384D71383AFC700DC4376 +:102510003307D500014397E6010023A1F6240D4FB0 +:1025200089429308500A6387D707C8479307450332 +:1025300008C35CC33C452326E7011CC75C551CCB84 +:102540001C5599C3232657003C49232C07005CCB1C +:10255000032805038347080063951705C28785078D +:1025600083C60700B3850741E38B16FF93D7250089 +:10257000C207C183231EF700050313070702638AFE +:10258000AF028327CE11DC4397E6010023A8F61C97 +:10259000E39DD7F983274E1297E6010023A0F61C8E +:1025A00069B78147231EF700050313070702E39A63 +:1025B000AFFC1A94E31C06D225BB8147239EF6008C +:1025C000850E93860602E39912F117EE0100130EB1 +:1025D0002E0783274E10769493165400E38307D07A +:1025E0001DB30147239EE700850E93870702E397FB +:1025F00012E597E701009387E7F703AE07007694AB +:102600009316540083270E00E38607CCA1B58147BB +:10261000239EF600850E93860602E39E12D783273B +:102620008E11769493165400E38107CCD1B583279D +:102630004E1217E701002323F712C1BD83270E11A5 +:1026400017E701002322F710E1B98327CE002322E8 +:10265000FE0011BD8326CE002322DE00B5B37971C2 +:1026600026D24AD04ECE52CC56CA5AC85EC606D6DC +:1026700022D497E90100938929ED17E90100130994 +:1026800029FC17EA0100130AAAED97EA0100938AD0 +:10269000EAEE97EB0100938B6BED97E401009384D6 +:1026A00024ED054B21A883A70900032449108507C1 +:1026B00017E70100232AF7E85D3011E89C40E5F7B1 +:1026C00083270900E37CFBFEEFD05FD4C5BF7370A6 +:1026D000043083270A00B9E383270911C047130593 +:1026E0004400EFD0FFE883A70B00FD1717E70100B8 +:1026F000232EF7E69C40FD1717E70100232AF7E693 +:1027000083270A0089C783A70A00FC4385E308588A +:10271000EF1050042285EF10F0034DB703A70A0015 +:1027200083A70A007C4385077CC37DB703A70A0003 +:1027300083A60A007C43FD177CC3FC42E9FB73605F +:102740000430F1B797E70100938707E09C43DDE78A +:10275000411106C622C426C297E7010023AE07DE58 +:1027600017E70100130787E11C43B7A6A5A59386C9 +:10277000565A9C5B8C43639BD500D0436318B600CC +:1027800094476395C600DC476388D70008430C4331 +:1027900093854503EF20607317E70100130787DC7B +:1027A000144317E401001304A4E911A81C43A9CFA2 +:1027B0001C43FD1797E6010023A6F6DA144393970E +:1027C0002600B6978A07A2979C43EDD30843931738 +:1027D0002500AA978A073307F4005443A107A2975C +:1027E000C44244C36385F402DC44B240224417E788 +:1027F00001002325F7D8924441018280854717E7DD +:102800000100232BF7D482807370043001A0C440F0 +:10281000D145EF307032229544C1F9B701114AC851 +:1028200006CE22CC26CA4EC62A897370043097E49D +:1028300001009384E4D29C4017E40100130404D403 +:10284000D9E76314090003290400930949004E8560 +:10285000EFD01FD28327890289C713058901EFD0E2 +:102860003FD1CE8517E50100130505EFEFD0DFCB93 +:102870009C4081C71C40FC43ADE31C40638B270791 +:102880009C409DCF737004309C40C1EB97E70100E2 +:10289000938787CE9843184325EBFD5717E7010030 +:1028A0002326F7CA9C4089CF1C40FC4391CB18409B +:1028B00014407C43FD177CC3FC4299E373600430F1 +:1028C000F2406244D2444249B249056182801840D4 +:1028D0001C407C4385077CC3ADB7184014407C4343 +:1028E000FD177CC3FC42D1FB736004301C40E399AC +:1028F00027F99C40A1C397E701009387E7C49C4355 +:10290000ADCB7370043001A09C43DC47DC47DC4353 +:1029100017E70100232CF7C271B718401C407C4315 +:1029200085077CC397E70100938707C59843184341 +:102930002DD7D9BF97E70100938747C317E7010059 +:10294000130707D09C4303278711631DF700F2404C +:10295000624497E7010023A307C2D2444249B24927 +:10296000056182806244F240D2444249B249056125 +:10297000D1BB6244F240D2444249B24905616FD0B2 +:10298000FFA83DC9411126C297E40100938404BF0A +:102990004AC02E898C4006C622C4E105EFD05FBA3A +:1029A00088401105EFD0DFBCFD57630AF90497E7B3 +:1029B0000100938767BB804398409C434A9440C37F +:1029C000636CF40497E70100938707BB88438C404E +:1029D0009105EFD0FFB697E70100938727B79C4397 +:1029E0006376F40097E7010023A287B6B240224441 +:1029F00092440249410182807370043001A08C40EE +:102A00002244B2409244024917E501001305C5D49F +:102A1000910541016FD05FB197E70100938787B5BA +:102A2000884322448C40B2409244024991054101BE +:102A30006FD01FB111C997E701009387E7B09C439E +:102A400099E77370043001A07370043001A0411144 +:102A500026C297E40100938464B29840AE878C400C +:102A6000B7060080D58F06C622C41CCFE10532848C +:102A7000EFD09FAB88401105EFD09FAFFD57630E9D +:102A8000F40097E70100938727AE8843B240924451 +:102A90002295224441016F30B0178C402244B2404D +:102AA000924417E50100130525CB910541016FD034 +:102AB000BFA725CD411126C297E40100938404AC41 +:102AC0004AC02E898C4006C622C4E1053284EFD06C +:102AD000BFA588401105EFD0BFA98547630AF4045C +:102AE00097E70100938747A8804398409C434A9406 +:102AF00040C3636CF40497E701009387E7A788431A +:102B00008C409105EFD0DFA397E70100938707A4DE +:102B10009C436376F40097E7010023A987A2B240A3 +:102B2000224492440249410182807370043001A022 +:102B30008C402244B2409244024917E5010013053B +:102B4000A5C1910541016FD03F9E97E70100938792 +:102B500067A2884322448C40B240924402499105C6 +:102B600041016FD0FF9D411106C622C426C25C45BB +:102B7000C04735CC930484012685EFD07F9F97E72B +:102B800001009387679C9C43B5E793044400268526 +:102B9000EFD01F9E97E701009387C79C58549C4332 +:102BA00063F6E70097E7010023AEE79A9317270043 +:102BB000BA978A0717E50100130585A8A6853E95F3 +:102BC000EFD09F9697E701009387479B9C4358540B +:102BD0000145DC5763F8E700854717E70100232D1F +:102BE000F7960545B24022449244410182807370B9 +:102BF000043001A0A68517E50100130565B3EFD0E9 +:102C0000BF92C9B797E70100938707949C4381E778 +:102C10007370043001A0411106C622C426C2B70752 +:102C200000804045CD8F1CC12DC4EFD07F9493040C +:102C300044002685EFD0DF9397E7010093878792C2 +:102C400058549C4363F6E70097E7010023ACE790F4 +:102C500093172700BA978A0717E501001305459EC9 +:102C60003E95A685EFD05F8C97E70100938707918B +:102C70009C4358540145DC5763F8E700854717E744 +:102C80000100232BF78C0545B240224492444101B8 +:102C900082807370043001A011CD97E70100938703 +:102CA000678B984397E701009387078C9C4318C173 +:102CB0005CC182807370043001A055C1D1CD411137 +:102CC00006C622C42A877370043097E801009388EF +:102CD000288983A7080091CB97E701009387078A8B +:102CE00094439C43FC428507FCC217E30100130395 +:102CF000A3879C4103260300FD560144638FD7023E +:102D000017EE0100130E0E8503250E0003280700A1 +:102D100054436305A80005446371D6023308D640C6 +:102D20000544637CF80003250E00918F0326030001 +:102D3000B6979CC108C350C3014483A7080081CB48 +:102D400097E70100938787839C43FC4381EF2285AB +:102D5000B2402244410182807370043001A073703C +:102D6000043001A0EF300071DDB7854717D70100AF +:102D70002324F77E828019C16845828001458280C4 +:102D800011C16CC5828097D701009387277F884344 +:102D9000828097D701009387A77C9C43054589CB08 +:102DA00097D701009387477A8843133515000605A6 +:102DB000828031CD011126CA97D401009384047C0E +:102DC0009C4006CE22CC4AC84EC65855DC57637983 +:102DD000F7021C4D63C707009C40D457A947958F45 +:102DE0001CCD93172700BA97584917E9010013091A +:102DF00029858A07CA97630DF7009C40DC575CD58C +:102E0000F2406244D2444249B249056182808280E4 +:102E1000930945002A844E85EFD08FF59C4017D743 +:102E20000100130727741843DC575CD46376F7005E +:102E300017D701002328F772139527003E956244A7 +:102E40000A05F240D244CE854A95B249424905610D +:102E50006FD08FED19CD411106C622C426C297D777 +:102E600001009387A77180436307A4007370043047 +:102E700001A0014582807C4881E77370043001A085 +:102E800054543848FD177CC86383E60099C7014550 +:102E9000B24022449244410182809304440026853A +:102EA000EFD00FED284897D701009387A76B984381 +:102EB000A947898F48D41CCC6376A70097D7010017 +:102EC00023A2A76AD145EF30204797D70100938707 +:102ED00027773E95A685EFD02FE5054555BF737042 +:102EE000043097D701009387A7679C4391CB97D76E +:102EF00001009387A76898439C437C4385077CC364 +:102F0000828097D701009387A7659C438DC397D78D +:102F100001009387A7669843784311CB9843944365 +:102F20007C43FD177CC3FC4299E3736004308280CC +:102F300097D7010093878764904394439843A947A8 +:102F4000D456084E958F1CCF828097D70100938767 +:102F5000E762984309C79443F84A0507F8CA8843CB +:102F6000828079714AD006D622D426D24ECE2A89C2 +:102F70007370043097D401009384845E9C4017D40E +:102F800001001304A45FBDE31C40BC4F89E71C4053 +:102F90000547F8CFD1E19C4081C71C40FC43F1EBD1 +:102FA000737004309C408DEF1C40A84F11C5630422 +:102FB00009021C4023AC07041C4023AE07049C40BC +:102FC00081C71C40FC438DEBB250225492540259ED +:102FD000F2494561828018403C4FFD173CCFE9BF64 +:102FE00018401C407C4385077CC37DBF18401C40B3 +:102FF0007C4385077CC349BF184014407C43FD17C0 +:103000007CC3FC42F1F373600430B25022549254FA +:103010000259F2494561828008402EC61105EFD061 +:103020002FD5B245FD576380F50697D701009387EA +:10303000A75383A9070018409C43AE992322370168 +:1030400063ECF90497D701009387075388430C403A +:103050009105EFD0EFCE97D701009387274F9C4380 +:1030600063F6F90097D7010023A2374FEFD00FBACC +:103070001DB7184014407C43FD177CC3FC428DF300 +:103080007360043031BF0C4017D501001305C56CC7 +:103090009105EFD06FC9D9BF97D701009387874DAE +:1030A00088430C409105EFD0AFC9C9B779714AD0B8 +:1030B0004ECE06D622D426D252CC2E89B289737037 +:1030C000043097D401009384A4499C4017D4010094 +:1030D0001304C44AF9EF18408947784F630CF7008E +:1030E0001C401345F5FFB84F798DA8CF1C4005470C +:1030F000F8CFADE69C4081C71C40FC43F5E37370FC +:1031000004309C40B1E7638609001C40BC4F23A0FB +:10311000F900184085470145784F630BF7001C40C4 +:103120001349F9FF0545B84F3379E90023AC27056A +:103130001C4023AE07049C4081C71C40FC43C1E3F4 +:10314000B250225492540259F249624A4561828037 +:1031500018401C407C4385077CC375B7084036C6C1 +:103160001105EFD0EFC0B246FD576385F60897D73B +:1031700001009387673F03AA070018409C43369AD3 +:10318000232247016363FA0897D701009387C73E5C +:1031900088430C409105EFD0AFBA97D701009387D1 +:1031A000E73A9C436370FA0697D7010023A0473B98 +:1031B00091A818401C407C4385077CC329BF184058 +:1031C00014407C43FD177CC3FC42BDFB736004309C +:1031D000B250225492540259F249624A45618280A7 +:1031E000184014407C43FD177CC3FC4289FB73608C +:1031F000043031B70C4017D501001305E555910592 +:10320000EFD08FB2EFD08FA0F5B597D7010093879D +:10321000673688430C409105EFD08FB2E5B751C1B6 +:10322000411106C622C426C24AC07370043097D426 +:1032300001009384E4329C40B9EB99C23C4D9CC29E +:10324000B2878946704D74CD2E872A846386D7064F +:1032500063FCF6048D456387B70091456395B7001D +:103260006300D60C38CC8547630FF60405449C40B8 +:1032700081CB97D70100938767309C43FC43A9E338 +:103280002285B2402244924402494101828097D76C +:1032900001009387A72E98439C437C4385077CC3FA +:1032A00069BF7370043001A08546E39ED7FA3C4D98 +:1032B00033E7B70038CD45BF3C4D85073CCD65B7FA +:1032C000EF30401B75BF130944004A85EFD04FAA69 +:1032D00097D701009387072948549C4363F6A700BA +:1032E00097D7010023A0A728D145EF30E00497D756 +:1032F00001009387E7343E95CA85EFD0EFA21C54B6 +:1033000081C77370043001A097D701009387072706 +:103310009C435854DC57E3FBE7F4EFD02F8FB9B749 +:103320000144B1B739CD011122CC26CA4AC84EC6D4 +:1033300052C456C206CEBA89368AB2842E892A84ED +:10334000EFD0AF98AA8A63050A003C4C2320FA000C +:10335000784C89477CCC6389F40263F4970C8D46E2 +:103360006387D40091466396D400630AF70A232C3E +:1033700024058547631EF7061C5499CB73700430EF +:1033800001A07370043001A03C4C85073CCCD5B73C +:1033900097D701009387471B9C43ADEB93044400F0 +:1033A0002685EFD0EF9C97D701009387A71B485441 +:1033B0009C4363F6A70097D7010023A5A71AD14520 +:1033C000EF20907797D7010093878727A6853E95B2 +:1033D000EFD08F9597D701009387471A9C43585495 +:1033E000DC5763F7E70063850900854723A0F900F0 +:1033F00005445685EFD00F8D2285F2406244D244B9 +:103400004249B249224A924A056182809305840169 +:1034100017D501001305C531EFD00F9165BF0144E9 +:10342000C9BF8547E397F4F43C4C33E92701232CCB +:10343000240581B74DC1011122CC26CA2A844EC66B +:1034400006CE4AC8AE84EFD04F888947744C7CCCF6 +:103450003C4C0547AA8985073CCC6395E6061C547D +:1034600081C77370043001A097D701009387C70DFF +:103470009C43ADE7130944004A85EFD06F8F97D77F +:1034800001009387270E48549C4363F6A70097D703 +:10349000010023A9A70CD145EF20106A97D701009E +:1034A0009387071ACA853E95EFD00F8897D70100FA +:1034B0009387C70C9C435854DC5763F5E70099C0C9 +:1034C00085479CC06244F240D24442494E85B2498D +:1034D00005616FC03FFF7370043001A09305840144 +:1034E00017D501001305C524EFD00F84C1B7AA87F3 +:1034F00029C57370043017D70100130767061443FA +:103500009DE2F04F894601456315D60023AE0704BE +:1035100005451C4381CB97D7010093872706984325 +:10352000784315E3828097D6010093862605904262 +:1035300094427442850674C2E9B797D70100938715 +:10354000E7039C437DB7984394437C43FD177CC3BA +:10355000FC42E9FB7360043082805D71A6C2CAC080 +:1035600052DC56DA5AD85ED686C6A2C44EDE62D483 +:1035700066D26AD017D901001309490117DB01008F +:10358000130B0B0097D401009384C4FF97DA01005A +:10359000938A8AFF054AA54B832709009843630154 +:1035A0000712DC4783A90700EFE06FF6EFE02FF783 +:1035B00083270B002A8C6362F50A97D7010023A1A9 +:1035C000A7FC01466370351B8840B3858941EFD065 +:1035D000DFBDEFE0DF9863010512884081460146B8 +:1035E0002C00EFD0EFEB4DD9A247E3C807FEC2494C +:1035F00083A7490189C713854900EFC07FF7EFE032 +:103600000FF283270B002A8C636DF508A24717D7AA +:103610000100232787F7E3E2FBFCB317FA0013F757 +:103620000721631A071613F7770C6318071E93F721 +:103630000702C5D74E85EF00F01145B7DC47C047FC +:1036400083AC0700930944004E85EFC07FF25C50C5 +:10365000228582975C4C6384470B8327090098433B +:1036600071FF03A70A0097D6010023AB86F197D616 +:10367000010023ABF6F097D7010023A9E7F0EFE0B4 +:103680001F8EA1BFDC47C04703AD0700930C440069 +:103690006685EFC0FFED5C50228582975C4C6386A7 +:1036A000470983270900984371FF03A70A0097D6AB +:1036B000010023ABF6EC97D7010023A9E7ECB9B7DB +:1036C000EFE0EFE4EFE0AFE583270B002A8CE36641 +:1036D000F5F883A70A00884081499043B385894162 +:1036E00017D70100232E87E913361600EFD0FFAB62 +:1036F000EFE0FF86E31305EEEFC05FD1F9BD1C4C90 +:10370000CE85E69763E9FC049C4089CF02CA66CC6B +:1037100022CEEFF00FE88840814601464C08EFD0FA +:103720000F8805FD7370043001A01C4CE685EA97F4 +:10373000636CFD049C4089CF02CA6ACC22CEEFF0B4 +:103740004FE58840814601464C08EFD04F8531F95E +:103750007370043001A0032509005CC000C8EFC0ED +:103760003FDEE5BDEFE0AFFF83270900DC47C04740 +:10377000930C44006685EFC0BFDF5C4C638F47034A +:103780005C502285829791BD032509005CC000C86A +:10379000EFC01FDB39B7B24723ACF900A5CFE297E2 +:1037A00023A2F90023A8390193854900636FFC0423 +:1037B00003A50A00EFC0DFD80DB51C4C00C8338745 +:1037C000F90058C0636DEC02330C3C416363FC02AA +:1037D0009C4089CF02CA4ECC22CEEFF08FDB8840CE +:1037E000814601464C08EFC09FFB59F973700430C5 +:1037F00001A003A50A00E685EFC09FD451B70325B9 +:103800000900E685EFC0DFD3A5BF03250900EFC09F +:103810003FD3E1B37370043001A0B24783A689019E +:1038200023A839013387D70023A2E9006364EC049D +:10383000B307FC4063EBD70483A749024E85829708 +:1038400083A7C901E39B47D983A68901B247984062 +:10385000B69711CF02CA3ECC4ECEEFF08FD3884040 +:10386000814601464C08EFC09FF3E31805D67370FC +:10387000043001A06374FC00E370F7FC0325090029 +:1038800093854900EFC0DFCB89BB03A50A00938570 +:103890004900EFC0FFCA91B3411122C406C626C237 +:1038A0004AC017D401001304E4CDEFF04FE31C40ED +:1038B00095CBEFF00FE51C409DC38148014881473F +:1038C000094781461306004097D50100938505AF4F +:1038D000170500001305A5C8EFD05F9A39E973708A +:1038E000043001A017D90100130949E84A85EFC047 +:1038F0001FC297D401009384A4E82685EFC03FC17E +:103900000146B145114597D7010023A127C997D793 +:10391000010023AB97C6EFC07FDB17D7010023233D +:10392000A7C611CD97D501009385C5A8EFD0AFFCF0 +:1039300049B7B240224492440249410182807370E7 +:10394000043001A0A5C9797156CAAA8A1305C0021C +:1039500022D426D24AD04ECE52CC06D65AC85EC603 +:103960003A89B689328AAE84E9212A8405C9EFF002 +:103970000FD797D701009387E7C09C439DCFEFF007 +:103980004FD8130544002320540104CC232E4401B6 +:103990002320340323222403EFC0BFB82285B25072 +:1039A000225492540259F249624AD24A424BB24BD3 +:1039B000456182807370043001A097DB0100938B16 +:1039C000EBDA5E85EFC0BFB417DB0100130B4BDBF6 +:1039D0005A85EFC0DFB30146B145114597D70100C5 +:1039E00023A677BB97D7010023A067BBEFC01FCEEC +:1039F00017D701002328A7B801C997D501009385DF +:103A0000659BEFD04FEFA5BF7370043001A039C59F +:103A1000011122CC06CE26CA17D40100130484B6A5 +:103A2000832804002A88014563850802BA842EC2CF +:103A3000368732C442C6954663C5B602EFF06FB50D +:103A40008947630BF5020840814601464C00EFC0F0 +:103A50001FD5F2406244D244056182807370043005 +:103A600001A04C0081463A864685EFD08F88F2400F +:103A70006244D244056182800840814626864C001B +:103A8000EFC0FFD1F9B719C10841828073700430CB +:103A900001A001E57370043001A0411106C622C4E3 +:103AA0002A84EFF0CFC348483334A000EFF06FC54D +:103AB0002285B24022444101828001E573700430C6 +:103AC00001A0411122C42A8406C6EFF04FC1005064 +:103AD000EFF02FC3B240228522444101828001E5EC +:103AE0007370043001A0411122C426C22E84AA841E +:103AF00006C6EFF0CFBE80D02244B24092444101CE +:103B00006FF02FC04111714522C406C61D262A84BC +:103B100011C5232005001105EFC07F9F2285B2400B +:103B200022444101828001CDB70700FFED8F81C79C +:103B30007370043001A099E57370043001A07370B4 +:103B4000043001A0011122CC4AC84EC652C456C24C +:103B500006CE26CABA893689B28A2E842A8AEFF01E +:103B60004FA311E5638509007370043001A0EFE0F5 +:103B70000F9A83240A00B37794006316090295C74D +:103B800063870A009347F4FFE58F2320FA00EFE0F4 +:103B90000FBDF240624426854249D244B249224ACE +:103BA000924A05618280E30DF4FCE38209FEB3359D +:103BB0005001E20563050900B7070004DD8D4E865C +:103BC000C18D13054A00EFE0FFE6EFE04FB919E1C0 +:103BD000EFC0DF83EFF0CFB593176500AA8963D8F4 +:103BE0000700B7040001FD14B3F499005DB7EFF0CE +:103BF0000FAF83290A00B3773401631E090089CB14 +:103C000063880A009347F4FFB3F737012320FA00D3 +:103C1000EFF02FAFF9B7E31DF4FEDDB701C9B70729 +:103C200000FFED8F99C77370043001A073700430EA +:103C300001A0411122C42A8406C626C24AC02E8988 +:103C4000EFF0EFA904409345F9FFB3F795001CC0CE +:103C5000EFF02FABB2402244268502499244410145 +:103C60008280411122C42A8406C6EFC01F8600400C +:103C7000EFC05F85B240228522444101828009C99C +:103C8000370700FFB3F7E50099C77370043001A050 +:103C90007370043001A06F20A07FB70700FFF18F81 +:103CA00081C77370043001A001E67370043001A075 +:103CB000011122CC4AC84EC652C406CE26CA368945 +:103CC000AE892A8A3284EFF0CF8C21E163050900A6 +:103CD0007370043001A0EFE08F8383240A00CE8547 +:103CE0005285B3E49900613FB37794006307F4060B +:103CF00083240A00EFE0AFA6F240624426854249E1 +:103D0000D244B249224A05618280EFE04F80832489 +:103D10000A00CE855285B3E434019537B3F78400A9 +:103D2000638D8702E30609FCB70500054A86C18D4D +:103D300013054A00EFE01FD0EFE06FA219E1EFC0DA +:103D4000EFECEFF0EF9E93176500AA8463DF0700A6 +:103D5000B7070001FD17FD8C45B783270A00134400 +:103D6000F4FFE18F2320FA0071B7EFF04F9783241F +:103D70000A00B3779400639787009347F4FFE58FB9 +:103D80002320FA00EFF0EF97E1B7411122C406C6F5 +:103D90002A8426C2EFD0BFF75C4085C3084893044D +:103DA000C4006316950015A0084863009502B70586 +:103DB0000002EFE03FE55C40E5FB2285612A2244FA +:103DC000B240924441016FE08F997370043001A0BA +:103DD00009C9370700FFB3F7E50099C773700430CE +:103DE00001A07370043001A06F20806A01C9B70779 +:103DF00000FFED8F99C77370043001A07370043019 +:103E000001A0411122C426C206C62A84AE84EFF066 +:103E10000F8D184093C7F4FFF98F1CC02244B240A5 +:103E2000924441016FF0EF8D19C1084D8280014528 +:103E30008280411122C406C62A84EFD05FED97C765 +:103E400001009387E7749C43A1EBE56717D7010056 +:103E500013078794F11797C6010023A1E67417C7CB +:103E60000100232BF77217D701002329F79297C778 +:103E700001009387277217D70100232FF7908547FA +:103E800017C70100232E077017C7010023240770EE +:103E900017C70100232EF76E19E8EFE04F8CEF10E3 +:103EA000400201442285B240224441018280130530 +:103EB000840093773500C1EFE5671307F5FFE91735 +:103EC000E3EDE7FC97C601009386466D9C4229A06E +:103ED000984311C7BE86BA87D843E36BA7FE17C7BE +:103EE00001001307276BE38AE7FA984341461384DE +:103EF000870098C2D843B306A7406378D6023388B8 +:103F0000A7002322D800C8C38325480097C6010014 +:103F10009386C66811A0BA8698425043E36DB6FEF8 +:103F20002320E80023A00601D84397C70100938708 +:103F3000E7689C43998F17C701002321F768EFE0DA +:103F40000F8231DC2285B240224441018280719986 +:103F500011059DB729C9411122C406C62A84EFD094 +:103F60001FDB930584FF0326C4FF17C70100130757 +:103F7000E76211A03E871C43D443E3EDC6FE232C29 +:103F8000F4FE0CC397C701009387476398438327C8 +:103F9000C4FF2244B240BA9717C701002320F7623A +:103FA00041016FD0DFFB828097C7010093870761D3 +:103FB0008843828082803367B5000D8BAA871DE716 +:103FC0009308D6FFAA982A87AE866371150303A8C3 +:103FD000060011079106232E07FFE36A17FF934798 +:103FE000F5FFC697F19B9107BE95AA972A9663F3B2 +:103FF000C70A9386450013884700B3B6D70033B885 +:10400000050133E7F50093C61600134818000D8B21 +:10401000B3E6060113371700758F330EF64093860B +:10402000170029CF1337AE0031EB13532E002E8823 +:10403000BE860147832808000507110823A0160142 +:104040009106E36967FE1377CEFFBA95BA976302CC +:10405000EE0483C60500138717002380D700637A18 +:10406000C70283C6150013872700A380D700637299 +:10407000C70203C725002381E7008280B307F6400B +:10408000AE97850503C7F5FF8506238FE6FEE39A05 +:10409000F5FE82808280B367C5008D8BB308C500B2 +:1040A000E9C36371150FB307A0408D8B13873700E9 +:1040B000954693F5F50F130815003383A8407D1638 +:1040C0006373D70015476363E60CD5CF2300B500B3 +:1040D0000547638DE700A300B5000D4713082500D1 +:1040E0006396E700130835002301B5001396850099 +:1040F0004D8E13970501518F3303F340939685013D +:10410000D98E13562300AA97014794C30507910738 +:10411000E36DC7FE1377C3FFB307E8006307E30649 +:104120002380B70013871700637C1703A380B700B1 +:1041300013872700637617032381B700138737009F +:1041400063701703A381B70013874700637A1701D1 +:104150002382B7001387570063741701A382B70047 +:10416000828093F5F50F13978500D98D139705017C +:104170004D8FE37715FFAA87910723AEE7FEE3EDA6 +:1041800017FF828082802A8895B78280AA8749BFDC +:10419000834705002A8799C7050583470500EDFF7A +:1041A000198D82800145828005058347F5FF8505CD +:1041B00003C7F5FF91C7E389E7FE3385E7408280B7 +:1041C0008147E5BFAA87850503C7F5FF8507A38F4C +:1041D000E7FE75FB82808346050093070002639724 +:1041E000F600050583460500E38DF6FE938756FD30 +:1041F00093F7D70F85C7AA87814585CE01458507E7 +:10420000138606FD1317250083C607003A95060599 +:104210003295F5F699C13305A0408280938536FD2D +:104220008346150093B5150093071500E1FA014583 +:10423000D5B7014582809C412380A7009C4185071A +:104240009CC1828097C601009386C6359C42175751 +:1042500003001307275713861700BA972380A70078 +:1042600097C5010023A0C534A9476308F50093074B +:1042700000046305F6000145828075DE370610F004 +:1042800005078347F7FF2320F6F09C42FD1797C5EB +:10429000010023A9F530EDF7014582804D712322FD +:1042A000911423202115232A51132324811323261B +:1042B000111423248114232E3113232C411323287A +:1042C000611323267113232291132320A113232E7C +:1042D000B111AA842E8932C6130C5002A54A834715 +:1042E000090063808703B9CB804011A0A1CB2300D4 +:1042F000F40080400509050480C083470900E39766 +:1043000087FF0346190093081900C686130D0002A3 +:10431000FD597D5A0148130550059307D6FD93F7C3 +:10432000F70F138916006363F52A17C701001307F7 +:1043300067CD8A07BA979C43BA9782878320C114B6 +:104340000324811483244114032901148329C113F4 +:10435000032A8113832A4113032B0113832BC112D8 +:10436000032C8112832C4112032D0112832DC111C4 +:1043700071618280A14C02C4854763D50715B2479D +:104380008040938B770093F68BFF03AB060083A9E5 +:104390004600938786003EC6668681465A85CE854E +:1043A000EF1090292AC8814763853723930D410177 +:1043B000854B668681465A85CE85EF10204A814618 +:1043C00066862A8BAE89EF103027A24723A0AD0066 +:1043D00093861B00910D63843701B68BD9BFE37EB2 +:1043E0009BFF63DD4601A2872380A70180407D1AE1 +:1043F000930714009CC03E84E3C846FF93962B00AD +:104400001C08BE9631A8938777052300F4008040EE +:10441000FD1B93871B00050480C0F116E351F0ECEF +:104420009C42E3E2FAFE93870703C5B79C409306DC +:10443000000332472380D7009C4093064700C14CBD +:104440001386170090C013068007A380C700804022 +:1044500002C4BA87050480C003AB0700814936C691 +:1044600025BFB24783AC0700938B470063840C1CC5 +:10447000635F40199307D0026319FD1683C70C00D0 +:1044800085C363DC09189440850C7D1A2380F600EF +:104490008040050480C083C70C00E5F7635D4001E0 +:1044A0008040930700022300F40080407D1A050439 +:1044B00080C0E31A0AFE5EC61DB502C48547A94C3A +:1044C000E3CF07EBB24780409386470071B703C63E +:1044D0001600CA8699B59C40930650022380D700E7 +:1044E0008040050480C0E5BBC14C02C471B5B24731 +:1044F00003C61600CA8683A9070091073EC6E35E7D +:104500000AE04E8AFD5911BD03C61600130DD002F4 +:10451000CA8621B59347FAFFFD8703C61600337A92 +:10452000FA00CA86DDBB03C61600130D0003CA8657 +:10453000EDB383C51600930906FDCA86938705FD72 +:104540002E86E3EEFAFA93972900BE99850686092E +:10455000AE9983C50600938909FD938705FD2E86D4 +:10456000E3F3FAFE69BF32479C40144311073AC691 +:104570002380D7008040050480C095B3854763D36E +:10458000070BB24793867700E19A938786003EC671 +:1045900083A946009C4263DC090A94401306D002BA +:1045A000B307F0402380C6008040B336F000B30963 +:1045B0003041050480C03E8BB389D940A94C02C468 +:1045C000E1BB03C616000508CA8681BB9C4046892C +:1045D00021B7E37D9BDD8547814B8546E3C547E1F8 +:1045E00031BD97CC0100938CECDDCE856685EF0064 +:1045F000304F330AAA40635C400180402300A4018D +:1046000080407D1A050480C0E31A0AFE014A83C770 +:104610000C00E38207EAE3C809E6FD197D57E3943D +:10462000E9E6ADBDB247938647009C4336C693D9B1 +:10463000F74195B7635640019307D002E313FDFAA3 +:1046400097CC0100938C0CD8930780021DBD3E8B44 +:10465000A94C02C4804089B34D71232E3113B70990 +:1046600000409387F9FF2324811423229114232CE3 +:104670004113232A51132326111423202115232803 +:1046800061132326711323248113232291132320E2 +:10469000A113232EB1112A8A2EC417C401001304BA +:1046A00064F0975A0300938AEA1197C40100938437 +:1046B000E4AA3EC693055002294513080004B70634 +:1046C00010F083470A006386B704C1C71840050A83 +:1046D00013061700569797C8010023A5C8EC2300BE +:1046E000F7006382A706E31E06FD175703001307B2 +:1046F000670D05078347F7FF23A0F6F01C40FD1761 +:1047000017C601002320F6EAEDF783470A00E39E6F +:10471000B7FA83461A0013051A002A87930B000282 +:104720007D5B7D5C014893055005A5489387D6FDC8 +:1047300093F7F70F130A170063E7F5348A07A69774 +:104740009C43A6978287175703001307A70755F2C4 +:104750008DBF8320C11403248114832441140329B1 +:1047600001148329C113032A8113832A4113032BC4 +:104770000113832BC112032C8112832C4112032DB0 +:104780000112832DC11171618280A14C014D8547B9 +:1047900063DB0719A2479D07E19B83AD070003ABCD +:1047A0004700138787003AC4668681466E85DA859E +:1047B000EF1080682AC863066D35130941018549E9 +:1047C000668681466E85DA85EF10400966868146E9 +:1047D000AA8D2E8BEF1040662320A90085091109B0 +:1047E000E3106DFFE3FE9DFD13060004B70610F015 +:1047F00063D189031C4013871700D69797C5010022 +:1048000023A2E5DA23807701630CC7047D1CE3C390 +:1048100089FFB2476800A548B386F9008A061C08DC +:10482000BE96294813030004370610F0E384A6E877 +:104830009C429305000363F4F8009305700518404B +:10484000AE9793051700569717CE0100232CBED4C0 +:104850002300F7006380072B638C6526F116F9B7F8 +:1048600017570300130707F605078347F7FF23A031 +:10487000F6F01C40FD1797C5010023A5F5D2EDF712 +:104880007D1C71B71C40130600041387170097C5E1 +:10489000010023A9E5D0B386FA0093050003238025 +:1048A000B600630FC73C890797C6010023ACF6CE5C +:1048B0005697930680072300D70017570300130766 +:1048C00067F0B70610F0639EC70005078347F7FF40 +:1048D00023A0F6F01C40FD1717C601002324F6CCD8 +:1048E000EDF7A247C14C014D91072247014B832DA3 +:1048F00007003EC455BDA24783AC0700138947009B +:10490000638E0C3A635480219307D002639EFB2E82 +:1049100003C70C00BA856310072025AC8547A94C56 +:10492000014DE3C907E7A2479107C1B7A2478346F4 +:104930001700528703AB070091073EC4E3580CDE13 +:104940005A8C7D5BE5B383461700930BD0025287E8 +:10495000F1BB9347FCFFFD8783461700337CFC00C7 +:104960005287E9B383461700930B000352877DBB40 +:1049700003461700138B06FD5287930706FDB28688 +:10498000E3EEF8FA93172B00DA9705078607B2973C +:1049900003460700138B07FD930706FDB286E3F37A +:1049A000F8FE69BFA2451C4029469841938617002E +:1049B000D69717C501002327D5BE2380E7001389AA +:1049C00045006300C72A930700046387F6204AC4A2 +:1049D000D5B1854763DE0729A24713877700619B1E +:1049E000930787003EC4032B47001C43635A0B30D8 +:1049F0001840130600049306170097C5010023A36F +:104A0000D5BA56979305D0022300B7006387C62C0A +:104A1000B307F0403337F000330B6041BE8D330BEA +:104A2000EB40A94C014D49B3834617000508528756 +:104A3000F5B9834617005287D5B91C409306000488 +:104A40001387170017C60100232EE6B4D697130666 +:104A500050022380C700E31FD7C417570300130772 +:104A600067D6B70610F005078347F7FF23A0F6F0D7 +:104A70001C40FD1717C601002326F6B2EDF71DB93D +:104A8000C14C014D29B31C40930600042A8A1387A8 +:104A9000170017C601002327E6B0D6971306500269 +:104AA0002380C700E318D7C017570300130787D127 +:104AB000B70610F005078347F7FF23A0F6F01C4068 +:104AC000FD1717C60100232FF6ACEDF72A8ADDB6D5 +:104AD00017570300130707CF05078347F7FF232066 +:104AE000F6F01C40FD1797C5010023ADF5AAEDF7C0 +:104AF000F1162DBB175703001307C7CCF1FDF116B4 +:104B000035B3E3FC9DCB8549C5B1014C03C70C000F +:104B1000BA85E30E07EAFD58294513080004B706D5 +:104B200010F063570B0A1C4013861700D69717C363 +:104B300001002329C3A62380E7006384A50663043C +:104B40000609850C03C70C007D1CBA8579FBE35070 +:104B500080E91C409305000213060004B70610F01C +:104B600021A07D1CE3050CE613871700D697238050 +:104B7000B70017C501002327E5A2BA87E313C7FED4 +:104B800017570300130707C405078347F7FF23A040 +:104B9000F6F01C40FD1717C501002325F5A0EDF721 +:104BA000C9B7175703001307E7C141DE050783475D +:104BB000F7FF23A0F6F01C40FD1717C601002323C2 +:104BC000F69EEDF7BDBF175703001307A7BFF9BF48 +:104BD0007D1BE31A1BF5A5BF17570300130787BEFC +:104BE000B70610F005078347F7FF23A0F6F01C4037 +:104BF000FD1717C601002327F69AEDF74AC45DBCDE +:104C000097BC0100938C0C7CDA856685D12D330C22 +:104C1000AC40E35D80EF1C4013060004B70610F0C3 +:104C200021A07D1CE3030CEE13871700D697238089 +:104C3000770197C5010023A7E596BA87E313C7FE5E +:104C400017570300130707B805078347F7FF23A08B +:104C5000F6F01C40FD1797C5010023A5F594EDF76C +:104C6000C9B7175703001307E7B5BDFA4AC499B48B +:104C7000A247138747009C433AC413DBF741BDB3F7 +:104C800017570300130707B4B70610F0050783474B +:104C9000F7FF23A0F6F01C40FD1717C601002323E1 +:104CA000F690EDF7854717C70100232DF78E930780 +:104CB0008007175703002307F7B025B1635680011B +:104CC0009307D002E39EFBF297BC0100938C8C6F9C +:104CD00013078002930580023DBD9756030093861B +:104CE00066AE370610F0850603C7F6FF2320E6F010 +:104CF00018407D1797C5010023A6E58A6DF709BB0B +:104D0000BE8DA94C014D4DB4B70710F023A0A7F2FA +:104D100001A03971130341022ED29A8506CE32D4F6 +:104D200036D63AD83EDA42DC46DE1AC63532F24092 +:104D30000145216182805D711303810322D42AC65B +:104D400032DC2A841A86680006D6BEC236DEBAC0B5 +:104D5000C2C4C6C61ACEEFF06FD4B2472380070094 +:104D60003245B250018D225461618280B70710F044 +:104D700083A647F403A607F403A747F4E31AD7FE74 +:104D80008566938606FAB29633B6C600B305E6008A +:104D900023A4D7F423A6B7F48280B70710F003A7A3 +:104DA00047F403A607F483A647F4E31AD7FE856603 +:104DB000938606FAB29633B6C60023A4D7F4B30599 +:104DC000E60023A6B7F49307000873A0473082805B +:104DD000411122C406C697B701009387277D17B4F7 +:104DE00001001304647C98431C401306F07C930676 +:104DF00047069387470697B5010023A9D57A97B54B +:104E0000010023A3F57A6346D600B2402244410153 +:104E100082801307478997B7010023A9E7785121BA +:104E20008547631FF500184085679387F77601452E +:104E3000E3DDE7FCD13D2244B24041016FC03FECCD +:104E400017B5010013058558E9350945753D22441C +:104E5000B24041016FC0BFEA17B501001305855884 +:104E60006FF03FEB17B50100130545586FF07FEA6F +:104E7000411122C426C206C6856417B4010013047A +:104E80006457938784380100FD17F5FF2285EFF002 +:104E90005FE8C5BF81AE411106C6212105478147A4 +:104EA0006316E500B2403E854101828017B50100DE +:104EB0001305C551EFF0FFE5B24089473E8541013A +:104EC00082807370043001A082807370043001A06E +:104ED000797126D24AD04ECEB7342211B749230178 +:104EE00037B9BBAA22D406D62A8493844434938942 +:104EF00079561309B9AB85467D566C002285EFB013 +:104F00003FDA85476306F50017B701002326F76AE5 +:104F1000B24763879700854717B70100232EF768CC +:104F20002285EFC0CF8D85476306F50017B70100D6 +:104F30002324F768814601466C00228502C6EFB043 +:104F40003FD685476306F50017B701002326F766AD +:104F5000B24763879700854717B70100232EF76490 +:104F600085467D566C002285EFB09FD3854763064A +:104F7000F50017B701002321F764B24763873701B3 +:104F8000854717B701002329F7622285EFC02F87D5 +:104F900085476306F50017B70100232FF760014529 +:104FA000EFD0DF8785467D566C002285EFB05FCF5E +:104FB00085476306F50017B70100232FF75EB24758 +:104FC00063872701854717B701002327F75E01454F +:104FD000EFD0DF840DB7011126CA4AC8B7B4BBAA07 +:104FE0003749230122CC06CE2A84130979569384AB +:104FF000B4AB85467D566C002285EFB07FCA8547ED +:105000006306F50017B701002328F75AB2476387F4 +:105010002701854717B701002320F75A2285EFB0F3 +:105020001FFE85476306F50017B701002326F758D2 +:105030000145EFD0AFFE81467D566C002285EFB072 +:105040003FC685476306F50017B701002326F756CC +:10505000B24763879700854717B70100232EF7549F +:105060000145EFD0AFFB71B7011126CAB744230148 +:1050700022CC4AC806CE2A8417B9010013098953E5 +:105080009384745685467D566C002285EFB05FC1CF +:1050900085476306F50017B70100232FF750B24785 +:1050A000228563879700854717B701002326F750AD +:1050B000EFB0FFF485476306F50017B70100232D15 +:1050C000F74E832709000145850717B70100232301 +:1050D000F74EEFD0AFF47DB7397152D456D25AD0D3 +:1050E000B74A2301373B221137BABBAA22DC26DAA2 +:1050F0004AD84ED65ECE06DEAA84974B0300938B29 +:10510000AB74974903009389E973174903001309A6 +:10511000E973130B4B340544938A7A56130ABAABDE +:10512000814601466C0026855AC6EFB04FE76306FC +:10513000850097B7010023A187482685EFB03FEC93 +:1051400009C597B7010023A98746814601466C002F +:10515000268556C6EFB0AFE46306850097B7010019 +:1051600023AC8744814601466C00268502C6EFB019 +:105170003FB36306850097B7010023AF87422945F7 +:10518000EFC05FFC03A50B00EFC06FFA03A5090099 +:10519000EFC0EFF903250900EFC06FF9854601461E +:1051A0006C00268552C6EFB08FDF6306850097B787 +:1051B000010023A38740854601466C002685EFB099 +:1051C0003FAE09C597B7010023A8873E03A5090094 +:1051D000EFC0EFF503250900EFC06FF52945EFC0DB +:1051E0007FF63DBF411101469145154506C622C4D3 +:1051F000EFB0CFCD97B50100938505212A84EFB09C +:105200009FEFA2868148014881470147130600406D +:1052100097B501009385852017050000130505EC5F +:10522000EFC0CF85A2868148014897470300938746 +:10523000A76105471306004097B501009385851EB9 +:1052400017050000130585E2EFC04F83A286814851 +:105250000148974703009387E75E0947130600401C +:1052600097B501009385851C170500001305E5D649 +:10527000EFC0CF80A2862244B240814801489747C0 +:1052800003009387A75C0D471306004097B5010004 +:105290009385451A170500001305C5C341016FB07A +:1052A000FFFD97B701009387E73097B6010093861B +:1052B0002630984394426397E600054797B601006D +:1052C00023ACE62E17B701001307072F9C430843B2 +:1052D00017B70100232EF72C13351500828000002C +:1052E000B305B500930705006386B70003C7070041 +:1052F000631607003385A740678000009387170077 +:105300006FF09FFE130101FB23229104232C410324 +:105310002322910323261104232481042320210521 +:10532000232E3103232A51032328610323267103EB +:10533000232481032320A103232EB101930C050014 +:10534000138A05009304000063DE05003305A040C6 +:105350003337A000B305B040930C0500338AE54015 +:105360009304F0FF63DA06003306C040B337C00091 +:10537000B306D040B386F640930A06009389060030 +:1053800013840C0013090A006396062817BB01005A +:10539000130B4BF26370CA16B70701006372F61461 +:1053A0009307F00F63F4C70093098000B3573601E9 +:1053B000330BFB0083470B0013050002B387370153 +:1053C000B309F540638C0900B3153A01B3D7FC006B +:1053D000B31A360133E9B70033943C0113DB0A01F9 +:1053E00093050B0013050900EF00507C130A05001C +:1053F00093050B00939B0A0113050900EF009076BB +:1054000093DB0B019305050013850B00EF00D072B1 +:10541000131A0A0193570401B367FA0063FAA7004D +:10542000B387570163E6570163F4A700B3875701B9 +:105430003389A74093050B0013050900EF0010778F +:10544000130A050093050B0013050900EF00907186 +:105450001314040193050500131A0A0113850B00A8 +:1054600013540401EF00506D33648A00637AA40082 +:1054700033045401636654016374A400330454017B +:105480003304A4403354340193050000638A0400BC +:1054900033048040B3378000B305B040B385F54096 +:1054A000130504008320C1040324810483244104E0 +:1054B000032901048329C103032A8103832A4103A9 +:1054C000032B0103832BC102032C8102832C410295 +:1054D000032D0102832DC101130101056780000026 +:1054E000B707000193090001E362F6EC930980011C +:1054F0006FF0DFEB631A0600930500001305100040 +:10550000EF005066930A0500B707010063FAFA0E30 +:105510009307F00F63F4570193098000B3D73A0162 +:10552000330BFB0083470B001305000233095A417C +:10553000B3873701B309F540E38209EAB39A3A0128 +:10554000335BFA00B3153A01B3D7FC0093DB0A01D1 +:1055500033E9B70013050B0093850B00EF001065CE +:10556000130A050093850B00139C0A0113050B0019 +:10557000EF00505F135C0C019305050013050C0050 +:10558000EF00905B131A0A0193570901B367FA0001 +:1055900033943C0163FAA700B387570163E65701D0 +:1055A00063F4A700B3875701338BA74093850B00A3 +:1055B00013050B00EF00905F130A050093850B00A5 +:1055C00013050B00EF00105A9305050013050C009E +:1055D000EF00905693160901131A0A0193D606019B +:1055E000B366DA0063FAA600B386560163E6560195 +:1055F00063F4A600B38656013389A6406FF01FDE20 +:10560000B707000193090001E3EAFAF0930980016A +:105610006FF0DFF06376DA0093050A006FF01FE7A2 +:10562000B707010063FAF604930BF00F33B5DB0004 +:105630001315350033D7A60097B70100938787C7A6 +:10564000B387E70083CB070093050002B38BAB0061 +:10565000338B7541631C0B0263E4460163EACC00A3 +:105660003384CC40B306DA4033B98C003389264109 +:10567000930509006FF09FE1B707000113050001D2 +:10568000E3EAF6FA130580016FF0DFFAB3966601DC +:10569000335D7601336DDD00B35D7A01B3156A01C8 +:1056A00033DC7C0113540D01336CBC0013850D00F9 +:1056B00093050400B3196601EF00504F930A0500EB +:1056C0009305040013850D0033996C01931C0D01A3 +:1056D000EF00504993DC0C01130A05009305050007 +:1056E00013850C00EF005045939A0A0113570C01E3 +:1056F00033E7EA00930D0A00637EA7003307A70192 +:10570000930DFAFF6368A7016376A700930DEAFF84 +:105710003307A701330AA7409305040013050A00C5 +:10572000EF00D04893050400930A050013050A0012 +:10573000EF005043930505001304050013850C008A +:10574000EF00903F93150C01939A0A0193D5050140 +:10575000B3E5BA001307040063FEA500B385A501F5 +:105760001307F4FF63E8A50163F6A5001307E4FF40 +:10577000B385A501939D0D01B70C0100B3EDED00BC +:105780001384FCFFB3F78D0033F48900338AA540FE +:1057900013850700930504002326F10093DD0D0116 +:1057A000EF00903993050400930A050013850D005E +:1057B000EF00903813DC090193050C002324A100AD +:1057C00013850D00EF0050378327C10013040C0030 +:1057D00093050400130C050013850700EF00D03576 +:1057E0008326810013D70A013305D5003307A700AC +:1057F0006374D700330C9C01B70701009387F7FF50 +:10580000935507013377F70013170701B3F7FA0031 +:10581000B3858501B307F7006366BA00631EBA005B +:10582000637CF90033863741B3B7C700B385A54120 +:10583000B385F54093070600B307F9403339F90003 +:10584000B305BA40B385254133947501B3D76701D9 +:105850003364F400B3D565016FF05FC3130101FD3C +:1058600023229102232A510123261102232481029B +:1058700023202103232E3101232C41012328610100 +:105880002326710123248101232291012320A101D8 +:10589000930A050093840500639E063813040600EE +:1058A0009309050017B901001309C9A063F8C512CF +:1058B000B7070100138B05006378F6101307F00F8C +:1058C0003337C70013173700B357E6003309F90021 +:1058D000834609003387E60093060002B386E6405C +:1058E000638C0600B394D40033D7EA003314D60097 +:1058F000336B9700B399DA00935A040193850A0039 +:1059000013050B00EF00902A1309050093850A0088 +:10591000931B040113050B00EF00D02493DB0B0154 +:10592000930405009305050013850B00EF00D020BC +:105930001319090193D70901B367F900138A040009 +:1059400063FEA700B3878700138AF4FF63E887002C +:1059500063F6A700138AE4FFB3878700B384A740E8 +:1059600093850A0013850400EF00502413090500F5 +:1059700093850A0013850400EF00D01E9399090156 +:1059800093040500930505001319090113850B0005 +:1059900093D90901EF00501AB36939011386040045 +:1059A00063FCA900B30934011386F4FF63E68900A0 +:1059B00063F4A9001386E4FF13140A013364C400DE +:1059C000130A00006F000013B7070001130700015E +:1059D000E36CF6EE130780016FF01FEF138A0600E9 +:1059E000631A06009305000013051000EF009017DE +:1059F00013040500B7070100637EF4129307F00F4C +:105A000063F48700130A8000B35744013309F90097 +:105A1000034709009306000233074701B386E640B7 +:105A200063940612B3848440130A1000135B0401CC +:105A300093050B0013850400EF00501713090500B0 +:105A400093050B0013850400931B0401EF009011D4 +:105A500093DB0B01930405009305050013850B00F0 +:105A6000EF00900D1319090193D70901B367F900ED +:105A7000938A040063FEA700B3878700938AF4FF2C +:105A800063E8870063F6A700938AE4FFB387870083 +:105A9000B384A74093050B0013850400EF00101199 +:105AA0001309050093050B0013850400EF00900B0C +:105AB0009399090193040500930505001319090141 +:105AC00013850B0093D90901EF001007B369390161 +:105AD0001386040063FCA900B30934011386F4FFA4 +:105AE00063E6890063F4A9001386E4FF13940A01B6 +:105AF0003364C4001305040093050A008320C10227 +:105B00000324810283244102032901028329C10164 +:105B1000032A8101832A4101032B0101832BC10048 +:105B2000032C8100832C4100032D0100130101038C +:105B300067800000B7070001130A0001E366F4EC78 +:105B4000130A80016FF05FEC3314D40033DAE40001 +:105B5000B399DA0033D7EA00935A0401B394D4001E +:105B600093850A0013050A00336B9700EF001004B9 +:105B70001309050093850A0013050A00931B04010D +:105B8000EF00407E93DB0B019304050093050500B5 +:105B900013850B00EF00407A1319090113570B010D +:105BA0003367E900138A0400637EA7003307870088 +:105BB000138AF4FF636887006376A700138AE4FF03 +:105BC00033078700B304A74093850A0013850400B8 +:105BD000EF00C07D1309050093850A0013850400BA +:105BE000EF004078930405009305050013850B0032 +:105BF000EF00807413170B011357070113190901E4 +:105C0000B367E9001387040063FEA700B38787002A +:105C10001387F4FF63E8870063F6A7001387E4FFA8 +:105C2000B3878700131A0A01B384A740336AEA00D6 +:105C30006FF0DFDF63ECD51EB707010063F4F604F5 +:105C40001307F00FB335D7009395350033D7B6005F +:105C500097A7010093870766B387E70003C707008C +:105C6000130A00023307B700330AEA4063160A0238 +:105C700013041000E3E096E833B6CA00134416009C +:105C80006FF05FE7B707000193050001E3E0F6FC62 +:105C9000930580016FF09FFBB35CE600B39646016D +:105CA000B3ECDC0033D4E40093DB0C01B397440184 +:105CB00033D7EA0093850B0013050400336BF7001C +:105CC000B3194601EF00806E1309050093850B00A0 +:105CD00013050400139C0C01EF00C068135C0C0159 +:105CE000930405009305050013050C00EF00C06444 +:105CF0001319090113570B013367E90013840400DA +:105D0000637EA700330797011384F4FF636897014C +:105D10006376A7001384E4FF33079701B304A74019 +:105D200093850B0013850400EF00406813090500FC +:105D300093850B0013850400EF00C06293040500F7 +:105D40009305050013050C00EF00005F93170B018E +:105D50001319090193D70701B367F90013860400EB +:105D600063FEA700B38797011386F4FF63E89701EA +:105D700063F6A7001386E4FFB387970113140401A9 +:105D8000B70B01003364C4001389FBFF337D24018A +:105D900033F92901B384A7409305090013050D00C9 +:105DA000EF008059935C040193050900130B050073 +:105DB00013850C00EF00405893D90901130C05001E +:105DC0009385090013850C00EF00005713090500A7 +:105DD0009385090013050D00EF000056330585017A +:105DE00093570B013385A70063748501330979014B +:105DF00093570501B387270163E6F402E392F4BCED +:105E0000B70701009387F7FF3375F50013150501F8 +:105E1000337BFB0033964A0133056501130A00000A +:105E2000E37AA6CC1304F4FF6FF09FB9130A0000C5 +:105E3000130400006FF01FCC130101FB2324810425 +:105E400023229104232E31032322910323261104BC +:105E500023202105232C4103232A510323286103F6 +:105E600023267103232481032320A103232EB101C0 +:105E7000930C050093890500130405009384050025 +:105E8000639E062613090600138A060097AA0100DE +:105E9000938A4A4263F4C514B70701006376F61289 +:105EA0009307F00F63F4C700130A8000B35746014D +:105EB000B38AFA0003C70A0013050002330747013B +:105EC000330AE540630C0A00B395490133D7EC006F +:105ED00033194601B364B70033944C01935A090156 +:105EE00093850A0013850400EF00404C93090500D8 +:105EF00093850A00131B090113850400EF008046F7 +:105F0000135B0B019305050013050B00EF00C04266 +:105F10009399090193570401B3E7F90063FAA700C5 +:105F2000B387270163E6270163F4A700B38727013E +:105F3000B384A74093850A0013850400EF0000474F +:105F40009309050093850A0013850400EF00804142 +:105F500013140401930505009399090113050B001F +:105F600013540401EF00403D33E48900637AA40038 +:105F700033042401636624016374A4003304240100 +:105F80003304A44033554401930500008320C10429 +:105F90000324810483244104032901048329C103C8 +:105FA000032A8103832A4103032B0103832BC102AC +:105FB000032C8102832C4102032D0102832DC10198 +:105FC0001301010567800000B7070001130A0001F3 +:105FD000E36EF6EC130A80016FF05FED631A0600C2 +:105FE0009305000013051000EF00C03713090500EA +:105FF000B7070100637AF90E9307F00F63F42701E6 +:10600000130A8000B3574901B38AFA0003C70A0094 +:1060100013050002B384294133074701330AE540E1 +:10602000E30E0AEA33194901B3DAE900B3954901ED +:1060300033D7EC0093540901336BB70013850A0082 +:1060400093850400EF0080369309050093850400D2 +:10605000931B090113850A00EF00C03093DB0B018D +:106060009305050013850B00EF00002D939909019E +:1060700093570B01B3E7F90033944C0163FAA7007F +:10608000B387270163E6270163F4A700B3872701DD +:10609000B38AA7409385040013850A00EF000031FE +:1060A000930905009385040013850A00EF00802BF7 +:1060B0009305050013850B00EF00002893150B01D5 +:1060C0009399090193D50501B3E5B90063FAA500D9 +:1060D000B385250163E6250163F4A500B385250199 +:1060E000B384A5406FF09FDFB7070001130A0001DA +:1060F000E36AF9F0130A80016FF0DFF0E3E8D5E816 +:10610000B707010063FCF604930BF00F33B5DB0017 +:106110001315350033D7A60097A701009387871979 +:10612000B387E70083CB070093050002B38BAB0076 +:10613000338B7541631E0B0263E4360163EACC00C6 +:106140003384CC40B386D94033B58C00B384A640A9 +:1061500013050400938504006FF05FE3B7070001A7 +:1061600013050001E3E8F6FA130580016FF09FFACA +:10617000B3966601335D7601336DDD0033D479016A +:10618000B395690133DC7C0193540D01336CBC0081 +:106190001305040093850400B31A6601EF00002183 +:1061A000130A0500938504001305040033996C015C +:1061B000931C0D01EF00001B93DC0C011304050080 +:1061C0009305050013850C00EF000017131A0A0150 +:1061D00013570C013367EA00130A0400637EA7001B +:1061E0003307A701130AF4FF6368A7016376A700CA +:1061F000130AE4FF3307A701B309A74093850400FE +:1062000013850900EF00801A93850400130405002C +:1062100013850900EF0000159305050093040500A0 +:1062200013850C00EF00401193150C0113140401A9 +:1062300093D50501B365B4001387040063FEA50080 +:10624000B385A5011387F4FF63E8A50163F6A500F4 +:106250001387E4FFB385A501131A0A01B70C0100E7 +:10626000336AEA001384FCFFB3778A0033F48A00B0 +:10627000B384A54013850700930504002326F1008D +:10628000135A0A01EF00400B93090500930504001F +:1062900013050A00EF00400A13DC0A01930D050004 +:1062A00093050C0013050A00EF0000098327C100C5 +:1062B000130A050093050C0013850700EF00C007C3 +:1062C0003305B50113D709013307A7006374B7017C +:1062D000330A9A01B70701009387F7FF9355070127 +:1062E0003377F70013170701B3F7F900B3854501BA +:1062F000B307F70063E6B400639EB400637CF90063 +:1063000033865741B3B7C700B385A541B385F54080 +:1063100093070600B307F9403339F900B385B44059 +:10632000B385254133947501B3D767013365F40014 +:10633000B3D565016FF09FC5130605001305000076 +:1063400093F61500638406003305C50093D5150048 +:1063500013161600E39605FE6780000063400506ED +:1063600063C6050613860500930505001305F0FFB7 +:10637000630C060293061000637AB6006358C000EF +:106380001316160093961600E36AB6FE1305000076 +:1063900063E6C500B385C5403365D50093D61600C6 +:1063A00013561600E39606FE6780000093820000F5 +:1063B000EFF05FFB13850500678002003305A04006 +:1063C00063D80500B305B0406FF0DFF9B305B04006 +:1063D00093820000EFF01FF93305A04067800200B0 +:1063E0009382000063CA0500634C0500EFF09FF73D +:1063F0001385050067800200B305B040E35805FE31 +:106400003305A040EFF01FF63305B040678002006F +:1064100097A7010093878716944317A701001307D6 +:10642000A7141843C8C2637BE50017A70100130730 +:10643000671408438C4391056FA08F90411122C4CB +:1064400006C617A70100130727132A8408438C43A5 +:106450009105EFA0EF8E97A701009387270F9C432C +:106460006376F40097A7010023A2870EB24022446E +:106470004101828097A70100938747109843944376 +:106480007C43FD177CC3FC4299E373600430828037 +:10649000011122CC26CA2A84AE844AC84EC652C4F0 +:1064A00056C206CEEFB0BF861C4003290401B709CF +:1064B0000001B3E59700930AC4000CC08144FD19A4 +:1064C000370A00021840638C2A03032609004A8514 +:1064D000B3654701B376360193175600758F0329CC +:1064E000490063C4070001E7F1BFE39DE6FC931791 +:1064F000760063D30700D58CEFC0CFF0E1B793C42B +:10650000F4FFF98C04C0EFB09FA50840F24062444C +:10651000D2444249B249224A924A056182804111DD +:1065200006C622C4EFE01FCC17F7FFFF1307878AC8 +:10653000814605469305400617A50100130505ECA5 +:10654000EFD04FC019C92A84EFB06FFD2A860147EA +:10655000814685452285EFD08FCBEFB0CFD5B240B5 +:08656000224401454101828043 +:02000004800278 +:10000000CE44FEFFCC45FEFFD644FEFFCC45FEFFAE +:10001000CC45FEFFCC45FEFFCC45FEFFEE44FEFF87 +:10002000CC45FEFFCC45FEFF0845FEFF1445FEFF14 +:10003000CC45FEFF2645FEFF3245FEFF3245FEFF62 +:100040003245FEFF3245FEFF3245FEFF3245FEFFE0 +:100050003245FEFF3245FEFF3245FEFFCC45FEFF36 +:10006000CC45FEFFCC45FEFFCC45FEFFCC45FEFF58 +:10007000CC45FEFFCC45FEFFCC45FEFFCC45FEFF48 +:10008000CC45FEFFCC45FEFFCC45FEFFCC45FEFF38 +:10009000CC45FEFFCC45FEFFCC45FEFFCC45FEFF28 +:1000A000CC45FEFFCC45FEFFCC45FEFFCC45FEFF18 +:1000B000CC45FEFFCC45FEFFCC45FEFFCC45FEFF08 +:1000C000CC45FEFFCC45FEFFCC45FEFFCC45FEFFF8 +:1000D000CC45FEFFCC45FEFFCC45FEFFCC45FEFFE8 +:1000E000CC45FEFFCC45FEFFCC45FEFFCC45FEFFD8 +:1000F000CC45FEFFCC45FEFFCC45FEFFCC45FEFFC8 +:100100006645FEFF7C45FEFFCC45FEFFCC45FEFF6D +:10011000CC45FEFFCC45FEFFCC45FEFFCC45FEFFA7 +:10012000CC45FEFFC245FEFFCC45FEFFCC45FEFFA1 +:100130007443FEFF2C44FEFFCC45FEFFCC45FEFF82 +:100140006244FEFFCC45FEFFBA44FEFFCC45FEFFF5 +:10015000CC45FEFFE844FEFFDA48FEFF2E49FEFFD5 +:10016000E248FEFF2E49FEFF2E49FEFF2E49FEFF0C +:100170002E49FEFFD447FEFF2E49FEFF2E49FEFF0B +:10018000EE47FEFFFA47FEFF2E49FEFF0C48FEFF3A +:100190001848FEFF1848FEFF1848FEFF1848FEFFEB +:1001A0001848FEFF1848FEFF1848FEFF1848FEFFDB +:1001B0001848FEFF2E49FEFF2E49FEFF2E49FEFF86 +:1001C0002E49FEFF2E49FEFF2E49FEFF2E49FEFF5F +:1001D0002E49FEFF2E49FEFF2E49FEFF2E49FEFF4F +:1001E0002E49FEFF2E49FEFF2E49FEFF2E49FEFF3F +:1001F0002E49FEFF2E49FEFF2E49FEFF2E49FEFF2F +:100200002E49FEFF2E49FEFF2E49FEFF2E49FEFF1E +:100210002E49FEFF2E49FEFF2E49FEFF2E49FEFF0E +:100220002E49FEFF2E49FEFF2E49FEFF2E49FEFFFE +:100230002E49FEFF2E49FEFF2E49FEFF2E49FEFFEE +:100240002E49FEFF2E49FEFF2E49FEFF2E49FEFFDE +:100250002E49FEFF2E49FEFF4C48FEFF7A48FEFF66 +:100260002E49FEFF2E49FEFF2E49FEFF2E49FEFFBE +:100270002E49FEFF2E49FEFF2E49FEFFD048FEFF0D +:100280002E49FEFF2E49FEFF3246FEFF2C47FEFFA1 +:100290002E49FEFF2E49FEFF9E47FEFF2E49FEFF20 +:1002A000C447FEFF2E49FEFF2E49FEFF2849FEFFF0 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020515065656B207461736B73200D0A00AA +:1003E0006D69616F750A00006D69616F75320A0091 +:1003F00068756E6772790A00436865636B54696D4E +:1004000065720000515065656B5F546573745F5190 +:1004100075657565000000005065656B4C00000057 +:100420005065656B4D0000005065656B48310000FC +:080430005065656B48320000C5 +:100438001000000000000000017A5200017C010158 +:100448001B0D02005000000018000000B04EFEFF17 +:100458005805000000440E507489039406990B81D6 +:1004680001880292049305950796089709980A9AB5 +:100478000C9B0D036C010AC144C844C944D244D33F +:1004880044D444D544D644D744D844D944DA44DB88 +:10049800440E00440B0000004C0000006C000000FB +:1004A800B453FEFFDC05000000440E30708903954C +:1004B8000781018802920493059406960897099883 +:1004C8000A990B9A0C0370020AC144C844C944D261 +:1004D80044D344D444D544D644D744D844D944DA40 +:1004E800440E00440B00000050000000BC00000057 +:1004F8004059FEFF0005000000440E507488028930 +:10050800039305990B810192049406950796089721 +:1005180009980A9A0C9B0D0320010AC144C844C9D2 +:1005280044D244D344D444D544D644D744D844D9F7 +:0C05380044DA44DB440E00440B000000D9 +:0805B800FC8F010009000000A6 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/QPeek_rv32im_O3.hex b/VexRiscv/src/test/resources/freertos/QPeek_rv32im_O3.hex new file mode 100644 index 0000000..08d95e6 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/QPeek_rv32im_O3.hex @@ -0,0 +1,2198 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F306F00400365 +:100010001300000013000000130000001300000094 +:100020001300000013000000130000001300000084 +:100030001300000013000000130000001300000074 +:100040009702000093824211739052309300000097 +:10005000130100009301000013020000930200004E +:100060001303000093030000130400009304000036 +:10007000130500009305000013060000930600001E +:100080001307000093070000130800009308000006 +:100090001309000093090000130A0000930A0000EE +:1000A000130B0000930B0000130C0000930C0000D6 +:1000B000130D0000930D0000130E0000930E0000BE +:1000C000130F0000930F000097110200938141C3AA +:1000D0001705020013050547979503009385056FE3 +:1000E00013060000EF00001A170502001305053A79 +:1000F000970502009385854013060000EF008018E5 +:1001000017A503001305C56C97B503009385056C0F +:1001100037B6ABAB1306B6BAEF00C01617B1030083 +:100120001301016BEF80802E9392120093D2120084 +:100130009382D2FF638A02008322010013014100EF +:100140006F0000177300203083220100130141006B +:10015000730020301301C1FF23205100F32220340B +:10016000E3C402FC8322010013014100130141F8A2 +:1001700023221100232421002326310023284100BB +:10018000232A5100232C6100232E71002320810299 +:10019000232291022324A1022326B1022328C10293 +:1001A000232AD102232CE102232EF1022320010570 +:1001B0002322110523242105232631052328410567 +:1001C000232A5105232C6105232E71052320810745 +:1001D000232291072324A1072326B1072328C1073F +:1001E000232AD107232CE107232EF107732520347E +:1001F000F3251034130601006F000000731015344E +:1002000083204100032181008321C10003220101D9 +:1002100083224101032381018323C10103240102BD +:1002200083244102032581028325C10203260103A1 +:1002300083264103032781038327C1030328010485 +:1002400083284104032981048329C104032A010569 +:10025000832A4105032B8105832BC105032C01064D +:10026000832C4106032D8106832DC106032E010731 +:10027000832E4107032F8107832FC1071301C10775 +:10028000730020302320C5006376B50013054500B8 +:100290006FF05FFF678000000000000000000000BA +:1002A000000000000000000000000000000000004E +:1002B000130101F8232011002322210023243100FF +:1002C0002326410023285100232A6100232C71009A +:1002D000232E8100232091022322A1022324B10294 +:1002E0002326C1022328D102232AE102232CF10272 +:1002F000232E010323201105232221052324310568 +:100300002326410523285105232A6105232C710545 +:10031000232E8105232091072322A1072324B1073F +:100320002326C1072328D107232AE107232CF1071D +:100330009702020083A2C21823A02200F3221034E5 +:10034000232E5106EF00C03B170102000321411785 +:10035000032101008322C10773901234B7220000E9 +:100360009382028873A00230832001000322C1001F +:100370008322010103234101832381010324C1015D +:100380008324010203254102832581020326C10241 +:100390008326010303274103832781030328C10325 +:1003A000832801040329410483298104032AC10409 +:1003B000832A0105032B4105832B8105032CC105ED +:1003C000832C0106032D4106832D8106032EC106D1 +:1003D000832E0107032F4107832F81071301010893 +:1003E00073002030970202009382C21523A01200EE +:1003F00023A2220023A4320023A6420023A85200F5 +:1004000023AA620023AC720023AE820023A09202D2 +:1004100023A2A20223A4B20223A6C20223A8D202CC +:1004200023AAE20223ACF20223AE020323A01205A8 +:1004300023A2220523A4320523A6420523A85205A0 +:1004400023AA620523AC720523AE820523A092077E +:1004500023A2A20723A4B20723A6C20723A8D20778 +:1004600023AAE20723ACF207EF60D02617010200AF +:1004700003210105032101008322C1077390123477 +:10048000B72200009382028873A00230832001000B +:100490000322C1008322010103234101832381013F +:1004A0000324C10183240102032541028325810223 +:1004B0000326C10283260103032741038327810307 +:1004C0000328C103832801040329410483298104EB +:1004D000032AC104832A0105032B4105832B8105CF +:1004E000032CC105832C0106032D4106832D8106B3 +:1004F000032EC106832E0107032F4107832F810797 +:1005000013010108730020309702020093828203D6 +:1005100083A0020003A1420083A1820003A2C200C3 +:1005200083A2020103A3420183A3820103A4C201A7 +:1005300083A4020203A5420283A5820203A6C2028B +:1005400083A6020303A7420383A7820303A8C2036F +:1005500083A8020403A9420483A9820403AAC20453 +:1005600083AA020503AB420583AB820503ACC20537 +:1005700083AC020603AD420683AD820603AEC2061B +:1005800083AE020703AFC20983AF82076780000012 +:1005900073700430130101F823201100232221007D +:1005A000232431002326410023285100232A6100FF +:1005B000232C7100232E8100232091022322A102EB +:1005C0002324B1022326C1022328D102232AE102D7 +:1005D000232CF102232E01032320110523222105C0 +:1005E000232431052326410523285105232A6105AB +:1005F000232C7105232E8105232091072322A10797 +:100600002324B1072326C1072328D107232AE10782 +:10061000232CF1079702020083A282EA23A0220082 +:10062000232E1106EF30402817010200032141E973 +:10063000032101008322C10773901234B722000006 +:100640009382028873A00230832001000322C1003C +:100650008322010103234101832381010324C1017A +:100660008324010203254102832581020326C1025E +:100670008326010303274103832781030328C10342 +:10068000832801040329410483298104032AC10426 +:10069000832A0105032B4105832B8105032CC1050A +:1006A000832C0106032D4106832D8106032EC106EE +:1006B000832E0107032F4107832F810713010108B0 +:1006C00073002030737004306F00000073104530E9 +:1006D000678000007325403073F04330678000006E +:1006E00097070000938747FE232EB5FE2322C5FA05 +:1006F000232635F82320F5F8130505F86780000058 +:10070000130101FF23261100EF608079EF20805054 +:10071000631805008320C1001301010167800000F8 +:100720008320C100130101016F3000189307850079 +:100730001307F0FF2322F5002324E5002326F5000C +:100740002328F500232005006780000023280500EA +:10075000678000008327450023A2F50003A78700D8 +:1007600023A4E50003A787002322B70023A4B70032 +:1007700023A8A50083270500938717002320F500F1 +:100780006780000003A605009307F0FF13078500AC +:100790006316F6006F008003138707008327470066 +:1007A00083A60700E37AD6FE23A2F50023A4B700B0 +:1007B00023A4E5002322B70023A8A5008327050072 +:1007C000938717002320F5006780000003270501A9 +:1007D000832747006FF05FFD0327450083268500D0 +:1007E000832705012324D70003278500832645009E +:1007F0002322D70003A747006316A700032785001D +:1008000023A2E7002328050003A507001305F5FF31 +:1008100023A0A70067800000032605049387050036 +:10082000630406028325C50003274500B385C50080 +:100830002326B50063E6E500832505002326B500E1 +:10084000138507006F50004267800000130101FF0D +:100850002324810023261100130405002322910084 +:10086000EF30D03783278404635AF004832744028F +:1008700063860704930444026F0000028327840404 +:100880009387F7FF2324F404832784046358F0023A +:10089000832744026384070213850400EF3000635A +:1008A000E30E05FCEF30D00F832784049387F7FF16 +:1008B0002324F40483278404E34CF0FC9307F0FF23 +:1008C0002324F404EF30D034EF3050318327440434 +:1008D000635AF00483270401638607049304040128 +:1008E0006F000002832744049387F7FF2322F40458 +:1008F000832744046358F0028327040163840702BA +:1009000013850400EF30805CE30E05FCEF305009E6 +:10091000832744049387F7FF2322F40483274404A6 +:10092000E34CF0FC9307F0FF2322F404032481003E +:100930008320C10083244100130101016F30502D39 +:1009400063000508130101FF232481002322910085 +:10095000130405002326110093840500EF301028AE +:10096000832604048327C40303270400232C0402E2 +:10097000B387F6021306F0FF2322C4042324E40005 +:100980002324C404B386D740B307F7003307D70046 +:100990002322F4002326E400639804028327040141 +:1009A000639E0702EF30D0268320C100032481001C +:1009B000832441001305100013010101678000002A +:1009C000737004306F00000013050401EFF01FD6B0 +:1009D00013054402EFF09FD56FF0DFFC130504010F +:1009E000EF30C04E93071000E31EF5FAEFF05FBA48 +:1009F0006FF05FFB63160500737004306F0000003A +:100A0000130101FE232A910023282101232631010D +:100A1000232E1100232C8100930906001389050061 +:100A200093040500638E05083305B50213055505CB +:100A3000EF50C00213040500630C05089307450539 +:100A40002320F500232E940223202405EF301019D3 +:100A5000832604048327C403032704001306F0FF3E +:100A6000B387F602232C04022322C4042324E400C7 +:100A70002324C40413050401B386D740B307F70049 +:100A80003307D7002322F4002326E400EFF01FCA27 +:100A900013054402EFF09FC9EF3090172308340587 +:100AA000130504008320C101032481018324410133 +:100AB000032901018329C10013010102678000009D +:100AC00013054005EF4090791304050063160500F7 +:100AD000737004306F000000232084006FF09FF6D5 +:100AE00063160500737004306F0000006376B50074 +:100AF000737004306F000000130101FF2324810094 +:100B0000130620001384050093050000232611001E +:100B1000EFF05FEE630C0500232C85028320C100FB +:100B20000324810013010101678000007370043009 +:100B30006F000000130101FB23261104232481040C +:100B40002322910423202105232E3103232C41034A +:100B5000232A510323286103232671032326C1007E +:100B600063060528638C052693072000639CF60026 +:100B70000327C503930710006306F7007370043062 +:100B80006F0000001389060093840500130405001C +:100B9000EF300065631A05008327C10063860700F4 +:100BA000737004306F000000EF305003032784039C +:100BB0008327C403130B0000930B20009309F0FF5D +:100BC000130A0401930A44026364F710630479234F +:100BD0008327C1006382073663160B00130581016A +:100BE000EF308049EF30D002EF10D074EF30007F4B +:100BF0008327440463943701232204048327840455 +:100C00006394370123240404EF3090009305C1005E +:100C100013058101EF30404963160530EF30007C49 +:100C2000032784038327C4036304F710EF30407E57 +:100C3000EF30C07A832784046346F0026F0000031C +:100C400013850A00EF30802863040500EF3040551B +:100C5000832784049387F7FF2324F40483278404E1 +:100C60006356F00083274402E39C07FC23243405E9 +:100C7000EF30007AEF308076832744046346F00239 +:100C80006F00000313050A00EF30402463040500E1 +:100C9000EF300051832744049387F7FF2322F404A5 +:100CA000832744046356F00083270401E39C07FC78 +:100CB00023223405EF30C075EF20401B130B1000CA +:100CC000EF30C071032784038327C403E370F7F078 +:100CD0000326040463040612631A091E0325840014 +:100CE00093850400EF401078832784008326040452 +:100CF00003274400B387D7002324F40063E4E710FC +:100D00008327840383260400032744029387170064 +:100D10002324D400232CF40263000710130544029B +:100D2000EF30C01A930710006318F50E6F004014DF +:100D3000EF30006E8325C10013050A00EF20106C10 +:100D4000EF30C069832784046346F0026F0000031C +:100D500013850A00EF30801763040500EF3040442C +:100D6000832784049387F7FF2324F40483278404D0 +:100D70006356F00083274402E39C07FC23243405D8 +:100D8000EF300069EF308065832744046346F0024A +:100D90006F00000313050A00EF30401363040500E1 +:100DA000EF300040832744049387F7FF2322F404A5 +:100DB000832744046356F00083270401E39C07FC67 +:100DC00023223405EF30C064EF20400AE31805EE1B +:100DD000EFF00FFC130B10006FF09FEE832705045C +:100DE000E38407D8737004306F0000007370043020 +:100DF0006F00000003260404631006088327040024 +:100E0000638607048327840303274402938717001C +:100E1000232CF402E31407F0EF30805F1305100079 +:100E20008320C10403248104832441040329010491 +:100E30008329C103032A8103832A4103032B01036E +:100E4000832BC102130101056780000003254400C4 +:100E5000EF30C04B8327840303274402232204007E +:100E600093871700232CF402E31A07EAE30605FA36 +:100E7000EFF00FF26FF05FFA0325C40093850400D2 +:100E8000EF40505E832704040327C4008326040038 +:100E9000B307F0403307F7002326E400637ED7044E +:100EA00003274400B307F7002326F40013072000AC +:100EB000832744026304E90403278403130717000C +:100EC000232CE402E38A07F46FF05FE50325C400F6 +:100ED00093850400EF401059832704040327C400BE +:100EE00083260400B307F0403307F7002326E4000D +:100EF000E37ED7FA6FF0DFFA8327440203278403E7 +:100F0000E30C07FA032784031307F7FF232CE402FB +:100F10000327840313071700232CE402E38E07EE54 +:100F20006FF0DFDF13050400EFF05F92EF10107435 +:100F3000130500006FF0DFEEEF30804D1305000069 +:100F40006FF01FEE130101FF2322910093040500AF +:100F5000130540052324810023261100EF401030A3 +:100F600013040500630C0504232C05029307F0FF0E +:100F70002322F5041307100013050501232EE402B4 +:100F80002324F40423220400232004002324040047 +:100F9000232604002320040423089404EFF00FF90F +:100FA00013054402EFF08FF89306000013060000CB +:100FB0009305000013050400EFF0DFB713050400EC +:100FC0008320C10003248100832441001301010117 +:100FD0006780000063040504130101FF23248100DE +:100FE00023229100232611002320210103294500FB +:100FF00013040500EF30C01D930400006304A90230 +:101000008320C1000324810013850400032901000B +:1010100083244100130101016780000073700430D4 +:101020006F0000008327C400930410009387F7FF2C +:101030002326F400E39607FC930600001306000045 +:101040009305000013050400EFF0DFAE6FF05FFBC7 +:101050006302050A63880508130101FE232C810041 +:10106000232A91002328210123244101232E11004A +:1010700023263101930720009384060013090600FC +:10108000138A050013040500639CF6000327C503BB +:10109000930710006302F70A737004306F000000BA +:1010A000EFF04FE3032784038327C403930905006C +:1010B0006366F7049304000013850900EFF00FE165 +:1010C0008320C10103248101138504000329010148 +:1010D000832441018329C100032A810013010102F5 +:1010E0006780000083270504E38807F673700430E7 +:1010F0006F000000737004306F00000003260404CA +:10110000631E060C8327040063860712832784036B +:101110001307F0FF93871700232CF402832784041E +:101120006388E70E832784049304100093871700D5 +:101130002324F4046FF05FF8EFF0CFD90327840382 +:101140008327C403930905006360F706032604049C +:10115000E30A06FA0325C40093050A00EF40903025 +:10116000832704040327C40083260400B307F04048 +:101170003307F7002326E4006378D70003274400F1 +:10118000B307F7002326F40093072000E390F4F858 +:1011900083278403E38C07F6832784039387F7FF71 +:1011A000232CF4026FF09FF603260404E30C06F4EC +:1011B0000325C40093050A00EF40D02A83270404C6 +:1011C0000327C40083260400B307F0403307F70069 +:1011D0002326E400E364D7FA6FF09FFBE39C04F658 +:1011E0000325840093050A00EF40D027832784005D +:1011F0008326040403274400B387D7002324F40084 +:10120000E3E6E7F0832704002324F4006FF01FF0E7 +:101210008327440293041000E38007EA1305440285 +:10122000EF20D04AE30A05E8E30809E82320990003 +:101230006FF09FE803254400EF30400D23220400A7 +:101240006FF0DFEC6302050A83270504638607005D +:10125000737004306F00000083270500638A07085D +:10126000130101FE232C8100232A910013040500A1 +:101270002328210123263101232E11001389050083 +:10128000EFF04FC5032784038327C40393090500A8 +:10129000930400006376F702832784031307F0FFAB +:1012A00093871700232CF40283278404638AE704BE +:1012B0008327840493041000938717002324F404E5 +:1012C00013850900EFF08FC08320C1010324810141 +:1012D0001385040003290101832441018329C100EE +:1012E0001301010267800000737004306F0000007A +:1012F00083274500E38607F6737004306F00000013 +:101300008327440263960700930410006FF05FFB8D +:1013100013054402EF20903BE30805FEE30609FEB7 +:10132000930710002320F9006FF01FFE130101FC4A +:10133000232E1102232C8102232A91022328210328 +:101340002326310323244103232251032326C100F2 +:1013500063060528638C0526938A06009389050099 +:1013600013040500EF20D067631A05008327C1002E +:1013700063860700737004306F000000EF300006D2 +:10138000832784036394071C8327C10063800710AD +:1013900013058101EF20504E9304F0FF130944021E +:1013A000130A0401EF30C006EF10C078EF300003DD +:1013B000832744046394970023220404832784042E +:1013C0006394970023240404EF3080049305C10044 +:1013D00013058101EF20504D63100524EF3000000C +:1013E00083278403638A070AEF308002EF20107F8F +:1013F000832784046346F0026F000003130509008D +:10140000EF20D02C63040500EF209059832784043B +:101410009387F7FF2324F404832784046356F000A2 +:1014200083274402E39C07FC23249404EF20507E8E +:10143000EF20D07A832744046346F0026F00000354 +:1014400013050A00EF20902863040500EF20505593 +:10145000832744049387F7FF2322F404832744045B +:101460006356F00083270401E39C07FC23229404C5 +:10147000EF20107AEF10901FEF205076832784031F +:101480006396070C8327C100E39E07F0EF20507896 +:10149000130500006F00800FEF2090778327040072 +:1014A000638807108325C10013050900EF2000752C +:1014B000EF20D072832784046346F0026F0000039C +:1014C00013050900EF20902063040500EF20504D24 +:1014D000832784049387F7FF2324F4048327840459 +:1014E0006356F00083274402E39C07FC2324940402 +:1014F000EF201072EF20906E832744046346F002C1 +:101500006F00000313050A00EF20501C6304050060 +:10151000EF201049832744049387F7FF2322F40424 +:10152000832744046356F00083270401E39C07FCEF +:1015300023229404EF20D06DEF10501363040508AC +:10154000EF20D06983278403E38E07F29385090097 +:10155000130504008324C400EFF00FAC63940A0861 +:1015600083278403032704009387F7FF232CF402C7 +:1015700063160700EF2010712322A40083270401C3 +:1015800063920708EF20D068130510008320C10381 +:101590000324810383244103032901038329C10216 +:1015A000032A8102832A410213010104678000009B +:1015B000EF20D06203254400EF205045EF20506516 +:1015C0006FF05FEEEFE0DFFC6FF09FF7832705041D +:1015D000E38407D8737004306F0000007370043028 +:1015E0006F0000008327440223269400E38C07F851 +:1015F00013054402EF20900DE30605F8EFE05FF9D4 +:101600006FF05FF813050401EF20500C93071000F2 +:10161000E31AF5F66FF09FFE13050400EFF00FA339 +:10162000EF10D004130500006FF05FF663000506AD +:10163000130101FF2324810023202101232611000F +:10164000232291008324450013890500130405001B +:10165000EF2010386380A4049306000013060900ED +:101660009305000013050400EFF05FCC9307100012 +:10167000630CF5028320C100032481008324410010 +:101680000329010013010101678000007370043019 +:101690006F0000008327C400130510009387170014 +:1016A0002326F4006FF01FFD8327C40093871700E3 +:1016B0002326F4006FF01FFC630805066386050C03 +:1016C000130101FE232C8100232A910023282101EC +:1016D000232631012324410113040500232E110088 +:1016E0001309060093890500EFE0DFFE83278403DA +:1016F000130A050093040000639C070213050A0007 +:10170000EFE0DFFC8320C101032481011385040085 +:1017100003290101832441018329C100032A810097 +:101720001301010267800000737004306F00000035 +:1017300003260404630406028325C40083274400AF +:10174000B385C5002326B40063E6F50083250400B5 +:101750002326B40013850900EF40C050832784037B +:101760001307F0FF9387F7FF232CF4028327440429 +:101770006384E702832744049304100093871700CF +:101780002322F4046FF09FF783270504E38A07F20E +:10179000737004306F000000832704016396070014 +:1017A000930410006FF09FF513050401EF20007201 +:1017B000E30805FEE30609FE930710002320F90065 +:1017C0006FF01FFE6306050883270504638A05087A +:1017D00063840708130101FE232C8100232A910052 +:1017E000232821012324410113040500232E110085 +:1017F00023263101138A0500EFE0DFED8327840300 +:101800001309050093040000638E07008329C400B8 +:1018100093050A0013050400EFF00F8093041000F5 +:101820002326340113050900EFE05FEA8320C1019C +:10183000032481011385040003290101832441014C +:101840008329C100032A810013010102678000007F +:10185000737004306F000000737004306F0000007C +:10186000E38C07FE737004306F0000006316050000 +:10187000737004306F000000130101FF2324810006 +:101880001304050023261100EF20503503248403A0 +:10189000EF2010388320C1001305040003248100C9 +:1018A00013010101678000006316050073700430A6 +:1018B0006F000000130101FF232611002324810083 +:1018C00013040500EF209031832784030324C4030D +:1018D0003304F440EF20D033130504008320C1000B +:1018E00003248100130101016780000063060500E5 +:1018F0000325850367800000737004306F000000CB +:1019000063080504978703009387C7E703A7470089 +:101910006304E50403A7C7006302E50803A74701C2 +:10192000630AE50603A7C7016302E50603A74702AA +:10193000630AE50403A7C7026302E50403A747039C +:10194000630AE50203A7C7036302E5026F400029AB +:10195000737004306F000000130700001317370086 +:10196000B387E70023A007006F40402713077000EC +:101970006FF0DFFE130760006FF05FFE130750008B +:101980006FF0DFFD130740006FF05FFD13073000BD +:101990006FF0DFFC130720006FF05FFC13071000EF +:1019A0006FF0DFFB0325C504678000002326B50424 +:1019B0006780000003450505678000006308050097 +:1019C000032585031335150067800000737004300C +:1019D0006F000000630C0500832785030325C50302 +:1019E0003305F5401335150067800000737004302F +:1019F0006F000000978703009387C7D803A70700ED +:101A00006300070403A787006308070403A707010F +:101A10006308070403A787016308070403A70702F5 +:101A20006308070403A787026308070403A70703E3 +:101A3000630C070403A7870363040704678000009F +:101A40001307000013173700B387E70023A0B70080 +:101A500023A2A70067800000130710006FF09FFE0D +:101A6000130720006FF01FFE130730006FF09FFD7B +:101A7000130740006FF01FFD130750006FF09FFC2D +:101A8000130770006FF01FFC130760006FF09FFBDF +:101A900097870300938707CF03A747006300E504F8 +:101AA00003A7C7006306E50403A747016306E5042F +:101AB00003A7C7016306E50403A747026306E5041D +:101AC00003A7C7026306E50403A74703630AE50407 +:101AD00003A7C7036302A704678000001307000081 +:101AE00013173700B387E70023A0070067800000C3 +:101AF000130710006FF0DFFE130720006FF05FFE8A +:101B0000130730006FF0DFFD130740006FF05FFD3B +:101B1000130750006FF0DFFC130770006FF05FFCDD +:101B2000130760006FF0DFFB130101FF2324810026 +:101B300023229100232021011304050023261100F4 +:101B40009384050013090600EF205009032744047D +:101B50009307F0FF6314F70023220404032784048F +:101B60009307F0FF6314F70023240404EF20500AC6 +:101B700083278403639A07001306090093850400F2 +:101B800013054402EF20C023EF205005832784046F +:101B9000635AF004832744026386070493044402D3 +:101BA0006F000002832784049387F7FF2324F40443 +:101BB000832784046358F002832744026384070266 +:101BC00013850400EF208030E30E05FCEF20405D1C +:101BD000832784049387F7FF2324F4048327840452 +:101BE000E34CF0FC9307F0FF2324F404EF205002B1 +:101BF000EF20C07E83274404635AF0048327040146 +:101C000063860704930404016F00000283274404E1 +:101C10009387F7FF2322F404832744046358F002D8 +:101C2000832704016384070213850400EF20002A40 +:101C3000E30E05FCEF20C056832744049387F7FF8B +:101C40002322F40483274404E34CF0FC9307F0FFC1 +:101C50002322F404032481008320C1008324410053 +:101C600003290100130101016F20807A6304050438 +:101C7000130101FD232E3101232C410123261102E2 +:101C8000138A0700232481022322910223202103A7 +:101C9000232A510123286101232671012324810174 +:101CA000930790009309070063FAE700737004300C +:101CB0006F000000737004306F000000930A05008D +:101CC0009384050013090600938B0600130B08008C +:101CD0006304082C13050006EF3050581304050068 +:101CE0006304052A13192900232865031306090034 +:101CF0009305500A13050B00EF40C00803C704000A +:101D0000832704031309C9FF230AE40203C704005D +:101D1000338927011379C9FF6306070E83C71400AF +:101D2000A30AF40283C71400638E070C83C7240040 +:101D3000230BF40283C724006386070C83C7340097 +:101D4000A30BF40283C73400638E070A83C74400E1 +:101D5000230CF40283C744006386070A83C7540038 +:101D6000A30CF40283C75400638E070883C7640082 +:101D7000230DF40283C764006386070883C77400D9 +:101D8000A30DF40283C77400638E070683C7840023 +:101D9000230EF40283C784006386070683C794007A +:101DA000A30EF40283C79400638E070483C7A400C4 +:101DB000230FF40283C7A4006386070483C7B4001B +:101DC000A30FF40283C7B400638E070283C7C40065 +:101DD0002300F40483C7C4006386070283C7D400CA +:101DE000A300F40483C7D400638E070083C7E40014 +:101DF0002301F40483C7E4006386070083C7F4006B +:101E0000A301F404130B440013050B00A301040405 +:101E10002326340323283405232A0404EFE01F93E8 +:101E200013058401EFE09F929307A000B387374129 +:101E3000232C040423288400232CF400232284026E +:101E400023220404232E040413860B0093850A0026 +:101E500013050900EFE0DF882320A40063040A00D3 +:101E600023208A007370043017E901001309896385 +:101E70008327090097E4010093848464638C07003E +:101E800003A7040083A70400832747049387170050 +:101E90002322F70417E70100130787618327070050 +:101EA0009387170097E6010023A4F66083A7040038 +:101EB000638007108327090017EA0100130A4A709C +:101EC000639C070083A7040083A7C70263E6F900A9 +:101ED00097E7010023A6875E97E701009387875B5A +:101EE00003A7070097E601009386065C8327C402D8 +:101EF00083A606001307170017E60100232CE658F7 +:101F00002324E40463F6F60017E70100232EF758B4 +:101F100013074001B387E70293050B003305FA006E +:101F2000EFE05F83832709006388070083A704002D +:101F300083A74704639E0708832709001304100042 +:101F4000638A070083A7040083A7C70263F43701ED +:101F5000EFE00FE4130504008320C1020324810293 +:101F600083244102032901028329C101032A81013B +:101F7000832A4101032B0101832BC100032C810023 +:101F8000130101036780000013050B00EF301045BB +:101F90001304F0FF6FF01FFC13152600EF30102C18 +:101FA000130B0500E31805D21304F0FF6FF09FFA3E +:101FB00097E7010023A68750032707009307100027 +:101FC000630AF70217EA0100130A8A5F6FF0DFF075 +:101FD00003A7040083A60400832747049387F7FF21 +:101FE0002322F70483A74604E39807F473600430C0 +:101FF0006FF09FF4930A000017EA0100130A4A5C8D +:10200000130C4001930BA00033858A03938A1A00B6 +:102010003305AA00EFE08FF1E3987AFF97EB010018 +:10202000938B8B6613850B00EFE04FF097EA01006E +:10203000938ACA6613850A00EFE04FEF17E50100A7 +:1020400013050567EFE08FEE17E5010013058567BF +:10205000EFE0CFED17E5010013050568EFE00FEDA8 +:1020600097E7010023AC774597E7010023A6574582 +:102070006FF09FE6130101FF232481002326110046 +:1020800023229100232021011304050073700430E2 +:1020900097E401009384044183A70400639E071022 +:1020A0006318040097E701009387874103A40700A2 +:1020B0001309440013050900EFE00FF2832784029F +:1020C0006386070013058401EFE00FF19305090013 +:1020D00017E501001305055FEFE0CFE797E7010083 +:1020E0009387473D03A7070097E701009387873A47 +:1020F00083A707001307170097E6010023ACE63A11 +:1021000003A704009387170097E6010023A4F6387D +:10211000630C070097E701009387873A03A707003E +:1021200003274704631C070E83A70400638A07067E +:1021300097E701009387C73803A70700630287105A +:102140007370043003A704006318070817E7010041 +:102150001307C7368326070083A606006392060A84 +:102160001307F0FF97E6010023A4E63203A704005B +:102170006308070203A70700032747046302070257 +:1021800003A7070083A60700832747049387F7FF69 +:102190002322F70483A74604639407007360043086 +:1021A0008320C1000324810083244100032901000E +:1021B000130101016780000097E701009387473012 +:1021C00003A7070083A70700832747049387170007 +:1021D0002322F7046FF0DFEC83A6070003A70700B4 +:1021E00003A746041307170023A2E60417E701001C +:1021F0001307C72C8326070083A60600E38206F692 +:10220000032707000327C7000327C700032747004A +:1022100097E6010023AEE6266FF05FF503A70700FF +:1022200083A60700832747049387F7FF2322F70439 +:1022300083A74604E39A07EE736004306FF0DFEE85 +:1022400097E701009387872483A707006386070029 +:10225000737004306F000000032481008320C100EC +:102260008324410003290100130101016FE04FB2F3 +:102270006304050C17E70100130787248326070072 +:10228000930700006386A60A130101FF23261100AD +:1022900023248100232291007370043097E601000B +:1022A0009386462083A70600638C07000326070059 +:1022B0008327070083274604938717002322F60409 +:1022C00083A70600930405000324450163880700E3 +:1022D0008327070083A747046394070697E7010055 +:1022E0009387C71D83A70700638C870697E70100C4 +:1022F0009387871C83A707006384870697E70100FD +:102300009387873D6306F40497E701009387873B33 +:10231000630CF402930710008320C10003248100A2 +:102320008324410013850700130101016780000029 +:102330001385070067800000737004306F00000091 +:10234000EF50107D6FF09FF9930740006FF0DFFCB6 +:1023500083A7840293B71700938727006FF0DFFBF2 +:10236000930720006FF05FFB7370043097E7010064 +:102370009387471303A70700631607026304050446 +:1023800083A707000325C502638C070097E70100B8 +:102390009387071303A7070003274704631C07025B +:1023A0006780000017E701001307871183260700E5 +:1023B0000327070003A746041307170023A2E60418 +:1023C000E31005FC17E701001307870F032507003B +:1023D0006FF01FFB03A7070083A6070083274704AE +:1023E0009387F7FF2322F70483A74604E39A07FAAB +:1023F0007360043067800000130101FF2324810013 +:102400001304050023261100EFE0CFAC631804008D +:1024100097E701009387C70A03A407000324C402B7 +:10242000EFE0CFAA8320C10013050400032481003C +:1024300013010101678000009307900063F6B70065 +:10244000737004306F000000130101FE232C810023 +:10245000232E1100232A9100232821012326310154 +:1024600023244101130405007370043017E90100AF +:1024700013094903832709006392071063000412BC +:1024800083270405638EF50A17E701001307470346 +:1024900063F0B70283260700638E861203270700C6 +:1024A0008324C702B3B4950093C414006F000001E5 +:1024B00083240700B384844093B414000327C40228 +:1024C0006386E70E832784012328B40463C80700CA +:1024D0009307A000B385B740232CB40093172700BF +:1024E000B387E7000327440197E901009389490D69 +:1024F00093972700B387F9006310F704130A440089 +:1025000013050A00EFE04FAD17E701001307C7F905 +:102510008327C402032707006376F70017E701004B +:102520002324F7F813074001B387E70293050A0055 +:102530003385F900EFE00FA263840400EFE04F85DC +:1025400083270900638C070097E70100938747F70B +:1025500083A7070083A74704639E07048320C10164 +:102560000324810183244101032901018329C1003E +:10257000032A8100130101026780000097E7010030 +:10258000938707F403A7070083A70700832747045F +:10259000938717002322F704E31404EE97E7010062 +:1025A000938707F203A407006FF09FED2326B40280 +:1025B0006FF05FF1032481018320C1018324410175 +:1025C000032901018329C100032A810013010102AB +:1025D0006F501054930400006FF05FEE630A05081B +:1025E000130101FE232A910097E40100938444ED36 +:1025F00083A70400232E1100232C8100232821010E +:10260000232631016388A7047370043017E90100A1 +:10261000130949E983270900638C070003A7040015 +:1026200083A7040083274704938717002322F70416 +:102630000327450197E701009387070A630EF70216 +:10264000832709006388070083A7040083A7470442 +:10265000639E07088320C101032481018324410173 +:10266000032901018329C1001301010267800000D1 +:10267000737004306F0000008327850217E70100A4 +:1026800013070703E38EE7FAE39C07FA9309450073 +:102690001304050013850900EFE00F9417E701000C +:1026A000130787E08327C402032707006376F70038 +:1026B00017E70100232AF7DE13074001B387E7027B +:1026C00017E501001305C5EF938509003305F500F3 +:1026D000EFE04F8883A704000327C40283A7C70243 +:1026E000E360F7F6EFD0DFEA6FF09FF50324810196 +:1026F0008320C10183244101032901018329C100F1 +:10270000130101026F50D04063020506130101FE60 +:10271000232C8100232A9100232821011304050082 +:10272000232E110023263101EFD0DFFA03274401C5 +:1027300097E70100938747FA130905009304000007 +:10274000630AF70213050900EFD05FF88320C10187 +:10275000032481011385040003290101832441011D +:102760008329C100130101026780000073700430E7 +:102770006F0000008327840217E50100130545F36D +:10278000E382A7FCE39007FC97E70100938707D05B +:1027900083A707006394070697E70100938747D252 +:1027A00083A707008324C4029309440083A7C702B8 +:1027B00013850900B3B4F400EFE00F8217E70100BE +:1027C000130787CE8327C4020327070093C414008E +:1027D0006376F70017E701002328F7CC13074001C1 +:1027E000B387E70217E50100130585DD938509002E +:1027F0003305F500EFD01FF66FF0DFF49305840189 +:10280000EFD05FF56FF01FF4130101FE37150000E4 +:10281000232E1100232C8100232A9100232821013B +:102820002326310123244101232251012320610168 +:10283000EF30C0226308052293040500130500064B +:10284000EF30C0211304050063040526371600008D +:102850009305500A2328950213850400EF30805217 +:1028600083240403B71700009387C7FFB384F400E1 +:10287000B7474C4593879744130A4400232AF40230 +:1028800013050A00230C0402A301040423260402F6 +:1028900023280404232A0404EFD05FEB13058401EA +:1028A000EFD0DFEA9307A000232C040493F4C4FFC5 +:1028B00023288400232CF4002322840223220404EE +:1028C000232E040413060000971500009385C5EA23 +:1028D00013850400EFD0DFE02320A40073700430E0 +:1028E00017E90100130909BC8327090097E40100D7 +:1028F000938404BD638C070003A7040083A704002E +:1029000083274704938717002322F70417E7010062 +:10291000130707BA832707009387170097E601007C +:1029200023A8F6B883A704006388070A8327090051 +:1029300097E901009389C9C8639C070083A7040035 +:1029400083A7C7026396070097E7010023AA87B60B +:1029500097E70100938707B403A7070097E60100F4 +:10296000938686B48327C40283A606001307170044 +:1029700017E601002320E6B22324E40463F6F60000 +:1029800017E701002322F7B2139527003305F5005E +:102990001315250093050A003385A900EFD09FDBAE +:1029A000832709006388070083A7040083A74704DF +:1029B000639E070C832709006384070083A7040034 +:1029C000EF20500693071000630AF510631C0508FA +:1029D000737004306F00000097E7010023A287AEF8 +:1029E000032707009307100097E90100938949BD69 +:1029F000E310F7F6938A090017EB0100130BCBC81D +:102A000013850A00938A4A01EFD05FD2E31A5BFF75 +:102A100017E50100130545C7EFD05FD197EA010024 +:102A2000938ACAC713850A00EFD05FD017E501006B +:102A3000130505C8EFD09FCF17E50100130585C822 +:102A4000EFD0DFCE17E50100130505C9EFD01FCE8B +:102A500097E7010023A467A797E7010023AE57A5D6 +:102A60006FF01FEF8320C101032481018324410102 +:102A7000032901018329C100032A8100832A41001F +:102A8000032B0100130101026780000003A704006B +:102A900083A60400832747049387F7FF2322F704C4 +:102AA00083A74604E39807F0736004306FF09FF04B +:102AB000032481018320C101032901018329C1006D +:102AC000032A8100832A4100032B0100138504009F +:102AD00083244101130101026F30401073700430F0 +:102AE0009307F0FF17E701002324F79A03248101DD +:102AF00097E7010023A8A79A8320C10183244101FD +:102B0000032901018329C100032A8100832A41008E +:102B1000032B010097E7010023AA07981301010284 +:102B20006FD05F8C7370043097E7010023AC079679 +:102B30006FD09F9D17E70100130747958327070074 +:102B4000938717002320F70067800000737004301C +:102B500097E701009387079503A70700631807020B +:102B600017E70100130787940325070083A70700D1 +:102B7000638C070097E701009387879403A70700FA +:102B800003274704631407026780000017E701006A +:102B900013070793832607000327070003A74604AC +:102BA0001307170023A2E6046FF09FFB03A707009B +:102BB00083A60700832747049387F7FF2322F704A0 +:102BC00083A74604E39207FC73600430678000002B +:102BD000130101FF2326110023248100EFD09FAFB2 +:102BE00097E701009387878C03A40700EFD01FAEFF +:102BF0008320C10013050400032481001301010197 +:102C00006780000097E701009387878A03A5070084 +:102C100067800000130101FD232611022324810295 +:102C20002322910223202103232E3101232C410151 +:102C3000232A5101232861012326710197E701000E +:102C40009387C78483A70700639A070617E70100E5 +:102C50001307C785832707009387170097E60100AE +:102C600023A6F684832A0700638A0A0A97E70100ED +:102C70009387078283A707009304000063FEFA0A84 +:102C800097E901009389C99317EA0100130A4A835F +:102C900083270A009306100003A7C7029317270093 +:102CA000B387E70093972700B389F90083A709004A +:102CB00063F4F602930410006F00000297D701003E +:102CC0009387077E83A7070093040000938717006C +:102CD00017D701002326F77C97D701009387077C3D +:102CE00083A7070063840700930410008320C102B8 +:102CF0000324810213850400032901028324410275 +:102D00008329C101032A8101832A4101032B010187 +:102D1000832BC100130101036780000017D4010059 +:102D20001304C4798327040083A707006388070C72 +:102D3000737004306F00000017D40100130404788E +:102D400097E901009389C98717DA0100130A4A77C6 +:102D500017DB0100130B4B758327040083A70700C3 +:102D6000638007088327040083A7C70003A9C7005F +:102D700083274900930B490013850B0063EAFA0689 +:102D8000EFD09FA58327890213058901638407007B +:102D9000EFD09FA48327C90203270B0093850B0064 +:102DA000139527003305F500131525003385A90079 +:102DB0006376F70017D701002328F76EEFD09F99AD +:102DC00083270A000327C90283A7C702E366F7F82F +:102DD000832704009304100083A70700E39407F8F7 +:102DE0009307F0FF17D701002324F76A6FF05FEA1B +:102DF00017D70100232EF7686FF09FE917D701005E +:102E00001307876B832704000327070097D6010069 +:102E100023A6E66A17D701002320F76A97D7010097 +:102E20009387876783A707009387170017D7010049 +:102E30002324F7668327040083A70700639A07000B +:102E40009307F0FF17D701002324F7646FF01FE208 +:102E50008327040083A7C70083A7C70083A7470071 +:102E600017D701002326F7626FF05FE097D70100C4 +:102E70009387C76103A707006316070073700430C8 +:102E80006F000000130101FE232E1100232C81008E +:102E9000232A9100232821012326310123244101E3 +:102EA00023225101232061017370043097D4010063 +:102EB0009384445F03A704006310071203A707006D +:102EC0001307F7FF97D6010023A2E65C83A707004C +:102ED000639E070A97D701009387875D83A7070042 +:102EE0006386070A97DA0100938A8A6D17DA010070 +:102EF000130A8A5B17D901001309895C9309100032 +:102F00006F00C0013385EA00EFD0DF84832709001A +:102F10000327C40283A7C7026374F70683A70A0FB7 +:102F20006380070E83A7CA0F03A4C700130584019B +:102F3000EFD09F8A130B440013050B00EFD0DF89FD +:102F40008327C40283260A0093050B0013952700EC +:102F50003305F50013152500130705003385AA0076 +:102F6000E3F2F6FA17D701002320F754EFD08FFED3 +:102F7000832709000327C40283A7C702E360F7FA87 +:102F800097D7010023AC37516FF05FF913040000AD +:102F900083A70400638C070097D7010093874752EB +:102FA00083A7070083A74704639807041305040059 +:102FB0008320C101032481018324410103290101EC +:102FC0008329C100032A8100832A4100032B0100C9 +:102FD000130101026780000017D701001307474E55 +:102FE000832607000327070003A7460413071700DB +:102FF00023A2E6046FF09FECEF5080316FF01FFBCF +:1030000017D401001304C44983270400638C07020A +:10301000832704001309100063860702EFF09FBFA7 +:103020006306050097D7010023AA274783270400DA +:103030009387F7FF17D701002324F746832704005F +:10304000E39E07FC97D701009387474503A7070036 +:1030500093071000E31CF7F2EFD08FD31304100096 +:103060006FF01FF36304050263960500737004306C +:103070006F00000017D7010013074741832707009F +:10308000638A0700737004306F00000073700430AF +:103090006F000000130101FF23248100232611008B +:1030A00083260700032705009386160017D6010024 +:1030B000232ED63C97D601009386463F83A6060072 +:1030C0003304B7002320850063F0E6046372E40252 +:1030D00063F0860297D701009387873E03A5070018 +:1030E00013054500EFD04FEF13050400EF50C01952 +:1030F000EFF0DFD7630E05008320C10003248100B9 +:103100001301010167800000E366E4FC6FF05FFCDF +:10311000032481008320C100130101016FD04FC738 +:10312000630E050017D701001307473683270700F2 +:1031300063880700737004306F0000006FD04FC5C4 +:10314000130101FF23261100232481000327070018 +:10315000930705001307170097D6010023A8E6324E +:1031600097D601009386C63517D701001307073499 +:103170000327070003A506003304F70013054500E5 +:10318000EFD08FE513050400EF500010EFF01FCED5 +:10319000631A0500032481008320C100130101018B +:1031A0006FD00FBF8320C1000324810013010101F0 +:1031B00067800000130101FF2324810023261100F2 +:1031C00097D701009387872C83A70700130400007B +:1031D0009387170017D70100232AF72A97D70100F2 +:1031E0009387072D83A7070063EEF51417DE010010 +:1031F000130ECE4897D201009382C23B1304000005 +:1032000013070000930F10001303500A6F00C00053 +:10321000130ECEFE6384C20F83278EFF9306070032 +:10322000E38807FE8327CEFF83A74700232EFEFEF9 +:103230006386C71303AFC70083A747003307E500C2 +:10324000930E0000232EFEFE6380C70983A8C700EB +:1032500093874803232017012322F70083A78804BC +:103260002326F7012324F70083A7C8022328F700A9 +:1032700083A70805232C0700232AF70003A80803C7 +:103280008347080063986704930708009387170033 +:1032900083C60700B3850741E38A66FE93D5250000 +:1032A0009395050193D50501231EB700938E1E004B +:1032B00013070702630A1F038327CEFF83A7470074 +:1032C000232EFEFEE394C7F983274E00232EFEFE35 +:1032D0006FF0DFF793050000231EB700938E1E00EA +:1032E00013070702E31A1FFD3304D4011317540018 +:1032F000130ECEFE93060700E390C2F397D70100AA +:103300009387C71B03AE070083270E00639607123F +:1033100097D701009387471A03AE070083270E0053 +:10332000639A071C17DE0100130E8E2983274E10A7 +:10333000639C070283278E11639C072663040600A3 +:1033400023200600EFF09FB2130504008320C10084 +:1033500003248100130101016780000083274E00D0 +:10336000232EFEFE6FF01FED83278E1017DF010066 +:10337000130FCF3583A7470017D701002326F73453 +:103380006386E73D83A2C70083A74700B306D50045 +:10339000930E000017D701002328F732930F400047 +:1033A0001303500A6382E70983A8C7009387480381 +:1033B00023A0160123A2F60083A7880423A6F60102 +:1033C00023A4F60083A7C80223A8F60083A7080554 +:1033D00023AC060023AAF60003A8080383470800CD +:1033E000639A6732930708009387170003C70700A3 +:1033F000B3850741E30A67FE93D72500939707013A +:1034000093D70701239EF600938E1E009386060233 +:10341000638C123183278E1083A7470017D70100D2 +:103420002324F72AE392E7F983270E1117D7010027 +:10343000232CF7286FF05FF783274E00130F8E00C1 +:1034400083A647002322DE006380E633B307E5004E +:1034500003A7460083A2C600930E00002322EE00BD +:10346000930F20001303500A6300EF088328C7005E +:103470001387480323A0170123A2E70003A78804AA +:1034800023A6F70123A4E70003A7C80223A8E700A7 +:1034900003A7080523AC070023AAE70003A8080335 +:1034A00003470800631E672213070800130717006D +:1034B00083460700B3050741E38A66FE13D725005C +:1034C0001317070113570701239EE700938E1E0071 +:1034D000938707026380122383264E0003A74600CA +:1034E0002322EE00E314EFF80327CE002322EE00A0 +:1034F0006FF0DFF783274E00130F8E0083A747007E +:103500002322FE00638CE72583A2C70083A7470020 +:10351000B306D500930E00002322FE00930F200077 +:103520001303500A6300FF0883A8C700938748036A +:1035300023A0160123A2F60083A7880423A6F60180 +:1035400023A4F60083A7C80223A8F60083A70805D2 +:1035500023AC060023AAF60003A80803834708004B +:1035600063986714930708009387170003C7070041 +:10357000B3850741E30A67FE93D7250093970701B8 +:1035800093D70701239EF600938E1E0093860602B2 +:10359000638A121383274E0083A747002322FE006D +:1035A000E314FFF88327CE002322FE006FF0DFF73D +:1035B0008327CE1197DE0100938E8E1283A74700DA +:1035C00017D70100232CF710638AD71783AFC700E2 +:1035D00083A747003307D5001303000097D60100E7 +:1035E00023AEF60E130F3000930220009308500A0A +:1035F0006388D70903A5C700930745032320A700C5 +:103600002322F700832785042326E7012324F700DC +:103610008327C5022328F7008327850263840700D8 +:103620002326570083270505232C0700232AF700AC +:103630000328050383470800639C170593070800C8 +:103640009387170083C60700B3850741E38A16FFF7 +:1036500093D725009397070193D70701231EF700FF +:103660001303130013070702638EAF028327CE11E3 +:1036700083A7470097D6010023A2F606E39CD7F75D +:1036800083274E1297D6010023AAF6046FF09FF607 +:1036900093070000231EF70013031300130707020C +:1036A000E396AFFC33046400E31C06C86FF09FC9C7 +:1036B00093070000239EF600938E1E009386060259 +:1036C000E39A12ED17DE0100130E8EEF83274E10E2 +:1036D0003304D40193165400E38E07C46FF0DFC89F +:1036E00013070000239EE700938E1E0093870702B6 +:1036F000E39412DF97D70100938707DC03AE07003E +:103700003304D4019316540083270E00E38C07C0C2 +:103710006FF05FDE93070000239EF600938E1E007D +:1037200093860602E39812CF83278E113304D401C7 +:1037300093165400E38407C06FF09FE783274E126F +:1037400017D70100232CF7F86FF05FE883270E11DD +:1037500017D70100232AF7F66FF0DFC28327CE00C8 +:103760002322FE006FF05FDA8326CE002322DE00E4 +:103770006FF0DFCD130101FD2322910223202103ED +:10378000232E3101232C4101232A510123286101D9 +:1037900023267101232611022324810297D90100D7 +:1037A0009389C9CE17D90100130989E117DA0100FD +:1037B000130A4ACF97DA0100938A8AD097DB010077 +:1037C000938B0BCF97D401009384C4CE130B1000BE +:1037D0006F00000283A70900032449109387170094 +:1037E00017D701002324F7CAEFF04FE8631E040047 +:1037F00083A70400E39007FE83270900E37AFBFE1A +:10380000EFC01FD96FF0DFFE7370043083270A000A +:10381000639E07048327091103A4C700130544000E +:10382000EFC09FFB83A70B009387F7FF17D701001B +:103830002320F7C883A704009387F7FF17D7010059 +:10384000232AF7C683270A006388070083A70A0094 +:1038500083A747046398070203250403EF20003879 +:1038600013050400EF2080376FF09FF803A70A00CC +:1038700083A70A0083274704938717002322F704AE +:103880006FF05FF903A70A0083A60A0083274704A5 +:103890009387F7FF2322F70483A74604E39E07FAE2 +:1038A000736004306FF05FFB97D70100938707BE0A +:1038B00083A707006396070E130101FF232611005B +:1038C00097D7010023AC07BC17D70100130747BFE8 +:1038D00083270700B7A6A5A59386565A83A7070393 +:1038E00083A50700639ED50003A64700631AB600B0 +:1038F00083A687006396C60083A7C700638AD700A4 +:10390000032507008325070093854503EF304076A4 +:1039100097D60100938646B903A6060017D7010083 +:10392000130707CA6F00000283A706006382070817 +:1039300083A706009387F7FF17D601002326F6B664 +:1039400003A6060093172600B387C70093972700A6 +:10395000B307F70083A70700E38807FC03A6060068 +:1039600093172600B387C70093972700B305F70086 +:1039700083A6450093878700B307F70083A6460018 +:1039800023A2D500638AF60283A7C6008320C10064 +:1039900017D701002326F7B2130101016780000049 +:1039A0009307100017D70100232AF7AE67800000A5 +:1039B000737004306F000000930740013306F60275 +:1039C00083A646003307C7002322D7006FF0DFFB32 +:1039D000130101FE23282101232E1100232C810035 +:1039E000232A910023263101130905007370043046 +:1039F00097D40100938404AB83A7040017D401007B +:103A0000130404AC639C070C63140900032904002D +:103A10009309490013850900EFC01FDC8327890241 +:103A20006386070013058901EFC01FDB938509003A +:103A300017D50100130545CAEFC0DFD183A70400E5 +:103A4000638807008327040083A747046394070A59 +:103A5000832704006384270D83A70400638207067D +:103A60007370043083A704006398070E97D7010092 +:103A70009387C7A403A70700032707006310070C59 +:103A80009307F0FF17D701002324F7A083A70400B2 +:103A9000638807028327040083A747046382070221 +:103AA0000327040083260400832747049387F7FF36 +:103AB0002322F70483A7460463940700736004304D +:103AC0008320C101032481018324410103290101D1 +:103AD0008329C1001301010267800000032704004D +:103AE0008327040083274704938717002322F704C2 +:103AF0006FF09FF103270400832604008327470407 +:103B00009387F7FF2322F70483A74604E39207F481 +:103B10007360043083270400E39027F583A7040033 +:103B20006382070697D701009387479683A707000C +:103B30006388070A737004306F00000083A70700D2 +:103B400083A7C70083A7C70083A7470017D7010033 +:103B50002320F7946FF09FF30327040083270400CA +:103B600083274704938717002322F70497D7010080 +:103B70009387C79403A7070003270700E30207F012 +:103B80006FF0DFFB97D701009387879217D701006B +:103B9000130707A383A70700032787116314F702FE +:103BA0008320C1010324810197D7010023AA079034 +:103BB00083244101032901018329C100130101026A +:103BC00067800000032481018320C1018324410117 +:103BD000032901018329C100130101026FF0DFCC29 +:103BE000032481018320C1018324410103290101B0 +:103BF0008329C100130101026FC09F996306050A62 +:103C0000130101FF2322910097D401009384448B78 +:103C1000232021011389050083A504002326110018 +:103C20002324810093858501EFC0DFB503A504003F +:103C300013054500EFC05FBA9307F0FF630AF9066A +:103C400097D701009387878603A4070003A7040082 +:103C500083A707003304240123228700636EF40640 +:103C600097D701009387878503A5070083A50400E4 +:103C700093854500EFC01FB197D701009387478117 +:103C800083A707006376F40097D7010023A28780FB +:103C90008320C10003248100832441000329010003 +:103CA0001301010167800000737004306F00000091 +:103CB00083A50400032481008320C10083244100E4 +:103CC0000329010017D50100130505A193854500BF +:103CD000130101016FC01FA897C701009387C77D1B +:103CE00003A507000324810083A504008320C100ED +:103CF000832441000329010093854500130101013C +:103D00006FC05FA8630E050097C70100938707780F +:103D100083A70700639A0700737004306F000000E8 +:103D2000737004306F000000130101FF2322910023 +:103D300097C401009384C47803A704009387050007 +:103D400083A50400B7060080B3E7D700232611003F +:103D500023248100232CF70093858501130406009A +:103D6000EFC05F9F03A5040013054500EFC0DFA669 +:103D70009307F0FF6304F40297C70100938707736A +:103D800003A507008320C10083244100330585007B +:103D900003248100130101016F40004F83A504003B +:103DA000032481008320C1008324410017D5010032 +:103DB0001305859293854500130101016FC09F99FA +:103DC0006308050A130101FF2322910097C4010033 +:103DD0009384046F232021011389050083A5040027 +:103DE00023261100232481009385850113040600F6 +:103DF000EFC05F9603A5040013054500EFC0DF9DEB +:103E000093071000630AF40697C701009387076AB7 +:103E100003A4070003A7040083A7070033042401B9 +:103E200023228700636EF40697C701009387076912 +:103E300003A5070083A5040093854500EFC09F9468 +:103E400097C701009387C76483A707006376F400D0 +:103E500097C7010023AE87628320C100032481003D +:103E60008324410003290100130101016780000040 +:103E7000737004306F00000083A5040003248100E8 +:103E80008320C100832441000329010017D50100CC +:103E90001305858493854500130101016FC09F8B35 +:103EA00097C701009387476103A50700032481009A +:103EB00083A504008320C10083244100032901005D +:103EC00093854500130101016FC0DF8B130101FFD2 +:103ED0002326110023248100232291008327C5007B +:103EE00003A4C7006304040A930484011385040037 +:103EF000EFC09F8E97C701009387475983A707009C +:103F0000639A07089304440013850400EFC0DF8C14 +:103F100097C70100938747590327C40283A7070067 +:103F200063F6E70097C7010023A0E758931727001F +:103F3000B387E7009397270017C50100130545686D +:103F4000938504003305F500EFC0DF8097C70100BB +:103F50009387075783A707000327C40213050000B0 +:103F600083A7C70263FAE7009307100017C7010091 +:103F70002326F752130510008320C100032481007B +:103F80008324410013010101678000007370043035 +:103F90006F0000009385040017C5010013054571EB +:103FA000EFC04FFB6FF09FFA97C701009387074E52 +:103FB00083A7070063960700737004306F0000004A +:103FC000130101FF232611002324810023229100E5 +:103FD000B70700800324C500B3E7F5002320F500F0 +:103FE00063080408EFC04FFF9304440013850400E6 +:103FF000EFC08FFE97C701009387074B0327C402CA +:1040000083A7070063F6E70097C7010023AEE748E0 +:1040100093172700B387E7009397270017C5010080 +:104020001305055A3305F50093850400EFC08FF2A0 +:1040300097C701009387C74883A707000327C402D7 +:104040001305000083A7C70263FAE7009307100077 +:1040500017C701002324F744130510008320C10073 +:1040600003248100832441001301010167800000C3 +:10407000737004306F0000006304050297C70100ED +:104080009387874103A7070097C7010093870742DB +:1040900083A707002320E5002322F50067800000A6 +:1040A000737004306F0000006304050E638E050C0E +:1040B000130101FF232611002324810013070500AB +:1040C0007370043097C801009388C83D83A7080027 +:1040D0006380070297C701009387873E83A6070086 +:1040E00083A7070083A746049387170023A2F6043B +:1040F00017C301001303833B83A7050003260300B6 +:104100009306F0FF130400006388D70417CE010064 +:10411000130E8E3803250E00032807008326470060 +:104120006306A800130410006378D6023308D64053 +:10413000130410006372F80203250E00B387C74012 +:1041400003260300B387D70023A0F5002320A70090 +:104150002322C7001304000083A70800638C070014 +:1041600097C701009387C73583A7070083A7470434 +:1041700063940702130504008320C1000324810017 +:104180001301010167800000737004306F000000AC +:10419000737004306F000000EF4080176FF09FFDD8 +:1041A0009307100017C70100232AF72E678000002D +:1041B000630605000325C5046780000013050000A1 +:1041C00067800000630405002326B50467800000B3 +:1041D00097C701009387C72E03A5070067800000DB +:1041E00097C701009387072C83A7070013051000CA +:1041F000638C070097C701009387472903A5070031 +:10420000133515001315150067800000630C0508B1 +:10421000130101FE232A910097C401009384442ACC +:1042200083A70400232E1100232C810023282101C1 +:10423000232631010327C50283A7C7026376F7044B +:104240008327850163CC070083A7040083A6C702E8 +:104250009307A000B387D740232CF50093172700BE +:10426000B387E7000327450117C901001309493542 +:1042700093972700B307F9006308F70283A70400A8 +:1042800083A7C7022326F5028320C10103248101ED +:1042900083244101032901018329C1001301010283 +:1042A0006780000067800000930945001304050043 +:1042B00013850900EFC04FD283A7040017C7010080 +:1042C0001307871E0327070083A7C7022326F402CC +:1042D0006376F70017C701002328F71C1395270002 +:1042E0003305F50003248101131525008320C10146 +:1042F00083244101938509003305A9008329C10066 +:1043000003290101130101026FC0CFC46306050236 +:10431000130101FF23261100232481002322910091 +:1043200097C701009387C71903A40700630AA40075 +:10433000737004306F0000001305000067800000F8 +:104340008327440563960700737004306F000000F4 +:104350008326C402032704059387F7FF232AF40466 +:104360006384E600638E0700130500008320C1000C +:1043700003248100832441001301010167800000B0 +:104380009304440013850400EFC00FC58327040580 +:1043900017C7010013074711832607001307A00062 +:1043A0003307F7402326F402232CE40063F6F600DB +:1043B00017C70100232AF70E13074001B387E7024E +:1043C00017C501001305C51F938504003305F500CB +:1043D000EFC04FB8130510006FF05FF97370043031 +:1043E00097C701009387070C83A707006380070224 +:1043F00097C701009387C70C03A7070083A707008F +:1044000083274704938717002322F704678000005F +:1044100097C701009387070983A70700638C0702EA +:1044200097C701009387C70903A70700032747041D +:104430006302070203A7070083A607008327470438 +:104440009387F7FF2322F70483A7460463940700AA +:10445000736004306780000097C7010093874706A8 +:1044600003A6070083A6070003A707009307A00081 +:1044700083A6C60203258601B387D740232CF70005 +:104480006780000097C701009387870303A7070091 +:10449000630A070083A6070003A746051307170052 +:1044A00023AAE60403A5070067800000130101FDAD +:1044B0002320210323261102232481022322910297 +:1044C000232E3101130905007370043097C40100D5 +:1044D000938444FD83A7040017C40100130444FE21 +:1044E000639E070A8327040083A78705639A070052 +:1044F000832704001307100023AEE704639A050E18 +:1045000083A70400638807008327040083A7470468 +:10451000639A07147370043083A70400639407063A +:104520008327040003A587056308050063020904C7 +:104530008327040023AC07048327040023AE070469 +:1045400083A70400638807008327040083A7470428 +:10455000639207068320C10203248102832441025F +:10456000032901028329C1011301010367800000AF +:1045700003270400832787059387F7FF232CF7047D +:104580006FF09FFB03270400832704008327470461 +:10459000938717002322F7046FF09FF80327040086 +:1045A0008327040083274704938717002322F704F7 +:1045B0006FF05FF30327040083260400832747047A +:1045C0009387F7FF2322F70483A74604E39407F8B1 +:1045D000736004308320C1020324810283244102DA +:1045E000032901028329C10113010103678000002F +:1045F000032504002326B10013054500EFC0CF9D1D +:104600008325C1009307F0FF6380F50897C7010079 +:104610009387C7E983A907000327040083A707003E +:10462000B389B9002322370163ECF90697C701006B +:104630009387C7E803A507008325040093854500F9 +:10464000EFC04F9497C70100938787E483A70700C3 +:1046500063F6F90097C7010023AC37E3EFB05FF3CF +:104660006FF01FEA03270400832604008327470412 +:104670009387F7FF2322F70483A74604E39C07E808 +:10468000736004306FF01FE98325040017C5010033 +:104690001305850493854500EFC0CF8B6FF01FFC99 +:1046A00097C70100938747E103A50700832504000E +:1046B00093854500EFC00F8D6FF05FFA130101FD88 +:1046C00023202103232E31012326110223248102DA +:1046D00023229102232C410113890500930906002E +:1046E0007370043097C401009384C4DB83A7040073 +:1046F00017C401001304C4DC639C071403270400DF +:10470000930720000327C7056304F70283270400EB +:104710001345F5FF03A787053375E50023ACA70410 +:10472000832704001307100023AEE704639A060AE8 +:1047300083A70400638807008327040083A7470436 +:10474000639407167370043083A70400639E070602 +:10475000638809008327040083A7870523A0F90045 +:104760000327040093071000130500000327C70563 +:10477000630EF700832704001349F9FF13051000A7 +:1047800003A787053379E90023AC270583270400B5 +:1047900023AE070483A7040063880700832704006F +:1047A00083A747046392070C8320C102032481027C +:1047B00083244102032901028329C101032A8101C3 +:1047C000130101036780000003270400832704000E +:1047D00083274704938717002322F7046FF05FF7BE +:1047E000032504002326D10013054500EFB0DFFEAA +:1047F0008326C1009307F0FF638AF60C97C7010078 +:104800009387C7CA03AA07000327040083A70700EA +:10481000330ADA00232247016368FA0C97C70100C4 +:104820009387C7C903A50700832504009385450026 +:10483000EFB05FF597C70100938787C583A707008F +:104840006370FA0A97C7010023A447C56F004009A7 +:104850000327040083270400832747049387170056 +:104860002322F7046FF09FE9032704008326040046 +:10487000832747049387F7FF2322F70483A746047F +:10488000E39407F2736004308320C10203248102A1 +:1048900083244102032901028329C101032A8101E2 +:1048A000130101036780000003270400832604002E +:1048B000832747049387F7FF2322F70483A746043F +:1048C000E39207E8736004306FF0DFE783250400AC +:1048D00017C50100130545E093854500EFB09FE73C +:1048E000EFB01FCB6FF0DFE497C701009387C7BC21 +:1048F00003A507008325040093854500EFB09FE8DA +:104900006FF01FFE630A050C130101FF232611003F +:10491000232481002322910023202101737004307D +:1049200097C40100938404B883A704006396070822 +:10493000638606008327850523A0F60093070600FB +:10494000930620000326C505232ED50413870500F2 +:10495000130405006382D70A63F4F6089305300058 +:104960006388B700930540006396B700630CD610C8 +:10497000232CE40493071000630CF60813041000C2 +:1049800083A70400638C070097C70100938747B390 +:1049900083A7070083A7470463980706130504004D +:1049A0008320C100032481008324410003290100E6 +:1049B000130101016780000097C70100938747B08A +:1049C00003A7070083A707008327470493871700DF +:1049D0002322F7046FF0DFF5737004306F000000DE +:1049E00093061000E398D7F88327850533E7B700CF +:1049F000232CE5046FF01FF88327850593871700A4 +:104A0000232CF5046FF01FF7EF3090106FF01FF9B3 +:104A10001309440013050900EFB01FDC17C701009C +:104A2000130787A88327C402032707006376F700CC +:104A300017C70100232AF7A613074001B387E7022F +:104A400017C501001305C5B7930509003305F50027 +:104A5000EFB05FD083278402638607007370043051 +:104A60006F00000097C70100938787A583A7070001 +:104A70000327C40283A7C702E3F2E7F0EFB05FB1F8 +:104A80006FF0DFEF130400006FF09FEF630805087D +:104A9000130101FE232C8100232A910023282101E8 +:104AA000232631012324410123225101232E110009 +:104AB00093090700138A0600930406001389050072 +:104AC00013040500EFB01FC1930A050063060A0036 +:104AD000832784052320FA000327C40593072000B9 +:104AE000232EF4046380F40463FA971093063000D5 +:104AF0006388D400930640006396D400630CF70EDD +:104B0000232C2405930710006312F70A83278402DD +:104B100063820702737004306F000000737004300A +:104B20006F0000008327840593871700232CF4046B +:104B30006FF05FFD97C701009387479583A7070034 +:104B40006390070A9304440013850400EFB0DFC8A4 +:104B500017C70100130747958327C40203270700DF +:104B60006376F70017C701002320F794130740016D +:104B7000B387E70217C50100130585A493850400D8 +:104B80003305F500EFB01FBD97C70100938747932A +:104B900083A707000327C40283A7C70263F8E700BF +:104BA000638609009307100023A0F9001304100086 +:104BB00013850A00EFB09FB1130504008320C101E3 +:104BC0000324810183244101032901018329C100B8 +:104BD000032A8100832A410013010102678000003B +:104BE0009305840117C50100130585ACEFB09FB68E +:104BF0006FF09FF9130400006FF09FFB9307100004 +:104C0000E392F4F08327840533E92701232C24055C +:104C10006FF05FEF630A050E130101FE232C810084 +:104C2000232A91001304050023282101232E1100BB +:104C30002326310193840500EFB0DFA993072000FC +:104C40008326C405232EF40483278405130710004C +:104C50001309050093871700232CF4046396E608D4 +:104C60008327840263860700737004306F0000009E +:104C700097C701009387878183A70700639A070876 +:104C80009309440013850900EFB01FB517C7010051 +:104C9000130787818327C402032707006376F70081 +:104CA00017C701002322F78013074001B387E702EB +:104CB00017C501001305C590938509003305F5005C +:104CC000EFB05FA997B701009387877F83A707009D +:104CD0000327C40283A7C70263F8E70063860400C2 +:104CE0009307100023A0F400032481018320C10155 +:104CF000832441018329C10013050900032901010F +:104D0000130101026FB09F9C737004306F000000AC +:104D10009305840117C5010013058599EFB09FA382 +:104D20006FF05FFA9307050063080506737004309F +:104D300017B701001307077783260700639E060253 +:104D400003A6C70593062000130500006316D600CE +:104D500023AE07041305100083270700638C0700A8 +:104D600097B701009387C77503A707000327470478 +:104D7000631C07026780000097B6010093864674A3 +:104D800003A6060083A60600832646049386160023 +:104D90002322D6046FF0DFFA97B70100938747729A +:104DA00083A707006FF09FF803A7070083A60700FB +:104DB000832747049387F7FF2322F70483A746043A +:104DC000E39A07FA7360043067800000130101FB67 +:104DD0002322910423202105232C4103232A51035C +:104DE000232861032326710323261104232481042D +:104DF000232E310323248103232291032320A103A3 +:104E000017B901001309C96C17BB0100130B8B6B99 +:104E100097B401009384446B97BA0100938A0A6B9C +:104E2000130A1000930B90008327090003A70700C3 +:104E30006306071683A7C70083A90700EFD09FCF9B +:104E4000EFD0DFD083270B00130C05006368F50C4F +:104E500097B7010023A8A7661306000063763523E1 +:104E600003A50400B3858941EFC01FCCEFE00F809C +:104E70006306051603A50400930600001306000050 +:104E800093058100EFC08FCAE30005FA83278100F4 +:104E9000E3C207FE8329010183A749016386070056 +:104EA00013854900EFB05F93EFD05FCA83270B00F3 +:104EB000130C05006362F50C8327810017B701000E +:104EC00023228761E3E8FBFAB317FA0013F70721FF +:104ED0006310072013F7770C6316072A93F707026E +:104EE000E38A07F813850900EF00504F6FF09FF831 +:104EF00083A7C70003A4C70083AC0700930944003D +:104F000013850900EFB05F8D832744021305040069 +:104F1000E78007008327C4016386470D83270900C4 +:104F200003A70700E31607FC03A70A0097B60100D2 +:104F300023AA865997B6010023AAF65897B701000D +:104F400023A8E758EFD09FF26FF0DFF283A7C700E6 +:104F500003A4C70003AD0700930C440013850C00A5 +:104F6000EFB09F878327440213050400E780070002 +:104F70008327C401638C470B8327090003A707001D +:104F8000E31607FC03A70A0097B6010023A0F65416 +:104F900097B7010023AEE7526FF01FF2EFD09FB931 +:104FA000EFD0DFBA83270B00130C0500E368F5F69A +:104FB00083A70A0003A504009309000003A60700C5 +:104FC000B385894117B70100232E874F133616008A +:104FD000EFC09FB5EFD09FE9E31E05E8EFB04FDBD0 +:104FE0006FF05FE98327840193850900B387FC0094 +:104FF00063E2FC0883A7040063860702232A0100FA +:10500000232C9101232E8100EFF08F9D03A5040036 +:10501000930600001306000093054101EFB09FB115 +:10502000E31E05EE737004306F00000083278401D7 +:1050300093850C00B307FD006362FD0883A704009D +:1050400063860702232A0100232CA101232E81005D +:10505000EFF00F9903A5040093060000130600006B +:1050600093054101EFB01FADE31805F073700430F4 +:105070006F000000032509002322F4002328840088 +:10508000EFB04FF06FF09FE9EFD05FDE83270900AC +:1050900083A7C70003A4C700930C440013850C002A +:1050A000EFB08FF38327C401638A47058327440247 +:1050B00013050400E78007006FF0DFDB032509001C +:1050C0002322F40023288400EFB0CFEB6FF0DFEA57 +:1050D0008327C10023ACF9006382070AB3878701E5 +:1050E00023A2F90023A83901938549006362FC08D3 +:1050F00003A50A00EFB00FE96FF0DFD78327840123 +:10510000232884003387F9002322E400636AEC0437 +:10511000330C3C41636EFC0283A7040063860702E4 +:10512000232A0100232C3101232E8100EFF04F8B25 +:1051300003A5040093060000130600009305410137 +:10514000EFB05F9FE31405F6737004306F0000004A +:1051500003A50A0093850C00EFB0CFE26FF01FF5B6 +:105160000325090093850C00EFB0CFE16FF01FF429 +:1051700003250900EFB00FE16FF0DFCF737004304B +:105180006F0000008327C10083A6890123A839018D +:105190003387D70023A2E9006362EC06B307FC4023 +:1051A00063EAD70683A7490213850900E780070051 +:1051B00083A7C901E39047CD83A689018327C10056 +:1051C00003A70400B387D70063060702232A010060 +:1051D000232CF100232E3101EFF08F8003A5040072 +:1051E000930600001306000093054101EFB09F9461 +:1051F000E31205C8737004306F0000006374FC0094 +:10520000E372F7FA0325090093854900EFB08FD7C1 +:105210006FF05FC603A50A0093854900EFB08FD6F3 +:105220006FF05FC5130101FF2324810023261100C5 +:10523000232291002320210117B401001304C42864 +:10524000EFF0CF998327040063840704EFF04F9CAD +:1052500083270400638A07029308000013080000F4 +:105260009307000013072000930600001306004078 +:1052700097B501009385851417050000130545B502 +:10528000EFC0DF9E63140506737004306F000000EA +:1052900017B901001309894513050900EFB00FC9BB +:1052A00097B401009384C44513850400EFB00FC880 +:1052B000130600009305C0001305400097B70100D6 +:1052C00023A8272197B7010023A29720EFB08FF2E0 +:1052D00017B70100232AA71E6306050297B5010030 +:1052E0009385450DEFC00FF16FF05FF68320C1008D +:1052F00003248100832441000329010013010101DB +:1053000067800000737004306F000000638A050A34 +:10531000130101FD232A5101930A05001305C00260 +:10532000232481022322910223202103232E3101F1 +:10533000232C410123261102232861012326710118 +:105340001309070093890600130A060093840500D9 +:10535000EF00C07013040500630C0502EFF00F8826 +:1053600097B701009387471683A70700638E07044A +:10537000EFF00F8A1305440023205401232C9400DE +:10538000232E44012320340323222403EFB00FBC37 +:10539000130504008320C1020324810283244102F7 +:1053A000032901028329C101032A8101832A4101C2 +:1053B000032B0101832BC10013010103678000004F +:1053C000737004306F00000097BB0100938B0B32A9 +:1053D00013850B00EFB08FB517BB0100130B4B32D9 +:1053E00013050B00EFB08FB4130600009305C00047 +:1053F0001305400097B7010023AC770D97B7010064 +:1054000023A6670DEFB00FDF17B70100232EA70A01 +:10541000630A050097B501009385C5F9EFC08FDDDC +:105420006FF01FF5737004306F000000630E050607 +:10543000130101FE232C8100232E1100232A910049 +:1054400017B401001304440883280400130805005E +:105450001305000063800804930407002322B100B1 +:10546000138706002324C100232601019306500060 +:1054700063C0B604EFE0DFD693072000630EF504A7 +:105480000325040093060000130600009305410065 +:10549000EFB04FEA8320C10103248101832441013D +:1054A0001301010267800000737004306F00000078 +:1054B00093054100930600001306070013850800BA +:1054C000EFB01FB98320C10103248101832441016E +:1054D0001301010267800000032504009306000009 +:1054E0001386040093054100EFB0CFE46FF09FFAFC +:1054F0006306050003250500678000007370043013 +:105500006F00000063160500737004306F00000028 +:10551000130101FF23261100232481001304050039 +:10552000EFE0DFEB032544013334A000EFE05FEE52 +:10553000130504008320C10003248100130101012D +:105540006780000063160500737004306F00000070 +:10555000130101FF232481001304050023261100F9 +:10556000EFE0DFE703240402EFE09FEA8320C100BD +:10557000130504000324810013010101678000006A +:1055800063160500737004306F000000130101FF03 +:105590002324810023229100138405009304050035 +:1055A00023261100EFE09FE323A08402032481005F +:1055B0008320C10083244100130101016FE05FE5F6 +:1055C000130101FF1305C0012324810023261100CC +:1055D000EF00C04813040500630805002320050000 +:1055E00013054500EFB08F94130504008320C1001C +:1055F000032481001301010167800000630205029A +:10560000B70700FFB3F7F500638607007370043037 +:105610006F000000639A0500737004306F00000093 +:10562000737004306F000000130101FE232C810011 +:105630002328210123263101232441012322510162 +:10564000232E1100232A91009309070013890600D5 +:10565000930A060013840500130A0500EFE05FB803 +:105660006318050063860900737004306F00000042 +:10567000EFD04FCC83240A00B3779400631209045F +:105680006382070463880A009347F4FFB3F7970027 +:105690002320FA00EFD08FFD8320C1010324810174 +:1056A0001385040003290101832441018329C100DA +:1056B000032A8100832A4100130101026780000050 +:1056C000E302F4FCE38809FCB335500193958501AE +:1056D00063060900B7070004B3E5F5001386090067 +:1056E000B3E5850013054A00EFE0CFE1EFD00FF8F6 +:1056F00063140500EFA0DFE9EFE01FD69317650004 +:105700009309050063DA0700B70400019384F4FFEE +:10571000B3F499006FF05FF8EFE05FCC83290A00E3 +:10572000B377340163100902638A070063880A00B3 +:105730009347F4FFB3F737012320FA00EFE05FCD82 +:105740006FF09FFCE31CF4FE6FF05FFE630C05003E +:10575000B70700FFB3F7F500638A070073700430E2 +:105760006F000000737004306F000000130101FF30 +:105770002324810013040500232611002322910015 +:105780002320210113890500EFE05FC58324040075 +:105790009345F9FFB3F795002320F400EFE05FC7CE +:1057A0008320C10003248100138504000329010024 +:1057B000832441001301010167800000130101FFF0 +:1057C000232481001304050023261100EFA09FF07D +:1057D00003240400EFA09FEF8320C1001305040001 +:1057E000032481001301010167800000630C0500A0 +:1057F000370700FFB3F7E500638A070073700430D2 +:105800006F000000737004306F0000006F201033D1 +:10581000B70700FFB377F6006386070073700430A4 +:105820006F00000063160600737004306F00000004 +:10583000130101FE232C810023282101232631019D +:1058400023244101232E1100232A910013890600ED +:1058500093890500130A050013040600EFE05F9822 +:10586000631E050463060900737004306F000000B6 +:10587000EFD04FAC83240A009385090013050A007A +:10588000B3E49900EFF09FF6B3779400630AF4084D +:1058900083240A00EFD08FDD8320C101032481011E +:1058A0001385040003290101832441018329C100D8 +:1058B000032A81001301010267800000EFD08FA747 +:1058C00083240A009385090013050A00B3E4340118 +:1058D000EFF0DFF1B3F7840063848704E30A09FA89 +:1058E000B705000513060900B365B40013054A00A7 +:1058F000EFE04FC1EFD08FD763140500EFA05FC971 +:10590000EFE09FB5931765009304050063D4070289 +:10591000B70700019387F7FFB3F4F4006FF0DFF7E8 +:1059200083270A001344F4FFB3F787002320FA000B +:105930006FF05FF6EFE09FAA83240A00B37794002C +:10594000639887009347F4FFB3F797002320FA008A +:10595000EFE01FAC6FF0DFFB130101FF2324810098 +:10596000232611001304050023229100EFD08F9C01 +:105970008327440063860702032504019304C400BF +:10598000631895006F0080030325040163089502E6 +:10599000B7050002EFE04FE183274400E39607FEDE +:1059A00013050400EF008023032481008320C1003D +:1059B00083244100130101016FD04FCB7370043079 +:1059C0006F000000630C0500370700FFB3F7E50028 +:1059D000638A0700737004306F0000007370043036 +:1059E0006F0000006F209015630C0500B70700FFE3 +:1059F000B3F7F500638A0700737004306F0000008E +:105A0000737004306F000000130101FF2324810034 +:105A1000232291002326110013040500938405001E +:105A2000EFE0DF9B0327040093C7F4FFB377F70091 +:105A30002320F400032481008320C100832441003B +:105A4000130101016FE0DF9C63060500032585015A +:105A5000678000001305000067800000130101FF4C +:105A6000232481002326110013040500EFD08F8C1E +:105A700097B70100938707A683A70700639C0704D5 +:105A8000B797010017B70100130707C99387C7FF2E +:105A900097B6010023A6E6A417B701002320F7A4B8 +:105AA00017B70100232CF7C697B701009387C7A249 +:105AB00017B701002322F7C69307100017B701009C +:105AC000232207A217B70100232807A017B7010058 +:105AD0002322F7A063120402EFD04FB9EF108058D1 +:105AE00013040000130504008320C1000324810077 +:105AF00013010101678000001305840093773500CE +:105B00006398070CB79701001307F5FF9387A7FF6A +:105B1000E3E4E7FC97B601009386869C83A7060022 +:105B20006F00400103A70700630A07009386070080 +:105B30009307070003A74700E366A7FE17B7010016 +:105B400013078799E38AE7F803A707001306000104 +:105B50001384870023A0E60003A74700B306A740ED +:105B6000637ED6023388A7002322D80023A2A70091 +:105B70008325480097B60100938686966F008000C3 +:105B80009306070003A7060003264700E36AB6FE54 +:105B90002320E80023A0060103A7470097B70100D0 +:105BA0009387079683A70700B387E74017B70100DD +:105BB0002328F794EFD08FABE30204F2130504001F +:105BC0008320C100032481001301010167800000CC +:105BD0001375C5FF130545006FF0DFF26308050676 +:105BE000130101FF23248100232611001304050063 +:105BF000EFC05FF4930584FF0326C4FF17B70100CD +:105C00001307078E6F0080001387070083270700A4 +:105C100083A64700E3EAC6FE232CF4FE2320B70048 +:105C200097B701009387C78D03A707008327C4FF99 +:105C3000032481008320C100B387E70017B7010068 +:105C40002320F78C130101016FD04FA26780000061 +:105C500097B701009387C78A03A5070067800000F4 +:105C6000678000003367B50013773700930705009E +:105C7000631207049308D6FFB30815011307050044 +:105C8000938605006378150303A8060013074700F1 +:105C900093864600232E07FFE36817FF9347F5FF1F +:105CA000B387170193F7C7FF93874700B385F500C4 +:105CB000B307F5003306C50063F4C70C93864500AF +:105CC00013884700B3B6D70033B8050133E7F500B2 +:105CD00093C616001348180013773700B3E6060181 +:105CE000133717003377D700330EF640938617002B +:105CF000630807061337AE006314070613532E001C +:105D00001388050093860700130700008328080006 +:105D1000130717001308480023A0160193864600B6 +:105D2000E36667FE1377CEFFB385E500B387E70030 +:105D30006306EE0483C60500138717002380D7008F +:105D4000637EC70283C6150013872700A380D70090 +:105D50006376C70203C725002381E7006780000040 +:105D6000B307F640B387F5009385150003C7F5FF29 +:105D700093861600238FE6FEE398F5FE6780000009 +:105D800067800000B367C50093F73700B308C5000C +:105D90006382070E63761511B307A04093F73700AF +:105DA000138737009306500093F5F50F130815007D +:105DB0003383A8401306F6FF6374D700130750001F +:105DC0006368E60E6380070E2300B500130710001A +:105DD000638EE700A300B500130730001308250009 +:105DE0006396E700130835002301B500139685007C +:105DF00033E6C500139705013367E6003303F3402C +:105E000093968501B366D70013562300B307F500B8 +:105E10001307000023A0D70013071700938747003C +:105E2000E36AC7FE1377C3FFB307E8006300E30824 +:105E30002380B70013871700637C1703A380B70084 +:105E400013872700637617032381B7001387370072 +:105E500063701703A381B70013874700637A1701A4 +:105E60002382B7001387570063741701A382B7001A +:105E70006780000093F5F50F13978500B365B700B1 +:105E8000139705013367B700E37415FF9307050007 +:105E90009387470023AEE7FEE3EC17FF678000001F +:105EA00067800000130805006FF05FF46780000052 +:105EB000930705006FF0DFF7834705001307050020 +:105EC000638C07001305150083470500E39C07FE5C +:105ED0003305E5406780000013050000678000007F +:105EE000130515008347F5FF9385150003C7F5FFDC +:105EF00063880700E386E7FE3385E740678000009C +:105F0000930700006FF05FFF93070500938515006E +:105F100003C7F5FF93871700A38FE7FEE31807FE7B +:105F20006780000083460500930700026398F6002F +:105F30001305150083460500E38CF6FE938756FD96 +:105F400093F7D70F638007049307050093050000BC +:105F5000638806041305000093871700138606FD67 +:105F60001317250083C607003305A7001315150076 +:105F70003305A600E39206FE638405003305A040C6 +:105F800067800000938536FD8346150093B51500A4 +:105F900093071500E39006FC130500006FF0DFFD8A +:105FA000130500006780000083A705002380A70079 +:105FB00083A705009387170023A0F50067800000E2 +:105FC00097A601009386465283A706001737030061 +:105FD0001307477713861700B307F7002380A7003E +:105FE00097A5010023A2C5509307A000630AF500FE +:105FF000930700046308F6001305000067800000A3 +:10600000E30C06FE370610F0130717008347F7FF6F +:106010002320F6F083A706009387F7FF97A50100DA +:1060200023A4F54CE39207FE1305000067800000EF +:10603000130101EB2322911423202115232A51134C +:10604000232481132326111423248114232E311396 +:10605000232C411323286113232671132322911328 +:106060002320A113232EB1119304050013890500E9 +:106070002326C100130C5002930A900083470900A5 +:1060800063888703638C070603A404006F00800005 +:10609000638607062300F40003A404001309190013 +:1060A0001304140023A0840083470900E39287FFB0 +:1060B000034619009308190093860800130D000287 +:1060C0009309F0FF130AF0FF1308000013055005B1 +:1060D0009307D6FD93F7F70F13891600636EF53A11 +:1060E00017A70100130707F293972700B387E7006C +:1060F00083A70700B387E700678007008320C114E8 +:106100000324811483244114032901148329C11316 +:10611000032A8113832A4113032B0113832BC112FA +:10612000032C8112832C4112032D0112832DC111E6 +:106130001301011567800000930C800023240100E7 +:106140009307100063DA071D8327C10003A404002E +:10615000938B770093F68BFF03AB060083A9460071 +:10616000938786002326F10013860C009306000017 +:1061700013050B0093850900EF1010502328A10090 +:106180009307000063803733930D4101930B100098 +:1061900013860C009306000013050B00938509007D +:1061A000EF10500A9306000013860C00130B050035 +:1061B00093890500EF10504C8327810023A0AD0088 +:1061C00093861B00938D4D0063863701938B0600E9 +:1061D0006FF01FFCE37C9BFF63D246039307040030 +:1061E0002380A70103A40400130AFAFF93071400F5 +:1061F00023A0F40013840700E3C446FF93962B000A +:1062000093070101B386D7006F008002938777055B +:106210002300F40003A40400938BFBFF93871B006F +:106220001304140023A084009386C6FFE358F0E40F +:1062300083A70600E3ECFAFC938707036FF05FFD8A +:1062400083A70400930600030327C1002380D7001F +:1062500083A7040093064700930C000113861700E0 +:1062600023A0C40013068007A380C70003A4040072 +:1062700023240100930707001304140023A08400C3 +:1062800003AB0700930900002326D1006FF0DFED78 +:106290008327C10083AC0700938B470063880C28D9 +:1062A000635C40259307D002631EFD2083C70C006A +:1062B0006388070263D8092483A60400938C1C001A +:1062C000130AFAFF2380F60003A404001304140049 +:1062D00023A0840083C70C00E39E07FC63524003A5 +:1062E00003A40400930700022300F40003A40400A5 +:1062F000130AFAFF1304140023A08400E3160AFE15 +:10630000232671016FF09FD723240100930710000B +:10631000930CA000E3CA07E38327C10003A4040091 +:10632000938647006FF0DFF503C616009306090059 +:106330006FF01FDA83A70400930650022380D70072 +:1063400003A404001304140023A084006FF01FD3DF +:10635000930C0001232401006FF09FDE8327C1000E +:1063600003C616009306090083A907009387470018 +:106370002326F100E35E0AD4138A09009309F0FF93 +:106380006FF01FD503C61600130DD0029306090047 +:106390006FF01FD49347FAFF93D7F74103C6160057 +:1063A000337AFA00930609006FF09FD203C61600F5 +:1063B000130D0003930609006FF09FD183C51600EB +:1063C000930906FD93060900938705FD13860500D2 +:1063D000E3E2FAFA93972900B3893701938616000E +:1063E00093991900B389B90083C50600938909FD03 +:1063F000938705FD13860500E3FEFAFC6FF09FF717 +:106400000327C10083A70400832607001307470062 +:106410002326E1002380D70003A404001304140002 +:1064200023A084006FF09FC59307100063D4070F6B +:106430008327C1009386770093F686FF93878600B3 +:106440002326F10083A9460083A7060063DE090E18 +:1064500083A604001306D002B307F0402380C600D1 +:1064600003A40400B336F000B30930411304140050 +:1064700023A08400138B0700B389D940930CA0009C +:10648000232401006FF05FCE03C616001308180026 +:10649000930609006FF0DFC383A704001389080087 +:1064A0006FF09FE9E3729BCF93071000930B0000FE +:1064B00093061000E3C447D36FF05FD497AC01009C +:1064C000938C4CF09385090013850C00EF10C00FDE +:1064D000330AAA406352400303A404002300A4012A +:1064E00003A40400130AFAFF1304140023A0840079 +:1064F000E3160AFE130A000083C70C00E38207E0DC +:10650000E3CC09DA9389F9FF1307F0FFE396E9DAA0 +:106510006FF0DFDC8327C1009386470083A7070065 +:106520002326D10093D9F7416FF05FF26356400103 +:106530009307D002E314FDF897AC0100938C8CE82C +:10654000930780026FF01FD7138B0700930CA000F6 +:106550002324010003A404006FF01FC1130101EB09 +:10656000232E3113B70900409387F9FF23248114A8 +:1065700023229114232C4113232A5113232611146F +:10658000232021152328611323267113232481132B +:10659000232291132320A113232EB111130A0500E6 +:1065A0002324B10017A40100130404F4973A030054 +:1065B000938A4A1997A40100938444BA2326F100D0 +:1065C000930550021305A00013080004B70610F04D +:1065D00083470A00638EB7046388070A0327040011 +:1065E000130A1A00130617003387EA0097A8010060 +:1065F00023ACC8EE2300F7006380A708E31A06FD6A +:106600001737030013070714130717008347F7FF13 +:1066100023A0F6F0832704009387F7FF17A6010055 +:106620002324F6ECE39207FE83470A00E396B7FAC9 +:1066300083461A0013051A0013070500930B000286 +:10664000130BF0FF130CF0FF130800009305500527 +:10665000930890009387D6FD93F7F70F130A17005E +:1066600063ECF54493972700B387970083A707004F +:10667000B387970067800700173703001307870C5D +:10668000E31406F86FF0DFF48320C11403248114AF +:1066900083244114032901148329C113032A81137C +:1066A000832A4113032B0113832BC112032C811264 +:1066B000832C4112032D0112832DC11113010115E9 +:1066C00067800000930C8000130D000093071000FA +:1066D00063DA0721832781009387770093F787FF89 +:1066E00083AD070003AB4700138787002324E10035 +:1066F00013860C009306000013850D0093050B0014 +:10670000EF1080772328A10063086D45130941012C +:106710009309100013860C009306000013850D00EA +:1067200093050B00EF10003213860C009306000057 +:10673000930D0500138B0500EF1000742320A900B2 +:106740009389190013094900E3166DFDE3F49DFDDB +:1067500013060004B70610F063D4890383270400EE +:1067600013871700B387FA0097A5010023AEE5D67B +:1067700023807701630AC706130CFCFFE3C089FF7F +:106780008327C1001305C10093089000B386F90068 +:106790009396260093070101B386D7001308A00043 +:1067A00013030004370610F0E38CA6E083A706006D +:1067B0009305000363F4F8009305700503270400B4 +:1067C000B387B700930517003387EA0017AE0100BF +:1067D000232CBED02300F70063860737638C653215 +:1067E0009386C6FF6FF05FFC17370300130787F52A +:1067F000130717008347F7FF23A0F6F08327040051 +:106800009387F7FF97A5010023A0F5CEE39207FE3B +:10681000130CFCFF6FF09FF683270400130600049F +:106820001387170097A5010023A0E5CCB386FA00D3 +:10683000930500032380B6006308C75093872700A1 +:1068400097A6010023A2F6CA3387EA0093068007C1 +:106850002300D700173703001307C7EEB70610F061 +:106860006392C702130717008347F7FF23A0F6F0D0 +:10687000832704009387F7FF17A601002326F6C697 +:10688000E39207FE83278100930C0001130D0000A3 +:106890009387470003278100130B0000832D070017 +:1068A0002324F1006FF0DFE48327810083AC07002D +:1068B00013894700638E0C4C6358802B9307D002DA +:1068C0006394FB3E03C70C00930507006314072A7B +:1068D0006F00002F93071000930CA000130D000011 +:1068E000E3CA07DF83278100938747006FF09FFA91 +:1068F000832781008346170013070A0003AB0700B4 +:10690000938747002324F100E3560CD4130C0B00AB +:10691000130BF0FF6FF01FD483461700930BD002C8 +:1069200013070A006FF01FD39347FCFF93D7F7417B +:1069300083461700337CFC0013070A006FF09FD1D9 +:1069400083461700930B000313070A006FF09FD0D4 +:1069500003461700138B06FD13070A00930706FD75 +:1069600093060600E3E2F8FA93172B00B38767015A +:106970001307170093971700B387C7000346070054 +:10698000138B07FD930706FD93060600E3FEF8FC54 +:106990006FF09FF783258100832704001306A00072 +:1069A00003A7050093861700B387FA0017A5010017 +:1069B000232CD5B22380E700138945006300C73636 +:1069C000930700046384F62A232421016FF05FBF3C +:1069D0009307100063DE0735832781001387770054 +:1069E000137787FF930787002324F100032B4700C9 +:1069F0008327070063560B3E032704001306000499 +:106A00009306170097A5010023A0D5AE3387EA00AF +:106A10009305D0022300B700638CC638B307F0405B +:106A20003337F000330B6041938D0700330BEB409D +:106A3000930CA000130D00006FF09FCB834617004E +:106A40001308180013070A006FF0DFC08346170011 +:106A500013070A006FF01FC0832704009306000489 +:106A60001387170017A601002320E6A8B387FA00B2 +:106A7000130650022380C700E314D7B4173703006E +:106A8000130747CCB70610F0130717008347F7FF2B +:106A900023A0F6F0832704009387F7FF17A60100D1 +:106AA0002324F6A4E39207FE6FF09FB1930C00013C +:106AB000130D00006FF09FC18327040093060004AC +:106AC000130A05001387170017A60100232EE6A05E +:106AD000B387FA00130650022380C700E312D7AE33 +:106AE00017370300130707C6B70610F01307170080 +:106AF0008347F7FF23A0F6F0832704009387F7FF6F +:106B000017A601002322F69EE39207FE130A050052 +:106B10006FF01FAB173703001307C7C21307170027 +:106B20008347F7FF2320F6F0832704009387F7FFBE +:106B300097A5010023AAF59AE39207FE9386C6FF64 +:106B40006FF09FC6173703001307C7BFE39805FC14 +:106B50009386C6FF6FF05FC5E3FA9DBB93091000F3 +:106B60006FF01FBF130C000003C70C009305070054 +:106B7000E30C07E49308F0FF1305A00013080004DA +:106B8000B70610F0635E0B0C832704001386170012 +:106B9000B387FA0017A301002328C3942380E700DA +:106BA0006382A5086308060B938C1C0003C70C00C6 +:106BB000130CFCFF93050700E31607FCE35680E186 +:106BC000832704009305000213060004B70610F0A3 +:106BD0006F00C000130CFCFFE3080CDE13871700E6 +:106BE000B387FA002380B70017A50100232EE58E96 +:106BF00093070700E310C7FE17370300130787B496 +:106C0000130717008347F7FF23A0F6F0832704003C +:106C10009387F7FF17A501002328F58CE39207FE61 +:106C20006FF05FFB173703001307C7B1E30E06F6DB +:106C3000130717008347F7FF23A0F6F0832704000C +:106C40009387F7FF17A601002320F68AE39207FE39 +:106C50006FF09FF5173703001307C7AE6FF05FFDA6 +:106C6000130BFBFFE3121BF36FF05FF51737030005 +:106C7000130747ADB70610F0130717008347F7FF58 +:106C800023A0F6F0832704009387F7FF17A60100DF +:106C9000232CF684E39207FE232421016FF05F92F8 +:106CA000979C0100938C0C7293050B0013850C00CC +:106CB000EF009011330CAC40E35880EB83270400C5 +:106CC00013060004B70610F06F00C000130CFCFFA1 +:106CD000E30A0CE813871700B387FA0023807701D3 +:106CE00097A5010023A2E58093070700E310C7FEE4 +:106CF00017370300130707A5130717008347F7FF8C +:106D000023A0F6F0832704009387F7FF97950100EF +:106D100023ACF57CE39207FE6FF05FFB17370300AF +:106D2000130747A2E39806F4232421016FF05F893B +:106D3000832781001387470083A707002324E100EE +:106D400013DBF7416FF01FCB173703001307879F43 +:106D5000B70610F0130717008347F7FF23A0F6F0DC +:106D6000832704009387F7FF17960100232EF676FA +:106D7000E39207FE93071000179701002326F7768A +:106D80009307800717370300230EF79A6FF09FAF22 +:106D9000635680019307D002E394FBF0979C0100B7 +:106DA000938C4C6213078002930580026FF09FDC86 +:106DB0009736030093860699370610F093861600DF +:106DC00003C7F6FF2320E6F0032704001307F7FFAD +:106DD0009795010023AAE570E31207FE6FF01FC428 +:106DE000938D0700930CA000130D00006FF05F90CF +:106DF000B70710F023A0A7F26F000000130101FCF9 +:106E0000130341022322B10293050300232E110034 +:106E10002324C1022326D1022328E102232AF102DE +:106E2000232C0103232E110323266100EFF00FF31F +:106E30008320C101130500001301010467800000D5 +:106E4000130101FB13038103232481022326A100E4 +:106E5000232CC10213040500130603001305C1000F +:106E6000232611022322F104232ED1022320E10440 +:106E70002324010523261105232E6100EFF04F9BEB +:106E80008327C100238007000325C1008320C1029E +:106E9000330585400324810213010105678000004A +:106EA000B70710F083A647F403A607F403A747F437 +:106EB000E31AD7FEB7160000938606FAB306D6008B +:106EC00033B6C600B305E60023A4D7F423A6B7F46F +:106ED00067800000B70710F003A747F403A607F484 +:106EE00083A647F4E31AD7FEB7160000938606FA86 +:106EF000B306D60033B6C60023A4D7F4B305E60024 +:106F000023A6B7F49307000873A0473067800000FA +:106F1000130101FF2324810023261100979701000C +:106F20009387075D179401001304445C03A70700CF +:106F3000832704001306F07C9306470693874706D1 +:106F40009795010023A6D55A9795010023A0F55ADD +:106F5000634AD6008320C10003248100130101018C +:106F600067800000130747899797010023A2E7581D +:106F7000EF000061930710006316F5020327040079 +:106F8000B71700009387F77613050000E3D4E7FCFA +:106F9000EFF01FE6032481008320C10013010101EB +:106FA0006FB05FB81795010013054542EFF01FE57C +:106FB00013052000EFF0DFE3032481008320C100EC +:106FC000130101016FB01FB617950100130585412C +:106FD0006FF0DFE217950100130545416FF01FE2E6 +:106FE000130101FF23248100232291002326110095 +:106FF000B7140000179401001304C43F938784382A +:10700000130000009387F7FFE39C07FE13050400BD +:10701000EFF0DFDE6FF09FFE6F004047130101FFCE +:1070200023261100EF00C05513071000930700003E +:10703000631AE5008320C1001385070013010101D5 +:10704000678000001795010013054538EFF01FDB3E +:107050008320C1009307200013850700130101015D +:1070600067800000737004306F00000067800000CC +:10707000737004306F000000130101FD23229102A0 +:1070800023202103232E3101B7342211B7492301D4 +:1070900037B9BBAA23248102232611021304050059 +:1070A00093844434938979561309B9AB930610003D +:1070B0001306F0FF9305C10013050400EFA00FA70E +:1070C000930710006306F500179701002328F74285 +:1070D0008327C1006388970093071000179701006A +:1070E000232EF74013050400EFA04FF8930710007C +:1070F0006306F500179701002322F740930600006E +:10710000130600009305C1001305040023260100A7 +:10711000EFA0CFA1930710006306F50017970100B9 +:10712000232EF73C8327C100638897009307100044 +:10713000179701002324F73C930610001306F0FF75 +:107140009305C10013050400EFA04F9E93071000A4 +:107150006306F500179701002322F73A8327C10041 +:107160006388370193071000179701002328F73829 +:1071700013050400EFA08FEF930710006306F500DE +:1071800017970100232CF73613050000EFC05F842A +:10719000930610001306F0FF9305C10013050400C9 +:1071A000EFA0CF98930710006306F5001797010032 +:1071B0002326F7348327C100638827019307100033 +:1071C00017970100232CF73213050000EFC05F80F2 +:1071D0006FF0DFED130101FE232A91002328210126 +:1071E000B7B4BBAA37492301232C8100232E1100F9 +:1071F00013040500130979569384B4AB9306100069 +:107200001306F0FF9305C10013050400EFA00F92D1 +:10721000930710006306F500179701002320F72E4F +:107220008327C10063882701930710001797010087 +:107230002326F72C13050400EFA04FE3930710005B +:107240006306F50017970100232AF72A13050000AB +:10725000EFC00FF8930600001306F0FF9305C1007E +:1072600013050400EFA08F8C930710006306F50050 +:10727000179701002324F7288327C100638897000C +:107280009307100017970100232AF7261305000023 +:10729000EFC00FF46FF09FF6130101FE232A910057 +:1072A000B7442301232C810023282101232E110020 +:1072B00013040500179901001309092493847456D7 +:1072C000930610001306F0FF9305C1001305040098 +:1072D000EFA0CF85930710006306F5001797010014 +:1072E000232EF7208327C10013050400638897002D +:1072F00093071000179701002322F720EFA00FD764 +:10730000930710006306F500179701002328F71E66 +:1073100083270900130500009387170017970100C2 +:10732000232CF71CEFC0CFEA6FF09FF9130101FC8B +:10733000232441032322510323206103B74A23015D +:10734000373B221137BABBAA232C8102232A910290 +:107350002328210323263103232E7101232E11021A +:1073600093040500972B0300938B0B46972903008A +:10737000938949451729030013094945130B4B34D9 +:1073800013041000938A7A56130ABAAB93060000CE +:10739000130600009305C100138504002326610134 +:1073A000EF904FF9630685009797010023A8871493 +:1073B00013850400EFA08FCB6306050097970100AB +:1073C00023AE871293060000130600009305C10048 +:1073D0001385040023265101EF90CFF56306850045 +:1073E0009797010023AC8710930600001306000056 +:1073F0009305C1001385040023260100EF901FF3BD +:10740000630685009797010023AA870E1305A00045 +:10741000EFB01FD103A50B00EFB04F9C03A50900EF +:10742000EFB0CF9B03250900EFB04F9B93061000F0 +:10743000130600009305C1001385040023264101B3 +:10744000EF904FEF630685009797010023A8870A06 +:1074500093061000130600009305C1001385040075 +:10746000EF90DFEC630605009797010023A88708DB +:1074700003A50900EFB08F9603250900EFB00F9622 +:107480001305A000EFB0DFC96FF05FF0130101FF3B +:107490001306000093054000130550002326110039 +:1074A00023248100EF900FD5979501009385C5F5B2 +:1074B00013040500EFA00FD4930604009308000006 +:1074C0001308000093070000130700001306004094 +:1074D00097950100938585F417050000130545E590 +:1074E000EFA0CFF8930604009308000013080000F3 +:1074F000972703009387472D1307100013060040BA +:1075000097950100938505F217050000130505D92D +:10751000EFA0CFF5930604009308000013080000C5 +:10752000972703009387072A1307200013060040BC +:1075300097950100938585EF170500001305C5C9D0 +:10754000EFA0CFF293060400032481008320C10042 +:10755000930800001308000097270300938707276C +:10756000130730001306004097950100938585ECC2 +:1075700017050000130585B0130101016FA00FEF7F +:1075800097970100938747F797960100938686F6B1 +:1075900003A7070083A606006398E6001307100000 +:1075A0009796010023ACE6F417970100130707F53F +:1075B00083A707000325070017970100232CF7F284 +:1075C0001335150067800000B305B500930705006B +:1075D0006386B70003C70700631607003385A7401B +:1075E00067800000938717006FF09FFE93080000EC +:1075F00063DC05003305A040B337A000B305B040FD +:10760000B385F5409308F0FF63DA06003306C04007 +:10761000B337C000B306D040B386F6401308060067 +:10762000138306009307050013870500639806245B +:1076300097960100938606C863F8C50E370E0100C1 +:10764000637AC60D130EF00F6374CE00130380002F +:10765000335E6600B386C60103CE0600330E6E00AD +:10766000130300023303C341630C0300B3956500A9 +:10767000335EC501331866003367BE00B31765007B +:10768000135E0801B355C70313150801135505010F +:1076900093D607013376C703B305B5021316060167 +:1076A000B366D60063FAB600B386060163E6060148 +:1076B00063F4B600B3860601B386B64033F7C6035B +:1076C0009397070193D70701B3D6C603B306D50234 +:1076D00013150701B367F50063FAD700B3870701F5 +:1076E00063E6070163F4D700B3870701B387D74088 +:1076F00033D5670093050000638C0800B307A040F2 +:107700003337F000B305B04013850700B385E5407B +:1077100067800000370E000113030001E36AC6F31F +:10772000130380016FF0DFF26316060013081000E8 +:1077300033586802370701006372E80A1307F00F35 +:10774000637407011303800033576800B386E600B3 +:1077500003C6060033066600130300023303C3406A +:1077600063180308B38505419356080113150801F2 +:107770001355050113D6070133F7D502B3D5D5024A +:10778000131707013367C700B305B502637AB70063 +:1077900033070701636607016374B7003307070106 +:1077A000B305B74033F7D5029397070193D7070185 +:1077B000B3D5D502B305B50213150701B367F500BC +:1077C00063FAB700B387070163E6070163F4B70004 +:1077D000B3870701B387B7406FF09FF13707000108 +:1077E00013030001E362E8F6130380016FF0DFF595 +:1077F0003318680033DEC500935E08013356C500B8 +:10780000B31765003355DE03B39565003366B600E4 +:107810009315080193D50501935606013377DE03CE +:107820003385A502131707013367D700637AA700D2 +:1078300033070701636607016374A7003307070175 +:10784000B306A74033F7D6031316060113560601F5 +:10785000B3D6D60313170701B386D502B365C700A5 +:1078600063FAD500B385050163E6050163F4D5002D +:10787000B3850501B385D5406FF01FEFE3EED5E684 +:107880003708010063FC0605930EF00F33B8DE00E5 +:107890001318380033DE060117930100130383A188 +:1078A0003303C301834E0300130E0002B38E0E0197 +:1078B000330EDE41631E0E0263E4B600636AC50048 +:1078C000B307C540B385D5403335F5003387A540B0 +:1078D00013850700930507006FF01FE237030001CF +:1078E00013080001E3E866FA130880016FF09FFABD +:1078F0003357D601B396C601B366D700B357D50147 +:1079000033D7D501B395C501B3E7B70093D50601C9 +:107910003373B702139F0601135F0F0113D80701DA +:107920003316C6013315C5013357B70213130301CC +:1079300033680301B30FEF0213030700637EF801FE +:107940003308D8001303F7FF6368D8006376F801A3 +:107950001303E7FF3308D8003308F841B37FB802B8 +:107960003358B802939F0F01B3050F03139F07010C +:10797000135F0F0133EFEF0113070800637EBF00B1 +:10798000330FDF001307F8FF6368DF006376BF0083 +:107990001307E8FF330FDF0013130301B70F0100D4 +:1079A0003363E3009387FFFF13580601330FBF4093 +:1079B000B375F30013530301B377F6003387F50271 +:1079C000B307F302B385050333030303B385F5005F +:1079D000135807013308B8006374F8003303F30148 +:1079E000B70701009387F7FF935508013378F80034 +:1079F000131808013377F700B38565003307E800F3 +:107A00006366BF00631EBF00637CE5003306C740AA +:107A10003337C700B385D540B385E540130706006B +:107A20003307E5403335E500B305BF40B385A540D6 +:107A3000B397D5013357C70133E5E700B3D5C50187 +:107A40006FF09FCB9387050013080600138706008D +:107A5000130305006396062897980100938888858C +:107A600063F6C50E370701006378E60C1307F00FC5 +:107A70003337C70013173700B356E600B388D80072 +:107A800083C608003387E60093060002B386E6400B +:107A9000638C0600B397D7003357E5003318D60040 +:107AA000B365F7003313D50013550801B3D7A5020A +:107AB00013160801135606019356030133F7A50266 +:107AC000B305F60213170701B366D7001387070043 +:107AD00063FEB600B38606011387F7FF63E806016D +:107AE00063F6B6001387E7FFB3860601B386B64098 +:107AF000B3F7A6021313030113530301B3D6A6026F +:107B00009397070133E36700B305D6021385060098 +:107B1000637CB300330368001385F6FF63660301DB +:107B20006374B3001385E6FF131707013367A700DB +:107B3000930500006F00400EB70600011307000117 +:107B4000E36CD6F2130780016FF01FF36316060093 +:107B50009306100033D8C602B70601006372D80C32 +:107B60009306F00F63F4060113078000B356E80094 +:107B7000B388D80083C60800B386E6001307000266 +:107B80003307D7406318070AB387074193051000EE +:107B9000135608019318080193D80801935603015E +:107BA00033F7C702B3D7C70213170701B366D7006D +:107BB0003385F8021387070063FEA600B38606012B +:107BC0001387F7FF63E8060163F6A6001387E7FF54 +:107BD000B3860601B386A640B3F7C60213130301AA +:107BE00013530301B3D6C6029397070133E367002B +:107BF000B388D80213850600637C13013303680041 +:107C00001385F6FF63660301637413011385E6FFB2 +:107C1000131707013367A7001305070067800000EB +:107C2000B706000113070001E362D8F413078001CF +:107C30006FF0DFF33318E800B3D5D7003313E50056 +:107C4000B356D50013550801B397E70033F7A502E3 +:107C5000B3E8F6009317080193D7070113D608017C +:107C6000B3D5A502131707013367C700B386B70260 +:107C700013860500637ED700330707011386F5FFDF +:107C8000636807016376D7001386E5FF33070701B2 +:107C9000B306D74033F7A6029398080193D808019A +:107CA000B3D6A60213170701B385D702B36717012E +:107CB0001387060063FEB700B38707011387F6FF3B +:107CC00063E8070163F6B7001387E6FFB387070190 +:107CD000B387B74093150601B3E5E5006FF05FEB9E +:107CE00063E6D5183707010063F4E6041308F00FC4 +:107CF0003337D8001317370033D8E60097850100D3 +:107D00009385455BB385050103C805009305000213 +:107D10003308E800B3850541639605021307100098 +:107D2000E3ECF6EE3335C500134715006FF0DFEED8 +:107D3000B705000113070001E3E0B6FC130780015B +:107D40006FF09FFB33570601B396B600B366D700BA +:107D500093DE060133D70701B378D703B397B70093 +:107D6000335805013363F8009397060193D7070151 +:107D7000135803013316B6003357D70393980801FD +:107D800033E80801338FE702130E0700637EE80132 +:107D90003308D800130EF7FF6368D8006376E80154 +:107DA000130EE7FF3308D8003308E841B378D8034F +:107DB0003358D80393980801B38E07039317030130 +:107DC00093D70701B3E7F8001307080063FED70154 +:107DD000B387D7001307F8FF63E8D70063F6D7012E +:107DE0001307E8FFB387D700131E0E01B387D741EF +:107DF000B70E01003367EE001388FEFF33730701EF +:107E0000935807013378060113560601330E030316 +:107E10003388080393560E013303C302330303016F +:107E2000B3866600B388C80263F40601B388D8013C +:107E300013D60601B308160163E61703E39A17CFBA +:107E4000B70701009387F7FFB3F6F6009396060194 +:107E5000337EFE003315B500B386C60193050000DE +:107E6000E37CD5DA1307F7FF6FF09FCC9305000092 +:107E7000130700006FF05FDA93080600138706000F +:107E80009307050013880500639C0622978601006E +:107E90009386464263FCC50C37030100637E660A85 +:107EA0001303F00F6374C300130780003353E6001D +:107EB000B386660003CE060013030002330EEE0005 +:107EC0003303C341630C0300B3956500335EC50102 +:107ED000B31866003368BE00B317650013D60801F7 +:107EE0003377C802139508011355050193D607018E +:107EF0003358C80213170701B366D70033080503C8 +:107F000063FA0601B386160163E6160163F40601FF +:107F1000B3861601B386064133F7C602939707016D +:107F200093D70701B3D6C602B306D50213150701CE +:107F3000B367F50063FAD700B387170163E617014B +:107F400063F4D700B3871701B387D74033D56700F1 +:107F5000930500006780000037030001130700014C +:107F6000E36666F4130780016FF05FF463160600A2 +:107F700013061000B35816033706010063F2C80A4F +:107F80001306F00F637416011307800033D6E80060 +:107F9000B386C60003CE060013030002330EEE00C4 +:107FA0003303C34163180308B385154113D7080190 +:107FB000139508011355050113D60701B3F6E50221 +:107FC000B3D5E50293960601B3E6C600B305B50244 +:107FD00063FAB600B386160163E6160163F4B600D1 +:107FE000B3861601B385B640B3F6E5029397070151 +:107FF00093D70701B3D5E502B305B5021395060182 +:10800000B367F50063FAB700B387170163E617019A +:1080100063F4B700B3871701B387B7406FF01FF35E +:108020003706000113070001E3E2C8F613078001D9 +:108030006FF0DFF5B398680033D7C501B31765005B +:10804000335EC50113D50801B376A702B395650069 +:10805000336EBE009395080193D5050113560E01AA +:108060003357A70293960601B3E6C6003387E502AD +:1080700063FAE600B386160163E6160163F4E600D0 +:10808000B38616013386E640B376A602131E0E01B0 +:10809000135E0E013356A602939606013386C5027F +:1080A000B3E5C60163FAC500B385150163E61501A2 +:1080B00063F4C500B3851501B385C5406FF01FEFAC +:1080C000E3EAD5E83707010063FCE604930EF00FFE +:1080D00033B7DE001317370033D3E600978801006B +:1080E0009388481DB388680083CE0800130E0002F1 +:1080F000B38EEE00330EDE41631E0E0263E4B60063 +:10810000636AC500B307C540B385D5403335F50074 +:108110003388A54013850700930508006FF09FE39F +:10812000B708000113070001E3E816FB13078001FD +:108130006FF09FFA3357D601B396C601B366D700E6 +:10814000B357D50133D7D501B395C501B3E7B70010 +:1081500093D506013373B702139F0601135F0F0116 +:1081600093D807013316C6013315C5013357B7023B +:1081700013130301B36813013308EF02130307005D +:1081800063FE0801B388D8001303F7FF63E8D80043 +:1081900063F608011303E7FFB388D800B3880841EA +:1081A000B3FFB802B3D8B802939F0F01B3051F0302 +:1081B000139F0701135F0F0133EFEF0113870800CF +:1081C000637EBF00330FDF001387F8FF6368DF00B3 +:1081D0006376BF001387E8FF330FDF00131303013B +:1081E000B70801003363E3009387F8FF13580601D3 +:1081F000330FBF40B375F30013530301B377F60099 +:108200003387F502B307F302B38505033303030392 +:10821000B385F500135807013308B8006374F800FC +:1082200033031301B70701009387F7FF9355080144 +:108230003378F800131808013377F700B385650029 +:108240003307E8006366BF00631EBF00637CE50080 +:108250003306C7403337C700B385D540B385E54003 +:10826000130706003307E5403335E500B305BF408B +:10827000B385A540B397D5013357C70133E5E70070 +:10828000B3D5C5016FF01FCD9787010093874723B2 +:1082900083A607001787010013074721032707005C +:1082A00023A2A600637EE500178701001307C720FD +:1082B0000325070083A50700938545006F808FCCB9 +:1082C000130101FF232481002326110017870100D9 +:1082D0001307C71E130405000325070083A5070025 +:1082E00093854500EF800FCA978701009387471A4F +:1082F00083A707006376F4009787010023AA8718F5 +:108300008320C10003248100130101016780000064 +:10831000978701009387C71A03A7070083A6070062 +:10832000832747049387F7FF2322F70483A7460494 +:10833000639407007360043067800000130101FE3E +:10834000232C8100232A9100130405009384050047 +:108350002328210123263101232441012322510115 +:10836000232E1100EFA00FFD832704000329040131 +:10837000B7090001B3E59700930AC4002320B400B5 +:10838000930400009389F9FF370A000203270400D1 +:1083900063822A050326090013050900B365470116 +:1083A000B37636019317560033F7E60003294900E8 +:1083B00063C60700631607006FF05FFDE398E6FCF5 +:1083C0009317760063D40700B3E4D400EFB0DFBDA9 +:1083D0006FF0DFFB93C4F4FFB3F4E40023209400B8 +:1083E000EFA0DFA8032504008320C101032481013D +:1083F00083244101032901018329C100032A81004B +:10840000832A41001301010267800000130101FF6C +:108410002326110023248100EFF04F8717F7FFFF79 +:10842000130747AF9306000013061000930540069C +:1084300017850100130585FCEFC05FED630205029F +:1084400013040500EFA08FF01306050013070000CA +:10845000930600009305100013050400EFC01FFDF4 +:10846000EFA08FBA8320C100032481001305000010 +:08847000130101016780000007 +:02000004800278 +:100000002863FEFF9864FEFF3463FEFF9864FEFFE2 +:100010009864FEFF9864FEFF9864FEFF5C63FEFF39 +:100020009864FEFF9864FEFF8463FEFF9463FEFF06 +:100030009864FEFFAC63FEFFBC63FEFFBC63FEFF83 +:10004000BC63FEFFBC63FEFFBC63FEFFBC63FEFF40 +:10005000BC63FEFFBC63FEFFBC63FEFF9864FEFF53 +:100060009864FEFF9864FEFF9864FEFF9864FEFFAC +:100070009864FEFF9864FEFF9864FEFF9864FEFF9C +:100080009864FEFF9864FEFF9864FEFF9864FEFF8C +:100090009864FEFF9864FEFF9864FEFF9864FEFF7C +:1000A0009864FEFF9864FEFF9864FEFF9864FEFF6C +:1000B0009864FEFF9864FEFF9864FEFF9864FEFF5C +:1000C0009864FEFF9864FEFF9864FEFF9864FEFF4C +:1000D0009864FEFF9864FEFF9864FEFF9864FEFF3C +:1000E0009864FEFF9864FEFF9864FEFF9864FEFF2C +:1000F0009864FEFF9864FEFF9864FEFF9864FEFF1C +:100100000064FEFF2864FEFF9864FEFF9864FEFF13 +:100110009864FEFF9864FEFF9864FEFF9864FEFFFB +:100120009864FEFF8864FEFF9864FEFF9864FEFFFB +:100130003861FEFF4062FEFF9864FEFF9864FEFF98 +:100140009062FEFF9864FEFF0863FEFF9864FEFF66 +:100150009864FEFF5063FEFFF468FEFF6069FEFFD7 +:100160000069FEFF6069FEFF6069FEFF6069FEFFD7 +:100170006069FEFF9867FEFF6069FEFF6069FEFF31 +:10018000C067FEFFD067FEFF6069FEFFE867FEFF05 +:10019000F867FEFFF867FEFFF867FEFFF867FEFFEF +:1001A000F867FEFFF867FEFFF867FEFFF867FEFFDF +:1001B000F867FEFF6069FEFF6069FEFF6069FEFF91 +:1001C0006069FEFF6069FEFF6069FEFF6069FEFF17 +:1001D0006069FEFF6069FEFF6069FEFF6069FEFF07 +:1001E0006069FEFF6069FEFF6069FEFF6069FEFFF7 +:1001F0006069FEFF6069FEFF6069FEFF6069FEFFE7 +:100200006069FEFF6069FEFF6069FEFF6069FEFFD6 +:100210006069FEFF6069FEFF6069FEFF6069FEFFC6 +:100220006069FEFF6069FEFF6069FEFF6069FEFFB6 +:100230006069FEFF6069FEFF6069FEFF6069FEFFA6 +:100240006069FEFF6069FEFF6069FEFF6069FEFF96 +:100250006069FEFF6069FEFF3C68FEFF7868FEFF94 +:100260006069FEFF6069FEFF6069FEFF6069FEFF76 +:100270006069FEFF6069FEFF6069FEFFE468FEFFE3 +:100280006069FEFF6069FEFF6C65FEFFC066FEFFF1 +:100290006069FEFF6069FEFF5067FEFF6069FEFF58 +:1002A0007C67FEFF6069FEFF6069FEFF5469FEFF28 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020515065656B207461736B73200D0A00AA +:1003E0006D69616F750A00006D69616F75320A0091 +:1003F00068756E6772790A00436865636B54696D4E +:1004000065720000515065656B5F546573745F5190 +:1004100075657565000000005065656B4C00000057 +:100420005065656B4D0000005065656B48310000FC +:080430005065656B48320000C5 +:100438001000000000000000017A5200017C010158 +:100448001B0D020010000000180000009871FEFF4C +:100458005804000000000000100000002C000000FC +:10046800DC75FEFF340400000000000010000000EE +:1004780040000000FC79FEFF1004000000000000AE +:0804FC00FC8F01000900000063 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/QPeek_rv32imac_O3.hex b/VexRiscv/src/test/resources/freertos/QPeek_rv32imac_O3.hex new file mode 100644 index 0000000..ca485a7 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/QPeek_rv32imac_O3.hex @@ -0,0 +1,1589 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900021C7170502001305E54A979503009385CC +:1000A000E57201461122170502001305253E97054A +:1000B00002009385A5440146C52817A50300130532 +:1000C000257197B503009385657037B6ABAB130602 +:1000D000B6BAD92817B103001301816FEF505054FD +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2C22823A02200F3221034D6 +:1002400096DEEF00002B1701020003216127024117 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E22923A0120023A2A9 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40B025170102000321DA +:1003300021190241F65273901234B72200009382C1 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A21B83A0B0 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2C20623A0220086DEEF20BC +:10046000402D170102000321A1050241F6527390AD +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF40D005EF10700901E57A +:10050000B24041018280B24041016F2080229307B6 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30101F1F +:1005B0008280411122C406C62A8426C2EF209010F0 +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF20A0566DD5EF2080763C44FD1780 +:1005F0003CC43C44E344F0FEFD573CC4EF20D00E25 +:10060000EF20500C7C40635BF0021C4885CB9304C8 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2060526DD5EF2040729F +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20100A29C5411151 +:1006500022C426C22A8406C6AE84EF20B0063440E7 +:100660005C5C1840232C0402B387F6027D5670C0F0 +:1006700018C430C4B386D740BA9736975CC058C404 +:1006800091EC1C489DE3EF203006B2402244924496 +:100690000545410182807370043001A013050401F7 +:1006A000BD3513054402A535F9BF13050401EF203C +:1006B000E0498547E319F5FC913BF1B701E573701B +:1006C000043001A0011126CA4AC84EC606CE22CC6B +:1006D000B2892E89AA84A5C53305B502130555052F +:1006E000EF3080732A8435C1930745051CC144DC73 +:1006F00023202405EF20007D34405C5C18407D56AB +:10070000B387F602232C040270C018C430C413054A +:100710000401B386D740BA9736975CC058C4C53B2E +:1007200013054402ED33EF20207C23083405228595 +:10073000F2406244D2444249B249056182801305C5 +:100740004005EF30606D2A8401E57370043001A02C +:1007500000C071BF01E57370043001A06375B5007E +:100760007370043001A0411122C409462E848145D2 +:1007700006C6A93711C500DDB2402244410182807E +:100780007370043001A05D7186C6A2C4A6C2CAC03F +:100790004EDE52DC56DA5AD85ED632C6630A051CE3 +:1007A0006382051C89476399F600585D8547630598 +:1007B000F7007370043001A03689AE842A84EF20DC +:1007C000A05B11E5B24781C77370043001A0EF2030 +:1007D000606F185C5C5C014B894BFD59130A040186 +:1007E000930A44026365F70C63077919B247638182 +:1007F000072463150B002808EF206048EF20C06E27 +:10080000EF10C04FEF20006C7C406394370123222F +:1008100004043C446394370123240404EF20C06C97 +:100820006C002808EF20C047631E051EEF2080697A +:10083000185C5C5C630CF70AEF20006BEF208068AB +:100840003C44634FF00039A85685EF20203019C191 +:10085000EF2000503C44FD173CC43C446354F0007E +:100860005C50FDF323243405EF200068EF20806501 +:100870007C40634FF00039A85285EF20202D19C12C +:10088000EF20004D7C40FD177CC07C406354F0009D +:100890001C48FDF323223405EF200065EF10006BA8 +:1008A000054BEF202062185C5C5CE37FF7F2304080 +:1008B0006305060C631F09120844A685EF30006E1D +:1008C0001C4434405840B6971CC463EAE70A1C5CD9 +:1008D00014405850850714C41CDC5DC7130544023E +:1008E000EF20C02685476311F50AC9A8EF20C05F35 +:1008F000B2455285EF204007EF20C05C3C44634F77 +:10090000F00039A85685EF20602419C1EF2040443B +:100910003C44FD173CC43C446354F0005C50FDF380 +:1009200023243405EF20405CEF20C0597C40634F06 +:10093000F00039A85285EF20602119C1EF20404115 +:100940007C40FD177CC07C406354F0001C48FDF3E4 +:1009500023223405EF204059EF10405F31F17D3400 +:10096000054B81B73C41E38F07E27370043001A06F +:100970007370043001A0304021E61C4085C71C5C28 +:10098000585085071CDC39FBEF2000560545B64062 +:10099000264496440649F259625AD25A425BB25BE7 +:1009A000616182804840EF2040491C5C58502322FE +:1009B000040085071CDCE31307F279D5813CE9B715 +:1009C0004844A685EF30805D3C4058441440B3074E +:1009D000F0403E9758C4637CD7025840BA975CC435 +:1009E00009475C506306E902185C050718DCC9DF9B +:1009F000F5B54844A685EF30605A3C405844144051 +:100A0000B307F0403E9758C4E37CD7FCF9B75C507D +:100A1000185C79DB185C7D1718DC185C050718DC9E +:100A2000A5D76DBD22857136EF104052014585B7BF +:100A3000EF20804B0145A1BF411126C2AA841305B6 +:100A4000400522C406C6EF30203D2A8415CD232C54 +:100A50000502FD577CC10547410558DC3CC42322F3 +:100A60000400232004002324040023260400232060 +:100A7000040423089404613C13054402493C814664 +:100A800001468145228501332285B24022449244A9 +:100A9000410182800DC5411122C426C206C64AC04A +:100AA000032945002A84EF20602C8144630CA900AF +:100AB000B2402244268502499244410182807370EB +:100AC000043001A05C448544FD175CC4F5F3814605 +:100AD0000146814522854539E1BF31CDA1C90111CA +:100AE00022CC26CA4AC852C406CE4EC68947B6840E +:100AF00032892E8A2A846399F600585D8547630FF0 +:100B0000F7047370043001A0C932185C5C5CAA89D8 +:100B10006366F70281444E857532F2406244268551 +:100B20004249D244B249224A056182803C41C5DB38 +:100B30007370043001A07370043001A0304041E2B2 +:100B40001C40D5CB1C5C7D5785071CDC3C4463876F +:100B5000E7083C44854485073CC475BFBD32185C3A +:100B60005C5CAA89636DF702304079DA4844D2852B +:100B7000EF30C0423C4058441440B307F0403E9729 +:100B800058C46375D7005840BA975CC48947E39B43 +:100B9000F4FA1C5CC5DB1C5CFD171CDC65B730403F +:100BA00045D24844D285EF30603F3C405844144021 +:100BB000B307F0403E9758C4E367D7FCD9BFDDF4D4 +:100BC0000844D285EF30803D1C4434405840B697ED +:100BD0001CC4E3E9E7F61C401CC4ADB75C50854477 +:100BE0009DDB13054402EF10707615D5E30509F27D +:100BF000232099000DB74840EF2020242322040031 +:100C000091B721CD3C4181C77370043001A01C41D4 +:100C1000A1CB011122CC26CA2A844AC84EC606CED0 +:100C20002E896530185C5C5CAA898144637DF7007D +:100C30001C5C7D5785071CDC3C446388E7023C4410 +:100C4000854485073CC44E85B538F240624426850C +:100C50004249D244B249056182807370043001A0D8 +:100C60005C41C5DB7370043001A05C5099E385449E +:100C7000D9BF13054402EF10706D75D9E30909FE61 +:100C800085472320F900E5B7397106DE22DC26DA34 +:100C90004AD84ED652D456D232C66302051C638A55 +:100CA000051AB68AAE892A84EF20000D11E5B247F5 +:100CB00081C77370043001A0EF20C0201C5C6392D8 +:100CC0000714B247638E070A2808EF10507BFD54C3 +:100CD00013094402130A0401EF200021EF1000025F +:100CE000EF20401E7C4063949700232204043C4480 +:100CF0006394970023240404EF20001F6C0028084D +:100D0000EF10107A63130518EF20C01B1C5CADCFE9 +:100D1000EF20801DEF20001B3C44634FF00039A8FA +:100D20004A85EF10B06219C1EF2080023C44FD17E4 +:100D30003CC43C446354F0005C50FDF324C4EF20F9 +:100D4000A01AEF2020187C40634FF00039A852858C +:100D5000EF10D05F19C1EF10B07F7C40FD177CC051 +:100D60007C406354F0001C48FDF364C0EF20C017C2 +:100D7000EF10C01DEF2000151C5CC1E7B247A9FFB2 +:100D8000EF208016014555A0EF2000161C40DDC75E +:100D9000B2454A85EF10503DEF20C0123C44634FEE +:100DA000F00039A84A85EF10705A19C1EF10507A37 +:100DB0003C44FD173CC43C446354F0005C50FDF3DC +:100DC00024C4EF206012EF20E00F7C40634FF0005E +:100DD00039A85285EF10905719C1EF1070777C40F9 +:100DE000FD177CC07C406354F0001C48FDF364C0D8 +:100DF000EF20800FEF10801531C9EF20A00C1C5C94 +:100E0000B5DFCE8522854444EFF0CFF8639C0A0419 +:100E10001C5C1840FD171CDC01E7EF20601148C086 +:100E20001C48A1EFEF20400C0545F2506254D2540B +:100E30004259B259225A925A21618280EF20800889 +:100E40004840EF107075EF20200A99B7EFF00FDCE3 +:100E50006DB73C41E38707E47370043001A0737001 +:100E6000043001A05C5044C4D5DF13054402EF10E8 +:100E7000F04D4DD9EFF08FD975B713050401EF1080 +:100E8000F04C8547E310F5FAF5B72285EFF06FF2E5 +:100E9000EF10C00B014551BF1DC9411122C44AC00A +:100EA00006C626C244412E892A84EF10306C638323 +:100EB000A40281464A8681452285F933854763002D +:100EC000F502B2402244924402494101828073708B +:100ED000043001A05C44054585075CC4DDB75C4473 +:100EE00085075CC4F9BF15CDADC9011122CC26CA56 +:100EF0004AC84EC652C42A8406CE3289AE89EFF063 +:100F0000CFDC1C5C2A8A814485E35285EFF08FDBBD +:100F1000F240624426854249D244B249224A0561E0 +:100F200082807370043001A0304001CE4C445C409C +:100F3000B2954CC463E4F5000C404CC44E85EF30D0 +:100F4000E0051C5C7D57FD171CDC7C40638CE700D2 +:100F50007C40854485077CC04DBF3C41D9D7737028 +:100F6000043001A01C4899E3854445B713050401EA +:100F7000EF10D03D75D9E30909FE85472320F9001C +:100F8000E5B721C93C41A1CDA1CB011122CC26CA94 +:100F90004AC852C42A8406CE4EC62E8AEFF0EFD23B +:100FA0001C5C2A89814491CB8329C400D285228587 +:100FB000EFF04FDE8544232634014A85EFF08FD0D1 +:100FC000F240624426854249D244B249224A056130 +:100FD00082807370043001A07370043001A0EDDFD3 +:100FE0007370043001A001E57370043001A0411159 +:100FF00022C42A8406C6EF10F06C005CEF10D06E9D +:10100000B240228522444101828001E573700430A0 +:1010100001A0411106C622C42A84EF10B06A1C5CEC +:10102000405C1D8CEF10506C2285B240224441017F +:10103000828019C1085D82807370043001A01DCDCB +:101040009787030093870774D843630CE502D8475A +:10105000630CE504D84B6307E504D84F6302E5044D +:10106000D853630DE502D8576308E502D85B6303E4 +:10107000E502D85F630EE5006F20306C73700430BA +:1010800001A001470E07BA9723A007006F20F06A5E +:101090001D47CDBF1947FDB71547EDB71147DDB760 +:1010A0000D47CDB70947F9BF0547E9BF68458280BD +:1010B0006CC5828003450505828009C5085D13352E +:1010C000150082807370043001A019C51C5D485D55 +:1010D0001D8D1335150082807370043001A0978731 +:1010E00003009387276A984305C3984705C7984B21 +:1010F00005C7984F05C7985305C7985705C7985B0C +:1011000015C7985F15C3828001470E07BA978CC335 +:10111000C8C382800547D5BF0947C5BF0D47F5B78E +:101120001147E5B71547D5B71D47C5B71947F1BFF3 +:101130009787030093870765D8436308E502D8477C +:10114000630BE502D84B630AE502D84F6309E50259 +:10115000D8536308E502D8576307E502D85B6308F4 +:10116000E502D85F6303A702828001470E07BA97A2 +:1011700023A0070082800547D5BF0947C5BF0D479B +:10118000F5B71147E5B71547D5B71D47C5B7194797 +:10119000F1BF411122C426C24AC02A8406C6AE84C9 +:1011A0003289EF1030527840FD576314F700232244 +:1011B00004043844FD576314F70023240404EF109B +:1011C000B0521C5C99E74A86A68513054402EF10CD +:1011D000B00CEF10304F3C44635BF0025C5085CBA9 +:1011E0009304440209A83C44FD173CC43C446350AA +:1011F000F0025C5089CF2685EF1050156DD5EF10A9 +:1012000030353C44FD173CC43C44E344F0FEFD57FC +:101210003CC4EF10704DEF10F04A7C40635BF0026D +:101220001C4885CB9304040109A87C40FD177CC0B1 +:101230007C406350F0021C4889CF2685EF101011C6 +:101240006DD5EF10F0307C40FD177CC07C40E3444E +:10125000F0FEFD577CC02244B24092440249410155 +:101260006F10904805C579714ECE52CC06D63E8A95 +:1012700022D426D24AD056CA5AC85EC662C4A547EE +:10128000BA8963F8E7007370043001A0737004300A +:1012900001A0AA8AAE843289B68B428B63090822E8 +:1012A00013050006EF2050372A84630D05200A0934 +:1012B000232865034A869305500A5A85EF20105C5F +:1012C00003C704001C587119230AE40203C7040071 +:1012D0003E991379C9FF79C783C71400A30AF402A2 +:1012E00083C71400E1C383C72400230BF40283C720 +:1012F0002400CDCB83C73400A30BF40283C7340092 +:10130000D5C383C74400230CF40283C74400D9CB60 +:1013100083C75400A30CF40283C75400C1C783C71A +:101320006400230DF40283C76400ADCF83C774004B +:10133000A30DF40283C77400B5C783C78400230ECE +:10134000F40283C78400B9CF83C79400A30EF402CC +:1013500083C79400A1CB83C7A400230FF40283C7E3 +:10136000A400A9C383C7B400A30FF40283C7B400C9 +:1013700095CB83C7C4002300F40483C7C4009DC376 +:1013800083C7D400A300F40483C7D40081CF83C7EC +:10139000E4002301F40483C7E40089C783C7F40091 +:1013A000A301F404130B44005A85A301040423266B +:1013B000340323283405232A0404EFF08F96130501 +:1013C0008401EFF00F96A947B3873741232C04041B +:1013D00000C81CCC40D023220404232E04045E86C3 +:1013E000D6854A85EFF00F8F08C063040A002320DA +:1013F0008A007370043017F901001309A90A8327C2 +:10140000090097F401009384A40B91C798409C4075 +:101410007C4385077CC317F70100130767091C434A +:10142000850797F6010023A5F6089C40D5CB8327B6 +:10143000090017FA0100130AAA1889EB9C40DC572F +:1014400063E6F90097F7010023AC870697F70100E0 +:1014500093874704984397F601009386E6045C540B +:101460009442050717F601002326E60238C463F606 +:10147000F60017F701002329F7025147B387E70267 +:10148000DA853305FA00EFF02F8A8327090081C738 +:101490009C40FC43BDE383270900054499C79C4059 +:1014A000DC5763F43701EFE07FF62285B250225417 +:1014B00092540259F249624AD24A424BB24B224CF0 +:1014C000456182805A85EF2050277D54F9BF13155E +:1014D0002600EF2070142A8BE31405DC7D54F1B74D +:1014E00097F7010023AE87FC184385476301F70295 +:1014F00017FA0100130ACA0C91BF984094407C432C +:10150000FD177CC3FC42C1FB7360043069B7814A9C +:1015100017FA0100130ACA0A514CA94B33858A03F2 +:10152000850A5295EFE0BFFEE39A7AFF97FB010030 +:10153000938B8B155E85EFE09FFD97FA0100938AF0 +:10154000EA155685EFE0BFFC17F5010013054516B7 +:10155000EFE0FFFB17F501001305C516EFE03FFBB9 +:1015600017F5010013054517EFE07FFA97F7010023 +:1015700023A677F597F7010023A057F5C1BD4111C8 +:1015800022C406C626C24AC02A847370043097F467 +:101590000100938424F19C40F9E311E497F70100E2 +:1015A000938707F28043130944004A85EFE05FFC0C +:1015B0001C5489C713058401EFE09FFBCA8517F50A +:1015C000010013052510EFE03FF697F70100938720 +:1015D00067EE984397F701009387C7EB9C43050795 +:1015E00097F6010023A8E6EC9840850797F60100DE +:1015F00023A2F6EA01CB97F70100938767EC9843A3 +:10160000784349EF9C40B1C797F70100938747EBB8 +:101610009843630F870873700430984039EB17F7CD +:1016200001001307A7E914439442A5E27D5797F6FA +:10163000010023AFE6E4984009CF9843784311CBEB +:10164000984394437C43FD177CC3FC4299E3736049 +:101650000430B2402244924402494101828097F70B +:1016600001009387E7E598439C437C4385077CC34F +:101670002DB794439843F8420507F8C217F70100C5 +:101680001307C7E314439442D5D218435847584729 +:10169000584397F6010023ADE6DE71BF98439443AB +:1016A0007C43FD177CC3FC42B1FF7360043099BFDB +:1016B00097F70100938787DD9C4381C773700430DF +:1016C00001A02244B2409244024941016FE01FD47C +:1016D00041C517F701001307A7DE14438147638B49 +:1016E000A606411106C622C426C27370043097F6BE +:1016F0000100938626DB9C4291C710431C437C4229 +:1017000085077CC29C42AA84404981C71C43FC4394 +:10171000B9E797F70100938767DA9C43638B8704E7 +:1017200097F70100938747D99C436384870497F711 +:101730000100938767FA6309F40297F70100938722 +:1017400067F86301F4028547B240224492443E8523 +:10175000410182803E8582807370043001A0EF4099 +:10176000806145BF9147CDB79C5493B71700890757 +:10177000E1BF8947D1BF7370043097F701009387A9 +:1017800067D2984309EF15C59C43485581CB97F71D +:1017900001009387E7D29843784315E3828017F7D7 +:1017A00001001307E7D114431843F8420507F8C2B4 +:1017B00061FD17F701001307A7D00843F1B798435D +:1017C00094437C43FD177CC3FC42E9FB7360043007 +:1017D0008280411122C42A8406C6EFE01FCF11E4A3 +:1017E00097F701009387C7CD80434054EFE09FCD2A +:1017F000B2402285224441018280A54763F5B700AB +:101800007370043001A0011122CC06CE26CA4AC84A +:101810004EC652C42A847370043017F901001309AC +:1018200069C883270900D5EF79C43C486389F50866 +:1018300017F701001307C7C863FCB7001443638D93 +:10184000860C18434457B3B4950093C4140029A0E0 +:101850000443818C93B4140058546384E70A1C4CED +:101860002CC863C60700A947B385B7400CCC9317B3 +:101870002700BA97584897F90100938969D48A07D5 +:10188000CE97631BF702130A44005285EFE05FCE48 +:1018900017F70100130747C15C5418436376F7003C +:1018A00017F701002322F7C05147B387E702D2851B +:1018B0003385F900EFE05FC799C0EFE03FB58327BC +:1018C000090081CB97F70100938787BF9C43FC43B6 +:1018D0009DEBF2406244D2444249B249224A05613A +:1018E000828097F701009387A7BD98439C437C4370 +:1018F00085077CC31DF897F70100938767BC804379 +:101900002DB74CD4A9BF6244F240D2444249B249F7 +:10191000224A05616F40204681443DBF25C1011127 +:1019200026CA97F401009384A4B99C4006CE22CC29 +:101930004AC84EC6638DA7027370043017F90100C0 +:10194000130949B68327090091C798409C407C43FE +:1019500085077CC3584997F701009387E7D763024F +:10196000F7028327090081C79C40FC43A5EBF240A6 +:101970006244D2444249B2490561828073700430A6 +:1019800001A01C5517F70100130787D2E38BE7FC72 +:10199000E9FB930945002A844E85EFE07FBD17F7E8 +:1019A0000100130767B05C5418436376F70017F71C +:1019B0000100232BF7AE5147B387E70217F501006B +:1019C000130505C0CE853E95EFE01FB69C405854E8 +:1019D000DC57E368F7F8EFE07FA361B76244F240B9 +:1019E000D2444249B24905616F40E03815CD01113A +:1019F00022CC26CA4AC82A8406CE4EC6EFE0FFACE7 +:101A0000584897F70100938727CD2A8981446300BE +:101A1000F7024A85EFE01FABF24062442685424957 +:101A2000D244B249056182807370043001A01C5415 +:101A300017F501001305C5C7E38DA7FCF9FB97F760 +:101A400001009387A7A49C43A9EB97F70100938714 +:101A500027A79C43445493094400DC574E85B3B4F4 +:101A6000F400EFE0FFB017F701001307E7A35C54A1 +:101A7000184393C414006376F70017F70100232579 +:101A8000F7A25147B387E70217F50100130545B3E5 +:101A9000CE853E95EFE05FA9ADBF93058401EFE0F1 +:101AA000BFA885BF0111056506CE22CC26CA4AC84B +:101AB0004EC652C456C25AC0EF200036630E051AF5 +:101AC000AA8413050006EF2020352A84630A051C2A +:101AD00005669305500A04D92685EF20205A04583C +:101AE0008567F117BE94B7474C4593879744130A0F +:101AF00044005CD85285230C0402A301040423266D +:101B0000040223280404232A0404EFE09FA1130500 +:101B10008401EFE01FA1A947232C0404F19800C819 +:101B20001CCC40D023220404232E04040146971524 +:101B300000009385E5B12685EFE0DF9908C073705A +:101B4000043017F901001309E9958327090097F478 +:101B500001009384E49691C798409C407C4385079C +:101B60007CC317F701001307A7941C43850797F65A +:101B7000010023AFF6929C40C9C38327090097F95F +:101B800001009389E9A381EB9C40DC5789E797F733 +:101B9000010023A7879297F701009387A78F9843A7 +:101BA00097F60100938646905C549442050717F619 +:101BB00001002321E68E38C463F6F60017F7010012 +:101BC0002324F78E139527003E950A05D2854E955E +:101BD000EFE09F958327090081C79C40FC43DDE728 +:101BE0008327090091C39C40EF1070498547630526 +:101BF000F50C59E17370043001A097F7010023A19F +:101C0000878C1843854797F901009389699BE314F2 +:101C1000F7F8CE8A17FB0100130B0BA75685D10AE4 +:101C2000EFE0FF8EE31C5BFF17F501001305C5A570 +:101C3000EFE0FF8D97FA0100938A4AA65685EFE000 +:101C40001F8D17F501001305A5A6EFE05F8C17F5B2 +:101C50000100130525A7EFE09F8B17F50100130581 +:101C6000A5A7EFE0DF8A97F7010023A9678597F71B +:101C7000010023A3578505B7F2406244D24442498C +:101C8000B249224A924A024B0561828098409440B0 +:101C90007C43FD177CC3FC42A1F77360043089B715 +:101CA0006244F2404249B249224A924A024B268596 +:101CB000D24405616F20602873700430FD5717E728 +:101CC00001002327F77C624497E7010023ACA77C3F +:101CD000F240D2444249B249224A924A024B97E723 +:101CE000010023A5077C05616FE0AFDB7370043052 +:101CF00097E7010023A8077A6FE0EFE817E70100F4 +:101D00001307C7781C4385071CC382807370043097 +:101D100097E7010093870779984305E317E70100E8 +:101D20001307C77808439C4381CB97E7010093874B +:101D300027799843784301EF828017E70100130762 +:101D4000277814431843F8420507F8C2C1BF9843E7 +:101D500094437C43FD177CC3FC42F9FF736004305D +:101D60008280411106C622C4EFE02FF697E70100FA +:101D70009387C7738043EFE0EFF4B240228522449B +:101D80004101828097E701009387877288438280B0 +:101D9000797106D622D426D24AD04ECE52CC56CA1B +:101DA0005AC85EC697E701009387476E9C43B1EF20 +:101DB00017E701001307876F1C43850797E60100AB +:101DC00023A6F66E832A0700638F0A0697E70100B1 +:101DD0009387076C9C43814463F1FA0897E90100FB +:101DE0009389097E17EA0100130A8A6D83270A0086 +:101DF0008546D85793172700BA978A07BE9983A7B5 +:101E0000090063FFF600854421A897E70100938746 +:101E100027699C438144850717E701002322F7685F +:101E200097E70100938787679C4391C38544B2502D +:101E30002254268502599254F249624AD24A424BB0 +:101E4000B24B4561828017E40100130424671C40F3 +:101E50009C43DDC37370043001A017E40100130438 +:101E6000E46597E901009389A97517EA0100130A4F +:101E70002A6517EB0100130B2B631C409C43B5C371 +:101E80001C40DC4703A9C70083274900930B490086 +:101E90005E8563EEFA04EFE0AFED83278902130558 +:101EA000890199C3EFE0CFEC8327C90203270B0018 +:101EB000DE85139527003E950A054E956376F7005B +:101EC00017E701002322F75EEFE00FE683270A0001 +:101ED0000327C902DC57E362F7FA1C4085449C43A0 +:101EE000C5F3FD5717E701002324F75A01B717E799 +:101EF0000100232FF758DDBD17E701001307C75B6B +:101F00001C40184397E6010023AAE65A17E7010090 +:101F10002324F75A97E70100938707589C438507C6 +:101F200017E70100232AF7561C409C4399E7FD5709 +:101F300017E70100232EF75451BD1C40DC47DC4756 +:101F4000DC4317E701002325F75449B597E7010063 +:101F50009387C753984301E77370043001A00111C0 +:101F600006CE22CC26CA4AC84EC652C456C25AC051 +:101F70007370043097E401009384C452984061EB7D +:101F800098437D1797E6010023A2E6509C43D9EBC6 +:101F900097E701009387C7519C43C9C797EA01009F +:101FA000938A0A6217EA0100130A0A5017E901002E +:101FB00013090951854919A83385EA00EFE0CFD606 +:101FC000832709005854DC576379F70483A70A0F65 +:101FD000C1CF83A7CA0FC04713058401EFE04FD9D3 +:101FE000130B44005A85EFE0AFD85C5483260A00F7 +:101FF000DA85139527003E950A052A875695E3FD55 +:10200000F6FA17E701002321F74AEFE0EFD1832723 +:1020100009005854DC57E36BF7FA97E7010023AF48 +:1020200037476DB701449C4081CB97E70100938708 +:1020300027499C43FC4395E72285F2406244D24401 +:102040004249B249224A924A024B0561828017E70F +:1020500001001307E74614431843F8420507F8C286 +:1020600005B7EF305051C9BF17E4010013044443D2 +:102070001C4095C31C40054999CF193B09C597E7FA +:10208000010023AD27411C40FD1717E7010023295C +:10209000F7401C40FDF397E70100938727409843E2 +:1020A0008547E311F7F8EFE06FB60544ADBF11CDFA +:1020B00081E57370043001A017E701001307073DA5 +:1020C0001C4399C77370043001A07370043001A0E1 +:1020D000411122C406C614431841850617E60100C3 +:1020E0002326D63A97E601009386463C9442330471 +:1020F000B70000C163F7E602637FE40063FD86007A +:1021000097E701009387C73B88431105EFE04FC66F +:102110002285EF3010401D3D01C9B24022444101EB +:102120008280E36FE4FCD9BF2244B24041016FE0FA +:10213000EFAD11C917E70100130747351C4391C7DD +:102140007370043001A06FE06FAC411106C622C469 +:102150001843AA87050797E6010023A9E63297E608 +:1021600001009386E63517E701001307273418436B +:1021700088423304F7001105EFE08FBF2285EF306E +:102180005039E93311E52244B24041016FE00FA814 +:10219000B240224441018280411122C406C697E721 +:1021A00001009387A72E9C430144850717E7010090 +:1021B000232EF72C97E701009387872F9C4363E03A +:1021C000F51217EE0100130E6E4B97E20100938299 +:1021D000623E01440147854F1303500A21A0311E7E +:1021E000638DC20B83278EFFBA86F5DB8327CEFF74 +:1021F000DC43232EFEFE638AC70F03AFC700DC4318 +:102200002A97814E232EFEFE6385C70783A8C70049 +:1022100093874803232017015CC383A788042326E0 +:10222000F7011CC783A7C8021CCB83A70805232C72 +:1022300007005CCB03A8080383470800639067048A +:10224000C287850783C60700B3850741E38B66FE17 +:102250008981C205C181231EB700850E13070702BD +:1022600063061F038327CEFFDC43232EFEFEE39F7E +:10227000C7F983274E00232EFEFE49BF8145231E4A +:10228000B700850E13070702E31E1FFD7694131790 +:102290005400311EBA86E397C2F597E70100938791 +:1022A000E72103AE070083270E00639D070E97E723 +:1022B00001009387672003AE070083270E00639217 +:1022C000071817EE0100130EAE2F83274E109DE363 +:1022D00083278E116395072019C223200600BD3184 +:1022E0002285B24022444101828083274E00232E62 +:1022F000FEFE21B783278E1017EF0100130F0F3D4D +:10230000DC4317E701002321F73C6380E73383A216 +:10231000C700DC43AA96814E17E701002326F73A4F +:10232000914F1303500A6388E70783A8C700938778 +:10233000480323A01601DCC283A7880423A6F60164 +:102340009CC683A7C8029CCA83A7080523AC0600C5 +:10235000DCCA03A80803834708006391672AC28781 +:10236000850703C70700B3850741E30B67FE93D7D3 +:102370002500C207C183239EF600850E93860602C0 +:102380006386122983278E10DC4317E70100232D73 +:10239000F732E39CE7F983270E1117E701002325A5 +:1023A000F73261B783274E00130F8E00D4432322E8 +:1023B000DE006388E629B307E500D84283A2C600A1 +:1023C000814E2322EE00894F1303500A6306EF0665 +:1023D0008328C7001387480323A01701D8C303A786 +:1023E000880423A6F70198C703A7C80298CB03A7C0 +:1023F000080523AC0700D8CB03A80803034708004F +:102400006318671C4287050783460700B305074129 +:10241000E38B66FE13D7250042074183239EE70026 +:10242000850E93870702638D121B83264E00D842C8 +:102430002322EE00E31EEFF80327CE002322EE0056 +:1024400041BF83274E00130F8E00DC432322FE0082 +:102450006384E71F83A2C700DC43AA96814E232230 +:10246000FE00894F1303500A6306FF0683A8C700C6 +:102470009387480323A01601DCC283A7880423A600 +:10248000F6019CC683A7C8029CCA83A7080523AC93 +:102490000600DCCA03A80803834708006396671098 +:1024A000C287850703C70700B3850741E30B67FEB3 +:1024B00093D72500C207C183239EF600850E93861D +:1024C0000602638B120F83274E00DC432322FE009B +:1024D000E31EFFF88327CE002322FE0041BF83279F +:1024E000CE1197EE0100938EAE1FDC4317E701007B +:1024F0002326F71E6384D71383AFC700DC4333075B +:10250000D500014397E6010023AAF61C0D4F89422E +:102510009308500A6387D707C8479307450308C342 +:102520005CC33C452326E7011CC75C551CCB1C55EE +:1025300099C3232657003C49232C07005CCB032872 +:1025400005038347080063951705C287850783C67F +:102550000700B3850741E38B16FF93D72500C20719 +:10256000C183231EF700050313070702638AAF0226 +:102570008327CE11DC4397E6010023A1F616E39DE5 +:10258000D7F983274E1297E6010023A9F61469B7FD +:102590008147231EF700050313070702E39AAFFCE8 +:1025A0001A94E31C06D225BB8147239EF600850EB4 +:1025B00093860602E39912F117EE0100130E4E0006 +:1025C00083274E10769493165400E38307D01DB3EF +:1025D0000147239EE700850E93870702E39712E5E4 +:1025E00097E70100938747ED03AE070076949316B3 +:1025F000540083270E00E38607CCA1B58147239EB4 +:10260000F600850E93860602E39E12D783278E116D +:10261000769493165400E38107CCD1B583274E12EC +:1026200017E70100232CF70AC1BD83270E1117E716 +:102630000100232BF708E1B98327CE002322FE00F7 +:1026400011BD8326CE002322DE00B5B3797126D2D8 +:102650004AD04ECE52CC56CA5AC85EC606D622D4EE +:1026600097E90100938989E217E90100130949F507 +:1026700017EA0100130A0AE397EA0100938A4AE481 +:1026800097EB0100938BCBE297E40100938484E203 +:10269000054B21A883A7090003244910850717E7E4 +:1026A00001002325F7DE5D3011E89C40E5F7832724 +:1026B0000900E37CFBFEEFD07FD5C5BF737004300B +:1026C00083270A00B9E383270911C0471305440093 +:1026D000EFD01FEA83A70B00FD1717E7010023299E +:1026E000F7DC9C40FD1717E701002325F7DC832763 +:1026F0000A0089C783A70A00FC4385E30858EF1046 +:10270000D0032285EF1070034DB703A70A0083A7FB +:102710000A007C4385077CC37DB703A70A0083A614 +:102720000A007C43FD177CC3FC42E9FB7360043064 +:10273000F1B797E70100938767D59C43DDE341112B +:1027400006C697E7010023AB07D417E7010013077C +:1027500027D71C43B7A6A5A59386565A9C5B8C43E6 +:10276000639BD500D0436318B60094476395C600B9 +:10277000DC476388D70008430C4393854503EF206B +:10278000C07297E60100938626D2904217E70100B7 +:10279000130707E311A89C42B9CB9C42FD1717E62B +:1027A00001002323F6D0904293172600B2978A07A0 +:1027B000BA979C43EDD3904293172600B2978A07AD +:1027C000B305F700D441A107BA97D442D4C16383BB +:1027D000F602DC46B24017E701002323F7CE4101A1 +:1027E0008280854717E70100232AF7CA8280737029 +:1027F000043001A0D1473306F602D442329754C3C5 +:10280000C9BF01114AC806CE22CC26CA4EC62A89A3 +:102810007370043097E401009384C4C89C4017E4AB +:1028200001001304E4C9D9E7631409000329040073 +:10283000930949004E85EFD0BFD38327890289C70A +:1028400013058901EFD0DFD2CE8517E5010013050E +:10285000A5E8EFD07FCD9C4081C71C40FC43ADE391 +:102860001C40638B27079C409DCF737004309C40B5 +:10287000C1EB97E70100938767C49843184325EBA2 +:10288000FD5717E701002325F7C09C4089CF1C4066 +:10289000FC4391CB184014407C43FD177CC3FC42A1 +:1028A00099E373600430F2406244D2444249B24931 +:1028B0000561828018401C407C4385077CC3ADB70E +:1028C000184014407C43FD177CC3FC42D1FB73606D +:1028D00004301C40E39927F99C40A1C397E701000D +:1028E0009387C7BA9C43ADCB7370043001A09C435F +:1028F000DC47DC47DC4317E70100232BF7B871B74F +:1029000018401C407C4385077CC397E701009387F0 +:10291000E7BA984318432DD7D9BF97E701009387AB +:1029200027B917E701001307A7C99C43032787119D +:10293000631DF700F240624497E7010023A207B845 +:10294000D2444249B249056182806244F240D24495 +:102950004249B2490561F1BB6244F240D244424966 +:10296000B24905616FD09FAA3DC9411126C297E4C3 +:1029700001009384E4B44AC02E898C4006C622C468 +:10298000E105EFD0FFBB88401105EFD07FBEFD57BA +:10299000630AF90497E70100938747B180439840A1 +:1029A0009C434A9440C3636CF40497E70100938707 +:1029B000E7B088438C409105EFD09FB897E70100BE +:1029C000938707AD9C436376F40097E7010023A14A +:1029D00087ACB24022449244024941018280737024 +:1029E000043001A08C402244B2409244024917E5D1 +:1029F0000100130565CE910541016FD0FFB297E745 +:102A00000100938767AB884322448C40B2409244D4 +:102A10000249910541016FD0BFB211C997E701008A +:102A20009387C7A69C4399E77370043001A0737025 +:102A3000043001A0411126C297E40100938444A808 +:102A40009840AE878C40B7060080D58F06C622C45A +:102A50001CCFE1053284EFD03FAD88401105EFD0A7 +:102A60003FB1FD57630EF40097E70100938707A479 +:102A70008843B24092442295224441016F3060293C +:102A80008C402244B240924417E501001305C5C4AE +:102A9000910541016FD05FA925CD411126C297E470 +:102AA00001009384E4A14AC02E898C4006C622C44A +:102AB000E1053284EFD05FA788401105EFD05FAB0E +:102AC0008547630AF40497E701009387279E8043B4 +:102AD00098409C434A9440C3636CF40497E7010018 +:102AE0009387C79D88438C409105EFD07FA597E7DA +:102AF00001009387E7999C436376F40097E7010010 +:102B000023A88798B240224492440249410182801E +:102B10007370043001A08C402244B24092440249B8 +:102B200017E50100130545BB910541016FD0DF9FFB +:102B300097E7010093874798884322448C40B2402E +:102B400092440249910541016FD09F9F411106C6F1 +:102B500022C426C25C45C04735CC93048401268537 +:102B6000EFD01FA197E70100938747929C43B5E7F9 +:102B7000930444002685EFD0BF9F97E70100938719 +:102B8000A79258549C4363F6E70097E7010023ADF2 +:102B9000E79093172700BA978A0717E501001305F6 +:102BA00025A2A6853E95EFD03F9897E70100938731 +:102BB00027919C4358540145DC5763F8E70085474B +:102BC00017E70100232CF78C0545B24022449244BC +:102BD000410182807370043001A0A68517E50100D1 +:102BE000130505ADEFD05F94C9B797E70100938750 +:102BF000E7899C4381E77370043001A0411106C648 +:102C000022C426C2B70700804045CD8F1CC12DC409 +:102C1000EFD01F96930444002685EFD07F9597E769 +:102C200001009387678858549C4363F6E70097E751 +:102C3000010023ABE78693172700BA978A0717E5A9 +:102C400001001305E5973E95A685EFD0FF8D97E728 +:102C500001009387E7869C4358540145DC5763F88D +:102C6000E700854717E70100232AF7820545B240B0 +:102C700022449244410182807370043001A011CD3E +:102C800097E7010093874781984397E7010093876F +:102C9000E7819C4318C15CC182807370043001A03D +:102CA00055C1D1CD411106C622C42A8773700430A4 +:102CB00097D801009388087F83A7080091CB97D706 +:102CC00001009387E77F94439C43FC428507FCC245 +:102CD00017D301001303837D9C4103260300FD5697 +:102CE0000144638FD70217DE0100130EEE7A03252D +:102CF0000E000328070054436305A80005446371D0 +:102D0000D6023308D6400544637CF80003250E0044 +:102D1000918F03260300B6979CC108C350C301449A +:102D200083A7080081CB97D70100938767799C43DD +:102D3000FC4381EF2285B2402244410182807370BE +:102D4000043001A07370043001A0EF30C002DDB781 +:102D5000854717D701002323F774828019C168457E +:102D600082800145828011C16CC5828097D70100A5 +:102D7000938707758843828097D70100938787726E +:102D80009C43054589CB97D70100938727708843DB +:102D9000133515000605828031CD011126CA97D45E +:102DA00001009384E4719C4006CE22CC4AC84EC6F2 +:102DB0005855DC576379F7021C4D63C707009C40E8 +:102DC000D457A947958F1CCD93172700BA97584918 +:102DD00017D901001309C97E8A07CA97630DF70046 +:102DE0009C40DC575CD5F2406244D2444249B2492F +:102DF000056182808280930945002A844E85EFD048 +:102E00002FF79C4017D701001307076A1843DC57B8 +:102E10005CD46376F70017D701002327F768139572 +:102E200027003E9562440A05F240D244CE854A9579 +:102E3000B249424905616FD02FEF19CD411106C645 +:102E400022C426C297D70100938787678043630710 +:102E5000A4007370043001A0014582807C4881E7A2 +:102E60007370043001A054543848FD177CC8638344 +:102E7000E60099C70145B240224492444101828054 +:102E8000930444002685EFD0AFEE3C4817D70100ED +:102E900013078761144329471D8F5CD418CC63F650 +:102EA000F60017D701002321F7605147B387E702E7 +:102EB00017D501001305C570A6853E95EFD0CFE666 +:102EC000054555BF7370043097D701009387875D20 +:102ED0009C4391CB97D701009387875E98439C438F +:102EE0007C4385077CC3828097D701009387875BEB +:102EF0009C438DC397D701009387875C98437843A1 +:102F000011CB984394437C43FD177CC3FC4299E367 +:102F100073600430828097D701009387675A90438B +:102F200094439843A947D456084E958F1CCF82806E +:102F300097D701009387C758984309C79443F84A25 +:102F40000507F8CA8843828079714AD006D622D410 +:102F500026D24ECE2A897370043097D40100938410 +:102F600064549C4017D4010013048455BDE31C40F5 +:102F7000BC4F89E71C400547F8CFD1E19C4081C791 +:102F80001C40FC43F1EB737004309C408DEF1C40FF +:102F9000A84F11C5630409021C4023AC07041C4060 +:102FA00023AE07049C4081C71C40FC438DEBB2500C +:102FB000225492540259F2494561828018403C4F94 +:102FC000FD173CCFE9BF18401C407C4385077CC3FC +:102FD0007DBF18401C407C4385077CC349BF184017 +:102FE00014407C43FD177CC3FC42F1F37360043052 +:102FF000B250225492540259F249456182800840ED +:103000002EC61105EFD0CFD6B245FD576380F50629 +:1030100097D701009387874983A9070018409C43ED +:10302000AE992322370163ECF90497D70100938707 +:10303000E74888430C409105EFD08FD097D7010027 +:10304000938707459C4363F6F90097D7010023A1B6 +:103050003745EFD0AFBB1DB7184014407C43FD1778 +:103060007CC3FC428DF37360043031BF0C4017D534 +:103070000100130565669105EFD00FCBD9BF97D737 +:1030800001009387674388430C409105EFD04FCBF5 +:10309000C9B779714AD04ECE06D622D426D252CCA8 +:1030A0002E89B2897370043097D401009384843FD1 +:1030B0009C4017D401001304A440F9EF184089473D +:1030C000784F630CF7001C401345F5FFB84F798D1E +:1030D000A8CF1C400547F8CFADE69C4081C71C40F7 +:1030E000FC43F5E3737004309C40B1E7638609004C +:1030F0001C40BC4F23A0F900184085470145784F7C +:10310000630BF7001C401349F9FF0545B84F3379AD +:10311000E90023AC27051C4023AE07049C4081C76F +:103120001C40FC43C1E3B250225492540259F2496C +:10313000624A4561828018401C407C4385077CC3FD +:1031400075B7084036C61105EFD08FC2B246FD579D +:103150006385F60897D701009387473503AA0700D0 +:1031600018409C43369A232247016363FA0897D795 +:1031700001009387A73488430C409105EFD04FBCE2 +:1031800097D701009387C7309C436370FA0697D79F +:10319000010023AF472F91A818401C407C438507AE +:1031A0007CC329BF184014407C43FD177CC3FC42FC +:1031B000BDFB73600430B250225492540259F2495C +:1031C000624A45618280184014407C43FD177CC3ED +:1031D000FC4289FB7360043031B70C4017D5010005 +:1031E0001305854F9105EFD02FB4EFD02FA2F5B581 +:1031F00097D701009387472C88430C409105EFD067 +:103200002FB4E5B751C1411106C622C426C24AC037 +:103210007370043097D401009384C4289C40B9EBA8 +:1032200099C23C4D9CC2B2878946704D74CD2E87A1 +:103230002A846386D70663FCF6048D456387B7004E +:1032400091456395B7006300D60C38CC8547630F72 +:10325000F60405449C4081CB97D70100938747260D +:103260009C43FC43A9E32285B24022449244024994 +:103270004101828097D701009387872498439C431C +:103280007C4385077CC369BF7370043001A0854609 +:10329000E39ED7FA3C4D33E7B70038CD45BF3C4DF0 +:1032A00085073CCD65B7EF20102D75BF130944008D +:1032B0004A85EFD0EFAB17D701001307E71E5C5428 +:1032C00018436376F70017D70100232FF71C5147E7 +:1032D000B387E70217D501001305852ECA853E95F1 +:1032E000EFD08FA41C5481C77370043001A097D70E +:1032F00001009387E71C9C435854DC57E3FBE7F439 +:10330000EFD0CF90B9B70144B1B739CD011122CC7C +:1033100026CA4AC84EC652C456C206CEBA89368A92 +:10332000B2842E892A84EFD04F9AAA8A63050A00B4 +:103330003C4C2320FA00784C89477CCC6389F4020A +:1033400063F4970C8D466387D40091466396D4004E +:10335000630AF70A232C24058547631EF7061C54CD +:1033600099CB7370043001A07370043001A03C4C01 +:1033700085073CCCD5B797D70100938727119C438D +:10338000ADEB930444002685EFD08F9E17D7010044 +:10339000130787115C5418436376F70017D70100B1 +:1033A0002324F7105147B387E70217D5010013050F +:1033B0002521A6853E95EFD02F9797D701009387BB +:1033C00027109C435854DC5763F7E70063850900D6 +:1033D000854723A0F90005445685EFD0AF8E22859E +:1033E000F2406244D2444249B249224A924A0561BB +:1033F00082809305840117D501001305652BEFD05A +:10340000AF9265BF0144C9BF8547E397F4F43C4CD4 +:1034100033E92701232C240581B74DC1011122CCAA +:1034200026CA2A844AC806CE4EC6AE84EFD0EF899B +:103430008947744C7CCC3C4C05472A8985073CCC99 +:103440006395E6061C5481C77370043001A097D7BA +:1034500001009387A7039C43ADE7930944004E8581 +:10346000EFD00F9117D70100130707045C541843DE +:103470006376F70017D701002328F7025147B38777 +:10348000E70217D501001305A513CE853E95EFD0B1 +:10349000AF8997D701009387A7029C435854DC5704 +:1034A00063F5E70099C085479CC06244F240D2446E +:1034B000B2494A85424905616FD0CF8073700430AC +:1034C00001A09305840117D501001305651EEFD0F7 +:1034D000AF85C1B7AA8729C57370043017D701001B +:1034E000130747FC14439DE2F04F894601456315DD +:1034F000D60023AE070405451C4381CB97D70100B6 +:10350000938707FC9843784315E3828097D60100A0 +:10351000938606FB904294427442850674C2E9B7D2 +:1035200097D701009387C7F99C437DB7984394438D +:103530007C43FD177CC3FC42E9FB7360043082804E +:103540005D71A6C2CAC052DC56DA5AD85ED686C6AB +:10355000A2C44EDE62D466D26AD017D90100130924 +:1035600029F717DB0100130BEBF597D401009384C7 +:10357000A4F597DA0100938A6AF5054AA54B8327DB +:103580000900984363010712DC4783A90700EFE0B5 +:10359000EFF6EFE0AFF783270B002A8C6362F50AA2 +:1035A00097D7010023A0A7F201466370351B88401E +:1035B000B3858941EFD0FFBDEFE05F99630105124C +:1035C0008840814601462C00EFD00FEC4DD9A24730 +:1035D000E3C807FEC24983A7490189C7138549008B +:1035E000EFC01FF9EFE08FF283270B002A8C636D89 +:1035F000F508A24717D70100232687EDE3E2FBFC7D +:10360000B317FA0013F70721631A071613F7770C9D +:103610006318071E93F70702C5D74E85EF00F01118 +:1036200045B7DC47C04783AC0700930944004E858B +:10363000EFC01FF45C50228582975C4C6384470B7B +:1036400083270900984371FF03A70A0097D601005A +:1036500023AA86E797D6010023AAF6E697D70100AA +:1036600023A8E7E6EFE09F8EA1BFDC47C04703AD8C +:103670000700930C44006685EFC09FEF5C502285E5 +:1036800082975C4C6386470983270900984371FF42 +:1036900003A70A0097D6010023AAF6E297D70100F4 +:1036A00023A8E7E2B9B7EFE06FE5EFE02FE6832765 +:1036B0000B002A8CE366F5F883A70A00884081494D +:1036C0009043B385894117D70100232D87DF133637 +:1036D0001600EFD01FACEFE07F87E31305EEEFC0DD +:1036E000FFD2F9BD1C4CCE85E69763E9FC049C40F3 +:1036F00089CF02CA66CC22CEEFF00FE8884081461F +:1037000001464C08EFD02F8805FD7370043001A0EE +:103710001C4CE685EA97636CFD049C4089CF02CA85 +:103720006ACC22CEEFF04FE58840814601464C0836 +:10373000EFD06F8531F97370043001A003250900C3 +:103740005CC000C8EFC0DFDFE5BDEFE03F8083274E +:103750000900DC47C047930C44006685EFC05FE179 +:103760005C4C638F47035C502285829791BD032593 +:1037700009005CC000C8EFC0BFDC39B7B24723AC5A +:10378000F900A5CFE29723A2F90023A83901938578 +:103790004900636FFC0403A50A00EFC07FDA0DB592 +:1037A0001C4C00C83387F90058C0636DEC02330C21 +:1037B0003C416363FC029C4089CF02CA4ECC22CEBE +:1037C000EFF08FDB8840814601464C08EFC0BFFB1D +:1037D00059F97370043001A003A50A00E685EFC013 +:1037E0003FD651B703250900E685EFC07FD5A5BFB9 +:1037F00003250900EFC0DFD4E1B37370043001A0EA +:10380000B24783A6890123A839013387D70023A2B1 +:10381000E9006364EC04B307FC4063EBD70483A7BF +:1038200049024E85829783A7C901E39B47D983A6A6 +:103830008901B2479840B69711CF02CA3ECC4ECE0E +:10384000EFF08FD38840814601464C08EFC0BFF3AC +:10385000E31805D67370043001A06374FC00E370B4 +:10386000F7FC0325090093854900EFC07FCD89BB94 +:1038700003A50A0093854900EFC09FCC91B3411185 +:1038800022C406C626C24AC017D401001304C4C30A +:10389000EFF04FE31C4095CBEFF00FE51C409DC3CC +:1038A000814801488147094781461306004097D562 +:1038B00001009385A5B0170500001305A5C8EFD03A +:1038C0007F9A39E97370043001A017D901001309F8 +:1038D000E9E14A85EFC0BFC397D40100938444E275 +:1038E0002685EFC0DFC20146B145114597D70100DB +:1038F00023A027BF97D7010023AA97BCEFC01FDCE6 +:1039000017D701002322A7BC11CD97D501009385BD +:1039100065AAEFD0CFFC49B7B24022449244024995 +:10392000410182807370043001A0A5C9797156CA23 +:10393000AA8A1305C00222D426D24AD04ECE52CC37 +:1039400006D65AC85EC63A89B689328AAE84E9215B +:103950002A8405C9EFF00FD797D701009387C7B620 +:103960009C439DCFEFF04FD8130544002320540112 +:1039700004CC232E44012320340323222403EFC04C +:103980005FBA2285B250225492540259F249624AD7 +:10399000D24A424BB24B456182807370043001A021 +:1039A00097DB0100938B8BD45E85EFC05FB617DB8E +:1039B0000100130BEBD45A85EFC07FB50146B1452A +:1039C000114597D7010023A577B197D7010023AF01 +:1039D00067AFEFC0BFCE17D701002327A7AE01C93D +:1039E00097D501009385059DEFD06FEFA5BF73704C +:1039F000043001A039C5011122CC06CE26CA17D445 +:103A00000100130464AC832804002A8801456385FF +:103A10000802BA842EC2368732C442C6954663C5B0 +:103A2000B602EFF06FB58947630BF5020840814697 +:103A300001464C00EFC03FD5F2406244D2440561DC +:103A400082807370043001A04C0081463A8646851E +:103A5000EFD0AF88F2406244D244056182800840D2 +:103A6000814626864C00EFC01FD2F9B719C1084124 +:103A700082807370043001A001E57370043001A0EE +:103A8000411106C622C42A84EFF0CFC3484833341C +:103A9000A000EFF06FC52285B24022444101828030 +:103AA00001E57370043001A0411122C42A8406C6C6 +:103AB000EFF04FC10050EFF02FC3B24022852244F7 +:103AC0004101828001E57370043001A0411122C4DC +:103AD00026C22E84AA8406C6EFF0CFBE80D0224430 +:103AE000B240924441016FF02FC04111714522C490 +:103AF00006C61D262A8411C5232005001105EFC026 +:103B00001FA12285B24022444101828001CDB70726 +:103B100000FFED8F81C77370043001A099E57370C9 +:103B2000043001A07370043001A0011122CC4AC8F6 +:103B30004EC652C456C206CE26CABA893689B28A41 +:103B40002E842A8AEFF04FA311E563850900737074 +:103B5000043001A0EFE08F9A83240A00B377940029 +:103B60006316090295C763870A009347F4FFE58F40 +:103B70002320FA00EFE08FBDF240624426854249DF +:103B8000D244B249224A924A05618280E30DF4FC94 +:103B9000E38209FEB3355001E20563050900B7076A +:103BA0000004DD8D4E86C18D13054A00EFE0FFE66F +:103BB000EFE0CFB919E1EFC07F85EFF0CFB59317F4 +:103BC0006500AA8963D80700B7040001FD14B3F4A7 +:103BD00099005DB7EFF00FAF83290A00B377340186 +:103BE000631E090089CB63880A009347F4FFB3F78B +:103BF00037012320FA00EFF02FAFF9B7E31DF4FEF1 +:103C0000DDB701C9B70700FFED8F99C773700430A6 +:103C100001A07370043001A0411122C42A8406C699 +:103C200026C24AC02E89EFF0EFA904409345F9FF60 +:103C3000B3F795001CC0EFF02FABB24022442685AD +:103C40000249924441018280411122C42A8406C65D +:103C5000EFC0BF870040EFC0FF86B24022852244FC +:103C60004101828009C9370700FFB3F7E50099C712 +:103C70007370043001A07370043001A06F206011D4 +:103C8000B70700FFF18F81C77370043001A001E610 +:103C90007370043001A0011122CC4AC84EC652C430 +:103CA00006CE26CA3689AE892A8A3284EFF0CF8CB6 +:103CB00021E1630509007370043001A0EFE00F8477 +:103CC00083240A00CE855285B3E49900613FB3771F +:103CD00094006307F40683240A00EFE02FA7F24064 +:103CE000624426854249D244B249224A0561828013 +:103CF000EFE0CF8083240A00CE855285B3E43401FF +:103D00009537B3F78400638D8702E30609FCB70596 +:103D100000054A86C18D13054A00EFE01FD0EFE091 +:103D2000EFA219E1EFC08FEEEFF0EF9E9317650061 +:103D3000AA8463DF0700B7070001FD17FD8C45B7B4 +:103D400083270A001344F4FFE18F2320FA0071B7A0 +:103D5000EFF04F9783240A00B377940063978700AE +:103D60009347F4FFE58F2320FA00EFF0EF97E1B7D8 +:103D7000411122C406C62A8426C2EFD03FF85C4017 +:103D800085C308489304C4006316950015A008482D +:103D900063009502B7050002EFE03FE55C40E5FBFC +:103DA0002285612A2244B240924441016FE00F9A79 +:103DB0007370043001A009C9370700FFB3F7E500AD +:103DC00099C77370043001A07370043001A06F10A4 +:103DD000507C01C9B70700FFED8F99C7737004309D +:103DE00001A07370043001A0411122C426C206C68E +:103DF0002A84AE84EFF00F8D184093C7F4FFF98F3B +:103E00001CC02244B240924441016FF0EF8D19C1B1 +:103E1000084D828001458280411122C406C62A8451 +:103E2000EFD0DFED97C701009387C76A9C43A1EBF2 +:103E3000E56717D701001307278EF11797C6010012 +:103E400023A0E66A17C70100232AF76817D70100E5 +:103E50002326F78C97C701009387076817D70100BF +:103E6000232CF78A854717C70100232D076617C73C +:103E700001002323076617C70100232DF76419E803 +:103E8000EFE0CF8CEF10E00101442285B2402244E4 +:103E9000410182801305840093773500C1EFE56707 +:103EA0001307F5FFE917E3EDE7FC97C601009386DA +:103EB00026639C4229A0984311C7BE86BA87D8437F +:103EC000E36BA7FE17C7010013070761E38AE7FA50 +:103ED000984341461384870098C2D843B306A7404D +:103EE0006378D6023388A7002322D800C8C383256D +:103EF000480097C601009386A65E11A0BA86984234 +:103F00005043E36DB6FE2320E80023A00601D8430A +:103F100097C701009387C75E9C43998F17C701001D +:103F20002320F75EEFE08F8231DC2285B24022440D +:103F300041018280719911059DB729C9411122C49F +:103F400006C62A84EFD09FDB930584FF0326C4FFB7 +:103F500017C701001307C75811A03E871C43D4435D +:103F6000E3EDC6FE232CF4FE0CC397C70100938734 +:103F7000275998438327C4FF2244B240BA9717C7F2 +:103F80000100232FF75641016FD05FFC828097C755 +:103F900001009387E7568843828082803367B500AB +:103FA0000D8BAA871DE79308D6FFAA982A87AE86AD +:103FB0006371150303A8060011079106232E07FF5E +:103FC000E36A17FF9347F5FFC697F19B9107BE95EC +:103FD000AA972A9663F3C70A938645001388470079 +:103FE000B3B6D70033B8050133E7F50093C6160022 +:103FF000134818000D8BB3E6060113371700758FB1 +:10400000330EF6409386170029CF1337AE0031EBFD +:1040100013532E002E88BE8601478328080005070B +:10402000110823A016019106E36967FE1377CEFFFE +:10403000BA95BA976302EE0483C60500138717008A +:104040002380D700637AC70283C615001387270031 +:10405000A380D7006372C70203C725002381E7004E +:104060008280B307F640AE97850503C7F5FF850646 +:10407000238FE6FEE39AF5FE82808280B367C50057 +:104080008D8BB308C500E9C36371150FB307A0405A +:104090008D8B13873700954693F5F50F13081500A0 +:1040A0003383A8407D166373D70015476363E60C1E +:1040B000D5CF2300B5000547638DE700A300B50009 +:1040C0000D47130825006396E70013083500230108 +:1040D000B500139685004D8E13970501518F33035C +:1040E000F34093968501D98E13562300AA97014772 +:1040F00094C305079107E36DC7FE1377C3FFB307AA +:10410000E8006307E3062380B70013871700637C8A +:104110001703A380B7001387270063761703238153 +:10412000B7001387370063701703A381B7001387A5 +:104130004700637A17012382B7001387570063741F +:104140001701A382B700828093F5F50F13978500BE +:10415000D98D139705014D8FE37715FFAA87910736 +:1041600023AEE7FEE3ED17FF828082802A8895B7B1 +:104170008280AA8749BF834705002A8799C705051A +:1041800083470500EDFF198D82800145828005057A +:104190008347F5FF850503C7F5FF91C7E389E7FE70 +:1041A0003385E74082808147E5BFAA87850503C73D +:1041B000F5FF8507A38FE7FE75FB82808346050028 +:1041C000930700026397F600050583460500E38D1B +:1041D000F6FE938756FD93F7D70F85C7AA878145CB +:1041E00085CE01458507138606FD1317250083C676 +:1041F00007003A9506053295F5F699C13305A040BA +:104200008280938536FD8346150093B5150093078C +:104210001500E1FA0145D5B7014582809C41238014 +:10422000A7009C4185079CC1828097C601009386A8 +:10423000A62B9C42175703001307C750138617007D +:10424000BA972380A70097C5010023AFC528A947C7 +:104250006308F500930700046305F60001458280BA +:1042600075DE370610F005078347F7FF2320F6F0C9 +:104270009C42FD1797C5010023A8F526EDF70145DF +:1042800082804D712322911423202115232A51135A +:10429000232481132326111423248114232E311364 +:1042A000232C4113232861132326711323229113F6 +:1042B0002320A113232EB111AA842E8932C6130CF8 +:1042C0005002A54A8347090063808703B9CB804029 +:1042D00011A0A1CB2300F40080400509050480C093 +:1042E00083470900E39787FF0346190093081900E5 +:1042F000C686130D0002FD597D5A0148130550056D +:104300009307D6FD93F7F70F138916006363F52A19 +:1043100017C70100130707CF8A07BA979C43BA97BC +:1043200082878320C1140324811483244114032928 +:1043300001148329C113032A8113832A4113032BF8 +:104340000113832BC112032C8112832C4112032DE4 +:104350000112832DC11171618280A14C02C4854775 +:1043600063D50715B2478040938B770093F68BFF98 +:1043700003AB060083A94600938786003EC6668687 +:1043800081465A85CE85EF1080632AC881476385B0 +:104390003723930D4101854B668681465A85CE852C +:1043A000EF10002C814666862A8BAE89EF102061C3 +:1043B000A24723A0AD0093861B00910D63843701B3 +:1043C000B68BD9BFE37E9BFF63DD4601A2872380C6 +:1043D000A70180407D1A930714009CC03E84E3C867 +:1043E00046FF93962B001C08BE9631A8938777054D +:1043F0002300F4008040FD1B93871B00050480C050 +:10440000F116E351F0EC9C42E3E2FAFE93870703D6 +:10441000C5B79C409306000332472380D7009C40D9 +:1044200093064700C14C1386170090C013068007FF +:10443000A380C700804002C4BA87050480C003ABD4 +:104440000700814936C625BFB24783AC0700938B6E +:10445000470063840C1C635F40199307D002631903 +:10446000FD1683C70C0085C363DC09189440850CD6 +:104470007D1A2380F6008040050480C083C70C00AD +:10448000E5F7635D40018040930700022300F400DC +:1044900080407D1A050480C0E31A0AFE5EC61DB581 +:1044A00002C48547A94CE3CF07EBB247804093860F +:1044B000470071B703C61600CA8699B59C4093069B +:1044C00050022380D7008040050480C0E5BBC14C6A +:1044D00002C471B5B24703C61600CA8683A9070095 +:1044E00091073EC6E35E0AE04E8AFD5911BD03C640 +:1044F0001600130DD002CA8621B59347FAFFFD8737 +:1045000003C61600337AFA00CA86DDBB03C616005E +:10451000130D0003CA86EDB383C51600930906FD8B +:10452000CA86938705FD2E86E3EEFAFA9397290053 +:10453000BE9985068609AE9983C50600938909FD53 +:10454000938705FD2E86E3F3FAFE69BF32479C4050 +:10455000144311073AC62380D7008040050480C069 +:1045600095B3854763D3070BB24793867700E19AEB +:10457000938786003EC683A946009C4263DC090AF5 +:1045800094401306D002B307F0402380C600804059 +:10459000B336F000B3093041050480C03E8BB389C7 +:1045A000D940A94C02C4E1BB03C616000508CA865F +:1045B00081BB9C40468921B7E37D9BDD8547814BCC +:1045C0008546E3C547E131BD97CC0100938C8CDF74 +:1045D000CE856685EF00B04E330AAA40635C400189 +:1045E00080402300A40180407D1A050480C0E31AA6 +:1045F0000AFE014A83C70C00E38207EAE3C809E622 +:10460000FD197D57E394E9E6ADBDB24793864700B7 +:104610009C4336C693D9F74195B76356400193073B +:10462000D002E313FDFA97CC0100938CACD9930729 +:1046300080021DBD3E8BA94C02C4804089B34D71E0 +:10464000232E3113B70900409387F9FF23248114E7 +:1046500023229114232C4113232A511323261114AE +:10466000232021152328611323267113232481136A +:10467000232291132320A113232EB1112A8A2EC4A1 +:1046800017C40100130444E6975A0300938A8A0B67 +:1046900097C40100938484AC3EC69305500229451B +:1046A00013080004B70610F083470A006386B704B6 +:1046B000C1C71840050A13061700569797C801008E +:1046C00023A4C8E22300F7006382A706E31E06FDC9 +:1046D000175703001307070705078347F7FF23A0B2 +:1046E000F6F01C40FD1717C60100232FF6DEEDF78C +:1046F00083470A00E39EB7FA83461A0013051A009F +:104700002A87930B00027D5B7D5C01489305500571 +:10471000A5489387D6FD93F7F70F130A170063E7B1 +:10472000F5348A07A6979C43A697828717570300FC +:104730001307470155F28DBF8320C1140324811450 +:1047400083244114032901148329C113032A8113EB +:10475000832A4113032B0113832BC112032C8112D3 +:10476000832C4112032D0112832DC11171618280AE +:10477000A14C014D854763DB0719A2479D07E19BCB +:1047800083AD070003AB4700138787003AC46686F2 +:1047900081466E85DA85EF1080222AC863066D3562 +:1047A000130941018549668681466E85DA85EF00E9 +:1047B000306B66868146AA8D2E8BEF104020232019 +:1047C000A90085091109E3106DFFE3FE9DFD1306A5 +:1047D0000004B70610F063D189031C40138717004B +:1047E000D69797C5010023A1E5D023807701630CFC +:1047F000C7047D1CE3C389FFB2476800A548B386A0 +:10480000F9008A061C08BE962948130300043706DF +:1048100010F0E384A6E89C429305000363F4F800DB +:10482000930570051840AE9793051700569717CE5D +:104830000100232BBECA2300F7006380072B638C83 +:104840006526F116F9B7175703001307A7EF0507F9 +:104850008347F7FF23A0F6F01C40FD1797C5010022 +:1048600023A4F5C8EDF77D1C71B71C4013060004A6 +:104870001387170097C5010023A8E5C6B386FA0081 +:10488000930500032380B600630FC73C890797C6D2 +:10489000010023ABF6C45697930680072300D70088 +:1048A00017570300130707EAB70610F0639EC70007 +:1048B00005078347F7FF23A0F6F01C40FD1717C636 +:1048C00001002323F6C2EDF7A247C14C014D910729 +:1048D0002247014B832D07003EC455BDA24783AC40 +:1048E000070013894700638E0C3A635480219307B5 +:1048F000D002639EFB2E03C70C00BA85631007200D +:1049000025AC8547A94C014DE3C907E7A2479107AC +:10491000C1B7A24783461700528703AB0700910730 +:104920003EC4E3580CDE5A8C7D5BE5B3834617002A +:10493000930BD0025287F1BB9347FCFFFD87834660 +:104940001700337CFC005287E9B383461700930BB2 +:10495000000352877DBB03461700138B06FD528769 +:10496000930706FDB286E3EEF8FA93172B00DA9769 +:1049700005078607B29703460700138B07FD9307C9 +:1049800006FDB286E3F3F8FE69BFA2451C40294646 +:10499000984193861700D69717C501002326D5B4F2 +:1049A0002380E700138945006300C72A93070004AA +:1049B0006387F6204AC4D5B1854763DE0729A2473D +:1049C00013877700619B930787003EC4032B470042 +:1049D0001C43635A0B30184013060004930617005B +:1049E00097C5010023A2D5B056979305D0022300A6 +:1049F000B7006387C62CB307F0403337F000330BA2 +:104A00006041BE8D330BEB40A94C014D49B3834649 +:104A1000170005085287F5B9834617005287D5B9A4 +:104A20001C40930600041387170017C60100232DAE +:104A3000E6AAD697130650022380C700E31FD7C407 +:104A400017570300130707D0B70610F00507834771 +:104A5000F7FF23A0F6F01C40FD1717C60100232521 +:104A6000F6A8EDF71DB9C14C014D29B31C409306C2 +:104A700000042A8A1387170017C601002326E6A61A +:104A8000D697130650022380C700E318D7C01757E4 +:104A90000300130727CBB70610F005078347F7FF7E +:104AA00023A0F6F01C40FD1717C60100232EF6A226 +:104AB000EDF72A8ADDB6175703001307A7C80507C5 +:104AC0008347F7FF2320F6F01C40FD1797C5010030 +:104AD00023ACF5A0EDF7F1162DBB17570300130714 +:104AE00067C6F1FDF11635B3E3FC9DCB8549C5B131 +:104AF000014C03C70C00BA85E30E07EAFD582945AF +:104B000013080004B70610F063570B0A1C40138605 +:104B10001700D69717C301002328C39C2380E70002 +:104B20006384A50663040609850C03C70C007D1C7D +:104B3000BA8579FBE35080E91C4093050002130617 +:104B40000004B70610F021A07D1CE3050CE61387D6 +:104B50001700D6972380B70017C501002326E598D4 +:104B6000BA87E313C7FE175703001307A7BD05074E +:104B70008347F7FF23A0F6F01C40FD1717C501007F +:104B80002324F596EDF7C9B717570300130787BB22 +:104B900041DE05078347F7FF23A0F6F01C40FD1711 +:104BA00017C601002322F694EDF7BDBF1757030087 +:104BB000130747B9F9BF7D1BE31A1BF5A5BF1757AC +:104BC0000300130727B8B70610F005078347F7FF60 +:104BD00023A0F6F01C40FD1717C601002326F6900F +:104BE000EDF74AC45DBC97BC0100938CAC7DDA85BF +:104BF0006685F125330CAC40E35D80EF1C40130665 +:104C00000004B70610F021A07D1CE3030CEE13870F +:104C10001700D6972380770197C5010023A6E58C5E +:104C2000BA87E313C7FE175703001307A7B1050799 +:104C30008347F7FF23A0F6F01C40FD1797C501003E +:104C400023A4F58AEDF7C9B717570300130787AFF9 +:104C5000BDFA4AC499B4A247138747009C433AC49B +:104C600013DBF741BDB3175703001307A7ADB70612 +:104C700010F005078347F7FF23A0F6F01C40FD174F +:104C800017C601002322F686EDF7854717C70100F6 +:104C9000232CF78493078007175703002304F7AAF0 +:104CA00025B1635680019307D002E39EFBF297BCC7 +:104CB0000100938C2C7113078002930580023DBD87 +:104CC00097560300938606A8370610F0850603C79B +:104CD000F6FF2320E6F018407D1797C5010023A5B5 +:104CE000E5806DF709BBBE8DA94C014D4DB4B707EA +:104CF00010F023A0A7F201A03971130341022ED2B4 +:104D00009A8506CE32D436D63AD83EDA42DC46DE32 +:104D10001AC63532F2400145216182805D7113036C +:104D2000810322D42AC632DC2A841A86680006D679 +:104D3000BEC236DEBAC0C2C4C6C61ACEEFF06FD449 +:104D4000B247238007003245B250018D2254616181 +:104D50008280B70710F083A647F403A607F403A7E1 +:104D600047F4E31AD7FE8566938606FAB29633B601 +:104D7000C600B305E60023A4D7F423A6B7F48280C7 +:104D8000B70710F003A747F403A607F483A647F478 +:104D9000E31AD7FE8566938606FAB29633B6C60046 +:104DA00023A4D7F4B305E60023A6B7F493070008BD +:104DB00073A047308280411122C406C697B7010014 +:104DC0009387077317B401001304447298431C407F +:104DD0001306F07C930647069387470697B50100B4 +:104DE00023A8D57097B5010023A2F5706346D600BD +:104DF000B2402244410182801307478997B70100DE +:104E000023A8E76EBD298547631FF5001840856715 +:104E10009387F7760145E3DDE7FCD13D2244B240BC +:104E200041016FC0BFEC17B501001305255AE935E4 +:104E30000945753D2244B24041016FC03FEB17B5B3 +:104E400001001305255A4DBD17B501001305055A7C +:104E500065B5411122C426C206C6856417B4010097 +:104E600013044459938784380100FD17F5FF228508 +:104E70006135CDBF81AE411106C62121054781476D +:104E80006316E500B2403E854101828017B50100FE +:104E90001305C553EFF05FE6B24089473E854101F7 +:104EA00082807370043001A082807370043001A08E +:104EB000797126D24AD04ECEB7342211B749230198 +:104EC00037B9BBAA22D406D62A8493844434938962 +:104ED00079561309B9AB85467D566C002285EFB033 +:104EE000BFDA85476306F50017B701002328F7608E +:104EF000B24763879700854717B701002320F76003 +:104F00002285EFC04F8E85476306F50017B7010075 +:104F10002326F75E814601466C00228502C6EFB06B +:104F2000BFD685476306F50017B701002328F75C55 +:104F3000B24763879700854717B701002320F75CC6 +:104F400085467D566C002285EFB01FD485476306E9 +:104F5000F50017B701002323F75AB24763873701DB +:104F6000854717B70100232BF7582285EFC0AF877D +:104F700085476306F50017B701002321F75801455F +:104F8000EFD03F8885467D566C002285EFB0DFCF9D +:104F900085476306F50017B701002321F756B2478E +:104FA00063872701854717B701002329F754014577 +:104FB000EFD03F850DB7011126CA4AC8B7B4BBAAC6 +:104FC0003749230122CC06CE2A84130979569384CB +:104FD000B4AB85467D566C002285EFB0FFCA85478D +:104FE0006306F50017B70100232AF750B24763871D +:104FF0002701854717B701002322F7502285EFB01C +:105000009FFE85476306F50017B701002328F74E7A +:105010000145EFD00FFF81467D566C002285EFB031 +:10502000BFC685476306F50017B701002328F74C74 +:10503000B24763879700854717B701002320F74CD5 +:105040000145EFD00FFC71B7011126CAB744230107 +:1050500022CC4AC806CE2A8417B901001309C949CF +:105060009384745685467D566C002285EFB0DFC16F +:1050700085476306F50017B701002321F748B247BB +:10508000228563879700854717B701002328F746D5 +:10509000EFB07FF585476306F50017B70100232FB2 +:1050A000F744832709000145850717B70100232529 +:1050B000F744EFD00FF57DB7397152D456D25AD09C +:1050C000B74A2301373B221137BABBAA22DC26DAC2 +:1050D0004AD84ED65ECE06DEAA84974B0300938B49 +:1050E000AB6E974903009389E96D174903001309D3 +:1050F000E96D130B4B340544938A7A56130ABAAB05 +:10510000814601466C0026855AC6EFB0CFE763069C +:10511000850097B7010023A3873E2685EFB0BFEC3B +:1051200009C597B7010023AB873C814601466C0057 +:10513000268556C6EFB02FE56306850097B70100B8 +:1051400023AE873A814601466C00268502C6EFB041 +:10515000BFB36306850097B7010023A1873A2945AD +:10516000EFC03FFD03A50B00EFC04FFB03A50900F7 +:10517000EFC0CFFA03250900EFC04FFA854601467C +:105180006C00268552C6EFB00FE06306850097B726 +:10519000010023A58736854601466C002685EFB0C1 +:1051A000BFAE09C597B7010023AA873403A509003C +:1051B000EFC0CFF603250900EFC04FF62945EFC039 +:1051C0005FF73DBF411101469145154506C622C412 +:1051D000EFB0CFCE97B50100938505232A84EFB0B9 +:1051E0001FF0A2868148014881470147130600400D +:1051F00097B501009385852217050000130505EC7E +:10520000EFC04F86A28681480148974703009387E5 +:10521000A75B05471306004097B5010093858520DD +:1052200017050000130585E2EFC0CF83A2868148F1 +:105230000148974703009387E75809471306004042 +:1052400097B501009385851E170500001305E5D667 +:10525000EFC04F81A2862244B2408148014897475F +:1052600003009387A7560D471306004097B501002A +:105270009385451C170500001305C5C341016FB098 +:105280007FFE97B701009387272797B60100938683 +:105290006626984394426397E600054797B6010057 +:1052A00023AEE62417B70100130747259C430843A4 +:1052B00017B701002320F724133515008280AA9523 +:1052C000AA876385B70003C7070001E73385A740B6 +:1052D00082808507FDB7814863DA05003305A04069 +:1052E000B337A000B305B0409D8DFD5863D90600CB +:1052F0003306C040B337C000B306D0409D8E32881D +:105300003683AA872E87639F061C97B60100938673 +:1053100066FA63F1C50C416E6377C60B130EF00F8E +:105320006373CE002143335E6600F29603CE06001F +:105330001A9E130300023303C341630C0300B395A9 +:105340006500335EC501331866003367BE00B317CE +:105350006500135E0801B355C703131508014181A9 +:1053600093D607013376C703B305B5024206D18E43 +:1053700063F8B600C29663E5060163F3B600C29611 +:105380008D8E33F7C603C207C183B3D6C603B306F7 +:10539000D50213150701C98F63F8D700C29763E5DB +:1053A000070163F3D700C297958F33D56700814516 +:1053B000638A0800B307A0403337F000B305B0405C +:1053C0003E85998D8280370E00014143E36DC6F51D +:1053D000614391BF01E60548335868024167637332 +:1053E000E8081307F00F6373070121433357680080 +:1053F000BA9603C606001A96130300023303C3408D +:1054000063190306B3850541935608011315080176 +:10541000418113D6070133F7D502B3D5D502420730 +:10542000518FB305B5026378B700429763650701F2 +:105430006373B7004297B305B74033F7D502C2078D +:10544000C183B3D5D502B305B50213150701C98FC2 +:1054500063F8B700C29763E5070163F3B700C2972B +:105460008D8FA1B7370700014143E361E8F861433D +:10547000B5BF3318680033DEC500935E08013356AC +:10548000C500B31765003355DE03B39565004D8E37 +:1054900093150801C181935606013377DE033385E6 +:1054A000A5024207558F6378A700429763650701FD +:1054B0006373A7004297B306A74033F7D6034206AB +:1054C0004182B3D6D6034207B386D502B365C7007F +:1054D00063F8D500C29563E5050163F3D500C29575 +:1054E000958D1DB7E3E6D5EC416863F50605930E8F +:1054F000F00F33B8DE000E0833DE060117B30100EB +:10550000130343DB7293834E0300130E0002C29E0B +:10551000330EDE4163170E0263E4B6006369C50013 +:10552000B307C540958D3335F5003387A5403E85DB +:10553000BA85BDBD370300014148E3EF66FA614813 +:1055400065BF3357D601B396C601D98EB357D5017F +:1055500033D7D501B395C501CD8F93D506013373EC +:10556000B702139F0601135F0F0113D8070133160B +:10557000C6013315C5013357B7024203336803012F +:10558000B30FEF023A83637CF80136981303F7FFF9 +:105590006367D8006375F8011303E7FF3698330893 +:1055A000F841B37FB8023358B802C20FB3050F03F6 +:1055B000139F0701135F0F0133EFEF014287637CF5 +:1055C000BF00369F1307F8FF6367DF006375BF00F6 +:1055D0001307E8FF369F4203C16F3363E3009387ED +:1055E000FFFF13580601330FBF40B375F300135389 +:1055F0000301F18F3387F502B307F302B385050387 +:1056000033030303BE95135807012E986373F80004 +:105610007E93C167FD17935508013378F80042085F +:105620007D8F9A9542976366BF00631BBF006379C5 +:10563000E5003306C7403337C700958D998D328713 +:105640003307E5403335E500B305BF40898DB39797 +:10565000D5013357C70133E5E700B3D5C50189BB91 +:10566000AE87328836872A836396062097B8010072 +:10567000938848C463FEC50A41676374E60A13074A +:10568000F00F3337C7000E07B356E600B69883C64F +:105690000800369793060002998E99CAB397D700EF +:1056A0003357E5003318D600B365F7003313D50040 +:1056B00013550801B3D7A50213160801418293566A +:1056C000030133F7A502B305F6024207D98E3E87E0 +:1056D00063FCB600C2961387F7FF63E7060163F524 +:1056E000B6001387E7FFC2968D8EB3F7A60242037A +:1056F00013530301B3D6A602C20733E36700B30511 +:10570000D6023685637BB30042931385F6FF63664A +:1057100003016374B3001385E6FF4207498F814597 +:105720004DA8B70600014147E360D6F66147A9BF1F +:1057300001E6854633D8C602C166637ED808930663 +:10574000F00F63F306012147B356E800B69883C60D +:105750000800BA9613070002158F49E7B38707417F +:105760008545135608019318080193D808019356EC +:10577000030133F7C702B3D7C7024207D98E338577 +:10578000F8023E8763FCA600C2961387F7FF63E723 +:10579000060163F5A6001387E7FFC296898EB3F76B +:1057A000C602420313530301B3D6C602C20733E352 +:1057B0006700B388D8023685637B13014293138553 +:1057C000F6FF63660301637413011385E6FF420766 +:1057D000498F3A858280B70600014147E366D8F6D3 +:1057E00061479DB73318E800B3D5D7003313E50000 +:1057F000B356D50013550801B397E70033F7A50258 +:10580000B3E8F60093170801C18313D60801B3D596 +:10581000A5024207518FB386B7022E86637CD7005C +:1058200042971386F5FF636707016375D7001386F8 +:10583000E5FF4297B306D74033F7A602C20893D8D4 +:105840000801B3D6A6024207B385D702B367170192 +:10585000368763FCB700C2971387F6FF63E707013B +:1058600063F5B7001387E6FFC2978D8F9315060186 +:10587000D98DC5BD63E2D514416763FEE602130806 +:10588000F00F3337D8000E0733D8E60097B5010084 +:10589000938545A2C29503C80500930500023A9876 +:1058A000B385054185E10547E3E5F6F23335C500EB +:1058B0001347150039BFB70500014147E3E6B6FCC1 +:1058C0006147D9B733570601B396B600D98E93DE38 +:1058D000060133D70701B378D703B397B70033581E +:1058E00005013363F80093970601C1831358030140 +:1058F0003316B6003357D703C20833E80801338F95 +:10590000E7023A8E637CE8013698130EF7FF63676F +:10591000D8006375E801130EE7FF36983308E841B5 +:10592000B378D8033358D803C208B38E070393174C +:105930000301C183B3E7F800428763FCD701B69740 +:105940001307F8FF63E7D70063F5D7011307E8FFF4 +:10595000B697420EB387D741C16E3367EE00138806 +:10596000FEFF337307019358070133780601418224 +:10597000330E03033388080393560E013303C30227 +:1059800042939A96B388C80263F30601F69813D639 +:105990000601B29863E01703E39317D9C167FD17B7 +:1059A000FD8EC206337EFE003315B500F2968145AA +:1059B000E371D5E27D17A5B38145014719BDB288D2 +:1059C0003687AA872E886398061C97B601009386AF +:1059D000668E63F8C50A4163637E66081303F00FA1 +:1059E0006373C30021473353E6009A9603CE060043 +:1059F000130300023A9E3303C341630C0300B395C3 +:105A00006500335EC501B31866003368BE00B31786 +:105A1000650013D608013377C80213950801418148 +:105A200093D607013358C8024207D98E33080503BD +:105A300063F80601C69663E5160163F30601C69690 +:105A4000B386064133F7C602C207C183B3D6C60286 +:105A5000B306D50213150701C98F63F8D700C6979F +:105A600063E5170163F3D700C697958F33D56700B9 +:105A700081458280370300014147E36666F661474E +:105A80009DB701E60546B3581603416663F3C8089F +:105A90001306F00F63731601214733D6E800B29660 +:105AA00003CE0600130300023A9E3303C341631979 +:105AB0000306B385154113D70801139508014181E9 +:105AC00013D60701B3F6E502B3D5E502C206D18EBF +:105AD000B305B50263F8B600C69663E5160163F335 +:105AE000B600C696B385B640B3F6E502C207C183D9 +:105AF000B3D5E502B305B50213950601C98F63F866 +:105B0000B700C69763E5170163F3B700C6978D8F9B +:105B1000B1BF370600014147E3E1C8F86147B5BFAF +:105B2000B398680033D7C501B3176500335EC5016C +:105B300013D50801B376A702B3956500336EBE0096 +:105B400093950801C18113560E013357A702C2066F +:105B5000D18E3387E50263F8E600C69663E5160149 +:105B600063F3E600C6963386E640B376A602420E9D +:105B7000135E0E013356A602C2063386C502B3E594 +:105B8000C60163F8C500C69563E5150163F3C5005A +:105B9000C695918D0DB7E3EED5EC416763F5E6044C +:105BA000930EF00F33B7DE000E0733D3E60097A84D +:105BB0000100938828709A9883CE0800130E000283 +:105BC000BA9E330EDE4163170E0263E4B6006369CA +:105BD000C500B307C540958D3335F5003388A54022 +:105BE0003E85C28579B5B70800014147E3EF16FB52 +:105BF000614765BF3357D601B396C601D98EB357F7 +:105C0000D50133D7D501B395C501CD8F93D5060105 +:105C10003373B702139F0601135F0F0193D8070177 +:105C20003316C6013315C5013357B7024203B368B3 +:105C300013013308EF023A8363FC0801B69813039B +:105C4000F7FF63E7D80063F508011303E7FFB69891 +:105C5000B3880841B3FFB802B3D8B802C20FB30586 +:105C60001F03139F0701135F0F0133EFEF014687F7 +:105C7000637CBF00369F1387F8FF6367DF0063759F +:105C8000BF001387E8FF369F4203C1683363E30018 +:105C90009387F8FF13580601330FBF40B375F30025 +:105CA00013530301F18F3387F502B307F302B38572 +:105CB000050333030303BE95135807012E9863733E +:105CC000F8004693C167FD17935508013378F80033 +:105CD00042087D8F9A9542976366BF00631BBF00A1 +:105CE0006379E5003306C7403337C700958D998D3A +:105CF00032873307E5403335E500B305BF40898D72 +:105D0000B397D5013357C70133E5E700B3D5C501D4 +:105D10008DB397A701009387A77A944317A7010033 +:105D20001307C7781843C8C2637BE50017A70100B3 +:105D30001307877808438C4391056FA07F8041113A +:105D400022C406C617A70100130747772A84084311 +:105D50008C439105EFA0CFFE97A70100938747736F +:105D60009C436376F40097A7010023A38772B24097 +:105D700022444101828097A701009387677498436A +:105D800094437C43FD177CC3FC4299E37360043069 +:105D90008280011122CC26CA2A84AE844AC84EC60B +:105DA00052C456C206CEEFB07FF51C400329040151 +:105DB000B7090001B3E59700930AC4000CC0814401 +:105DC000FD19370A00021840638C2A0303260900D4 +:105DD0004A85B3654701B376360193175600758F30 +:105DE0000329490063C4070001E7F1BFE39DE6FC16 +:105DF0009317760063D30700D58CEFC01FDFE1B7A0 +:105E000093C4F4FFF98C04C0EFC04F940840F240F3 +:105E10006244D2444249B249224A924A0561828090 +:105E2000411106C622C4EFF0EFB917F7FFFF1307C1 +:105E3000C7F8814605469305400617A501001305DE +:105E4000E55BEFD09FAE19C92A84EFB03FEC2A86FC +:105E50000147814685452285EFD0DFB9EFB09FC469 +:0A5E6000B240224401454101828056 +:02000004800278 +:10000000B444FEFFB245FEFFBC44FEFFB245FEFF16 +:10001000B245FEFFB245FEFFB245FEFFD444FEFFEF +:10002000B245FEFFB245FEFFEE44FEFFFA44FEFF7E +:10003000B245FEFF0C45FEFF1845FEFF1845FEFFCA +:100040001845FEFF1845FEFF1845FEFF1845FEFF48 +:100050001845FEFF1845FEFF1845FEFFB245FEFF9E +:10006000B245FEFFB245FEFFB245FEFFB245FEFFC0 +:10007000B245FEFFB245FEFFB245FEFFB245FEFFB0 +:10008000B245FEFFB245FEFFB245FEFFB245FEFFA0 +:10009000B245FEFFB245FEFFB245FEFFB245FEFF90 +:1000A000B245FEFFB245FEFFB245FEFFB245FEFF80 +:1000B000B245FEFFB245FEFFB245FEFFB245FEFF70 +:1000C000B245FEFFB245FEFFB245FEFFB245FEFF60 +:1000D000B245FEFFB245FEFFB245FEFFB245FEFF50 +:1000E000B245FEFFB245FEFFB245FEFFB245FEFF40 +:1000F000B245FEFFB245FEFFB245FEFFB245FEFF30 +:100100004C45FEFF6245FEFFB245FEFFB245FEFFD5 +:10011000B245FEFFB245FEFFB245FEFFB245FEFF0F +:10012000B245FEFFA845FEFFB245FEFFB245FEFF09 +:100130005A43FEFF1244FEFFB245FEFFB245FEFFEA +:100140004844FEFFB245FEFFA044FEFFB245FEFF5D +:10015000B245FEFFCE44FEFFC048FEFF1449FEFF3D +:10016000C848FEFF1449FEFF1449FEFF1449FEFF74 +:100170001449FEFFBA47FEFF1449FEFF1449FEFF73 +:10018000D447FEFFE047FEFF1449FEFFF247FEFFA3 +:10019000FE47FEFFFE47FEFFFE47FEFFFE47FEFF57 +:1001A000FE47FEFFFE47FEFFFE47FEFFFE47FEFF47 +:1001B000FE47FEFF1449FEFF1449FEFF1449FEFFEF +:1001C0001449FEFF1449FEFF1449FEFF1449FEFFC7 +:1001D0001449FEFF1449FEFF1449FEFF1449FEFFB7 +:1001E0001449FEFF1449FEFF1449FEFF1449FEFFA7 +:1001F0001449FEFF1449FEFF1449FEFF1449FEFF97 +:100200001449FEFF1449FEFF1449FEFF1449FEFF86 +:100210001449FEFF1449FEFF1449FEFF1449FEFF76 +:100220001449FEFF1449FEFF1449FEFF1449FEFF66 +:100230001449FEFF1449FEFF1449FEFF1449FEFF56 +:100240001449FEFF1449FEFF1449FEFF1449FEFF46 +:100250001449FEFF1449FEFF3248FEFF6048FEFFCE +:100260001449FEFF1449FEFF1449FEFF1449FEFF26 +:100270001449FEFF1449FEFF1449FEFFB648FEFF75 +:100280001449FEFF1449FEFF1846FEFF1247FEFF09 +:100290001449FEFF1449FEFF8447FEFF1449FEFF88 +:1002A000AA47FEFF1449FEFF1449FEFF0E49FEFF58 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020515065656B207461736B73200D0A00AA +:1003E0006D69616F750A00006D69616F75320A0091 +:1003F00068756E6772790A00436865636B54696D4E +:1004000065720000515065656B5F546573745F5190 +:1004100075657565000000005065656B4C00000057 +:100420005065656B4D0000005065656B48310000FC +:080430005065656B48320000C5 +:100438001000000000000000017A5200017C010158 +:100448001B0D02001000000018000000824EFEFF85 +:100458008A03000000000000100000002C000000CB +:10046800F851FEFF5E0300000000000010000000CD +:10047800400000004255FEFF540300000000000049 +:0804FC00FC8F01000900000063 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/QueueOverwrite_rv32i_O0.hex b/VexRiscv/src/test/resources/freertos/QueueOverwrite_rv32i_O0.hex new file mode 100644 index 0000000..b34b3fa --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/QueueOverwrite_rv32i_O0.hex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diff --git a/VexRiscv/src/test/resources/freertos/QueueOverwrite_rv32i_O3.hex b/VexRiscv/src/test/resources/freertos/QueueOverwrite_rv32i_O3.hex new file mode 100644 index 0000000..e2f1afe --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/QueueOverwrite_rv32i_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/QueueOverwrite_rv32ic_O0.hex b/VexRiscv/src/test/resources/freertos/QueueOverwrite_rv32ic_O0.hex new file mode 100644 index 0000000..15a3c2a --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/QueueOverwrite_rv32ic_O0.hex @@ -0,0 +1,1479 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000A1B5170502001305E53697950300938572 +:1000A000E566014611221705020013052533970561 +:1000B0000200938525330146C52817A503001305C3 +:1000C000256597B503009385656437B6ABAB13061A +:1000D000B6BAD92817B1030013018163EF4070014C +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2821A23A02200F322103424 +:1002400096DEEF0060301701020003212119024100 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E21523A0120023A2BD +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40604D17010200032102 +:10033000E10A0241F65273901234B7220000938210 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A20783A0C4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A282F823A0220086DEEF101A +:10046000B04017010200032161F70241F652739078 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F0961730020309707BF +:1004C0000200938767F29843FD576305F700737046 +:1004D000043001A07370043001A041112AC6B24754 +:1004E000739047300100410182804111F327403071 +:1004F0003EC673F04330B2473E85410182804111D0 +:100500002AC62EC432C2B247F1173EC62247B247AE +:1005100098C3B247938787FA3EC61247B24798C33B +:10052000B247A1173EC68E873E87B24798C3B247EF +:10053000D1173EC6170700001307A7F8B24798C3A4 +:10054000B2473E8541018280411106C6EF408023BB +:10055000EF10D014AA8799C3EF1010310100B240F8 +:100560004101828041112AC6B24713878700B247F2 +:10057000D8C3B2477D5798C7B24713878700B247A1 +:10058000D8C7B24713878700B24798CBB24723A09A +:10059000070001004101828041112AC6B24723A809 +:1005A000070001004101828001112AC62EC4B24712 +:1005B000DC433ECEA2477247D8C3F2479847A247D2 +:1005C00098C7F2479C472247D8C3F247224798C7AB +:1005D000A247324798CBB2479C4313871700B247D4 +:1005E00098C301000561828001112AC62EC4A2476A +:1005F0009C433ECC6247FD576316F700B2479C4BC5 +:100600003ECE31A8B247A1073ECE21A0F247DC433F +:100610003ECEF247DC439C436247E379F7FEF24764 +:10062000D843A247D8C3A247DC43224798C7A24772 +:10063000724798C7F2472247D8C3A247324798CBA0 +:10064000B2479C4313871700B24798C30100056166 +:10065000828001112AC6B2479C4B3ECEB247DC4392 +:100660003247184798C7B2479C4732475843D8C3C8 +:10067000F247DC4332476316F700B2479847F24728 +:10068000D8C3B24723A80700F2479C431387F7FF5C +:10069000F24798C3F2479C433E8505618280797199 +:1006A00006D622D42AC62EC4B2473ECEF24781E7F0 +:1006B0007370043001A0EF202057F2478043F247C7 +:1006C000D85FF247BC43BE853A85EF506008AA87E1 +:1006D0003307F400F247D8C3F24723AC0702F247CE +:1006E0009843F24798C7F2478043F247DC5F13878D +:1006F000F7FFF247BC43BE853A85EF506005AA87F5 +:100700003307F400F247D8C7F2477D57F8C3F247E2 +:100710007D57B8C7A24799EFF2479C4B8DC7F24768 +:10072000C1073E85EF1090402A878547631DF7007B +:10073000F13911A8F247C1073E852D35F24793875D +:1007400047023E850535EF20005185473E85B25072 +:10075000225445618280797106D62AC62EC4B2879A +:10076000A303F10002CCB24781E77370043001A00B +:10077000A24799E302CE01A8A2453245EF40507D41 +:10078000AA8785073ECEF247938747053E85EF301F +:10079000805C2ACAD24785CFA24789E7D247524711 +:1007A00098C331A0D24713874705D24798C3D24791 +:1007B0003247D8DFD2472247B8C385455245C535B1 +:1007C000D247034771002388E704D2473ECCE24773 +:1007D00081E77370043001A0E2473E85B250456165 +:1007E0008280797106D6AA87A307F1001305400518 +:1007F000EF3060562ACEF247B9CFF24723A2070066 +:10080000F24723A00700F24723A40700F24723A6DC +:100810000700F24723AC0702F2470547D8DFF2474B +:1008200023A00704F2477D57F8C3F2477D57B8C7A6 +:10083000F2470347F1002388E704F247C1073E85EA +:100840001533F247938747023E85293B814601468F +:10085000814572453122F2473E85B2504561828022 +:10086000797106D622D42AC6B2473ECCE24781E748 +:100870007370043001A0E247C043EF20001DAA8737 +:100880006313F402E247DC471387F7FFE247D8C758 +:10089000E247DC4791E78146014681456245C92030 +:1008A00085473ECE11A002CEF2473E85B25022547B +:1008B00045618280797106D622D42AC62EC4B247F9 +:1008C0003ECCE24781E77370043001A0E247C043A9 +:1008D000EF20A017AA87631BF400E247DC471387C9 +:1008E0001700E247D8C785473ECE0DA08146224675 +:1008F00081456245252E2ACE724785476318F70049 +:10090000E247DC4713871700E247D8C7F2473E8526 +:10091000B250225445618280797106D62AC62EC40F +:10092000B24781E77370043001A02247B24763F5F4 +:10093000E7007370043001A0094681453245213D2E +:100940002ACEF24781C7F247224798DFF24781E774 +:100950007370043001A0F2473E85B2504561828039 +:10096000397106DE2AC62EC432C236C002D6B2475C +:100970003ED4A25781E77370043001A0A24781E7FB +:10098000A257BC4399E3854711A0814781E7737063 +:10099000043001A0024789476317F700A257D85FC8 +:1009A00085476314F700854711A0814781E773707D +:1009B000043001A0EF20C00AAA8799E3924799E387 +:1009C000854711A0814781E77370043001A0EF20B3 +:1009D000A025A257985FA257DC5F6366F700024725 +:1009E0008947631DF7020246A245225565232AD294 +:1009F000A257DC5389CFA257938747023E85EF1059 +:100A0000F0122A8785476317F700093421A092570F +:100A100091C3ED3AEF202024854771A8924789E7DA +:100A2000EF206023814741A8B25799E77C083E85B3 +:100A3000EF10702785473ED6EF20E021EF10401AD7 +:100A4000EF20801EA257F843FD576315F700A25709 +:100A500023A20704A257B847FD576315F700A25712 +:100A600023A40704EF20201F58007C08BA853E8588 +:100A7000EF107026AA879DEB2255EF006071AA87C0 +:100A80008DC3A257C1071247BA853E85EF10E070AB +:100A900022551525EF108016AA87E39A07F2BD327A +:100AA0003DB72255092DEF10601515B722552125A8 +:100AB000EF10C01481473E85F25021618280797128 +:100AC00006D62AC62EC432C236C0B2473ECCE24752 +:100AD00081E77370043001A0A24781E7E247BC437D +:100AE00099E3854711A0814781E77370043001A025 +:100AF000024789476317F700E247D85F85476314C9 +:100B0000F700854711A0814781E77370043001A089 +:100B1000E93AAA873ECAE247985FE247DC5F63662C +:100B2000F700024789476315F7040246A24562456C +:100B30009521E247B847FD576313F702E247DC53BC +:100B40008DC7E247938747023E85EF10207EAA8734 +:100B500089CF924799CB9247054798C339A0E2477E +:100B6000BC4713871700E247B8C785473ECE11A0A0 +:100B700002CED2473E859532F2473E85B25045615E +:100B80008280797106D62AC62EC4B2473ECCE2478F +:100B900081E77370043001A0E247BC4381C77370E2 +:100BA000043001A0E2479C4381E7E247DC4399E33C +:100BB000854711A0814781E77370043001A0353269 +:100BC000AA873ECAE247985FE247DC5F6377F70493 +:100BD000E2479C5F13871700E24798DFE247B84778 +:100BE000FD576313F702E247DC538DC7E247938753 +:100BF00047023E85EF108073AA8789CFA24799CB21 +:100C0000A247054798C339A0E247BC47138717009E +:100C1000E247B8C785473ECE11A002CED2473E85F7 +:100C20006D38F2473E85B25045618280397106DEEB +:100C30002AC62EC432C236C002D6B2473ED4A2570C +:100C400081E77370043001A0A24781E7A257BC433B +:100C500099E3854711A0814781E77370043001A0B3 +:100C6000EF101060AA8799E3924799E3854711A096 +:100C7000814781E77370043001A0EF10F07AA2572A +:100C80009C5FB5CBA257DC473ED2A2452255F92E38 +:100C9000824795EFA2579C5F1387F7FFA25798DF13 +:100CA000A2579C4391E7EF2060022A87A257D8C33E +:100CB000A2579C4B8DCFA257C1073E85EF1000670E +:100CC0002A8785476315F702EFF04FF40DA0A2576E +:100CD0001257D8C7A257DC5399CBA2579387470224 +:100CE0003E85EF10A064AA8799C3EFF02FF2EF10B2 +:100CF000907685475DA8924789E7EF10D0758147C8 +:100D00006DA0B25799E77C083E85EF10C079854702 +:100D10003ED6EF105074EF00B06CEF10F070A25799 +:100D2000F843FD576315F700A25723A20704A25703 +:100D3000B847FD576315F700A25723A40704EF1027 +:100D4000907158007C08BA853E85EF10C078AA875C +:100D5000A1EB2255CD2EAA879DCFA2579C4391EBA4 +:100D6000EF10906CA257DC433E85EF107052EF10ED +:100D7000906EA257938747021247BA853E85EF10BF +:100D8000C04122550D2EEF007067AA87E39707EE4A +:100D9000EFF0CFE7DDB52255392EEF003066F1BD1B +:100DA0002255112EEF00906581473E85F25021615A +:100DB0008280797106D62AC62EC432C2B2473ECC92 +:100DC000E24781E77370043001A0A24781E7E24760 +:100DD000BC4399E3854711A0814781E773700430D4 +:100DE00001A0EFF08FF0AA873ECAE2479C5FA1CB3B +:100DF000A2456245A52CE2479C5F1387F7FFE247B7 +:100E000098DFE247F843FD576312F702E2479C4B35 +:100E100085C7E247C1073E85EF104051AA8789CFB9 +:100E2000924799CB9247054798C339A0E247FC43C4 +:100E300013871700E247F8C385473ECE11A002CEC4 +:100E4000D2473E85EFF06FE9F2473E85B2504561EB +:100E50008280797106D62AC62EC4B2473ECCE247BC +:100E600081E77370043001A0A24781E7E247BC43E9 +:100E700099E3854711A0814781E77370043001A091 +:100E8000E247BC4381E77370043001A0EFF0EFE567 +:100E9000AA873ECAE2479C5F89CFE247DC473EC84B +:100EA000A2456245E122E2474247D8C785473ECE88 +:100EB00011A002CED2473E85EFF02FE2F2473E85E9 +:100EC000B25045618280797106D62AC6B24781E761 +:100ED0007370043001A0EF103055B2479C5F3ECED6 +:100EE000EF107057F2473E85B250456182807971AC +:100EF00006D62AC6B2473ECEF24781E77370043069 +:100F000001A0EF107052F247D85FF2479C5FB30721 +:100F1000F7403ECCEF103054E2473E85B250456179 +:100F2000828001112AC6B24781E77370043001A0A4 +:100F3000B2479C5F3ECEF2473E8505618280797163 +:100F400006D62AC6B2473ECEF24781E77370043018 +:100F500001A07245E5247245EF20F0720100B25005 +:100F60004561828041112AC6B247FC473E85410156 +:100F7000828041112AC62EC4B2472247F8C7010019 +:100F80004101828041112AC6B24783C707053E85C9 +:100F900041018280797106D62AC62EC432C202CEA1 +:100FA000B247BC4391EFB2479C43D5E7B247DC431D +:100FB0003E85EF10903B2ACEB24723A2070061A8DE +:100FC000924785EFB2479847B247BC433E86A24559 +:100FD0003A85EF20F079B2479847B247BC433E9735 +:100FE000B24798C7B2479847B247DC436365F706F4 +:100FF000B2479843B24798C7B9A8B247D847B24753 +:10100000BC433E86A2453A85EF209076B247D8474A +:10101000B247BC43B307F0403E97B247D8C7B24788 +:10102000D847B2479C43637BF700B247D843B247E7 +:10103000BC43B307F0403E97B247D8C71247894731 +:10104000631BF700B2479C5F99C7B2479C5F138749 +:10105000F7FFB24798DFB2479C5F13871700B2478C +:1010600098DFF2473E85B25045618280011106CE7D +:101070002AC62EC4B247BC439DCBB247D847B2471D +:10108000BC433E97B247D8C7B247D847B247DC43C4 +:101090006366F700B2479843B247D8C7B247D8470C +:1010A000B247BC433E86BA852245EF20706C0100F2 +:1010B000F24005618280011106CE2AC6EF10D036BB +:1010C00025A0B247DC5395C7B247938747023E85B8 +:1010D000EF10C025AA8799C3EF104049B247BC471B +:1010E0001387F7FFB247B8C7B247BC47E34BF0FCE2 +:1010F00011A00100B2477D57B8C7EF10D035EF10EF +:10110000B0321DA0B2479C4B8DC7B247C1073E8588 +:10111000EF10C021AA8799C3EF104045B247FC43A6 +:101120001387F7FFB247F8C3B247FC43E34CF0FC28 +:1011300011A00100B2477D57F8C3EF10D031010074 +:10114000F24005618280797106D62AC6EF10D02D53 +:10115000B2479C5F81E785473ECE11A002CEEF10DB +:10116000902FF2473E85B2504561828001112AC618 +:10117000B24781E77370043001A0B2479C5F81E7FA +:1011800085473ECE11A002CEF2473E8505618280A2 +:10119000797106D62AC6EF103029B247985FB24758 +:1011A000DC5F6315F70085473ECE11A002CEEF103D +:1011B000902AF2473E85B2504561828001112AC6CD +:1011C000B24781E77370043001A0B247985FB2471D +:1011D000DC5F6315F70085473ECE11A002CEF247D3 +:1011E0003E850561828001112AC62EC402CE81A0EF +:1011F000178703001307C74DF2478E07BA979C4322 +:1012000085E7178703001307A74CF2478E07BA97A5 +:10121000224798C3178703001307874BF2478E07AF +:10122000BA973247D8C301A8F24785073ECE724726 +:101230009D47E3FFE7FA01000561828001112AC69C +:1012400002CE0DA81787030013078748F2478E07C1 +:10125000BA97DC433247631CF70017870300130774 +:101260002747F2478E07BA9723A0070001A8F24745 +:1012700085073ECE72479D47E3F6E7FC0100056116 +:101280008280797106D62AC62EC432C2B2473ECEBB +:10129000EF109019F247F843FD576315F700F24736 +:1012A00023A20704F247B847FD576315F700F2473A +:1012B00023A40704EF10301AF2479C5F89EBF24732 +:1012C000938747021246A2453E85EF00907E724505 +:1012D000DD330100B25045618280397106DE2ACECD +:1012E0002ECC36C83AC63EC442C246C0B287231B83 +:1012F000F100F24781E77370043001A03247A5473F +:1013000063F5E7007370043001A0835761019245D3 +:101310003E85EF1080512AD4A257638F0712A2573F +:10132000985B83566101B7070040FD17B6978A079F +:10133000BA973ED29257F19B3ED292578D8B81C77E +:101340007370043001A0835761013E8782463246A4 +:10135000E2452255EF10E0274246F2451255EFF0E4 +:101360000F9A2A87A25798C3A24781C7A24722573C +:1013700098C3EF10700B97F701009387E7239C4306 +:101380001387170097F701009387072398C397F7F0 +:1013900001009387A7049C4395E397F70100938787 +:1013A000E703225798C397F701009387E7209843F4 +:1013B0008547631AF702EF10C02C35A097F701009C +:1013C000938747209C4385E397F7010093870701A4 +:1013D0009C43DC5732476368F70097F70100938717 +:1013E000E7FF225798C397F701009387A71E9C43F6 +:1013F0001387170097F701009387C71D98C397F7C6 +:1014000001009387271D9843A257B8C7A257D85702 +:1014100097F701009387C71A9C4363F9E700A25727 +:10142000D85797F701009387A71998C3A257D857A1 +:10143000BA878A07BA978A0717F701001307470484 +:101440003E97A2579107BE853A85EFF0EF95854705 +:101450003ED6EF10500019A0FD573ED632578547B3 +:101460006313F70297F701009387C7159C4381CF59 +:1014700097F70100938787F69C43DC57324763F464 +:10148000E700EFE0BFF8B2573E85F250216182805D +:10149000797106D62AC6EF102079B24799E797F7F7 +:1014A00001009387A7F39C4311A0B2473ECEF247B9 +:1014B00091073E85EFF0EF99F2479C5791C7F247AD +:1014C000E1073E85EFF0EF98F2479107BE8517F5EB +:1014D00001001305A50BEFF02F8D97F701009387FF +:1014E000270C9C431387170097F701009387470B3E +:1014F00098C397F701009387E70D9C431387170064 +:1015000097F701009387070D98C3EF10C07497F702 +:1015100001009387270B9C439DCB97F7010093878E +:10152000E7EB9C437247631EF70097F70100938730 +:10153000E70A9C4381C77370043001A0EFE01FED00 +:1015400039A0EF10606EEF10A04BEF10C0700100DB +:10155000B25045618280797106D62AC62EC402CE69 +:10156000B24781E77370043001A0A24781E773702E +:10157000043001A097F70100938747069C4381C779 +:101580007370043001A0A92D97F70100938707031A +:101590009C433ECCB2479C432247BA973ECAB247CF +:1015A0009C436247637EF700B2479C435247637493 +:1015B000F7025247E24763F0E70285473ECE21A893 +:1015C000B2479C4352476366F7005247E24763F4D1 +:1015D000E70085473ECEB247524798C3F24789CFCE +:1015E00097F70100938787DF9C4391073E85EFF0D3 +:1015F0004F865245EF10001B11252AC8C24799E3B8 +:10160000EFE0DFE00100B25045618280797106D6DB +:101610002AC602CEB247A9C397F70100938707FCF9 +:101620009C4381C77370043001A05D2B97F70100C4 +:101630009387C7F89C433247BA973ECC97F701008F +:101640009387C7D99C4391073E85EFF08F80624511 +:10165000EF10401565232ACEF24799E3EFE01FDB38 +:101660000100B25045618280797106D62AC6B24720 +:101670003ECCE24781E77370043001A097F7010088 +:101680009387C7D59C4362476314F70002CEBDA081 +:10169000EF108059E247DC4B3ECAEF10C05B97F772 +:1016A00001009387E7EC9C435247630AF70097F7E2 +:1016B0000100938727EC9C4352476315F700894745 +:1016C0003ECE2DA8524797F701009387A7ED631BE5 +:1016D000F700E2479C5781E78D473ECE05A089473A +:1016E0003ECE29A8524797F70100938727EA631552 +:1016F000F70091473ECE19A085473ECEF2473E8582 +:10170000B25045618280797106D62AC6EF10C05169 +:10171000B24799E797F70100938747CC9C4311A004 +:10172000B2473ECEF247DC573ECCEF10C052E24704 +:101730003E85B25045618280797106D62AC6EFE0B7 +:10174000DFDAAA873ECEB24799E797F70100938781 +:10175000E7C89C4311A0B2473ECCE247DC573ECAE3 +:10176000F2473E85EFE07FD7D2473E85B2504561D4 +:101770008280797106D62AC62EC402CE2247A5479A +:1017800063F5E7007370043001A02247A54763F4B6 +:10179000E700A5473EC4EF102049B24799E797F705 +:1017A00001009387A7C39C4311A0B2473ECCE247F8 +:1017B000BC4B3ECA5247A247630AF70E2247D247A4 +:1017C00063F6E70297F70100938747C19C4362479E +:1017D0006308F70297F70100938747C09C43DC57E3 +:1017E0002247636FF70085473ECE19A897F701009F +:1017F0009387C7BE9C4362476314F70085473ECE7C +:10180000E247DC573EC8E247B84BE247DC57631576 +:10181000F700E2472247D8D7E2472247B8CBE24752 +:101820009C4F63C707002947A2471D8FE24798CF07 +:10183000E247D44B4247BA878A07BA978A0717F715 +:1018400001001307E7C3BA976394F600854711A018 +:101850008147B9C7E24791073E85EFE09FDFE24746 +:10186000D85797F701009387A7D59C4363F9E70002 +:10187000E247D85797F70100938787D498C3E24788 +:10188000D857BA878A07BA978A0717F7010013074C +:1018900027BF3E97E2479107BE853A85EFE0DFD04C +:1018A000724785476314F700EFE05FB6EF10A03A88 +:1018B0000100B25045618280797106D62AC6EF10C8 +:1018C000A036B24799E797F70100938727B19C4369 +:1018D00011A0B2473ECEF24791073E85EFE07FD799 +:1018E000F2479C5791C7F247E1073E85EFE07FD66C +:1018F000F2479107BE8517F501001305A5CAEFE071 +:10190000BFCAEF10403597F70100938727AD9C437E +:1019100072476319F70497F701009387A7CA9C439E +:1019200089CF97F70100938767CB9C4381C773707A +:10193000043001A0EFE09FAD91A097F701009387DD +:1019400067C6984397F70100938707C79C436319BD +:10195000F70097F70100938767A823A0070039A82D +:10196000212729A897F701009387C7C59C4399C7EA +:10197000EF10802BEF10C008EF10E02D0100B250E7 +:101980004561828001112AC602CEB2473ECCB247E1 +:1019900081E77370043001A0E247D84B97F701004C +:1019A000938747C06314F700854711A0814795C30B +:1019B000E247985797F70100938707BC630BF7003E +:1019C000E2479C5799E3854711A0814799C3854712 +:1019D0003ECEF2473E8505618280797106D62AC6E1 +:1019E000B2473ECEB24781E77370043001A0F247A0 +:1019F000C9C797F701009387679E9C437247630EA0 +:101A0000F706EF1060227245B53F2A8785476314B9 +:101A1000F706F24791073E85EFE0BFC3F247D8577C +:101A200097F701009387C7B99C4363F9E700F24732 +:101A3000D85797F701009387A7B898C3F247D857AC +:101A4000BA878A07BA978A0717F70100130747A3CF +:101A50003E97F2479107BE853A85EFE0FFB4F24723 +:101A6000D85797F70100938767979C43DC576364C7 +:101A7000F700EFE0BF99EF10001E0100B250456182 +:101A80008280797106D62AC602CEB2473ECCB247D2 +:101A900081E77370043001A0EFE03FA5AA873ECA3A +:101AA0006245CD352A8785476314F70897F701000B +:101AB0009387C7B29C43A5E7E247D85797F7010041 +:101AC0009387C7919C43DC576364F70085473ECEFC +:101AD000E24791073E85EFE0DFB7E247D85797F737 +:101AE00001009387E7AD9C4363F9E700E247D857CD +:101AF00097F701009387C7AC98C3E247D857BA87D6 +:101B00008A07BA978A0717F70100130767973E9766 +:101B1000E2479107BE853A85EFE01FA911A8E24789 +:101B2000E107BE8517F50100130505A5EFE0DFA766 +:101B3000D2473E85EFE07F9AF2473E85B25045613D +:101B40008280011106CE81480148814701478146C4 +:101B50001306004097E501009385C54A171500005C +:101B6000130585A5EFF06FF72AC632478547631541 +:101B7000F700EF10C06D2AC6324785476319F70298 +:101B80007370043097F70100938707A57D5798C3BA +:101B900097F70100938707A3054798C397F70100BC +:101BA0009387C7A123A00700EFE0AFEF31A0B247B2 +:101BB00081E77370043001A00100F240056182806A +:101BC000411106C67370043097F701009387879F11 +:101BD00023A00700EFE02FFB0100B240410182800B +:101BE00097F701009387879F9C431387170097F708 +:101BF00001009387A79E98C301008280011106CE41 +:101C000002C697F701009387679D9C4381E7737035 +:101C1000043001A0EF10400197F701009387079C63 +:101C20009C431387F7FF97F701009387279B98C37F +:101C300097F701009387879A9C436390071097F763 +:101C40000100938767979C436389070E59A097F714 +:101C5000010093876792DC47DC473EC4A247E10757 +:101C60003E85EFE01F9FA24791073E85EFE07F9EF4 +:101C7000A247D85797F70100938787949C4363F94D +:101C8000E700A247D85797F701009387679398C357 +:101C9000A247D857BA878A07BA978A0717E7010079 +:101CA0001307077E3E97A2479107BE853A85EFE06E +:101CB000BF8FA247D85797E70100938727729C43AD +:101CC000DC576368F70097F7010093872790054773 +:101CD00098C397F701009387278A9C43ADFB97F73A +:101CE00001009387678E9C438DCF35A0452AAA8734 +:101CF00099C797F701009387678D054798C397F7B2 +:101D000001009387678C9C431387F7FF97F70100C7 +:101D10009387878B98C397F701009387E78A9C4343 +:101D2000F1F797F701009387678A98438547631611 +:101D3000F70085473EC6EFE06FEDEF00D071B24788 +:101D40003E85F24005618280011106CEEF00D06D24 +:101D500097F70100938787869C433EC6EF00B06FDC +:101D6000B2473E85F24005618280011106CEEFE068 +:101D7000CFF7AA873EC697F70100938727849C4335 +:101D80003EC4B2473E85EFE04FF5A2473E85F240A4 +:101D90000561828097F70100938707829C433E8507 +:101DA0008280797106D62AC62EC432C202CEA947D5 +:101DB0003ECC3D3597F70100938707809C4322472F +:101DC0006368F70CE247FD173ECCF24796073247AF +:101DD000B306F7006247BA878A07BA978A0717E7F8 +:101DE00001001307E769BA970546BE853685EF00FF +:101DF000902A2A87F247BA973ECEE247E1F7F247A8 +:101E0000960732473E9797E70100938767769C4392 +:101E10000946BE853A85EF0010282A87F247BA970F +:101E20003ECEF247960732473E9797E701009387E9 +:101E300067749C430946BE853A85EF00D0252A8702 +:101E4000F247BA973ECEF24796073247BA97114605 +:101E500097E50100938585733E85EF00D0232A879F +:101E6000F247BA973ECEF24796073247BA970D46E9 +:101E700097E50100938505733E85EF00D0212A8701 +:101E8000F247BA973ECE924781C7924723A00700F8 +:101E9000B533F2473E85B25045618280797106D6EE +:101EA00002CE97E70100938767739C4363950718F9 +:101EB00097E70100938787709C431387170097E784 +:101EC00001009387A76F98C397E701009387076F77 +:101ED0009C433ECCE247B9EF97E7010093874769FF +:101EE0009C439C4381C77370043001A097E70100B5 +:101EF000938707689C433ECA97E7010093878767EB +:101F0000984397E701009387A76698C397E7010076 +:101F100093874766524798C397E701009387476BBB +:101F20009C431387170097E701009387676A98C35C +:101F3000EF00102D97E701009387076A9C436247E3 +:101F40006365F70C97E70100938787629C439C4386 +:101F500099E3854711A0814781CB97E701009387DB +:101F6000A7677D5798C355A097E7010093874760FF +:101F70009C43DC47DC473EC8C247DC433EC6624761 +:101F8000B2476379F70097E701009387E764324728 +:101F900098C3A5A8C24791073E85EFE08FEBC247E3 +:101FA0009C5791C7C247E1073E85EFE08FEAC247E1 +:101FB000D85797E701009387A7609C4363F9E70030 +:101FC000C247D85797E701009387875F98C3C247F6 +:101FD000D857BA878A07BA978A0717E70100130705 +:101FE000274A3E97C2479107BE853A85EFE0CFDB8F +:101FF000C247D85797E701009387473E9C43DC5779 +:10200000E362F7F485473ECE35BF97E7010093873B +:10201000E73C9C43D85797E6010093866646BA870B +:102020008A07BA978A07B6979843854763F1E7020C +:1020300085473ECE29A897E701009387E7589C4340 +:102040001387170097E701009387075898C397E70E +:1020500001009387A7579C4399C385473ECEF2471B +:102060003E85B25045618280011106CE97E701009E +:102070009387C7569C4381CB97E701009387075509 +:10208000054798C339A297E701009387275423A0F7 +:10209000070097E70100938767349C439C5B3EC62B +:1020A000B7A7A5A59387575A3EC4B2479C4322477A +:1020B0006314F702B24791079C432247631EF7005F +:1020C000B247A1079C4322476318F700B247B10704 +:1020D0009C4322476308F70497E701009387073082 +:1020E000984397E701009387672F9C4393874703A3 +:1020F000BE853A85EF20D00E35A097E70100938783 +:10210000274C9C4381E77370043001A097E70100DE +:102110009387074B9C431387F7FF97E7010093874B +:10212000274A98C397E7010093878749984397E622 +:1021300001009386E634BA878A07BA978A07B6976A +:102140009C43C5DF97E70100938787479843BA8789 +:102150008A07BA978A0717E7010013076732BA9709 +:102160003EC29247DC43D8439247D8C39247D843F4 +:102170009247A1076317F7009247DC43D843924781 +:10218000D8C39247DC43D84797E7010093870725D8 +:1021900098C30100F24005618280797106D62AC693 +:1021A0002EC4B24781E77370043001A097E70100A5 +:1021B0009387C7229C43E107BE853245EFE0CFC23B +:1021C00097E70100938787219C4391073E85EFE0C5 +:1021D0004FC82247FD576310F70297E70100938726 +:1021E000E71F9C439107BE8517E501001305853B5A +:1021F000EFE08FBB19A897E701009387273C9C432A +:102200002247BA973ECE7245712B0100B25045610C +:102210008280797106D62AC62EC432C2B24781E7BF +:102220007370043001A097E701009387273B9C431C +:1022300081E77370043001A097E701009387071AC4 +:102240009C43A24637070080558F98CF97E701003F +:102250009387C7189C43E107BE853245EFE0CFB4B2 +:1022600097E70100938787179C4391073E85EFE02E +:102270004FBE1247FD576310F70297E7010093879F +:10228000E7159C439107BE8517E5010013058531CD +:10229000EFE08FB119A897E70100938727329C439D +:1022A0001247BA973ECE7245F5290100B2504561FA +:1022B0008280797106D62AC62EC432C2B24781E71F +:1022C0007370043001A097E70100938727119C43A6 +:1022D000E107BE853245EFE02FAD97E70100938718 +:1022E000E70F9C4391073E85EFE0AFB61247854765 +:1022F0006310F70297E701009387470E9C4391070D +:10230000BE8517E501001305E529EFE0EFA919A83F +:1023100097E701009387872A9C432247BA973ECECE +:10232000724549210100B25045618280797106D61B +:102330002AC6B247DC47DC473ECCE24781E77370F0 +:10234000043001A0E247E1073E85EFE08FB097E758 +:1023500001009387A7289C43A1EBE24791073E85A4 +:10236000EFE02FAFE247D85797E701009387472563 +:102370009C4363F9E700E247D85797E7010093874A +:10238000272498C3E247D857BA878A07BA978A079B +:1023900017E701001307C70E3E97E2479107BE8576 +:1023A0003A85EFE06FA011A8E247E107BE8517E587 +:1023B00001001305651CEFE02F9FE247D85797E710 +:1023C00001009387A7019C43DC5763FBE700854727 +:1023D0003ECE97E701009387671F054798C311A07A +:1023E00002CEF2473E85B25045618280797106D6B1 +:1023F0002AC62EC497E701009387471E9C4381E7B6 +:102400007370043001A02247B70700805D8FB24788 +:1024100098C3B247DC473ECCE24781E77370043093 +:1024200001A03245EFE0EFA2E24791073E85EFE0E1 +:102430004FA2E247D85797E70100938767189C435C +:1024400063F9E700E247D85797E7010093874717FA +:1024500098C3E247D857BA878A07BA978A0717E717 +:1024600001001307E7013E97E2479107BE853A85D1 +:10247000EFE08F93E247D85797E70100938707F67D +:102480009C43DC5763FBE70085473ECE97E701009E +:102490009387C713054798C311A002CEF2473E8524 +:1024A000B2504561828041112AC6B24781E77370FC +:1024B000043001A097E70100938787119843B24742 +:1024C00098C397E701009387670F9843B247D8C333 +:1024D000010041018280797106D62AC62EC4B24716 +:1024E00081E77370043001A0A24781E77370043064 +:1024F00001A01D2F97E701009387470C9C433ECC1A +:10250000A2479843FD576314F70002CE91A8B24743 +:10251000984397E701009387A70B9C43630AF70052 +:10252000B247DC4362476365F70085473ECE0DA89E +:10253000B247DC4362471D8FA2479C436370F7029A +:10254000A2479843B247D443E247B387F6403E9749 +:10255000A24798C33245813F02CE19A085473ECE9F +:10256000DD2DF2473E85B2504561828097E701003C +:102570009387C705054798C30100828001112AC6C9 +:10258000B24799C7B2473ECCE247FC473ECE11A0C6 +:1025900002CEF2473E850561828001112AC62EC413 +:1025A000B24791C7B2473ECEF2472247F8C7010073 +:1025B00005618280011106CE2AC6852297E70100B7 +:1025C000938707EC98438547E3F9E7FEEFD01FE4D4 +:1025D000EDB7397106DE2ACE2ECC32CA36C8BA879C +:1025E0002317F10002D625A06247B257BA9703C756 +:1025F0000700F246B257B697238AE7026247B257FE +:10260000BA9783C7070089CBB25785073ED63257A2 +:10261000BD47E3FBE7FC11A00100F247A3810704DB +:102620005247A54763F4E700A5473ECAF247524721 +:10263000D8D7F2475247B8CBF24723AA0704F2474C +:1026400091073E85EFD05FF5F247E1073E85EFD079 +:10265000BFF4F247724798CB2947D2471D8FF24704 +:1026600098CFF2477247D8D3F24723A20704F24724 +:1026700023AC0704F24723AE07040100F2502161A6 +:102680008280011106CE02C60DA03247BA878A07A2 +:10269000BA978A0717E70100130787DEBA973E85C6 +:1026A000EFD05FECB24785073EC63247A547E3FE51 +:1026B000E7FC17E50100130525E9EFD0BFEA17E5B0 +:1026C00001001305A5E9EFD0FFE917E501001305A7 +:1026D000A5EAEFD03FE917E50100130525EBEFD0A0 +:1026E0007FE817E501001305E5EBEFD0BFE797E7BB +:1026F00001009387E7E717E701001307E7E498C3B2 +:1027000097E70100938707E717E70100130707E53D +:1027100098C30100F24005618280011106CE8DA8A8 +:10272000EFF00FCC97E70100938747E69C4393B700 +:10273000170093F7F70F3EC6EFF04FCCB247A9EB67 +:10274000E52197E70100938767E4DC47DC473EC457 +:10275000A24791073E85EFD0DFEF97E7010093870F +:10276000A7E59C431387F7FF97E701009387C7E42A +:1027700098C397E701009387A7E29C431387F7FF6D +:1027800097E701009387C7E198C3F1212245912C77 +:1027900097E701009387C7E09C43D9F30100F2401B +:1027A00005618280011106CE2AC697E70100938752 +:1027B000E7C29C433247D8C397E70100938707E0FD +:1027C0009C4332476372F70297E70100938787DAE9 +:1027D000984397E70100938767C09C439107BE85A4 +:1027E0003A85EFD07FE035A897E70100938747D877 +:1027F000984397E70100938767BE9C439107BE8586 +:102800003A85EFD07FDE97E701009387E7DC9C43B2 +:1028100032476378F70097E701009387E7DB324799 +:1028200098C30100F24005618280797106D6AA87BB +:102830002EC42317F100A24789EB8357E1008A07D2 +:102840003E85EF104051AA8711A0A2473ECCE24737 +:1028500085C313050006EF1000502ACEF24789C742 +:10286000F247624798DB31A06245EF10C06111A0CA +:1028700002CEF24781CFF247985B8357E1008A0787 +:102880003E869305500A3A85EF104075F2473E8523 +:10289000B25045618280397106DE22DC2AC62EC420 +:1028A00032C202D6A2479C43638D070EA2473ED494 +:1028B000A257DC43D843A257D8C3A257D843A25744 +:1028C000A1076317F700A257DC43D843A257D8C328 +:1028D000A257DC43DC473ED2A2473ED08257DC43BE +:1028E000D8438257D8C38257D8438257A10763176A +:1028F000F7008257DC43D8438257D8C38257DC4362 +:10290000DC473ECEB25796073247BA97724798C314 +:10291000B25796073247BA97724713074703D8C38F +:10292000B25796073247BA977247384798C7B25797 +:1029300096073247BA971247D8C7B2579607324719 +:10294000BA977247585798CB12478D47631BF700C9 +:10295000F2479C5799C7B25796073247BA9709472B +:10296000D8C7B25796073247BA977247384BD8CB79 +:10297000B25796073247BA9723AC0700F247945BE9 +:10298000B257960732473304F70036850D20AA87E1 +:10299000231EF400B25785073ED672479257E31DB7 +:1029A000F7F2B2573E85F2506254216182800111E4 +:1029B0002AC602CE39A0B24785073EC6F247850730 +:1029C0003ECEB24703C707009307500AE305F7FE60 +:1029D000F24789833ECEF247C207C1833E85056137 +:1029E0008280011106CE2AC6B2479C5B3E85EF105D +:1029F00080493245EF1020490100F2400561828094 +:102A0000411197E701009387A7B69C439C4399E344 +:102A1000854711A0814781CB97E701009387C7BB0A +:102A20007D5798C305A097E70100938767B49C433F +:102A3000DC47DC473EC6B247D84397E7010093879F +:102A4000A7B998C3010041018280411197E70100B5 +:102A50009387C7989C433EC6B2473E85410182801A +:102A6000411197E701009387E7B59C4381E78547CC +:102A70003EC619A897E70100938747B69C4381E7B4 +:102A800089473EC611A002C6B2473E8541018280F9 +:102A9000797106D62AC6B2473ECEB247F9C3F2478D +:102AA000D85797E70100938767939C43DC57637A75 +:102AB000F70AF2479C4F63CC070097E70100938722 +:102AC000E7919C43DC5729471D8FF24798CFF24787 +:102AD000D44BF247D857BA878A07BA978A0717E7BD +:102AE00001001307E799BA976394F600854711A090 +:102AF0008147A5C3F24791073E85EFD09FB597E781 +:102B000001009387A78D9C43D857F247D8D7F24747 +:102B1000D85797E701009387A7AA9C4363F9E7007A +:102B2000F247D85797E70100938787A998C3F247E0 +:102B3000D857BA878A07BA978A0717E70100130799 +:102B400027943E97F2479107BE853A85EFD0DFA5DF +:102B500009A897E70100938767889C43D857F247F5 +:102B6000D8D70100B25045618280797106D62AC655 +:102B7000B2473ECC02CEB247DDC397E70100938750 +:102B8000E7859C4362476305F7007370043001A03A +:102B9000E247FC4B81E77370043001A0E247FC4B35 +:102BA0001387F7FFE247F8CBE247D857E247BC4B21 +:102BB0006307F706E247FC4BBDE3E24791073E851A +:102BC000EFD03FA9E247B84BE247D8D7E247DC57FE +:102BD00029471D8FE24798CFE247D85797E7010072 +:102BE0009387079E9C4363F9E700E247D85797E72E +:102BF00001009387E79C98C3E247D857BA878A07B2 +:102C0000BA978A0717E70100130787873E97E247BD +:102C10009107BE853A85EFD03F9985473ECEF24772 +:102C20003E85B250456182807370043097E70100A1 +:102C3000938747999C4391CF97D701009387077A51 +:102C40009C43F8430507F8C397D70100938707799A +:102C50009C430100828097E701009387A7969C43DD +:102C60008DCB97D70100938767779C43FC4395C32F +:102C700097D70100938787769C43F8437D17F8C365 +:102C800097D70100938787759C43FC4399E3736052 +:102C9000043001008280411197D7010093870774A7 +:102CA0009C439C4F3EC697D70100938727739C4354 +:102CB000D85797D70100938767729C43A9463387FB +:102CC000E64098CFB2473E854101828097D7010008 +:102CD0009387C7709C4389CB97D701009387077070 +:102CE0009C43F84B0507F8CB97D701009387076FF4 +:102CF0009C433E858280797106D62AC62EC42D3724 +:102D000097D701009387876D9C43BC4FADE397D75E +:102D100001009387A76C9C430547F8CFA247A1CB3E +:102D200097D701009387876B9C4391073E85EFD02F +:102D30005F922247FD576310F70297D701009387F0 +:102D4000E7699C439107BE8517E50100130585855A +:102D5000EFD09F8519A897E70100938727869C43AA +:102D60002247BA973ECE7245353CEFD02FEAE53583 +:102D7000653D97D70100938767669C43BC4F3ECC67 +:102D8000E2479DC3B24789CB97D701009387076578 +:102D90009C4323AC070409A897D7010093870764D5 +:102DA0009C43B84F7D17B8CF97D70100938707632F +:102DB0009C4323AE07044535E2473E85B25045614A +:102DC0008280797106D62AC62EC432C236C0A93D89 +:102DD00097D70100938787609C43F84F8947630D1D +:102DE000F70697D701009387675F9C43B44F32473C +:102DF0001347F7FF758FB8CF97D701009387075E0A +:102E00009C430547F8CF8247A1CB97D70100938712 +:102E1000E75C9C4391073E85EFD0BF830247FD5797 +:102E20006310F70297D701009387475B9C43910794 +:102E3000BE8517D501001305E576EFD0EFF619A88A +:102E400097D70100938787779C430247BA973ECC78 +:102E50006245893AEFD08FDBFD3BF933924789CB4E +:102E600097D70100938787579C43B84F924798C3E1 +:102E700097D70100938787569C43F84F8547631483 +:102E8000F70002CE31A897D70100938727559C43BE +:102E9000B44F22471347F7FF758FB8CF85473ECE13 +:102EA00097D70100938787539C4323AE070465336C +:102EB000F2473E85B25045618280797106D62AC6B6 +:102EC0002EC432C236C085473ECEB24781E773700A +:102ED000043001A0B2473ECC813B824789C7E2471C +:102EE000B84F824798C3E247FC4F3ECAE2470947C2 +:102EF000F8CF1247914763EEE704924713972700F4 +:102F000097D7010093878710BA97984397D7010006 +:102F10009387C70FBA978287E247B84FA2475D8F62 +:102F2000E247B8CF3DA0E247BC4F13871700E24706 +:102F3000B8CF05A0E2472247B8CF21A8524789471A +:102F40006306F700E2472247B8CF21A002CE11A0C6 +:102F5000010052478547631AF706E24791073E850D +:102F6000EFD02FEFE247D85797D7010093874765F7 +:102F70009C4363F9E700E247D85797D7010093874E +:102F8000276498C3E247D857BA878A07BA978A074F +:102F900017D701001307C74E3E97E2479107BE853A +:102FA0003A85EFD06FE0E2479C5781C773700430D9 +:102FB00001A0E247D85797D70100938727429C4347 +:102FC000DC5763F4E700EFD06FC47131F2473E8500 +:102FD000B25045618280397106DE2ACE2ECC32CACB +:102FE00036C83AC685473ED6F24781E7737004304B +:102FF00001A0F2473ED4EFD04FCFAA873ED2C247BE +:1030000089C7A257B84FC24798C3A257FC4F3ED0BA +:10301000A2570947F8CF5247914763EEE704D247DA +:103020001397270097D70100938787FFBA97984394 +:1030300097D701009387C7FEBA978287A257B84FE8 +:10304000E2475D8FA257B8CF3DA0A257BC4F138770 +:103050001700A257B8CF05A0A2576247B8CF21A842 +:10306000025789476306F700A2576247B8CF21A0ED +:1030700002D611A0010002578547631DF708A25729 +:103080009C5781C77370043001A097D701009387C4 +:10309000E7549C43A1EBA25791073E85EFD06FDB2D +:1030A000A257D85797D70100938787519C4363F95C +:1030B000E700A257D85797D701009387675098C366 +:1030C000A257D857BA878A07BA978A0717D7010035 +:1030D0001307073B3E97A2579107BE853A85EFD06D +:1030E000AFCC11A8A257E107BE8517D50100130583 +:1030F000A548EFD06FCBA257D85797D70100938739 +:10310000E72D9C43DC5763F7E700B24781C7B2471E +:10311000054798C392573E85EFD02FBCB2573E85E6 +:10312000F25021618280797106D62AC62EC4B24738 +:1031300081E77370043001A0B2473ECEEFD0EFBA02 +:10314000AA873ECCF247FC4F3ECAF2470947F8CF68 +:10315000F247BC4F13871700F247B8CF5247854755 +:10316000631DF708F2479C5781C77370043001A0B4 +:1031700097D70100938787469C43A1EBF2479107BD +:103180003E85EFD00FCDF247D85797D701009387F0 +:1031900027439C4363F9E700F247D85797D70100CC +:1031A0009387074298C3F247D857BA878A07BA97D6 +:1031B0008A0717D701001307A72C3E97F2479107FC +:1031C000BE853A85EFD04FBE11A8F247E107BE8514 +:1031D00017D501001305453AEFD00FBDF247D85778 +:1031E00097D701009387871F9C43DC5763F7E7005D +:1031F000A24781C7A247054798C3E2473E85EFD063 +:10320000CFAD0100B25045618280797106D62AC6E1 +:10321000B2473ECCE24799E797D701009387071C56 +:103220009C4311A0E2473ECC0134E247F84F894766 +:103230006318F700E24723AE070485473ECE11A08E +:1032400002CE113CF2473E85B250456182800111A9 +:1032500006CE02C61D2B97D701009387673B9C4380 +:103260009DC38148014881470947814613060040B4 +:1032700097D50100938505DC170500001305051B94 +:10328000EFE0AF852AC6B24781E77370043001A032 +:10329000B2473E85F24005618280397106DE2ACE52 +:1032A0002ECC32CA36C83AC6E24799E302D62DA8D8 +:1032B0001305C002EF00302A2AD6B25795C7F12174 +:1032C000B257724798C3B257624798CFB257524726 +:1032D000D8CFB257424798D3B2573247D8D3B25714 +:1032E00091073E85EFD04FABE24781E77370043022 +:1032F00001A0B2573E85F25021618280397106DE0D +:103300002ACE2ECC32CA36C83AC602D6F24781E758 +:103310007370043001A097D701009387672F9C43F7 +:10332000B5CBE2473ED0D2473ED2F2473ED46247C9 +:10333000954763C4E704EFF0AFF22A87894763102B +:10334000F70297D701009387A72C9C43181081465A +:103350003246BA853E85EFD0AFE02AD625A897D76A +:1033600001009387E72A9C43181081460146BA85DD +:103370003E85EFD0EFDE2AD631A897D7010093879C +:1033800027299C43181081464246BA853E85EFD0D6 +:103390000FF32AD6B2573E85F25021618280011187 +:1033A0002AC6B2473ECEB24781E77370043001A00F +:1033B000F2479C433E8505618280797106D62AC614 +:1033C0002EC497D70100938727249C43DC47DC4712 +:1033D0003ECEF24791073E85EFD0AFA7F247D84FD8 +:1033E0008547631BF702F247984FB247BA97B24638 +:1033F0002246BE857245B9222A878547631EF7009B +:1034000001478146324681457245CD3D2ACCE2478F +:1034100081E77370043001A0F247DC537245829754 +:103420000100B25045618280797106D62AC63C08F7 +:103430003E8541282ACEE247BE8572451920692281 +:10344000FDB7797106D62AC62EC4EFE06FF93C08A5 +:103450003E8545282ACEE247B9EFA24799EB32478D +:10346000F24763E8E700EFE06FF9F2453245B13724 +:10347000A9A0A24781CF97D70100938727199C4322 +:103480009C4393B7170093F7F70F3EC497D70100FB +:103490009387071894433247F247B307F740224611 +:1034A000BE853685EFD0FFDDEFE04FF5AA8791E7C7 +:1034B000EFC0DFF519A0EFE06FF40100B2504561F5 +:1034C000828001112AC697D701009387E7139C4396 +:1034D0009C4393B7170093F7F70F3E87B24798C303 +:1034E000B2479C4391EB97D701009387E7119C4328 +:1034F000DC479C433ECE11A002CEF2473E850561DB +:103500008280797106D62AC6EFE01F842ACE97D72B +:103510000100938727109C4372476377F700692A5D +:10352000B247054798C321A0B24723A0070097D709 +:1035300001009387270E724798C3F2473E85B25029 +:1035400045618280797106D62AC62EC432C236C041 +:1035500002CEB2472247D8C3B247324798CB224760 +:10356000924763E8E702124782471D8FB2479C4F9C +:103570006365F70085473ECE99A097D701009387F2 +:10358000E7089843B2479107BE853A85EFD0CF85CB +:103590003DA0124782476379F7002247824763655F +:1035A000F70085473ECE21A897D701009387C7052E +:1035B0009843B2479107BE853A85EFD0EF82F24734 +:1035C0003E85B25045618280797106D6D9A0A24766 +:1035D00063C0070CC2473ECEF247DC4B91C7F247AF +:1035E00091073E85EFD0EF865C003E85193F2ACCDF +:1035F000A2472547636FF7081397270097D7010065 +:103600009387C7A3BA97984397D70100938707A3D7 +:10361000BA9782873247F2479C4FBA973247BA86A9 +:103620006246BE857245393F2A8785476313F70690 +:10363000F247DC5372458297F247D84F8547631AA9 +:10364000F7043247F2479C4FBA97014781463E86BE +:103650008145724565312ACAD24785EF73700430BF +:1036600001A03247F24798CFF2479C4F81E7737031 +:10367000043001A0F247984FE247BA97E24662460B +:10368000BE857245C13531A07245EF00C07F11A0E3 +:10369000010097D701009387A7F79C433800814624 +:1036A0000146BA853E85EFD06FD8AA87E39107F22D +:1036B0000100B25045618280797106D671A097D71A +:1036C0000100938767F49C43DC479C433ECC97D72B +:1036D0000100938767F39C43DC47DC473ECAD2472F +:1036E00091073E85EFC0FFF6D247DC5352458297E3 +:1036F000D247D84F85476319F704D2479C4F62479A +:10370000BA973EC84247E24763F4E702D2474247CE +:10371000D8C3D247524798CB97D701009387C7EEBB +:103720009843D2479107BE853A85EFC0FFEB29A8A1 +:1037300001478146624681455245C9362AC6B2478D +:1037400081E77370043001A097D701009387C7EB1E +:103750009C439C43ADF797D701009387E7EA9C43CE +:103760003ECE97D70100938767EA984397D7010029 +:10377000938787E998C397D70100938727E9724712 +:1037800098C30100B25045618280411106C6EFF036 +:10379000AFC997D701009387A7E79C43C9E717D51F +:1037A00001001305E5E3EFC0FFDB17D501001305AA +:1037B00065E4EFC03FDB97D701009387E7E417D7B5 +:1037C00001001307E7E198C397D70100938707E447 +:1037D00017D70100130707E298C30146B145114509 +:1037E000EFC07FF72A8797D70100938767E298C3D6 +:1037F00097D701009387C7E19C4381E7737004303A +:1038000001A097D701009387A7E09C4389CF97D762 +:1038100001009387E7DF9C4397D50100938585845A +:103820003E85EFD05F9CEFF00FC30100B240410135 +:103830008280797106D62AC6B2473ECEB24781E76A +:103840007370043001A0EFF02FBEF247DC4BB337AA +:10385000F00093F7F70F3ECCEFF0EFBFE2473E8565 +:10386000B25045618280797106D62AC6B2473ECEF3 +:10387000B24781E77370043001A0EFF0EFBAF2476E +:103880009C533ECCEFF02FBDE2473E85B2504561E0 +:103890008280797106D62AC62EC4B2473ECEB24780 +:1038A00081E77370043001A0EFF00FB8F2472247B0 +:1038B00098D3EFF04FBA0100B250456182800111F8 +:1038C00006CE714549292AC6B24789CBB24723A003 +:1038D0000700B24791073E85EFC0DFC8B2473E857B +:1038E000F24005618280397106DE2AC62EC432C2DA +:1038F00036C0B2473ED402D21247B70700FFF98F55 +:1039000081C77370043001A0924781E7737004305F +:1039100001A0EFF0EF94AA8799E3824799E38547E6 +:1039200011A0814781E77370043001A0EFE04FAB35 +:10393000A2579C433ED0A245324585240257A24758 +:103940005D8F9247F98F12476310F7020257A24723 +:10395000D98F3ED6A2579843924793C7F7FF7D8FE2 +:10396000A25798C302C025A0824799CFA257938639 +:1039700047001247B7070005D98F0246BE85368536 +:10398000EFE03F8902D621A0A2579C433ED6EFE04C +:10399000EFA62ACE8247B1CBF24799E3EFC01FA72B +:1039A000EFF06FAF2AD63257B7070002F98F85EBD9 +:1039B000EFF08FA7A2579C433ED632579247F98F1C +:1039C0001247631AF700A2579843924793C7F7FF2D +:1039D0007D8FA25798C3EFF00FA885473ED232578C +:1039E000B7070001FD17F98F3ED6B2573E85F2505A +:1039F000216182805D7186C62ACE2ECC32CA36C83D +:103A00003AC6F2473EDA02DC02D8F24781E7737029 +:103A1000043001A06247B70700FFF98F81C77370B8 +:103A2000043001A0E24781E77370043001A0EFF099 +:103A30002F83AA8799E3B24799E3854711A081476D +:103A400081E77370043001A0EFE08F99D2579C4357 +:103A50003ED64246E245325575242AD4A25799CF24 +:103A6000B2573EDE02C6D247A9CBD2579843E247AF +:103A700093C7F7FF7D8FD25798C381A0B24781E7E4 +:103A8000B2573EDE1DA8D24791C76257B707000163 +:103A9000D98F3EDCC24791C76257B7070004D98F60 +:103AA0003EDCD257938647006247E257D98F3246B1 +:103AB000BE853685EFE0EFF502DEEFE02F942AD2E7 +:103AC000B247B9CB925799E3EFC05F94EFF0AF9C48 +:103AD0002ADE7257B7070002F98F8DEBEFF0CF9413 +:103AE000D2579C433EDE4246E2457255212CAA87BE +:103AF00099CBD24789CBD2579843E24793C7F7FF78 +:103B00007D8FD25798C3EFF00F9502D87257B70741 +:103B10000001FD17F98F3EDEF2573E85B640616128 +:103B20008280797106D62AC62EC4B2473ECEB247ED +:103B300081E77370043001A02247B70700FFF98FB7 +:103B400081C77370043001A0EFF00F8EF2479C43E1 +:103B50003ECCF2479843A24793C7F7FF7D8FF247C9 +:103B600098C3EFF04F8FE2473E85B25045618280A7 +:103B7000797106D62AC6B2473ECEEFC01F97AA87F4 +:103B80003ECCF2479C433ECAE2473E85EFC0FF94DD +:103B9000D2473E85B250456182805D7186C62AC695 +:103BA0002EC402DCB2473ED802DAB24781E7737016 +:103BB000043001A02247B70700FFF98F81C7737057 +:103BC000043001A0C25791073ED6B257A1073ED498 +:103BD000EFE00F81B257DC473EDEC2579843A24761 +:103BE0005D8FC25798C3BDA8F257DC433ED2F2574F +:103BF0009C433ED002DA0257B70700FFF98F3ECE52 +:103C00000257B7070001FD17F98F3ED07247B7077B +:103C10000004F98F89EBC25798438257F98F89CFF7 +:103C200085473EDA11A8C25798438257F98F025749 +:103C30006314F70085473EDAD2579DC37247B70732 +:103C40000001F98F89C762578257D98F3EDCC2576E +:103C50009843B7070002D98FBE857255EFE00FF980 +:103C600092573EDE7257A257E310F7F8C2579843B7 +:103C7000E25793C7F7FF7D8FC25798C3EFD01FF865 +:103C8000C2579C433E85B64061618280797106D6F9 +:103C90002AC6B2473ECEF24791073ECCEFD05FF442 +:103CA0000DA0E247D847E247A1076315F7007370FC +:103CB000043001A0E247DC47B70500023E85EFE093 +:103CC000EFF2E2479C43F1FF7245752AEFD01FF3F4 +:103CD0000100B25045618280011106CE2AC62EC471 +:103CE000A24532455D3D0100F2400561828001112F +:103CF00006CE2AC62EC4A245324525350100F24023 +:103D00000561828001112AC62EC432C202CE9247BA +:103D100081EB3247A247F98F81CF85473ECE09A874 +:103D20003247A247F98F22476314F70085473ECEFA +:103D3000F2473E850561828001112AC6B2473ECC1A +:103D4000B24799E302CE21A0E2479C4F3ECEF24714 +:103D50003E8505618280397106DE2AC602D2EFD027 +:103D60003FE8976703009387278C9C4381EB55229C +:103D7000976703009387478B054798C3B24789CF5E +:103D8000A1473E87B247BA973EC6B2478D8B89C7D7 +:103D9000B247F19B91073EC6B247F9CB3247E56780 +:103DA000ED1763E7E70C976703009387E7863ED438 +:103DB00097670300938747869C433ED631A0B2574E +:103DC0003ED4B2579C433ED6B257DC43324763F5EC +:103DD000E700B2579C43E5F7325797670300938794 +:103DE00027846307F708A2579C432147BA973ED21E +:103DF000B2579843A25798C3B257D843B247B307B4 +:103E0000F740214706076378F7043257B247BA975D +:103E10003ECEB257D843B2471D8FF247D8C3B257F0 +:103E20003247D8C3F247DC433ECC97570300938711 +:103E3000A77E3ED021A082579C433ED082579C4310 +:103E4000DC436247E3E9E7FE82579843F24798C3B1 +:103E50008257724798C397C7010093876759984361 +:103E6000B257DC431D8F97C701009387675898C3EB +:103E7000EFD0DFD8925799E3EF00F03592573E85A7 +:103E8000F25021618280797106D62AC6B2473ECCB3 +:103E9000B247BDC3A147B307F0406247BA973ECCD3 +:103EA000E2473ECAEFD0DFD3D247DC433EC8975744 +:103EB0000300938767763ECE21A0F2479C433ECE17 +:103EC000F2479C43DC434247E3E9E7FEF24798436D +:103ED000D24798C3F247524798C3D247D84397C7AF +:103EE00001009387E7509C433E9797C70100938753 +:103EF000275098C3EFD09FD00100B2504561828017 +:103F000097C701009387C74E9C433E85828001007E +:103F10008280411197C7010093874770F19B3EC68D +:103F2000975703009387476F324798C3975703000B +:103F30009387876E23A20700975703009387476EE6 +:103F400065677117D8C3975703009387676D23A0E0 +:103F50000700B2473EC4A24765677117D8C3A2479E +:103F6000175703001307C76B98C3010041018280F4 +:103F700001112AC62EC432C2A2473ECEB2473ECC61 +:103F80003247A247D98F8D8BA1E319A8F2479843F6 +:103F9000E24798C3E24791073ECCF24791073ECEF5 +:103FA0009247F5173247BA976247E361F7FE29A8AF +:103FB0007247930717003ECEE2479386170036CC30 +:103FC000034707002380E70032479247BA976247CA +:103FD000E360F7FEB2473E850561828001112AC683 +:103FE0002EC432C232479247D98F8D8B9DEFA247A4 +:103FF00093F7F70F3ECAD247A2075247D98F3ECA5E +:10400000D247C2075247D98F3ECAB2473ECE39A0E7 +:10401000F247138747003ACE524798C33247924738 +:10402000BA977247E366F7FE1DA0B2473ECC11A8CF +:10403000E247138717003ACC22471377F70F238004 +:10404000E70032479247BA976247E363F7FEB24709 +:104050003E850561828001112AC6B2473ECE21A06D +:10406000F24785073ECEF24783C70700F5FB72474C +:10407000B247B307F7403E850561828001112AC629 +:104080002EC4B247138717003AC683C70700A30F91 +:10409000F100A247138717003AC483C70700230F14 +:1040A000F1008347F10199C70347F1018347E1011B +:1040B000E309F7FC0347F1018347E101B307F74048 +:1040C0003E850561828001112AC62EC4B2473ECECC +:1040D00001002247930717003EC4F247938617005A +:1040E00036CE034707002380E70083C70700F5F3B8 +:1040F000B2473E850561828001112AC602CE02CCFC +:1041000021A0B24785073EC6B24703C70700930701 +:104110000002E308F7FEB24703C707009307D00287 +:104120006309F700B24703C707009307B0026311A2 +:10413000F704B24783C70700938737FD93B717008B +:1041400093F7F70F3ECCB24785073EC615A07247DE +:10415000BA878A07BA9786073ECEB24713871700F9 +:104160003AC683C70700938707FD7247BA973ECECA +:10417000B24783C70700E1FFE24789C7F247B307A9 +:10418000F04011A0F2473E850561828001112AC6E8 +:10419000975703009387074B9C4393861700175745 +:1041A00003001307274A14C332471377F70F9756B4 +:1041B00003009386264DB6972380E7003247A94730 +:1041C000630BF700975703009387C74798439307FC +:1041D00000046315F704975703009387A74A3ECE60 +:1041E00005A8F247138717003ACE03C70700B707A1 +:1041F00010F0938707F098C397570300938787447D +:104200009C431387F7FF975703009387A74398C3EF +:1042100097570300938707439C43E1F781473E8507 +:10422000056182804D7123261114232481142322D9 +:10423000911423202115232E3113232C4113232ADB +:1042400051132ACE2ECC32C836CA3AC63EC442C218 +:1042500023260112B2473E8A814AC24752485286FB +:10426000D6863E85C285EF00B07EAA872E883E8620 +:10427000C2868327C112138717002326E1123287D3 +:104280008A07141AB69723AEE7EEB2473E848144FC +:10429000D247268763E8E702D24726876396E7007E +:1042A000C247228763E0E702B2473E8981494A86D6 +:1042B000CE864245D245EF00F01BAA872E883EC825 +:1042C00042CA49BF010029A0F247E2451245829740 +:1042D000A2471387F7FF3AC40327C112E346F7FE4C +:1042E00025A88327C1128A07181ABA9703A7C7EF10 +:1042F0008327C1128A07141AB69783A6C7EFA5476A +:1043000063F5D7009307700519A093070003BA97C8 +:104310007247E2453E8502978327C1121387F7FF54 +:104320002326E112E34FF0FA01008320C114032495 +:10433000811483244114032901148329C113032AFE +:104340008113832A41137161828041112AC62EC4D0 +:10435000A2460547635ED700B2479C439D07E19B99 +:1043600093868700324714C303A847009C4335A0B7 +:10437000224719CB3247184313064700B24690C272 +:104380001843BA87014811A832471843130647005B +:10439000B24690C21843BA8701483E85C2854101A2 +:1043A000828041112AC62EC4A2460547635ED7000B +:1043B000B2479C439D07E19B93868700324714C315 +:1043C00003A847009C4305A8224701CF3247184362 +:1043D00013064700B24690C21843BA877D873A88D1 +:1043E00019A83247184313064700B24690C2184333 +:1043F000BA877D873A883E85C285410182803971BE +:1044000006DE22DC26DA2AC62EC432C236C011A845 +:1044100063060424924785073EC2B247A24522851F +:104420008297924783C707003E8493075002E311A7 +:10443000F4FE924785073EC292473EC893070002AA +:10444000A30BF100FD573ECEFD573ECC02D0924764 +:10445000138717003AC283C707003E849307D4FD31 +:10446000130750056363F71E1397270097C70100D2 +:10447000938747C0BA97984397C70100938787BF30 +:10448000BA9782879307D002A30BF100C9B79307AD +:104490000003A30BF10065BF02CC6247BA878A070D +:1044A000BA978607A297938707FD3ECC924783C7AA +:1044B00007003E849307F00263D6870293079003B8 +:1044C00063C28702924785073EC2C1BF82471387F6 +:1044D00047003AC09C433ECC39A0F247E3D907F6E7 +:1044E00002CEB5B70100F247E3D307F6E2473ECE6E +:1044F000FD573ECCA9BF825785073ED089BF824772 +:10450000138747003AC09C433247A2453E85029735 +:10451000A9A28247138747003AC0844389E497C41D +:1045200001009384A4B4F2476356F0040347710179 +:104530009307D0026300F704E247BE852685092F62 +:104540002A87F247998F3ECE11A8834771013247DF +:10455000A2453E850297F247FD173ECEF247E3465D +:10456000F0FE09A8B247A245228582978504F2474A +:10457000FD173ECE83C704003E841DC0E247E3C35F +:1045800007FEE247FD173ECCE247E3DD07FC09A842 +:10459000B247A245130500028297F247FD173ECEAF +:1045A000F247E347F0FE55A88A8782553E85D53B02 +:1045B000AA872E883ED442D6A2573258C28763D9E2 +:1045C0000702B247A2451305D0028297A255325680 +:1045D00081470148B386B740368533B5A700330716 +:1045E000C840B307A7403E87B6873A883ED442D634 +:1045F000A9473ED21DA8A9473ED215A0A1473ED249 +:1046000039A885473ED0B247A245130500038297DB +:10461000B247A245130580078297C1473ED28A87D9 +:1046200082553E851D332AD42ED6125783477101F9 +:104630003E88F2472256B256A2453245E53631A8A9 +:10464000B247A2452285829709A8B247A245130521 +:1046500050028297C2473EC20100E1B30100F2500E +:104660006254D2542161828041112AC6B70710F0EA +:10467000938707F2324798C301A05D7106D62AC618 +:104680002EDA32DC36DEBAC0BEC2C2C4C6C69C0850 +:1046900091173ECEF247BE8632468145170500008F +:1046A000130505AFA93B81473E85B2506161828009 +:1046B00001112AC62EC41EC2A2473ECEF2479C4319 +:1046C00032471377F70F2380E700F2479C431387A5 +:1046D0001700F24798C30100056182801D7106DE54 +:1046E0002AC62EC4B2C4B6C6BAC8BECAC2CCC6CECA +:1046F0009C103ED25C084C0817060000130686FB8F +:104700007D779346F7FF0565130505803388A5007F +:104710003378E8001368783B23A007013295698F4E +:1047200013677733D8C333F7D5009315470137871D +:104730000300130737394D8F98C73377D600931688 +:1047400047013707030013077706558FD8C70F10A7 +:104750000000B2473ED69C10A1173ED422575C08F9 +:104760003E857C00BA862246BE855139B2472380F9 +:104770000700B2473E87B257B307F7403E85F25075 +:10478000256182804111370510F0130545F4084179 +:104790002AC6370510F0130505F408412AC4370569 +:1047A00010F0130545F40841B248E39EA8FC3245D9 +:1047B0002A8381431317030081462245AA850146B7 +:1047C000B3E7B6003368C700370710F0130587F466 +:1047D0008565938505FA0146B386B700B688B3B8F8 +:1047E000F8003307C800B387E8003E87B6873A88E9 +:1047F0001CC123220501010041018280411106C62E +:1048000051379307000873A047300100B2404101BF +:104810008280011106CE2AC617C501001305059B2B +:10482000EFF0BFE50100F24005618280011106CE84 +:104830002AC617C501001305E599EFF01FE4010032 +:10484000F24005618280797106D62AC602CE29A07F +:104850000100F24785073ECE724785679387773818 +:10486000E3D8E7FE17C5010013054597EFF0FFE019 +:10487000F1BF011106CE2AC6F1240100F240056104 +:104880008280011106CE014595221707000013070B +:1048900087FE814605469305200317C501001305D1 +:1048A0006594EFE09F9F2AC6EFD00FCAAA87014701 +:1048B00081463E8685453245EFE05FA40100F24027 +:1048C00005618280011106CE02C6352C2A878547F4 +:1048D000630CF70017C5010013054591EFF0FFD9F0 +:1048E000B24793E727003EC6B2473E85F2400561D6 +:1048F0008280011106CE02C6693717070000130730 +:104900006704814605469305400617C50100130557 +:104910002590EFE09F982AC6B24799CBEFD0CFC23F +:10492000AA87014781463E8685453245EFE01F9DB7 +:1049300002C4EFD00FA181473E85F240056182801D +:10494000797106D62AC697C70100938767A99C4349 +:104950001387470697C70100938787A898C397C70F +:104960000100938727A89C431387470697C7010038 +:10497000938747A798C397C70100938767A6984373 +:104980009307F07C63D3E70497C70100938747A59B +:104990009C431387078397C70100938767A498C335 +:1049A00015372ACEF24799EB97C70100938787A363 +:1049B000984385679387F77663DBE700F2473E8588 +:1049C000EFF09FCAEFD0CF9F21A0010011A00100FE +:1049D000B250456182807370043001A00100828072 +:1049E00041112AC62EC47370043001A0797106D615 +:1049F0002AC685473ECE014691457245EFB0BFD5E8 +:104A00002A8797570300938727CC98C3814801488A +:104A10008147324781461306004097B501009385D0 +:104A2000E57F1705000013054501EFC01F8B01004E +:104A3000B25045618280397106DE2AC685473ED470 +:104A400085473ED6014691452255EFB0DFD02AD2A8 +:104A5000925781E77370043001A0A9473ED01C1023 +:104A600089460146BE851255EFB09FEF02D01C105B +:104A700081460146BE851255EFC04F9B0257A9479C +:104A80006303F70002D602CE3DA87C0889460146A2 +:104A9000BE851255EFB0DFEC1C1085460146BE8581 +:104AA0001255EFC0AF980257F2476303F70002D6E2 +:104AB0001255EFC04FC12A87A2576383E70002D681 +:104AC000F24785073ECE72479147E3F0E7FC1C10A2 +:104AD00081460146BE851255EFC04F951255EFC075 +:104AE0008FBEAA8791C302D6B257A5DB97570300A2 +:104AF000938747BD9C4313871700975703009387FD +:104B000067BC98C399BF411197C701009387C78EAF +:104B1000984385476304F70002C619A89757030016 +:104B2000938747BA9C4381C785473EC611A002C6FA +:104B300097570300938707B923A00700B2473E8524 +:104B400041018280011106CEA9473EC4D1473EC231 +:104B50008D473EC697570300938707B79C4381E76D +:104B60007370043001A097570300938727B69C43C6 +:104B70000547638AE704054763E6E7000947638161 +:104B8000E70641A897570300938707B49C43380072 +:104B900089460146BA853E85EFB07FF297570300FC +:104BA000938787B29C430A87BA853E85EFC06FAA78 +:104BB0000247A247630CF70497C701009387C78396 +:104BC00023A00700A1A0975703009387E7AF9C435A +:104BD000580089460146BA853E85EFB05FEE15A8BC +:104BE00097570300938747AE9C430A870146BA85CF +:104BF0003E85EFC00F9C02479247630BF70097B7C3 +:104C000001009387677F23A0070019A0010011A06E +:104C1000010097570300938767AB9C4313871700E6 +:104C200097570300938787AA98C3975703009387E2 +:104C3000E7A99C43324763E8E7009757030093874F +:104C4000E7A823A007000100F24005618280000070 +:104C5000B305B500930705006386B70003C70700D7 +:104C6000631607003385A74067800000938717000D +:104C70006FF09FFE130101FD23229102232A5101AF +:104C8000232611022324810223202103232E310114 +:104C9000232C410123286101232671012324810152 +:104CA000232291012320A101930A0500938405008A +:104CB000639E0638130406009309050017B9010026 +:104CC0001309495663F8C512B7070100138B050095 +:104CD0006378F6101307F00F3337C7001317370048 +:104CE000B357E6003309F900834609003387E6002D +:104CF00093060002B386E640638C0600B394D400AA +:104D000033D7EA003314D600336B9700B399DA0037 +:104D1000935A040193850A0013050B00EF00902AB3 +:104D20001309050093850A00931B040113050B006A +:104D3000EF00D02493DB0B019304050093050500DD +:104D400013850B00EF00D0201319090193D7090137 +:104D5000B367F900138A040063FEA700B3878700D6 +:104D6000138AF4FF63E8870063F6A700138AE4FF61 +:104D7000B3878700B384A74093850A001385040096 +:104D8000EF0050241309050093850A0013850400E1 +:104D9000EF00D01E939909019304050093050500C7 +:104DA0001319090113850B0093D90901EF00501A5B +:104DB000B36939011386040063FCA900B309340107 +:104DC0001386F4FF63E6890063F4A9001386E4FF09 +:104DD00013140A013364C400130A00006F000013A7 +:104DE000B707000113070001E36CF6EE130780011B +:104DF0006FF01FEF138A0600631A06009305000088 +:104E000013051000EF00901713040500B707010009 +:104E1000637EF4129307F00F63F48700130A800097 +:104E2000B35744013309F900034709009306000210 +:104E300033074701B386E64063940612B384844087 +:104E4000130A1000135B040193050B001385040083 +:104E5000EF0050171309050093050B00138504009C +:104E6000931B0401EF00901193DB0B0193040500E9 +:104E70009305050013850B00EF00900D1319090130 +:104E800093D70901B367F900938A040063FEA70072 +:104E9000B3878700938AF4FF63E8870063F6A7006F +:104EA000938AE4FFB3878700B384A74093050B0080 +:104EB00013850400EF0010111309050093050B0082 +:104EC00013850400EF00900B9399090193040500EA +:104ED000930505001319090113850B0093D90901E6 +:104EE000EF001007B36939011386040063FCA900C1 +:104EF000B30934011386F4FF63E6890063F4A90063 +:104F00001386E4FF13940A013364C40013050400FC +:104F100093050A008320C1020324810283244102F5 +:104F2000032901028329C101032A8101832A410146 +:104F3000032B0101832BC100032C8100832C410032 +:104F4000032D01001301010367800000B707000172 +:104F5000130A0001E366F4EC130A80016FF05FECC2 +:104F60003314D40033DAE400B399DA0033D7EA001B +:104F7000935A0401B394D40093850A0013050A00E0 +:104F8000336B9700EF0010041309050093850A00A6 +:104F900013050A00931B0401EF00407E93DB0B0115 +:104FA000930405009305050013850B00EF00407A7C +:104FB0001319090113570B013367E900138A040021 +:104FC000637EA70033078700138AF4FF63688700B6 +:104FD0006376A700138AE4FF33078700B304A74072 +:104FE00093850A0013850400EF00C07D13090500B6 +:104FF00093850A0013850400EF00407893040500B0 +:105000009305050013850B00EF00807413170B0147 +:105010001357070113190901B367E9001387040047 +:1050200063FEA700B38787001387F4FF63E8870058 +:1050300063F6A7001387E4FFB3878700131A0A01FA +:10504000B384A740336AEA006FF0DFDF63ECD51E5C +:10505000B707010063F4F6041307F00FB335D70068 +:105060009395350033D7B60097B701009387871B18 +:10507000B387E70003C70700130A00023307B7002E +:10508000330AEA4063160A0213041000E3E096E8CC +:1050900033B6CA00134416006FF05FE7B70700018C +:1050A00093050001E3E0F6FC930580016FF09FFBA0 +:1050B000B35CE600B3964601B3ECDC0033D4E40005 +:1050C00093DB0C01B397440133D7EA0093850B00BF +:1050D00013050400336BF700B3194601EF00806E2F +:1050E0001309050093850B0013050400139C0C01A4 +:1050F000EF00C068135C0C019304050093050500E4 +:1051000013050C00EF00C0641319090113570B01BC +:105110003367E90013840400637EA7003307970117 +:105120001384F4FF636897016376A7001384E4FF98 +:1051300033079701B304A74093850B001385040040 +:10514000EF0040681309050093850B0013850400E8 +:10515000EF00C062930405009305050013050C00E1 +:10516000EF00005F93170B011319090193D7070193 +:10517000B367F9001386040063FEA700B3879701A5 +:105180001386F4FF63E8970163F6A7001386E4FF34 +:10519000B387970113140401B70B01003364C400F3 +:1051A0001389FBFF337D240133F92901B384A74020 +:1051B0009305090013050D00EF008059935C04016D +:1051C00093050900130B050013850C00EF004058F0 +:1051D00093D90901130C05009385090013850C0070 +:1051E000EF000057130905009385090013050D0012 +:1051F000EF0000563305850193570B013385A70057 +:10520000637485013309790193570501B387270139 +:1052100063E6F402E392F4BCB70701009387F7FF5B +:105220003375F50013150501337BFB0033964A01F6 +:1052300033056501130A0000E37AA6CC1304F4FFDA +:105240006FF09FB9130A0000130400006FF01FCC29 +:10525000130101FB2324810423229104232E310313 +:10526000232291032326110423202105232C41030B +:10527000232A510323286103232671032324810356 +:105280002320A103232EB101930C0500938905006F +:105290001304050093840500639E06261309060087 +:1052A000138A060097BA0100938ACAF763F4C514FB +:1052B000B70701006376F6129307F00F63F4C70097 +:1052C000130A8000B3574601B38AFA0003C70A00E5 +:1052D0001305000233074701330AE540630C0A0057 +:1052E000B395490133D7EC0033194601B364B700D5 +:1052F00033944C01935A090193850A0013850400E5 +:10530000EF00404C9309050093850A00131B090127 +:1053100013850400EF008046135B0B019305050025 +:1053200013050B00EF00C042939909019357040144 +:10533000B3E7F90063FAA700B387270163E6270103 +:1053400063F4A700B3872701B384A74093850A00BD +:1053500013850400EF0000479309050093850A00B8 +:1053600013850400EF008041131404019305050028 +:105370009399090113050B0013540401EF00403DFC +:1053800033E48900637AA4003304240163662401B2 +:105390006374A400330424013304A440335544014E +:1053A000930500008320C104032481048324410465 +:1053B000032901048329C103032A8103832A4103AA +:1053C000032B0103832BC102032C8102832C410296 +:1053D000032D0102832DC101130101056780000027 +:1053E000B7070001130A0001E36EF6EC130A80010F +:1053F0006FF05FED631A06009305000013051000BF +:10540000EF00C03713090500B7070100637AF90EF2 +:105410009307F00F63F42701130A8000B357490183 +:10542000B38AFA0003C70A0013050002B3842941B6 +:1054300033074701330AE540E30E0AEA331949010D +:10544000B3DAE900B395490133D7EC00935409016D +:10545000336BB70013850A0093850400EF00803694 +:105460009309050093850400931B090113850A0025 +:10547000EF00C03093DB0B019305050013850B0093 +:10548000EF00002D9399090193570B01B3E7F90041 +:1054900033944C0163FAA700B387270163E6270121 +:1054A00063F4A700B3872701B38AA740938504005C +:1054B00013850A00EF00003193090500938504006D +:1054C00013850A00EF00802B9305050013850B0060 +:1054D000EF00002893150B019399090193D505015D +:1054E000B3E5B90063FAA500B385250163E625019C +:1054F00063F4A500B3852501B384A5406FF09FDF59 +:10550000B7070001130A0001E36AF9F0130A8001EA +:105510006FF0DFF0E3E8D5E8B707010063FCF604BD +:10552000930BF00F33B5DB001315350033D7A6000E +:1055300097B70100938707CFB387E70083CB0700B6 +:1055400093050002B38BAB00338B7541631E0B02D6 +:1055500063E4360163EACC003384CC40B386D9409F +:1055600033B58C00B384A640130504009385040072 +:105570006FF05FE3B707000113050001E3E8F6FAF7 +:10558000130580016FF09FFAB3966601335D7601D3 +:10559000336DDD0033D47901B395690133DC7C01CF +:1055A00093540D01336CBC00130504009385040073 +:1055B000B31A6601EF000021130A05009385040069 +:1055C0001305040033996C01931C0D01EF00001BBF +:1055D00093DC0C01130405009305050013850C00F2 +:1055E000EF000017131A0A0113570C013367EA0082 +:1055F000130A0400637EA7003307A701130AF4FF10 +:105600006368A7016376A700130AE4FF3307A701C5 +:10561000B309A7409385040013850900EF00801AA1 +:10562000938504001304050013850900EF0000159D +:10563000930505009304050013850C00EF0040114D +:1056400093150C011314040193D50501B365B4003F +:105650001387040063FEA500B385A5011387F4FF3B +:1056600063E8A50163F6A5001387E4FFB385A501F0 +:10567000131A0A01B70C0100336AEA001384FCFF15 +:10568000B3778A0033F48A00B384A54013850700FA +:10569000930504002326F100135A0A01EF00400B82 +:1056A000930905009305040013050A00EF00400A62 +:1056B00013DC0A01930D050093050C0013050A0085 +:1056C000EF0000098327C100130A050093050C00B1 +:1056D00013850700EF00C0073305B50113D7090193 +:1056E0003307A7006374B701330A9A01B7070100B3 +:1056F0009387F7FF935507013377F70013170701D7 +:10570000B3F7F900B3854501B307F70063E6B400CA +:10571000639EB400637CF90033865741B3B7C7007A +:10572000B385A541B385F54093070600B307F9405B +:105730003339F900B385B440B385254133947501FD +:10574000B3D767013365F400B3D565016FF09FC52A +:10575000130605001305000093F615006384060088 +:105760003305C50093D5150013161600E39605FE04 +:10577000678000006340050663C6050613860500C2 +:10578000930505001305F0FF630C06029306100055 +:10579000637AB6006358C00013161600939616007D +:1057A000E36AB6FE1305000063E6C500B385C54095 +:1057B0003365D50093D6160013561600E39606FE01 +:1057C0006780000093820000EFF05FFB1385050007 +:1057D000678002003305A04063D80500B305B040E0 +:1057E0006FF0DFF9B305B04093820000EFF01FF9CE +:1057F0003305A040678002009382000063CA050061 +:10580000634C0500EFF09FF71385050067800200E9 +:10581000B305B040E35805FE3305A040EFF01FF696 +:085820003305B040678002006F +:02000004800278 +:1000000049444C4500000000482FFEFF102FFEFF22 +:100010001E2FFEFF2C2FFEFF342FFEFF5830FEFF59 +:100020002030FEFF2E30FEFF3C30FEFF4430FEFF4E +:10003000546D722053766300DC35FEFFDC35FEFF25 +:10004000DC35FEFF5A36FEFF2A36FEFF5036FEFF35 +:10005000DC35FEFFDC35FEFF5A36FEFF2A36FEFF9A +:10006000546D725100000000286E756C6C29000000 +:10007000DE43FEFFDA45FEFFD045FEFFDA45FEFF18 +:10008000DA45FEFFDA45FEFFDA45FEFF5C44FEFF7F +:10009000DA45FEFFDA45FEFF1444FEFF6A44FEFF28 +:1000A000DA45FEFF1E44FEFF2844FEFF2844FEFF03 +:1000B0002844FEFF2844FEFF2844FEFF2844FEFF9C +:1000C0002844FEFF2844FEFF2844FEFFDA45FEFFD9 +:1000D000DA45FEFFDA45FEFFDA45FEFFDA45FEFFB0 +:1000E000DA45FEFFDA45FEFFDA45FEFFDA45FEFFA0 +:1000F000DA45FEFFDA45FEFFDA45FEFFDA45FEFF90 +:10010000DA45FEFFDA45FEFFDA45FEFFDA45FEFF7F +:10011000DA45FEFFDA45FEFFDA45FEFFDA45FEFF6F +:10012000DA45FEFFDA45FEFFDA45FEFFDA45FEFF5F +:10013000DA45FEFFDA45FEFFDA45FEFFDA45FEFF4F +:10014000DA45FEFFDA45FEFFDA45FEFFDA45FEFF3F +:10015000DA45FEFFDA45FEFFDA45FEFFDA45FEFF2F +:10016000DA45FEFFDA45FEFFDA45FEFFDA45FEFF1F +:100170008E44FEFF3845FEFFDA45FEFFDA45FEFFFE +:10018000DA45FEFFDA45FEFFDA45FEFFDA45FEFFFF +:10019000DA45FEFF8644FEFFDA45FEFFDA45FEFF44 +:1001A0008C45FEFF9245FEFFDA45FEFFDA45FEFF75 +:1001B000A244FEFFDA45FEFF8645FEFFDA45FEFF5C +:1001C000DA45FEFFAA45FEFF6D69616F750A000002 +:1001D0006D69616F75320A0068756E6772790A0021 +:1001E00054696D65723200004572726F7220696EDB +:1001F0002051756575654F766572777269746520F3 +:100200007461736B73200D0A00000000436865631E +:100210006B54696D65720000514F76657200000085 +:10022000000102020303030304040404040404049D +:10023000050505050505050505050505050505056E +:10024000060606060606060606060606060606064E +:10025000060606060606060606060606060606063E +:10026000070707070707070707070707070707071E +:10027000070707070707070707070707070707070E +:1002800007070707070707070707070707070707FE +:1002900007070707070707070707070707070707EE +:1002A00008080808080808080808080808080808CE +:1002B00008080808080808080808080808080808BE +:1002C00008080808080808080808080808080808AE +:1002D000080808080808080808080808080808089E +:1002E000080808080808080808080808080808088E +:1002F000080808080808080808080808080808087E +:10030000080808080808080808080808080808086D +:10031000080808080808080808080808080808085D +:100320001000000000000000017A5200017C010171 +:100330001B0D02004C000000180000003849FEFFB1 +:10034000DC05000000440E307089039507810188A8 +:100350000292049305940696089709980A990B9AB5 +:100360000C0370020AC144C844C944D244D344D4E3 +:1003700044D544D644D744D844D944DA440E004442 +:100380000B0000005000000068000000C44EFEFF9B +:100390000005000000440E507488028903930599FB +:1003A0000B810192049406950796089709980A9A7A +:1003B0000C9B0D0320010AC144C844C944D244D354 +:1003C00044D444D544D644D744D844D944DA44DB51 +:0803D000440E00440B00000084 +:1003E400AAAAAAAA08000000FC8F010009000000C4 +:0403F4000100000004 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/QueueOverwrite_rv32ic_O3.hex b/VexRiscv/src/test/resources/freertos/QueueOverwrite_rv32ic_O3.hex new file mode 100644 index 0000000..32448bb --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/QueueOverwrite_rv32ic_O3.hex @@ -0,0 +1,1682 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900061D1170502001305E5529795030093857A +:1000A000257A0146112217050200130565489705B8 +:1000B00002009385E54E0146C52817A503001305E8 +:1000C000657897B503009385A57737B6ABAB130674 +:1000D000B6BAD92817B103001301C176EF60E02644 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2023323A02200F32210348B +:1002400096DEEF00002B170102000321A1310241CD +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E23123A0120023A2A1 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40502717010200032138 +:1003300061230241F65273901234B7220000938277 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A22383A0A8 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2021123A0220086DEEF2071 +:10046000602E170102000321E10F0241F652739042 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF407007EF10900A01E5B7 +:10050000B24041018280B24041016F20A023930795 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30B0207E +:1005B0008280411122C406C62A8426C2EF2030124E +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF2040586DD5EF2020783C44FD173C +:1005F0003CC43C44E344F0FEFD573CC4EF20701083 +:10060000EF20F00D7C40635BF0021C4885CB930427 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2000546DD5EF20E0735C +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20B00B29CD0111E8 +:1006500022CC2A8406CE26CA4AC84EC62E89EF204E +:10066000100824404C5C832904002685EF50902F0D +:100670007D57232C0402B3079540CE974E9578C042 +:1006800048C0232434015CC438C4631109021C48E7 +:100690008DE7EF201007F2406244D2444249B2494C +:1006A0000545056182807370043001A013050401C3 +:1006B000B93D13054402A13DE9BF13050401EF2034 +:1006C000804A8547E317F5FC9133E1B701E5737084 +:1006D000043001A0011126CA4AC84EC606CE22CC5B +:1006E000AA842E89B289A5C9EF50D02713055505D4 +:1006F000EF3020742A8435C5930745051CC144DCBE +:1007000023202405EF20A07D24404C5C0329040015 +:100710002685EF503025FD56232C0402B307954063 +:100720003307A900CA9774C058C05CC434C4130509 +:10073000040123242401E13B13054402C93BEF20BB +:10074000407C230834052285F2406244D244424969 +:10075000B2490561828013054005EF30806D2A841F +:1007600001E57370043001A000C051BF01E5737052 +:10077000043001A06375B5007370043001A041110D +:1007800022C409462E84814506C6893711C500DD7D +:10079000B2402244410182807370043001A05D7137 +:1007A00086C6A2C4A6C2CAC04EDE52DC56DA5AD8E9 +:1007B0005ED632C6630A051C6382051C89476399AD +:1007C000F600585D85476305F7007370043001A09B +:1007D0003689AE842A84EF20C05B11E5B24781C719 +:1007E0007370043001A0EF20806F185C5C5C014BDB +:1007F000894BFD59130A0401930A44026365F70CFF +:1008000063077919B2476381072463150B00280831 +:10081000EF208048EF20E06EEF10604FEF20206C5B +:100820007C4063943701232204043C4463943701E1 +:1008300023240404EF20E06C6C002808EF20E0473C +:10084000631E051EEF20A069185C5C5C630CF70A50 +:10085000EF20206BEF20A0683C44634FF00039A8E4 +:100860005685EF20403019C1EF2020503C44FD1741 +:100870003CC43C446354F0005C50FDF32324340535 +:10088000EF202068EF20A0657C40634FF00039A87E +:100890005285EF20402D19C1EF20204D7C40FD17DF +:1008A0007CC07C406354F0001C48FDF323223405D7 +:1008B000EF202065EF10A06A054BEF204062185C26 +:1008C0005C5CE37FF7F230406305060C631F09129E +:1008D0000844A685EF30206E1C4434405840B6973B +:1008E0001CC463EAE70A1C5C14405850850714C412 +:1008F0001CDC5DC713054402EF20E0268547631129 +:10090000F50AC9A8EF20E05FB2455285EF206007E5 +:10091000EF20E05C3C44634FF00039A85685EF209F +:10092000802419C1EF2060443C44FD173CC43C4482 +:100930006354F0005C50FDF323243405EF20605C29 +:10094000EF20E0597C40634FF00039A85285EF203A +:10095000802119C1EF2060417C40FD177CC07C40A4 +:100960006354F0001C48FDF323223405EF20605946 +:10097000EF10E05E31F1593C054B81B73C41E38F0C +:1009800007E27370043001A07370043001A030409E +:1009900021E61C4085C71C5C585085071CDC39FBD0 +:1009A000EF2020560545B640264496440649F259A4 +:1009B000625AD25A425BB25B616182804840EF204A +:1009C00060491C5C58502322040085071CDCE3139B +:1009D00007F279D5253CE9B74844A685EF30A05DFC +:1009E0003C4058441440B307F0403E9758C4637CE1 +:1009F000D7025840BA975CC409475C506306E902C5 +:100A0000185C050718DCC9DFF5B54844A685EF304A +:100A1000805A3C4058441440B307F0403E9758C4B5 +:100A2000E37CD7FCF9B75C50185C79DB185C7D1768 +:100A300018DC185C050718DCA5D76DBD2285953E2E +:100A4000EF10E051014585B7EF20A04B0145A1BF54 +:100A5000411126C2AA841305400522C406C6EF3000 +:100A6000403D2A8415CD232C0502FD577CC1054746 +:100A7000410558DC3CC42322040023200400232425 +:100A80000400232604002320040423089404413492 +:100A900013054402AD3C8146014681452285013360 +:100AA0002285B24022449244410182800DC5411109 +:100AB00022C426C206C64AC0032945002A84EF2064 +:100AC000802C8144630CA900B2402244268502494F +:100AD0009244410182807370043001A05C448544DB +:100AE000FD175CC4F5F381460146814522854539F1 +:100AF000E1BF31CDA1C9011122CC26CA4AC852C4D6 +:100B000006CE4EC68947B68432892E8A2A846399D6 +:100B1000F600585D8547630FF7047370043001A039 +:100B20006D32185C5C5CAA896366F70281444E856D +:100B3000513AF240624426854249D244B249224A9F +:100B4000056182803C41C5DB7370043001A0737085 +:100B5000043001A0304041E21C40D5CB1C5C7D57E5 +:100B600085071CDC3C446387E7083C4485448507D3 +:100B70003CC475BF993A185C5C5CAA89636DF70246 +:100B8000304079DA4844D285EF30E0423C40584466 +:100B90001440B307F0403E9758C46375D7005840DF +:100BA000BA975CC48947E39BF4FA1C5CC5DB1C5C08 +:100BB000FD171CDC65B7304045D24844D285EF3084 +:100BC000803F3C4058441440B307F0403E9758C41F +:100BD000E367D7FCD9BFDDF40844D285EF30A03DF0 +:100BE0001C4434405840B6971CC4E3E9E7F61C4067 +:100BF0001CC4ADB75C5085449DDB13054402EF1067 +:100C0000907615D5E30509F2232099000DB74840E9 +:100C1000EF2040242322040091B721CD3C4181C71D +:100C20007370043001A01C41A1CB011122CC26CA53 +:100C30002A844AC84EC606CE2E894138185C5C5CB0 +:100C4000AA898144637DF7001C5C7D5785071CDC05 +:100C50003C446388E7023C44854485073CC44E8598 +:100C60009530F240624426854249D244B24905613A +:100C700082807370043001A05C41C5DB7370043066 +:100C800001A05C5099E38544D9BF13054402EF10DD +:100C9000906D75D9E30909FE85472320F900E5B772 +:100CA000397106DE22DC26DA4AD84ED652D456D224 +:100CB00032C66302051C638A051AB68AAE892A8485 +:100CC000EF20200D11E5B24781C77370043001A0F9 +:100CD000EF20E0201C5C63920714B247638E070A82 +:100CE0002808EF10707BFD5413094402130A040115 +:100CF000EF202021EF10A001EF20601E7C406394C4 +:100D00009700232204043C446394970023240404A2 +:100D1000EF20201F6C002808EF10307A63130518AD +:100D2000EF20E01B1C5CADCFEF20A01DEF20201BAF +:100D30003C44634FF00039A84A85EF10D06219C1D6 +:100D4000EF20A0023C44FD173CC43C446354F00037 +:100D50005C50FDF324C4EF20C01AEF2040187C4003 +:100D6000634FF00039A85285EF10F05F19C1EF1002 +:100D7000D07F7C40FD177CC07C406354F0001C4851 +:100D8000FDF364C0EF20E017EF10601DEF20201589 +:100D90001C5CC1E7B247A9FFEF20A016014555A092 +:100DA000EF2020161C40DDC7B2454A85EF10703D8C +:100DB000EF20E0123C44634FF00039A84A85EF1061 +:100DC000905A19C1EF10707A3C44FD173CC43C4462 +:100DD0006354F0005C50FDF324C4EF208012EF2038 +:100DE00000107C40634FF00039A85285EF10B057D7 +:100DF00019C1EF1090777C40FD177CC07C40635494 +:100E0000F0001C48FDF364C0EF20A00FEF10201588 +:100E100031C9EF20C00C1C5CB5DFCE85228544446F +:100E2000EFF04FF7639C0A041C5C1840FD171CDCB4 +:100E300001E7EF20801148C01C48A1EFEF20600CB3 +:100E40000545F2506254D2544259B259225A925A2C +:100E500021618280EF20A0084840EF109075EF20BC +:100E6000400A99B7EFF08FDA6DB73C41E38707E4AA +:100E70007370043001A07370043001A05C5044C44E +:100E8000D5DF13054402EF10104E4DD9EFF00FD807 +:100E900075B713050401EF10104D8547E310F5FAFF +:100EA000F5B72285EFF0EFF0EF10600B014551BF71 +:100EB0001DC9411122C44AC006C626C244412E891A +:100EC0002A84EF10506C6383A40281464A868145D0 +:100ED0002285F93385476300F502B24022449244EB +:100EE0000249410182807370043001A05C440545D1 +:100EF00085075CC4DDB75C4485075CC4F9BF15CDCC +:100F0000ADC9011122CC26CA4AC84EC652C42A8491 +:100F100006CE3289AE89EFF04FDB1C5C2A8A814411 +:100F200085E35285EFF00FDAF240624426854249AC +:100F3000D244B249224A056182807370043001A014 +:100F4000304001CE4C445C40B2954CC463E4F500A3 +:100F50000C404CC44E85EF3000061C5C7D57FD17DD +:100F60001CDC7C40638CE7007C40854485077CC0AA +:100F70004DBF3C41D9D77370043001A01C4899E3A0 +:100F8000854445B713050401EF10F03D75D9E30919 +:100F900009FE85472320F900E5B721C93C41A1CDD1 +:100FA000A1CB011122CC26CA4AC852C42A8406CE3B +:100FB0004EC62E8AEFF06FD11C5C2A89814491CBFA +:100FC0008329C400D2852285EFF0CFDC8544232617 +:100FD00034014A85EFF00FCFF24062442685424942 +:100FE000D244B249224A056182807370043001A064 +:100FF0007370043001A0EDDF7370043001A001E5CF +:101000007370043001A0411122C42A8406C6EF1077 +:10101000106D005CEF10F06EB24022852244410159 +:10102000828001E57370043001A0411106C622C41C +:101030002A84EF10D06A1C5C405C1D8CEF10706C31 +:101040002285B24022444101828019C1085D82801C +:101050007370043001A01DCD978703009387877AB2 +:10106000D843630CE502D847630CE504D84B63070B +:10107000E504D84F6302E504D853630DE502D85761 +:101080006308E502D85B6303E502D85F630EE50001 +:101090006F20506C7370043001A001470E07BA979F +:1010A00023A007006F20106B1D47CDBF1947FDB768 +:1010B0001547EDB71147DDB70D47CDB70947F9BF64 +:1010C0000547E9BF684582806CC5828003450505F8 +:1010D000828009C5085D1335150082807370043065 +:1010E00001A019C51C5D485D1D8D1335150082805A +:1010F0007370043001A0978703009387A77098430B +:1011000005C3984705C7984B05C7984F05C798531F +:1011100005C7985705C7985B15C7985F15C38280A8 +:1011200001470E07BA978CC3C8C382800547D5BF55 +:101130000947C5BF0D47F5B71147E5B71547D5B7FF +:101140001D47C5B71947F1BF978703009387876B82 +:10115000D8436308E502D847630BE502D84B630A1E +:10116000E502D84F6309E502D8536308E502D85772 +:101170006307E502D85B6308E502D85F6303A70253 +:10118000828001470E07BA9723A007008280054797 +:10119000D5BF0947C5BF0D47F5B71147E5B7154797 +:1011A000D5B71D47C5B71947F1BF411122C426C2A3 +:1011B0004AC02A8406C6AE843289EF105052784065 +:1011C000FD576314F700232204043844FD576314C9 +:1011D000F70023240404EF10D0521C5C99E74A86E0 +:1011E000A68513054402EF10D00CEF10504F3C447D +:1011F000635BF0025C5085CB9304440209A83C4435 +:10120000FD173CC43C446350F0025C5089CF2685F6 +:10121000EF1070156DD5EF1050353C44FD173CC4F0 +:101220003C44E344F0FEFD573CC4EF10904DEF10FA +:10123000104B7C40635BF0021C4885CB9304040197 +:1012400009A87C40FD177CC07C406350F0021C481C +:1012500089CF2685EF1030116DD5EF1010317C400D +:10126000FD177CC07C40E344F0FEFD577CC0224467 +:10127000B2409244024941016F10B0481DC17971DA +:101280004ECE52CC06D63E8A22D426D24AD056CA58 +:101290005AC85EC6A547BA8963F8E7007370043080 +:1012A00001A07370043001A02A8BAE843289B68B02 +:1012B000C28A6307082213050006EF2090372A84AC +:1012C000630B05200A09232855034A869305500A13 +:1012D0005685EF20505C03C704001C587119230A7F +:1012E000E40203C704003E991379C9FF79C783C795 +:1012F0001400A30AF40283C71400E1C383C72400C7 +:10130000230BF40283C72400CDCB83C73400A30B87 +:10131000F40283C73400D5C383C74400230CF4020E +:1013200083C74400D9CB83C75400A30CF40283C7FE +:101330005400C1C783C76400230DF40283C764004F +:10134000ADCF83C77400A30DF40283C77400B5C783 +:1013500083C78400230EF40283C78400B9CF83C7F8 +:101360009400A30EF40283C79400A1CB83C7A4000A +:10137000230FF40283C7A400A9C383C7B400A30F3B +:10138000F40283C7B40095CB83C7C4002300F404E0 +:1013900083C7C4009DC383C7D400A300F40483C7DC +:1013A000D40081CF83C7E4002301F40483C7E400A1 +:1013B00089C783C7F400A301F404930A4400568547 +:1013C000A30104042326340323283405232A040418 +:1013D000EFF02F9513058401EFF0AF94A947B38781 +:1013E0003741232C040400C81CCC40D02322040421 +:1013F000232E04045E86DA854A85EFF0AF8D08C09F +:1014000063040A0023208A007370043017F9010076 +:10141000130989138327090097F401009384841426 +:1014200091C798409C407C4385077CC317F7010017 +:10143000130747121C43850797F6010023ACF610EB +:101440009C40C5CB8327090017FB0100130B4B1FE2 +:1014500089EB9C40DC5763E6F90097F7010023A372 +:10146000871097F701009387270D9C4317F701001A +:101470001307C70D48541843850797F6010023AD9D +:10148000F60A3CC46376A70097F7010023A0A70CD7 +:10149000D145EF40304DD6855A95EFF0EF88832740 +:1014A000090081C79C40FC43B5E38327090005443C +:1014B00099C79C40DC5763F43701EFE03FF5228584 +:1014C000B250225492540259F249624AD24A424BD3 +:1014D000B24B456182805685EF20D0277D54C5B739 +:1014E00013152600EF20F014AA8AE31605DC7D54BC +:1014F000F9B797F7010023A78706184385476301CB +:10150000F70217FB0100130BAB13A1BF98409440E7 +:101510007C43FD177CC3FC42C9FB7360043071B788 +:10152000014A17FB0100130BAB11A94B5285D145A2 +:10153000EF405043050A5A95EFE07FFDE3187AFF2C +:1015400097FB0100938B4B1C5E85EFE05FFC17FA65 +:101550000100130AAA1C5285EFE07FFB17F501007A +:101560001305051DEFE0BFFA17F501001305851DF2 +:10157000EFE0FFF917F501001305051EEFE03FF955 +:1015800097F7010023AE77FD97F7010023A847FDE9 +:10159000C9BD411122C406C626C24AC02A8473703E +:1015A000043097F40100938424FA9C40F9E311E499 +:1015B00097F70100938707FB8043130944004A858E +:1015C000EFE01FFB1C5489C713058401EFE05FFAAD +:1015D000CA8517F501001305E516EFE0FFF497F74C +:1015E0000100938767F7984397F701009387C7F443 +:1015F0009C43050797F6010023A0E6F6984085076F +:1016000097F6010023AAF6F201CB97F70100938722 +:1016100067F59843784349EF9C40B1C797F70100BD +:10162000938747F49843630F87087370043098409A +:1016300039EB17F701001307A7F214439442A5E210 +:101640007D5797F6010023A7E6EE984009CF98430F +:10165000784311CB984394437C43FD177CC3FC42F1 +:1016600099E373600430B24022449244024941013C +:10167000828097F701009387E7EE98439C437C4371 +:1016800085077CC32DB794439843F8420507F8C2F9 +:1016900017F701001307C7EC14439442D5D218433F +:1016A00058475847584397F6010023A5E6E871BF0D +:1016B000984394437C43FD177CC3FC42B1FF7360A5 +:1016C000043099BF97F70100938787E69C4381C751 +:1016D0007370043001A02244B24092440249410197 +:1016E0006FE0DFD241C517F701001307A7E71443E6 +:1016F0008147638BA606411106C622C426C27370B9 +:10170000043097F60100938626E49C4291C710436B +:101710001C437C4285077CC29C42AA84404981C705 +:101720001C43FC43B9E797F70100938767E39C43A9 +:10173000638B870497F70100938747E29C43638498 +:10174000870497F70100938727016309F40297F74D +:101750000100938727FF6301F4028547B2402244CA +:1017600092443E85410182803E85828073700430C0 +:1017700001A0EF40F03245BF9147CDB79C5493B7DD +:1017800017008907E1BF8947D1BF7370043097F70D +:101790000100938767DB984309EF15C59C434855C3 +:1017A00081CB97F701009387E7DB9843784315E3F4 +:1017B000828017F701001307E7DA14431843F84251 +:1017C0000507F8C261FD17F701001307A7D9084301 +:1017D000F1B7984394437C43FD177CC3FC42E9FB7B +:1017E000736004308280411122C42A8406C6EFE06F +:1017F000DFCD11E497F701009387C7D680434054AB +:10180000EFE05FCCB2402285224441018280A547AF +:1018100063F5B7007370043001A0011122CC06CE2D +:1018200026CA4AC84EC652C42A847370043017F9B7 +:101830000100130969D183270900CDEF71C43C4829 +:101840006388F50817F701001307C7D163FCB700D9 +:101850001443638C860C18434457B3B4950093C467 +:10186000140029A00443818C93B41400585463835A +:10187000E70A1C4C2CC863C60700A947B385B740CC +:101880000CCC93172700BA97584897F90100938911 +:1018900029DB8A07CE97631AF702130A44005285A0 +:1018A000EFE01FCD97F70100938747CA48549C4348 +:1018B00063F6A70097F7010023AAA7C8D145EF4018 +:1018C000700AD2854E95EFE03FC699C0EFE01FB495 +:1018D0008327090081CB97F701009387A7C89C4312 +:1018E000FC439DEBF2406244D2444249B249224A51 +:1018F0000561828097F701009387C7C698439C4390 +:101900007C4385077CC305FC97F70100938787C557 +:10191000804335B74CD4B1BF6244F240D24442490F +:10192000B249224A05616F40B017814481B725C191 +:10193000011126CA97F401009384C4C29C4006CECC +:1019400022CC4AC84EC6638DA7027370043017F9C3 +:101950000100130969BF8327090091C798409C4083 +:101960007C4385077CC3584997F701009387C7DEFE +:101970006302F7028327090081C79C40FC43A5EB63 +:10198000F2406244D2444249B24905618280737098 +:10199000043001A01C5517F70100130767D9E38B2A +:1019A000E7FCE9FB930945002A844E85EFE05FBC24 +:1019B00097F70100938787B948549C4363F6A700C3 +:1019C00097F7010023A4A7B8D145EF40A07997F776 +:1019D00001009387E7C63E95CE85EFE0FFB49C40BB +:1019E0005854DC57E368F7F8EFE05FA261B7624450 +:1019F000F240D2444249B24905616F40700A15CDA8 +:101A0000011122CC26CA4EC62A8406CE4AC8EFE06F +:101A1000DFAB584897F70100938707D4AA89814420 +:101A20006300F7024E85EFE0FFA9F240624426858D +:101A30004249D244B249056182807370043001A0EA +:101A40001C5417F501001305A5CEE38DA7FCF9FB87 +:101A500097F701009387C7AD9C43A9EB97F7010067 +:101A6000938747B09C43445413094400DC574A858C +:101A7000B3B4F400EFE0DFAF97F70100938707AD51 +:101A800048549C4393C4140063F6A70097F70100E1 +:101A900023AEA7AAD145EF40E06C97F701009387EA +:101AA00027BACA853E95EFE03FA8ADBF93058401F4 +:101AB000EFE09FA785BF0111056506CE22CC26CA9F +:101AC0004AC84EC652C456C25AC0EF208036630E72 +:101AD000051AAA8413050006EF20A0352A84630A9C +:101AE000051C05669305500A04D92685EF20A05AE7 +:101AF00004588567F117BE94B7474C4593879744C0 +:101B0000130A44005CD85285230C0402A301040488 +:101B10002326040223280404232A0404EFE07FA0E0 +:101B200013058401EFE0FF9FA947232C0404F198DB +:101B300000C81CCC40D023220404232E04040146F8 +:101B4000971500009385E5B12685EFE0BF9808C0A2 +:101B50007370043017F901001309099F83270900E6 +:101B600097F40100938404A091C798409C407C4363 +:101B700085077CC317F701001307C79D1C43850722 +:101B800097F6010023A8F69C9C40C9C3832709004F +:101B900097F901009389C9AA81EB9C40DC5789E73A +:101BA00097F7010023A0879C97F701009387C798B8 +:101BB000984397F60100938666995C549442050712 +:101BC00017F60100232AE69638C463F6F60017F7E5 +:101BD0000100232DF796139527003E950A05D2851F +:101BE0004E95EFE07F948327090081C79C40FC431A +:101BF000DDE78327090091C39C40EF10F04985473A +:101C00006305F50C59E17370043001A097F70100EA +:101C100023AA87941843854797F90100938949A21D +:101C2000E314F7F8CE8A17FB0100130BEBAD5685D2 +:101C3000D10AEFE0DF8DE31C5BFF17F50100130510 +:101C4000A5ACEFE0DF8C97FA0100938A2AAD5685A8 +:101C5000EFE0FF8B17F50100130585ADEFE03F8B3B +:101C600017F50100130505AEEFE07F8A17F50100B7 +:101C7000130585AEEFE0BF8997F7010023A2678FB8 +:101C800097F7010023AC578D05B7F2406244D24468 +:101C90004249B249224A924A024B056182809840E9 +:101CA00094407C43FD177CC3FC42A1F77360043071 +:101CB00089B76244F2404249B249224A924A024BF1 +:101CC0002685D24405616F20E02873700430FD57EB +:101CD00017F701002320F786624497F7010023A538 +:101CE000A786F240D2444249B249224A924A024B64 +:101CF00097F7010023AE078405616FE08FDA7370F8 +:101D0000043097F7010023A107846FE0CFE717F7AE +:101D100001001307E7811C4385071CC38280737091 +:101D2000043097F7010093872782984305E317F75C +:101D300001001307E78108439C4381CB97F701001B +:101D4000938747829843784301EF828017F7010019 +:101D50001307478114431843F8420507F8C2C1BF6F +:101D6000984394437C43FD177CC3FC42F9FF7360A6 +:101D700004308280411106C622C4EFE00FF597E7D8 +:101D800001009387E77C8043EFE0CFF3B2402285E8 +:101D900022444101828097E701009387A77B884313 +:101DA0008280797106D622D426D24AD04ECE52CC29 +:101DB00056CA5AC85EC697E70100938767779C4367 +:101DC000B1EF17E701001307A7781C43850797E6D3 +:101DD000010023AFF676832A0700638F0A0697E790 +:101DE0000100938727759C43814463F1FA0897F9B2 +:101DF00001009389E98417EA0100130AAA76832770 +:101E00000A008546D85793172700BA978A07BE99C4 +:101E100083A7090063FFF600854421A897E7010026 +:101E2000938747729C438144850717E70100232B62 +:101E3000F77097E701009387A7709C4391C385448F +:101E4000B2502254268502599254F249624AD24A2B +:101E5000424BB24B4561828017E401001304447089 +:101E60001C409C43DDC37370043001A017E40100E3 +:101E70001304046F97E901009389897C17EA010034 +:101E8000130A4A6E17EB0100130B4B6C1C409C436A +:101E9000B5C31C40DC4703A9C70083274900930B47 +:101EA00049005E8563EEFA04EFE08FEC8327890238 +:101EB0001305890199C3EFE0AFEB8327C90203271C +:101EC0000B00DE85139527003E950A054E95637637 +:101ED000F70017E70100232BF766EFE0EFE4832715 +:101EE0000A000327C902DC57E362F7FA1C40854465 +:101EF0009C43C5F3FD5717E70100232DF76201B797 +:101F000017E701002328F762DDBD17E7010013077B +:101F1000E7641C40184397E6010023A3E66417E733 +:101F20000100232DF76297E70100938727619C4307 +:101F3000850717E701002323F7601C409C4399E7BE +:101F4000FD5717E701002327F75E51BD1C40DC4712 +:101F5000DC47DC4317E70100232EF75C49B597E720 +:101F600001009387E75C984301E77370043001A098 +:101F7000011106CE22CC26CA4AC84EC652C456C249 +:101F80005AC07370043097E401009384E45B984076 +:101F900061EB98437D1797E6010023ABE6589C431D +:101FA000D9EB97E701009387E75A9C43C9C797EAA3 +:101FB0000100938AEA6817EA0100130A2A5917E90F +:101FC00001001309295A854919A83385EA00EFE071 +:101FD000AFD5832709005854DC576379F70483A7EA +:101FE0000A0FC1CF83A7CA0FC04713058401EFE0D2 +:101FF0002FD8130B44005A85EFE08FD75C5483260B +:102000000A00DA85139527003E950A052A8756951A +:10201000E3FDF6FA17E70100232AF752EFE0CFD0ED +:10202000832709005854DC57E36BF7FA97E7010060 +:1020300023A837516DB701449C4081CB97E701003D +:10204000938747529C43FC4395E72285F2406244C4 +:10205000D2444249B249224A924A024B05618280E7 +:1020600017E701001307075014431843F842050708 +:10207000F8C205B7EF40C022C9BF17E4010013043E +:10208000644C1C4095C31C40054999CF193B09C5B8 +:1020900097E7010023A6274B1C40FD1717E7010017 +:1020A0002322F74A1C40FDF397E701009387474935 +:1020B00098438547E311F7F8EFE04FB50544ADBF0E +:1020C00011CD81E57370043001A017E701001307FB +:1020D00027461C4399C77370043001A07370043005 +:1020E00001A0411122C406C614431841850617E613 +:1020F0000100232FD64297E601009386664594425D +:102100003304B70000C163F7E602637FE40063FDB8 +:10211000860097E701009387E74488431105EFE0C5 +:102120002FC52285EF4080111D3D01C9B2402244D8 +:1021300041018280E36FE4FCD9BF2244B2404101F7 +:102140006FE0CFAC11C917E701001307673E1C43CE +:1021500091C77370043001A06FE04FAB411106C608 +:1021600022C41843AA87050797E6010023A2E63C8C +:1021700097E601009386063F17E701001307473DE6 +:10218000184388423304F7001105EFE06FBE228543 +:10219000EF40C00AE93311E52244B24041016FE04B +:1021A000EFA6B240224441018280411122C406C6FA +:1021B00097E701009387C7379C430144850717E7DA +:1021C00001002327F73697E701009387A7389C4340 +:1021D00063E0F51217EE0100130E4E5297E2010074 +:1021E0009382424501440147854F1303500A21A0C1 +:1021F000311E638DC20B83278EFFBA86F5DB8327E2 +:10220000CEFFDC43232EFEFE638AC70F03AFC70059 +:10221000DC432A97814E232EFEFE6385C70783A8E1 +:10222000C70093874803232017015CC383A7880452 +:102230002326F7011CC783A7C8021CCB83A7080568 +:10224000232C07005CCB03A8080383470800639096 +:102250006704C287850783C60700B3850741E38B00 +:1022600066FE8981C205C181231EB700850E130752 +:10227000070263061F038327CEFFDC43232EFEFEE7 +:10228000E39FC7F983274E00232EFEFE49BF8145F9 +:10229000231EB700850E13070702E31E1FFD769469 +:1022A00013175400311EBA86E397C2F597E7010071 +:1022B0009387072B03AE070083270E00639D070E4D +:1022C00097E701009387872903AE070083270E0055 +:1022D0006392071817EE0100130E8E3683274E10F7 +:1022E0009DE383278E116395072019C223200600E2 +:1022F000BD312285B24022444101828083274E00B5 +:10230000232EFEFE21B783278E1017EF0100130F37 +:10231000EF43DC4317E701002328F7426380E733EC +:1023200083A2C700DC43AA96814E17E70100232D44 +:10233000F740914F1303500A6388E70783A8C7004B +:102340009387480323A01601DCC283A7880423A631 +:10235000F6019CC683A7C8029CCA83A7080523ACC4 +:102360000600DCCA03A80803834708006391672AB4 +:10237000C287850703C70700B3850741E30B67FEE4 +:1023800093D72500C207C183239EF600850E93864E +:1023900006026386122983278E10DC4317E70100AB +:1023A0002324F73AE39CE7F983270E1117E701008E +:1023B000232CF73861B783274E00130F8E00D443C8 +:1023C0002322DE006388E629B307E500D84283A212 +:1023D000C600814E2322EE00894F1303500A630684 +:1023E000EF068328C7001387480323A01701D8C32B +:1023F00003A7880423A6F70198C703A7C80298CBB0 +:1024000003A7080523AC0700D8CB03A8080303479C +:1024100008006318671C4287050783460700B30559 +:102420000741E38B66FE13D7250042074183239EB5 +:10243000E700850E93870702638D121B83264E00EB +:10244000D8422322EE00E31EEFF80327CE0023221A +:10245000EE0041BF83274E00130F8E00DC43232282 +:10246000FE006384E71F83A2C700DC43AA96814E67 +:102470002322FE00894F1303500A6306FF0683A838 +:10248000C7009387480323A01601DCC283A78804F2 +:1024900023A6F6019CC683A7C8029CCA83A7080589 +:1024A00023AC0600DCCA03A8080383470800639630 +:1024B0006710C287850703C70700B3850741E30B91 +:1024C00067FE93D72500C207C183239EF600850EC1 +:1024D00093860602638B120F83274E00DC43232270 +:1024E000FE00E31EFFF88327CE002322FE0041BF3B +:1024F0008327CE1197EE0100938E8E26DC4317E7DB +:102500000100232DF7246384D71383AFC700DC4376 +:102510003307D500014397E6010023A1F6240D4FB0 +:1025200089429308500A6387D707C8479307450332 +:1025300008C35CC33C452326E7011CC75C551CCB84 +:102540001C5599C3232657003C49232C07005CCB1C +:10255000032805038347080063951705C28785078D +:1025600083C60700B3850741E38B16FF93D7250089 +:10257000C207C183231EF700050313070702638AFE +:10258000AF028327CE11DC4397E6010023A8F61C97 +:10259000E39DD7F983274E1297E6010023A0F61C8E +:1025A00069B78147231EF700050313070702E39A63 +:1025B000AFFC1A94E31C06D225BB8147239EF6008C +:1025C000850E93860602E39912F117EE0100130EB1 +:1025D0002E0783274E10769493165400E38307D07A +:1025E0001DB30147239EE700850E93870702E397FB +:1025F00012E597E70100938767F603AE070076942C +:102600009316540083270E00E38607CCA1B58147BB +:10261000239EF600850E93860602E39E12D783273B +:102620008E11769493165400E38107CCD1B583279D +:102630004E1217E701002323F712C1BD83270E11A5 +:1026400017E701002322F710E1B98327CE002322E8 +:10265000FE0011BD8326CE002322DE00B5B37971C2 +:1026600026D24AD04ECE52CC56CA5AC85EC606D6DC +:1026700022D497E901009389A9EB17E90100130916 +:1026800029FC17EA0100130A2AEC97EA0100938A51 +:102690006AED97EB0100938BEBEB97E401009384D9 +:1026A000A4EB054B21A883A7090003244910850743 +:1026B00017E70100232EF7E65D3011E89C40E5F7AF +:1026C00083270900E37CFBFEEFD05FD4C5BF7370A6 +:1026D000043083270A00B9E383270911C047130593 +:1026E0004400EFD0FFE883A70B00FD1717E70100B8 +:1026F0002322F7E69C40FD1717E70100232EF7E49D +:1027000083270A0089C783A70A00FC4385E308588A +:10271000EF1050042285EF10F0034DB703A70A0015 +:1027200083A70A007C4385077CC37DB703A70A0003 +:1027300083A60A007C43FD177CC3FC42E9FB73605F +:102740000430F1B797E70100938787DE9C43DDE70C +:10275000411106C622C426C297E7010023A207DE64 +:1027600017E70100130707E01C43B7A6A5A593864A +:10277000565A9C5B8C43639BD500D0436318B600CC +:1027800094476395C600DC476388D70008430C4331 +:1027900093854503EF20607717E70100130707DBF8 +:1027A000144317E401001304A4E911A81C43A9CFA2 +:1027B0001C43FD1797E6010023AAF6D8144393970C +:1027C0002600B6978A07A2979C43EDD30843931738 +:1027D0002500AA978A073307F4005443A107A2975C +:1027E000C44244C36385F402DC44B240224417E788 +:1027F00001002329F7D6924441018280854717E7DB +:102800000100232FF7D282807370043001A0C440EE +:10281000D145EF303015229544C1F9B701114AC8AE +:1028200006CE22CC26CA4EC62A897370043097E49D +:102830000100938464D19C4017E40100130484D206 +:10284000D9E76314090003290400930949004E8560 +:10285000EFD01FD28327890289C713058901EFD0E2 +:102860003FD1CE8517E50100130505EFEFD0DFCB93 +:102870009C4081C71C40FC43ADE31C40638B270791 +:102880009C409DCF737004309C40C1EB97E70100E2 +:10289000938707CD9843184325EBFD5717E70100B1 +:1028A000232AF7C89C4089CF1C40FC4391CB184099 +:1028B00014407C43FD177CC3FC4299E373600430F1 +:1028C000F2406244D2444249B249056182801840D4 +:1028D0001C407C4385077CC3ADB7184014407C4343 +:1028E000FD177CC3FC42D1FB736004301C40E399AC +:1028F00027F99C40A1C397E70100938767C39C43D6 +:10290000ADCB7370043001A09C43DC47DC47DC4353 +:1029100017E701002320F7C271B718401C407C4321 +:1029200085077CC397E70100938787C398431843C3 +:102930002DD7D9BF97E701009387C7C117E70100DB +:10294000130707D09C4303278711631DF700F2404C +:10295000624497E7010023A707C0D2444249B24925 +:10296000056182806244F240D2444249B249056125 +:10297000D1BB6244F240D2444249B24905616FD0B2 +:10298000FFA83DC9411126C297E40100938484BD8C +:102990004AC02E898C4006C622C4E105EFD05FBA3A +:1029A00088401105EFD0DFBCFD57630AF90497E7B3 +:1029B00001009387E7B9804398409C434A9440C301 +:1029C000636CF40497E70100938787B988438C40D0 +:1029D0009105EFD0FFB697E701009387A7B59C4319 +:1029E0006376F40097E7010023A687B4B24022443F +:1029F00092440249410182807370043001A08C40EE +:102A00002244B2409244024917E501001305C5D49F +:102A1000910541016FD05FB197E70100938707B43B +:102A2000884322448C40B2409244024991054101BE +:102A30006FD01FB111C997E70100938767AF9C431F +:102A400099E77370043001A07370043001A0411144 +:102A500026C297E401009384E4B09840AE878C408E +:102A6000B7060080D58F06C622C41CCFE10532848C +:102A7000EFD09FAB88401105EFD09FAFFD57630E9D +:102A8000F40097E701009387A7AC8843B2409244D3 +:102A90002295224441016F30607A8C402244B2403A +:102AA000924417E50100130525CB910541016FD034 +:102AB000BFA725CD411126C297E40100938484AAC3 +:102AC0004AC02E898C4006C622C4E1053284EFD06C +:102AD000BFA588401105EFD0BFA98547630AF4045C +:102AE00097E701009387C7A6804398409C434A9488 +:102AF00040C3636CF40497E70100938767A688439B +:102B00008C409105EFD0DFA397E70100938787A260 +:102B10009C436376F40097E7010023AD87A0B240A1 +:102B2000224492440249410182807370043001A022 +:102B30008C402244B2409244024917E5010013053B +:102B4000A5C1910541016FD03F9E97E70100938792 +:102B5000E7A0884322448C40B24092440249910548 +:102B600041016FD0FF9D411106C622C426C25C45BB +:102B7000C04735CC930484012685EFD07F9F97E72B +:102B800001009387E79A9C43B5E7930444002685A8 +:102B9000EFD01F9E97E701009387479B58549C43B3 +:102BA00063F6E70097E7010023A2E79A931727004F +:102BB000BA978A0717E50100130585A8A6853E95F3 +:102BC000EFD09F9697E701009387C7999C4358548D +:102BD0000145DC5763F8E700854717E7010023212B +:102BE000F7960545B24022449244410182807370B9 +:102BF000043001A0A68517E50100130565B3EFD0E9 +:102C0000BF92C9B797E70100938787929C4381E7FA +:102C10007370043001A0411106C622C426C2B70752 +:102C200000804045CD8F1CC12DC4EFD07F9493040C +:102C300044002685EFD0DF9397E701009387079143 +:102C400058549C4363F6E70097E7010023A0E79000 +:102C500093172700BA978A0717E501001305459EC9 +:102C60003E95A685EFD05F8C97E701009387878F0D +:102C70009C4358540145DC5763F8E700854717E744 +:102C80000100232FF78A0545B240224492444101B6 +:102C900082807370043001A011CD97E70100938703 +:102CA000E789984397E701009387878A9C4318C177 +:102CB0005CC182807370043001A055C1D1CD411137 +:102CC00006C622C42A877370043097E801009388EF +:102CD000A88783A7080091CB97E70100938787888F +:102CE00094439C43FC428507FCC217E30100130395 +:102CF00023869C4103260300FD560144638FD702BF +:102D000017EE0100130E8E8303250E000328070023 +:102D100054436305A80005446371D6023308D640C6 +:102D20000544637CF80003250E00918F0326030001 +:102D3000B6979CC108C350C3014483A7080081CB48 +:102D400097E70100938707829C43FC4381EF22852C +:102D5000B2402244410182807370043001A073703C +:102D6000043001A0EF30C053DDB7854717D701000D +:102D70002328F77C828019C16845828001458280C2 +:102D800011C16CC5828097D701009387A77D8843C6 +:102D9000828097D701009387277B9C43054589CB89 +:102DA00097D701009387C778884313351500060528 +:102DB000828031CD011126CA97D401009384847A90 +:102DC0009C4006CE22CC4AC84EC65855DC57637983 +:102DD000F7021C4D63C707009C40D457A947958F45 +:102DE0001CCD93172700BA97584917E9010013091A +:102DF00029858A07CA97630DF7009C40DC575CD58C +:102E0000F2406244D2444249B249056182808280E4 +:102E1000930945002A844E85EFD08FF59C4017D743 +:102E200001001307A7721843DC575CD46376F700E0 +:102E300017D70100232CF770139527003E956244A5 +:102E40000A05F240D244CE854A95B249424905610D +:102E50006FD08FED19CD411106C622C426C297D777 +:102E600001009387277080436307A40073700430C8 +:102E700001A0014582807C4881E77370043001A085 +:102E800054543848FD177CC86383E60099C7014550 +:102E9000B24022449244410182809304440026853A +:102EA000EFD00FED284897D701009387276A984302 +:102EB000A947898F48D41CCC6376A70097D7010017 +:102EC00023A6A768D145EF30E02997D70100938763 +:102ED00027773E95A685EFD02FE5054555BF737042 +:102EE000043097D70100938727669C4391CB97D7EF +:102EF00001009387276798439C437C4385077CC3E5 +:102F0000828097D70100938727649C438DC397D70E +:102F10000100938727659843784311CB98439443E6 +:102F20007C43FD177CC3FC4299E3736004308280CC +:102F300097D7010093870763904394439843A94729 +:102F4000D456084E958F1CCF828097D70100938767 +:102F50006761984309C79443F84A0507F8CA88434C +:102F6000828079714AD006D622D426D24ECE2A89C2 +:102F70007370043097D401009384045D9C4017D48F +:102F800001001304245EBDE31C40BC4F89E71C40D4 +:102F90000547F8CFD1E19C4081C71C40FC43F1EBD1 +:102FA000737004309C408DEF1C40A84F11C5630422 +:102FB00009021C4023AC07041C4023AE07049C40BC +:102FC00081C71C40FC438DEBB250225492540259ED +:102FD000F2494561828018403C4FFD173CCFE9BF64 +:102FE00018401C407C4385077CC37DBF18401C40B3 +:102FF0007C4385077CC349BF184014407C43FD17C0 +:103000007CC3FC42F1F373600430B25022549254FA +:103010000259F2494561828008402EC61105EFD061 +:103020002FD5B245FD576380F50697D701009387EA +:10303000275283A9070018409C43AE9923223701E9 +:1030400063ECF90497D701009387875188430C40BC +:103050009105EFD0EFCE97D701009387A74D9C4302 +:1030600063F6F90097D7010023A6374DEFD00FBACA +:103070001DB7184014407C43FD177CC3FC428DF300 +:103080007360043031BF0C4017D501001305C56CC7 +:103090009105EFD06FC9D9BF97D701009387074C2F +:1030A00088430C409105EFD0AFC9C9B779714AD0B8 +:1030B0004ECE06D622D426D252CC2E89B289737037 +:1030C000043097D40100938424489C4017D4010015 +:1030D00013044449F9EF18408947784F630CF7000F +:1030E0001C401345F5FFB84F798DA8CF1C4005470C +:1030F000F8CFADE69C4081C71C40FC43F5E37370FC +:1031000004309C40B1E7638609001C40BC4F23A0FB +:10311000F900184085470145784F630BF7001C40C4 +:103120001349F9FF0545B84F3379E90023AC27056A +:103130001C4023AE07049C4081C71C40FC43C1E3F4 +:10314000B250225492540259F249624A4561828037 +:1031500018401C407C4385077CC375B7084036C6C1 +:103160001105EFD0EFC0B246FD576385F60897D73B +:1031700001009387E73D03AA070018409C43369A55 +:10318000232247016363FA0897D701009387473DDD +:1031900088430C409105EFD0AFBA97D701009387D1 +:1031A00067399C436370FA0697D7010023A4473917 +:1031B00091A818401C407C4385077CC329BF184058 +:1031C00014407C43FD177CC3FC42BDFB736004309C +:1031D000B250225492540259F249624A45618280A7 +:1031E000184014407C43FD177CC3FC4289FB73608C +:1031F000043031B70C4017D501001305E555910592 +:10320000EFD08FB2EFD08FA0F5B597D7010093879D +:10321000E73488430C409105EFD08FB2E5B751C138 +:10322000411106C622C426C24AC07370043097D426 +:103230000100938464319C40B9EB99C23C4D9CC21F +:10324000B2878946704D74CD2E872A846386D7064F +:1032500063FCF6048D456387B70091456395B7001D +:103260006300D60C38CC8547630FF60405449C40B8 +:1032700081CB97D701009387E72E9C43FC43A9E3BA +:103280002285B2402244924402494101828097D76C +:1032900001009387272D98439C437C4385077CC37B +:1032A00069BF7370043001A08546E39ED7FA3C4D98 +:1032B00033E7B70038CD45BF3C4D85073CCD65B7FA +:1032C000EF20107E75BF130944004A85EFD04FAA46 +:1032D00097D701009387872748549C4363F6A7003C +:1032E00097D7010023A4A726D145EF20B06797D731 +:1032F00001009387E7343E95CA85EFD0EFA21C54B6 +:1033000081C77370043001A097D701009387872588 +:103310009C435854DC57E3FBE7F4EFD02F8FB9B749 +:103320000144B1B739CD011122CC26CA4AC84EC6D4 +:1033300052C456C206CEBA89368AB2842E892A84ED +:10334000EFD0AF98AA8A63050A003C4C2320FA000C +:10335000784C89477CCC6389F40263F4970C8D46E2 +:103360006387D40091466396D400630AF70A232C3E +:1033700024058547631EF7061C5499CB73700430EF +:1033800001A07370043001A03C4C85073CCCD5B73C +:1033900097D701009387C7199C43ADEB9304440072 +:1033A0002685EFD0EF9C97D701009387271A4854C2 +:1033B0009C4363F6A70097D7010023A9A718D1451E +:1033C000EF20505A97D7010093878727A6853E950F +:1033D000EFD08F9597D701009387C7189C43585417 +:1033E000DC5763F7E70063850900854723A0F900F0 +:1033F00005445685EFD00F8D2285F2406244D244B9 +:103400004249B249224A924A056182809305840169 +:1034100017D501001305C531EFD00F9165BF0144E9 +:10342000C9BF8547E397F4F43C4C33E92701232CCB +:10343000240581B74DC1011122CC26CA2A844EC66B +:1034400006CE4AC8AE84EFD04F888947744C7CCCF6 +:103450003C4C0547AA8985073CCC6395E6061C547D +:1034600081C77370043001A097D701009387470C80 +:103470009C43ADE7130944004A85EFD06F8F97D77F +:1034800001009387A70C48549C4363F6A70097D785 +:10349000010023ADA70AD145EF20D04C97D70100FA +:1034A0009387071ACA853E95EFD00F8897D70100FA +:1034B0009387470B9C435854DC5763F5E70099C04A +:1034C00085479CC06244F240D24442494E85B2498D +:1034D00005616FC03FFF7370043001A09305840144 +:1034E00017D501001305C524EFD00F84C1B7AA87F3 +:1034F00029C57370043017D701001307E70414437C +:103500009DE2F04F894601456315D60023AE0704BE +:1035100005451C4381CB97D701009387A7049843A7 +:10352000784315E3828097D601009386A6039042E4 +:1035300094427442850674C2E9B797D70100938715 +:1035400067029C437DB7984394437C43FD177CC33B +:10355000FC42E9FB7360043082805D71A6C2CAC080 +:1035600052DC56DA5AD85ED686C6A2C44EDE62D483 +:1035700066D26AD017D901001309C9FF17DB010011 +:10358000130B8BFE97D40100938444FE97DA01005D +:10359000938A0AFE054AA54B8327090098436301D5 +:1035A0000712DC4783A90700EFE06FF6EFE02FF783 +:1035B00083270B002A8C6362F50A97D7010023A5A5 +:1035C000A7FA01466370351B8840B3858941EFD067 +:1035D000DFBDEFE0DF9863010512884081460146B8 +:1035E0002C00EFD0EFEB4DD9A247E3C807FEC2494C +:1035F00083A7490189C713854900EFC07FF7EFE032 +:103600000FF283270B002A8C636DF508A24717D7AA +:103610000100232B87F5E3E2FBFCB317FA0013F755 +:103620000721631A071613F7770C6318071E93F721 +:103630000702C5D74E85EF00F01145B7DC47C047FC +:1036400083AC0700930944004E85EFC07FF25C50C5 +:10365000228582975C4C6384470B8327090098433B +:1036600071FF03A70A0097D6010023AF86EF97D614 +:10367000010023AFF6EE97D7010023ADE7EEEFE0B0 +:103680001F8EA1BFDC47C04703AD0700930C440069 +:103690006685EFC0FFED5C50228582975C4C6386A7 +:1036A000470983270900984371FF03A70A0097D6AB +:1036B000010023AFF6EA97D7010023ADE7EAB9B7D7 +:1036C000EFE0EFE4EFE0AFE583270B002A8CE36641 +:1036D000F5F883A70A00884081499043B385894162 +:1036E00017D70100232287E913361600EFD0FFAB6E +:1036F000EFE0FF86E31305EEEFC05FD1F9BD1C4C90 +:10370000CE85E69763E9FC049C4089CF02CA66CC6B +:1037100022CEEFF00FE88840814601464C08EFD0FA +:103720000F8805FD7370043001A01C4CE685EA97F4 +:10373000636CFD049C4089CF02CA6ACC22CEEFF0B4 +:103740004FE58840814601464C08EFD04F8531F95E +:103750007370043001A0032509005CC000C8EFC0ED +:103760003FDEE5BDEFE0AFFF83270900DC47C04740 +:10377000930C44006685EFC0BFDF5C4C638F47034A +:103780005C502285829791BD032509005CC000C86A +:10379000EFC01FDB39B7B24723ACF900A5CFE297E2 +:1037A00023A2F90023A8390193854900636FFC0423 +:1037B00003A50A00EFC0DFD80DB51C4C00C8338745 +:1037C000F90058C0636DEC02330C3C416363FC02AA +:1037D0009C4089CF02CA4ECC22CEEFF08FDB8840CE +:1037E000814601464C08EFC09FFB59F973700430C5 +:1037F00001A003A50A00E685EFC09FD451B70325B9 +:103800000900E685EFC0DFD3A5BF03250900EFC09F +:103810003FD3E1B37370043001A0B24783A689019E +:1038200023A839013387D70023A2E9006364EC049D +:10383000B307FC4063EBD70483A749024E85829708 +:1038400083A7C901E39B47D983A68901B247984062 +:10385000B69711CF02CA3ECC4ECEEFF08FD3884040 +:10386000814601464C08EFC09FF3E31805D67370FC +:10387000043001A06374FC00E370F7FC0325090029 +:1038800093854900EFC0DFCB89BB03A50A00938570 +:103890004900EFC0FFCA91B3411122C406C626C237 +:1038A0004AC017D40100130464CCEFF04FE31C406E +:1038B00095CBEFF00FE51C409DC38148014881473F +:1038C000094781461306004097D50100938505AF4F +:1038D000170500001305A5C8EFD05F9A39E973708A +:1038E000043001A017D90100130949E84A85EFC047 +:1038F0001FC297D401009384A4E82685EFC03FC17E +:103900000146B145114597D7010023A527C797D791 +:10391000010023AF97C4EFC07FDB17D70100232737 +:10392000A7C411CD97D501009385C5A8EFD0AFFCF2 +:1039300049B7B240224492440249410182807370E7 +:10394000043001A0A5C9797156CAAA8A1305C0021C +:1039500022D426D24AD04ECE52CC06D65AC85EC603 +:103960003A89B689328AAE84E9212A8405C9EFF002 +:103970000FD797D70100938767BF9C439DCFEFF088 +:103980004FD8130544002320540104CC232E4401B6 +:103990002320340323222403EFC0BFB82285B25072 +:1039A000225492540259F249624AD24A424BB24BD3 +:1039B000456182807370043001A097DB0100938B16 +:1039C000EBDA5E85EFC0BFB417DB0100130B4BDBF6 +:1039D0005A85EFC0DFB30146B145114597D70100C5 +:1039E00023AA77B997D7010023A467B9EFC01FCEE8 +:1039F00017D70100232CA7B601C997D501009385DD +:103A0000659BEFD04FEFA5BF7370043001A039C59F +:103A1000011122CC06CE26CA17D40100130404B526 +:103A2000832804002A88014563850802BA842EC2CF +:103A3000368732C442C6954663C5B602EFF06FB50D +:103A40008947630BF5020840814601464C00EFC0F0 +:103A50001FD5F2406244D244056182807370043005 +:103A600001A04C0081463A864685EFD08F88F2400F +:103A70006244D244056182800840814626864C001B +:103A8000EFC0FFD1F9B719C10841828073700430CB +:103A900001A001E57370043001A0411106C622C4E3 +:103AA0002A84EFF0CFC348483334A000EFF06FC54D +:103AB0002285B24022444101828001E573700430C6 +:103AC00001A0411122C42A8406C6EFF04FC1005064 +:103AD000EFF02FC3B240228522444101828001E5EC +:103AE0007370043001A0411122C426C22E84AA841E +:103AF00006C6EFF0CFBE80D02244B24092444101CE +:103B00006FF02FC04111714522C406C61D262A84BC +:103B100011C5232005001105EFC07F9F2285B2400B +:103B200022444101828001CDB70700FFED8F81C79C +:103B30007370043001A099E57370043001A07370B4 +:103B4000043001A0011122CC4AC84EC652C456C24C +:103B500006CE26CABA893689B28A2E842A8AEFF01E +:103B60004FA311E5638509007370043001A0EFE0F5 +:103B70000F9A83240A00B37794006316090295C74D +:103B800063870A009347F4FFE58F2320FA00EFE0F4 +:103B90000FBDF240624426854249D244B249224ACE +:103BA000924A05618280E30DF4FCE38209FEB3359D +:103BB0005001E20563050900B7070004DD8D4E865C +:103BC000C18D13054A00EFE0FFE6EFE04FB919E1C0 +:103BD000EFC0DF83EFF0CFB593176500AA8963D8F4 +:103BE0000700B7040001FD14B3F499005DB7EFF0CE +:103BF0000FAF83290A00B3773401631E090089CB14 +:103C000063880A009347F4FFB3F737012320FA00D3 +:103C1000EFF02FAFF9B7E31DF4FEDDB701C9B70729 +:103C200000FFED8F99C77370043001A073700430EA +:103C300001A0411122C42A8406C626C24AC02E8988 +:103C4000EFF0EFA904409345F9FFB3F795001CC0CE +:103C5000EFF02FABB2402244268502499244410145 +:103C60008280411122C42A8406C6EFC01F8600400C +:103C7000EFC05F85B240228522444101828009C99C +:103C8000370700FFB3F7E50099C77370043001A050 +:103C90007370043001A06F206062B70700FFF18FDE +:103CA00081C77370043001A001E67370043001A075 +:103CB000011122CC4AC84EC652C406CE26CA368945 +:103CC000AE892A8A3284EFF0CF8C21E163050900A6 +:103CD0007370043001A0EFE08F8383240A00CE8547 +:103CE0005285B3E49900613FB37794006307F4060B +:103CF00083240A00EFE0AFA6F240624426854249E1 +:103D0000D244B249224A05618280EFE04F80832489 +:103D10000A00CE855285B3E434019537B3F78400A9 +:103D2000638D8702E30609FCB70500054A86C18D4D +:103D300013054A00EFE01FD0EFE06FA219E1EFC0DA +:103D4000EFECEFF0EF9E93176500AA8463DF0700A6 +:103D5000B7070001FD17FD8C45B783270A00134400 +:103D6000F4FFE18F2320FA0071B7EFF04F9783241F +:103D70000A00B3779400639787009347F4FFE58FB9 +:103D80002320FA00EFF0EF97E1B7411122C406C6F5 +:103D90002A8426C2EFD0BFF75C4085C3084893044D +:103DA000C4006316950015A0084863009502B70586 +:103DB0000002EFE03FE55C40E5FB2285612A2244FA +:103DC000B240924441016FE08F997370043001A0BA +:103DD00009C9370700FFB3F7E50099C773700430CE +:103DE00001A07370043001A06F20404D01C9B707D6 +:103DF00000FFED8F99C77370043001A07370043019 +:103E000001A0411122C426C206C62A84AE84EFF066 +:103E10000F8D184093C7F4FFF98F1CC02244B240A5 +:103E2000924441016FF0EF8D19C1084D8280014528 +:103E30008280411122C406C62A84EFD05FED97C765 +:103E40000100938767739C43A1EBE56717D70100D7 +:103E500013078794F11797C6010023A5E67217C7C9 +:103E60000100232FF77017D701002329F79297C776 +:103E700001009387A77017D70100232FF79085477C +:103E800017C701002322077017C701002328076EF8 +:103E900017C701002322F76E19E8EFE04F8CEF10EF +:103EA000400601442285B24022444101828013052C +:103EB000840093773500C1EFE5671307F5FFE91735 +:103EC000E3EDE7FC97C601009386C66B9C4229A0F0 +:103ED000984311C7BE86BA87D843E36BA7FE17C7BE +:103EE00001001307A769E38AE7FA98434146138460 +:103EF000870098C2D843B306A7406378D6023388B8 +:103F0000A7002322D800C8C38325480097C6010014 +:103F10009386466711A0BA8698425043E36DB6FE79 +:103F20002320E80023A00601D84397C70100938708 +:103F300067679C43998F17C701002325F766EFE059 +:103F40000F8231DC2285B240224441018280719986 +:103F500011059DB729C9411122C406C62A84EFD094 +:103F60001FDB930584FF0326C4FF17C70100130757 +:103F7000676111A03E871C43D443E3EDC6FE232CAA +:103F8000F4FE0CC397C701009387C761984383274A +:103F9000C4FF2244B240BA9717C701002324F76038 +:103FA00041016FD0DFFB828097C701009387875F55 +:103FB0008843828082803367B5000D8BAA871DE716 +:103FC0009308D6FFAA982A87AE866371150303A8C3 +:103FD000060011079106232E07FFE36A17FF934798 +:103FE000F5FFC697F19B9107BE95AA972A9663F3B2 +:103FF000C70A9386450013884700B3B6D70033B885 +:10400000050133E7F50093C61600134818000D8B21 +:10401000B3E6060113371700758F330EF64093860B +:10402000170029CF1337AE0031EB13532E002E8823 +:10403000BE860147832808000507110823A0160142 +:104040009106E36967FE1377CEFFBA95BA976302CC +:10405000EE0483C60500138717002380D700637A18 +:10406000C70283C6150013872700A380D700637299 +:10407000C70203C725002381E7008280B307F6400B +:10408000AE97850503C7F5FF8506238FE6FEE39A05 +:10409000F5FE82808280B367C5008D8BB308C500B2 +:1040A000E9C36371150FB307A0408D8B13873700E9 +:1040B000954693F5F50F130815003383A8407D1638 +:1040C0006373D70015476363E60CD5CF2300B500B3 +:1040D0000547638DE700A300B5000D4713082500D1 +:1040E0006396E700130835002301B5001396850099 +:1040F0004D8E13970501518F3303F340939685013D +:10410000D98E13562300AA97014794C30507910738 +:10411000E36DC7FE1377C3FFB307E8006307E30649 +:104120002380B70013871700637C1703A380B700B1 +:1041300013872700637617032381B700138737009F +:1041400063701703A381B70013874700637A1701D1 +:104150002382B7001387570063741701A382B70047 +:10416000828093F5F50F13978500D98D139705017C +:104170004D8FE37715FFAA87910723AEE7FEE3EDA6 +:1041800017FF828082802A8895B78280AA8749BFDC +:10419000834705002A8799C7050583470500EDFF7A +:1041A000198D82800145828005058347F5FF8505CD +:1041B00003C7F5FF91C7E389E7FE3385E7408280B7 +:1041C0008147E5BFAA87850503C7F5FF8507A38F4C +:1041D000E7FE75FB82808346050093070002639724 +:1041E000F600050583460500E38DF6FE938756FD30 +:1041F00093F7D70F85C7AA87814585CE01458507E7 +:10420000138606FD1317250083C607003A95060599 +:104210003295F5F699C13305A0408280938536FD2D +:104220008346150093B5150093071500E1FA014583 +:10423000D5B7014582809C412380A7009C4185071A +:104240009CC1828097C60100938646349C421757D2 +:1042500003001307275713861700BA972380A70078 +:1042600097C5010023A4C532A9476308F500930749 +:1042700000046305F6000145828075DE370610F004 +:1042800005078347F7FF2320F6F09C42FD1797C5EB +:10429000010023ADF52EEDF7014582804D712322FB +:1042A000911423202115232A51132324811323261B +:1042B000111423248114232E3113232C411323287A +:1042C000611323267113232291132320A113232E7C +:1042D000B111AA842E8932C6130C5002A54A834715 +:1042E000090063808703B9CB804011A0A1CB2300D4 +:1042F000F40080400509050480C083470900E39766 +:1043000087FF0346190093081900C686130D0002A3 +:10431000FD597D5A0148130550059307D6FD93F7C3 +:10432000F70F138916006363F52A17C701001307F7 +:1043300067CD8A07BA979C43BA9782878320C114B6 +:104340000324811483244114032901148329C113F4 +:10435000032A8113832A4113032B0113832BC112D8 +:10436000032C8112832C4112032D0112832DC111C4 +:1043700071618280A14C02C4854763D50715B2479D +:104380008040938B770093F68BFF03AB060083A9E5 +:104390004600938786003EC6668681465A85CE854E +:1043A000EF10500C2AC8814763853723930D4101D4 +:1043B000854B668681465A85CE85EF10E02C814676 +:1043C00066862A8BAE89EF10F009A24723A0AD00C4 +:1043D00093861B00910D63843701B68BD9BFE37EB2 +:1043E0009BFF63DD4601A2872380A70180407D1AE1 +:1043F000930714009CC03E84E3C846FF93962B00AD +:104400001C08BE9631A8938777052300F4008040EE +:10441000FD1B93871B00050480C0F116E351F0ECEF +:104420009C42E3E2FAFE93870703C5B79C409306DC +:10443000000332472380D7009C4093064700C14CBD +:104440001386170090C013068007A380C700804022 +:1044500002C4BA87050480C003AB0700814936C691 +:1044600025BFB24783AC0700938B470063840C1CC5 +:10447000635F40199307D0026319FD1683C70C00D0 +:1044800085C363DC09189440850C7D1A2380F600EF +:104490008040050480C083C70C00E5F7635D4001E0 +:1044A0008040930700022300F40080407D1A050439 +:1044B00080C0E31A0AFE5EC61DB502C48547A94C3A +:1044C000E3CF07EBB24780409386470071B703C63E +:1044D0001600CA8699B59C40930650022380D700E7 +:1044E0008040050480C0E5BBC14C02C471B5B24731 +:1044F00003C61600CA8683A9070091073EC6E35E7D +:104500000AE04E8AFD5911BD03C61600130DD002F4 +:10451000CA8621B59347FAFFFD8703C61600337A92 +:10452000FA00CA86DDBB03C61600130D0003CA8657 +:10453000EDB383C51600930906FDCA86938705FD72 +:104540002E86E3EEFAFA93972900BE99850686092E +:10455000AE9983C50600938909FD938705FD2E86D4 +:10456000E3F3FAFE69BF32479C40144311073AC691 +:104570002380D7008040050480C095B3854763D36E +:10458000070BB24793867700E19A938786003EC671 +:1045900083A946009C4263DC090A94401306D002BA +:1045A000B307F0402380C6008040B336F000B30963 +:1045B0003041050480C03E8BB389D940A94C02C468 +:1045C000E1BB03C616000508CA8681BB9C4046892C +:1045D00021B7E37D9BDD8547814B8546E3C547E1F8 +:1045E00031BD97CC0100938CECDDCE856685EF0064 +:1045F000F031330AAA40635C400180402300A401EB +:1046000080407D1A050480C0E31A0AFE014A83C770 +:104610000C00E38207EAE3C809E6FD197D57E3943D +:10462000E9E6ADBDB247938647009C4336C693D9B1 +:10463000F74195B7635640019307D002E313FDFAA3 +:1046400097CC0100938C0CD8930780021DBD3E8B44 +:10465000A94C02C4804089B34D71232E3113B70990 +:1046600000409387F9FF2324811423229114232CE3 +:104670004113232A51132326111423202115232803 +:1046800061132326711323248113232291132320E2 +:10469000A113232EB1112A8A2EC417C401001304BA +:1046A000E4EE975A0300938AEA1197C401009384B9 +:1046B000E4AA3EC693055002294513080004B70634 +:1046C00010F083470A006386B704C1C71840050A83 +:1046D00013061700569797C8010023A9C8EA2300BC +:1046E000F7006382A706E31E06FD175703001307B2 +:1046F000670D05078347F7FF23A0F6F01C40FD1761 +:1047000017C601002324F6E8EDF783470A00E39E6D +:10471000B7FA83461A0013051A002A87930B000282 +:104720007D5B7D5C014893055005A5489387D6FDC8 +:1047300093F7F70F130A170063E7F5348A07A69774 +:104740009C43A6978287175703001307A70755F2C4 +:104750008DBF8320C11403248114832441140329B1 +:1047600001148329C113032A8113832A4113032BC4 +:104770000113832BC112032C8112832C4112032DB0 +:104780000112832DC11171618280A14C014D8547B9 +:1047900063DB0719A2479D07E19B83AD070003ABCD +:1047A0004700138787003AC4668681466E85DA859E +:1047B000EF10404B2AC863066D3513094101854946 +:1047C000668681466E85DA85EF00106C66868146C6 +:1047D000AA8D2E8BEF1000492320A900850911090D +:1047E000E3106DFFE3FE9DFD13060004B70610F015 +:1047F00063D189031C4013871700D69797C5010022 +:1048000023A6E5D823807701630CC7047D1CE3C38E +:1048100089FFB2476800A548B386F9008A061C08DC +:10482000BE96294813030004370610F0E384A6E877 +:104830009C429305000363F4F8009305700518404B +:10484000AE9793051700569717CE01002320BED4CC +:104850002300F7006380072B638C6526F116F9B7F8 +:1048600017570300130707F605078347F7FF23A031 +:10487000F6F01C40FD1797C5010023A9F5D0EDF710 +:104880007D1C71B71C40130600041387170097C5E1 +:10489000010023ADE5CEB386FA0093050003238023 +:1048A000B600630FC73C890797C6010023A0F6CE68 +:1048B0005697930680072300D70017570300130766 +:1048C00067F0B70610F0639EC70005078347F7FF40 +:1048D00023A0F6F01C40FD1717C601002328F6CAD6 +:1048E000EDF7A247C14C014D91072247014B832DA3 +:1048F00007003EC455BDA24783AC0700138947009B +:10490000638E0C3A635480219307D002639EFB2E82 +:1049100003C70C00BA856310072025AC8547A94C56 +:10492000014DE3C907E7A2479107C1B7A2478346F4 +:104930001700528703AB070091073EC4E3580CDE13 +:104940005A8C7D5BE5B383461700930BD0025287E8 +:10495000F1BB9347FCFFFD8783461700337CFC00C7 +:104960005287E9B383461700930B000352877DBB40 +:1049700003461700138B06FD5287930706FDB28688 +:10498000E3EEF8FA93172B00DA9705078607B2973C +:1049900003460700138B07FD930706FDB286E3F37A +:1049A000F8FE69BFA2451C4029469841938617002E +:1049B000D69717C50100232BD5BC2380E7001389A8 +:1049C00045006300C72A930700046387F6204AC4A2 +:1049D000D5B1854763DE0729A24713877700619B1E +:1049E000930787003EC4032B47001C43635A0B30D8 +:1049F0001840130600049306170097C5010023A76B +:104A0000D5B856979305D0022300B7006387C62C0C +:104A1000B307F0403337F000330B6041BE8D330BEA +:104A2000EB40A94C014D49B3834617000508528756 +:104A3000F5B9834617005287D5B91C409306000488 +:104A40001387170017C601002322E6B4D697130672 +:104A500050022380C700E31FD7C417570300130772 +:104A600067D6B70610F005078347F7FF23A0F6F0D7 +:104A70001C40FD1717C60100232AF6B0EDF71DB93B +:104A8000C14C014D29B31C40930600042A8A1387A8 +:104A9000170017C60100232BE6AED6971306500267 +:104AA0002380C700E318D7C017570300130787D127 +:104AB000B70610F005078347F7FF23A0F6F01C4068 +:104AC000FD1717C601002323F6ACEDF72A8ADDB6E1 +:104AD00017570300130707CF05078347F7FF232066 +:104AE000F6F01C40FD1797C5010023A1F5AAEDF7CC +:104AF000F1162DBB175703001307C7CCF1FDF116B4 +:104B000035B3E3FC9DCB8549C5B1014C03C70C000F +:104B1000BA85E30E07EAFD58294513080004B706D5 +:104B200010F063570B0A1C4013861700D69717C363 +:104B30000100232DC3A42380E7006384A50663043A +:104B40000609850C03C70C007D1CBA8579FBE35070 +:104B500080E91C409305000213060004B70610F01C +:104B600021A07D1CE3050CE613871700D697238050 +:104B7000B70017C50100232BE5A0BA87E313C7FED2 +:104B800017570300130707C405078347F7FF23A040 +:104B9000F6F01C40FD1717C501002329F59EEDF71F +:104BA000C9B7175703001307E7C141DE050783475D +:104BB000F7FF23A0F6F01C40FD1717C601002327BE +:104BC000F69CEDF7BDBF175703001307A7BFF9BF4A +:104BD0007D1BE31A1BF5A5BF17570300130787BEFC +:104BE000B70610F005078347F7FF23A0F6F01C4037 +:104BF000FD1717C60100232BF698EDF74AC45DBCDC +:104C000097BC0100938C0C7CDA8566850123330CFC +:104C1000AC40E35D80EF1C4013060004B70610F0C3 +:104C200021A07D1CE3030CEE13871700D697238089 +:104C3000770197C5010023ABE594BA87E313C7FE5C +:104C400017570300130707B805078347F7FF23A08B +:104C5000F6F01C40FD1797C5010023A9F592EDF76A +:104C6000C9B7175703001307E7B5BDFA4AC499B48B +:104C7000A247138747009C433AC413DBF741BDB3F7 +:104C800017570300130707B4B70610F0050783474B +:104C9000F7FF23A0F6F01C40FD1717C601002327DD +:104CA000F68EEDF7854717C701002321F78E93078E +:104CB0008007175703002307F7B025B1635680011B +:104CC0009307D002E39EFBF297BC0100938C8C6F9C +:104CD00013078002930580023DBD9756030093861B +:104CE00066AE370610F0850603C7F6FF2320E6F010 +:104CF00018407D1797C5010023AAE5886DF709BB09 +:104D0000BE8DA94C014D4DB4B70710F023A0A7F2FA +:104D100001A03971130341022ED29A8506CE32D4F6 +:104D200036D63AD83EDA42DC46DE1AC63532F24092 +:104D30000145216182805D711303810322D42AC65B +:104D400032DC2A841A86680006D6BEC236DEBAC0B5 +:104D5000C2C4C6C61ACEEFF06FD4B2472380070094 +:104D60003245B250018D225461618280B70710F044 +:104D700083A647F403A607F403A747F4E31AD7FE74 +:104D80008566938606FAB29633B6C600B305E6008A +:104D900023A4D7F423A6B7F48280B70710F003A7A3 +:104DA00047F403A607F483A647F4E31AD7FE856603 +:104DB000938606FAB29633B6C60023A4D7F4B30599 +:104DC000E60023A6B7F49307000873A0473082805B +:104DD0009DAC411122C406C697B701009387877B1B +:104DE00017B401001304C47A98431C401306F07CE6 +:104DF000930647069387470697B5010023ACD578FD +:104E000097B5010023A6F5786346D600B240224448 +:104E1000410182801307478997B7010023ACE776E9 +:104E2000F52A8547631FF500184085679387F77655 +:104E30000145E3DDE7FCC93D2244B24041016FC0BA +:104E40001FEC17B5010013056558E13509456D3DA7 +:104E50002244B24041016FC09FEA17B5010013051B +:104E600025596FF01FEB17B501001305E5586FF0DA +:104E70005FEA411122C426C206C6856417B4010048 +:104E800013040458938784380100FD17F5FF228529 +:104E9000EFF03FE8C5BF4111014506C622C4352ADF +:104EA00017070000130707F3814605469305200303 +:104EB00017B5010013054555EFE0DFA82A84EFC0C0 +:104EC0001FE62A8622852244B24001478146854555 +:104ED00041016FE0DFB3411106C6892205478147D2 +:104EE0006316E500B2403E854101828017B501009E +:104EF0001305C54DEFF0FFE1B24089473E85410102 +:104F000082807370043001A082807370043001A02D +:104F1000797101469145054506D622D426D24AD05C +:104F20004ECE52CCEFB08FFA55C5AA84054417BABD +:104F30000100130AEA66A9491149894601462C0075 +:104F400026854EC4EFB0BF85814601462C002685DC +:104F500002C4EFB0FFD4A24702C6D91793B7170017 +:104F6000B307F0407D8C894601466C002685EFB082 +:104F70001F83854601462C002685EFB07FD2A247CD +:104F800032472685998F93B71700B307F0407D8C81 +:104F9000EFC0EF86B2477D15133515008507330541 +:104FA000A0403EC6698CE370F9FC814601462C00A6 +:104FB0002685EFB0FFCE2685EFC06F8411E935DC82 +:104FC00083270A00850717B70100232BF75CB5B7C5 +:104FD0000144A5B77370043001A0411122C40146F9 +:104FE0002A849145054506C6EFB04FEE228722443C +:104FF000B24097B7010023A3A75A814801488147CF +:1050000081461306004097B5010093852541170599 +:105010000000130525F041016FC04FA697B70100AE +:105020009387C7589843854701456319F70097B799 +:1050300001009387E75688433335A00097B70100F6 +:1050400023A00756828079714ECE97B901009389CB +:10505000E95403A5090026D24AD0D144294906D6ED +:1050600022D44AC226C43DC917B401001304C45255 +:105070001C4005476387E7069DCF0947639EE7000D +:1050800001466C00EFB0BFE7B247638197061C4052 +:1050900017B70100232C075085070947637DF704E4 +:1050A000B250225497B7010023A8074E92540259D8 +:1050B000F24945618280894601464C00EFB07FA3EA +:1050C00003A509006C00EFB05FEDB24763802703D2 +:1050D00097B7010023AC074C1C407DBF73700430B0 +:1050E00001A0894601462C00EFB0BFA01C40094733 +:1050F0008507E367F7FAB250225417B70100232D52 +:10510000F74892540259F24945618280B305B500CF +:10511000930705006386B70003C7070063160700FF +:105120003385A74067800000938717006FF09FFECC +:10513000130101FB23229104232C41032322910319 +:10514000232611042324810423202105232E310347 +:10515000232A510323286103232671032324810377 +:105160002320A103232EB101930C0500138A05000F +:105170009304000063DE05003305A0403337A00030 +:10518000B305B040930C0500338AE5409304F0FF6B +:1051900063DA06003306C040B337C000B306D04020 +:1051A000B386F640930A06009389060013840C0028 +:1051B00013090A006396062817BB0100130B8B0F17 +:1051C0006370CA16B70701006372F6149307F00FF5 +:1051D00063F4C70093098000B3573601330BFB001B +:1051E00083470B0013050002B3873701B309F5406D +:1051F000638C0900B3153A01B3D7FC00B31A36012A +:1052000033E9B70033943C0113DB0A0193050B002B +:1052100013050900EF00507C130A050093050B00ED +:10522000939B0A0113050900EF00907693DB0B01B5 +:105230009305050013850B00EF00D072131A0A01C5 +:1052400093570401B367FA0063FAA700B3875701C5 +:1052500063E6570163F4A700B38757013389A7407A +:1052600093050B0013050900EF001077130A0500E2 +:1052700093050B0013050900EF009071131404014E +:1052800093050500131A0A0113850B00135404013A +:10529000EF00506D33648A00637AA4003304540134 +:1052A000636654016374A400330454013304A440BE +:1052B0003354340193050000638A040033048040B2 +:1052C000B3378000B305B040B385F5401305040043 +:1052D0008320C1040324810483244104032901049D +:1052E0008329C103032A8103832A4103032B01037A +:1052F000832BC102032C8102832C4102032D010266 +:10530000832DC1011301010567800000B70700016B +:1053100093090001E362F6EC930980016FF0DFEB83 +:10532000631A06009305000013051000EF00506695 +:10533000930A0500B707010063FAFA0E9307F00F0E +:1053400063F4570193098000B3D73A01330BFB0094 +:1053500083470B001305000233095A41B387370115 +:10536000B309F540E38209EAB39A3A01335BFA00E4 +:10537000B3153A01B3D7FC0093DB0A0133E9B70058 +:1053800013050B0093850B00EF001065130A050051 +:1053900093850B00139C0A0113050B00EF00505F6F +:1053A000135C0C019305050013050C00EF00905BE6 +:1053B000131A0A0193570901B367FA0033943C01A9 +:1053C00063FAA700B387570163E6570163F4A700A8 +:1053D000B3875701338BA74093850B0013050B0050 +:1053E000EF00905F130A050093850B0013050B0077 +:1053F000EF00105A9305050013050C00EF009056BE +:1054000093160901131A0A0193D60601B366DA004E +:1054100063FAA600B386560163E6560163F4A6005C +:10542000B38656013389A6406FF01FDEB70700012F +:1054300093090001E3EAFAF0930980016FF0DFF0CD +:105440006376DA0093050A006FF01FE7B7070100E3 +:1054500063FAF604930BF00F33B5DB001315350038 +:1054600033D7A60097B701009387C7E4B387E70057 +:1054700083CB070093050002B38BAB00338B7541E0 +:10548000631C0B0263E4460163EACC003384CC4026 +:10549000B306DA4033B98C003389264193050900FD +:1054A0006FF09FE1B707000113050001E3EAF6FA88 +:1054B000130580016FF0DFFAB3966601335D760164 +:1054C000336DDD00B35D7A01B3156A0133DC7C0115 +:1054D00013540D01336CBC0013850D0093050400BB +:1054E000B3196601EF00504F930A050093050400BD +:1054F00013850D0033996C01931C0D01EF00504989 +:1055000093DC0C01130A05009305050013850C00BC +:10551000EF005045939A0A0113570C0133E7EA0054 +:10552000930D0A00637EA7003307A701930DFAFFCE +:105530006368A7016376A700930DEAFF3307A7010D +:10554000330AA7409305040013050A00EF00D04872 +:1055500093050400930A050013050A00EF00504369 +:10556000930505001304050013850C00EF00903F20 +:1055700093150C01939A0A0193D50501B3E5BA007E +:105580001307040063FEA500B385A5011307F4FF0C +:1055900063E8A50163F6A5001307E4FFB385A50141 +:1055A000939D0D01B70C0100B3EDED001384FCFFDA +:1055B000B3F78D0033F48900338AA54013850700C3 +:1055C000930504002326F10093DD0D01EF009039CF +:1055D00093050400930A050013850D00EF00903831 +:1055E00013DC090193050C002324A10013850D0091 +:1055F000EF0050378327C10013040C00930504000B +:10560000130C050013850700EF00D03583268100B9 +:1056100013D70A013305D5003307A7006374D700F9 +:10562000330C9C01B70701009387F7FF93550701DF +:105630003377F70013170701B3F7FA00B385850135 +:10564000B307F7006366BA00631EBA00637CF90013 +:1056500033863741B3B7C700B385A541B385F5405D +:1056600093070600B307F9403339F900B305BA4090 +:10567000B385254133947501B3D767013364F400D2 +:10568000B3D565016FF05FC3130101FD23229102C1 +:10569000232A5101232611022324810223202103DE +:1056A000232E3101232C410123286101232671017E +:1056B00023248101232291012320A101930A0500C3 +:1056C00093840500639E06381304060093090500C1 +:1056D00017B90100130909BE63F8C512B707010025 +:1056E000138B05006378F6101307F00F3337C700EC +:1056F00013173700B357E6003309F9008346090052 +:105700003387E60093060002B386E640638C06000A +:10571000B394D40033D7EA003314D600336B970028 +:10572000B399DA00935A040193850A0013050B001C +:10573000EF00902A1309050093850A00931B0401CA +:1057400013050B00EF00D02493DB0B01930405003D +:105750009305050013850B00EF00D02013190901F4 +:1057600093D70901B367F900138A040063FEA70009 +:10577000B3878700138AF4FF63E8870063F6A70006 +:10578000138AE4FFB3878700B384A74093850A0098 +:1057900013850400EF0050241309050093850A00C7 +:1057A00013850400EF00D01E9399090193040500AE +:1057B000930505001319090113850B0093D90901FD +:1057C000EF00501AB36939011386040063FCA90085 +:1057D000B30934011386F4FF63E6890063F4A9007A +:1057E0001386E4FF13140A013364C400130A000093 +:1057F0006F000013B707000113070001E36CF6EE1A +:10580000130780016FF01FEF138A0600631A06006A +:105810009305000013051000EF0090171304050016 +:10582000B7070100637EF4129307F00F63F487005B +:10583000130A8000B35744013309F90003470900F4 +:105840009306000233074701B386E64063940612CD +:10585000B3848440130A1000135B040193050B000A +:1058600013850400EF0050171309050093050B0082 +:1058700013850400931B0401EF00901193DB0B01CF +:10588000930405009305050013850B00EF00900DB0 +:105890001319090193D70901B367F900938A04002A +:1058A00063FEA700B3878700938AF4FF63E887004D +:1058B00063F6A700938AE4FFB3878700B384A74009 +:1058C00093050B0013850400EF0010111309050068 +:1058D00093050B0013850400EF00900B93990901C9 +:1058E00093040500930505001319090113850B00A6 +:1058F00093D90901EF001007B36939011386040039 +:1059000063FCA900B30934011386F4FF63E6890040 +:1059100063F4A9001386E4FF13940A013364C400FE +:105920001305040093050A008320C10203248102A9 +:1059300083244102032901028329C101032A810131 +:10594000832A4101032B0101832BC100032C810019 +:10595000832C4100032D0100130101036780000027 +:10596000B7070001130A0001E366F4EC130A800193 +:105970006FF05FEC3314D40033DAE400B399DA004B +:1059800033D7EA00935A0401B394D40093850A00F4 +:1059900013050A00336B9700EF001004130905008C +:1059A00093850A0013050A00931B0401EF00407E53 +:1059B00093DB0B01930405009305050013850B0091 +:1059C000EF00407A1319090113570B013367E900FF +:1059D000138A0400637EA70033078700138AF4FF4D +:1059E000636887006376A700138AE4FF33078700A4 +:1059F000B304A74093850A0013850400EF00C07D1F +:105A00001309050093850A0013850400EF00407810 +:105A1000930405009305050013850B00EF008074C7 +:105A200013170B011357070113190901B367E90095 +:105A30001387040063FEA700B38787001387F4FF72 +:105A400063E8870063F6A7001387E4FFB387870046 +:105A5000131A0A01B384A740336AEA006FF0DFDF4C +:105A600063ECD51EB707010063F4F6041307F00FCB +:105A7000B335D7009395350033D7B60097B70100FB +:105A800093874783B387E70003C70700130A000221 +:105A90003307B700330AEA4063160A021304100002 +:105AA000E3E096E833B6CA00134416006FF05FE7F0 +:105AB000B707000193050001E3E0F6FC93058001C0 +:105AC0006FF09FFBB35CE600B3964601B3ECDC00DD +:105AD00033D4E40093DB0C01B397440133D7EA00DD +:105AE00093850B0013050400336BF700B3194601CF +:105AF000EF00806E1309050093850B001305040069 +:105B0000139C0C01EF00C068135C0C0193040500AA +:105B10009305050013050C00EF00C064131909017B +:105B200013570B013367E90013840400637EA70059 +:105B3000330797011384F4FF636897016376A70026 +:105B40001384E4FF33079701B304A74093850B0048 +:105B500013850400EF0040681309050093850B00CE +:105B600013850400EF00C06293040500930505004F +:105B700013050C00EF00005F93170B0113190901C7 +:105B800093D70701B367F9001386040063FEA700EB +:105B9000B38797011386F4FF63E8970163F6A700C4 +:105BA0001386E4FFB387970113140401B70B0100B8 +:105BB0003364C4001389FBFF337D240133F92901C9 +:105BC000B384A7409305090013050D00EF00805929 +:105BD000935C040193050900130B050013850C0069 +:105BE000EF00405893D90901130C05009385090073 +:105BF00013850C00EF000057130905009385090079 +:105C000013050D00EF0000563305850193570B0176 +:105C10003385A70063748501330979019357050122 +:105C2000B387270163E6F402E392F4BCB7070100EF +:105C30009387F7FF3375F50013150501337BFB00E0 +:105C400033964A0133056501130A0000E37AA6CCB6 +:105C50001304F4FF6FF09FB9130A0000130400004F +:105C60006FF01FCC130101FB232481042322910434 +:105C7000232E3103232291032326110423202105FF +:105C8000232C4103232A5103232861032326710374 +:105C9000232481032320A103232EB101930C0500AB +:105CA000938905001304050093840500639E06266E +:105CB00013090600138A060097AA0100938A8A5FD7 +:105CC00063F4C514B70701006376F6129307F00F6B +:105CD00063F4C700130A8000B3574601B38AFA0081 +:105CE00003C70A001305000233074701330AE540E2 +:105CF000630C0A00B395490133D7EC003319460110 +:105D0000B364B70033944C01935A090193850A0098 +:105D100013850400EF00404C9309050093850A00A9 +:105D2000131B090113850400EF008046135B0B0170 +:105D30009305050013050B00EF00C042939909017C +:105D400093570401B3E7F90063FAA700B38727016B +:105D500063E6270163F4A700B3872701B384A74054 +:105D600093850A0013850400EF000047930905009E +:105D700093850A0013850400EF0080411314040189 +:105D8000930505009399090113050B0013540401B1 +:105D9000EF00403D33E48900637AA400330424011A +:105DA000636624016374A400330424013304A44013 +:105DB00033554401930500008320C104032481046A +:105DC00083244104032901048329C103032A810395 +:105DD000832A4103032B0103832BC102032C81027D +:105DE000832C4102032D0102832DC1011301010502 +:105DF00067800000B7070001130A0001E36EF6ECAC +:105E0000130A80016FF05FED631A0600930500002E +:105E100013051000EF00C03713090500B707010094 +:105E2000637AF90E9307F00F63F42701130A8000D9 +:105E3000B3574901B38AFA0003C70A0013050002E9 +:105E4000B384294133074701330AE540E30E0AEAE8 +:105E500033194901B3DAE900B395490133D7EC00AE +:105E600093540901336BB70013850A00938504002E +:105E7000EF0080369309050093850400931B090108 +:105E800013850A00EF00C03093DB0B01930505007A +:105E900013850B00EF00002D9399090193570B0117 +:105EA000B3E7F90033944C0163FAA700B3872701E5 +:105EB00063E6270163F4A700B3872701B38AA740ED +:105EC0009385040013850A00EF0000319309050053 +:105ED0009385040013850A00EF00802B93050500CD +:105EE00013850B00EF00002893150B01939909010E +:105EF00093D50501B3E5B90063FAA500B385250183 +:105F000063E6250163F4A500B3852501B384A540AC +:105F10006FF09FDFB7070001130A0001E36AF9F091 +:105F2000130A80016FF0DFF0E3E8D5E8B70701005E +:105F300063FCF604930BF00F33B5DB00131535004B +:105F400033D7A60097A701009387C736B387E7002A +:105F500083CB070093050002B38BAB00338B7541F5 +:105F6000631E0B0263E4360163EACC003384CC4049 +:105F7000B386D94033B58C00B384A6401305040022 +:105F8000938504006FF05FE3B7070001130500017C +:105F9000E3E8F6FA130580016FF09FFAB396660105 +:105FA000335D7601336DDD0033D47901B39569013A +:105FB00033DC7C0193540D01336CBC0013050400E9 +:105FC00093850400B31A6601EF000021130A05004F +:105FD000938504001305040033996C01931C0D0193 +:105FE000EF00001B93DC0C01130405009305050072 +:105FF00013850C00EF000017131A0A0113570C0148 +:106000003367EA00130A0400637EA7003307A70181 +:10601000130AF4FF6368A7016376A700130AE4FF7D +:106020003307A701B309A74093850400138509002E +:10603000EF00801A938504001304050013850900FE +:10604000EF000015930505009304050013850C006F +:10605000EF00401193150C011314040193D50501B1 +:10606000B365B4001387040063FEA500B385A501E2 +:106070001387F4FF63E8A50163F6A5001387E4FF27 +:10608000B385A501131A0A01B70C0100336AEA00AF +:106090001384FCFFB3778A0033F48A00B384A540ED +:1060A00013850700930504002326F100135A0A0103 +:1060B000EF00400B930905009305040013050A0047 +:1060C000EF00400A13DC0A01930D050093050C0054 +:1060D00013050A00EF0000098327C100130A050019 +:1060E00093050C0013850700EF00C0073305B501C9 +:1060F00013D709013307A7006374B701330A9A0164 +:10610000B70701009387F7FF935507013377F7002F +:1061100013170701B3F7F900B3854501B307F7007B +:1061200063E6B400639EB400637CF9003386574194 +:10613000B3B7C700B385A541B385F5409307060003 +:10614000B307F9403339F900B385B440B38525412D +:1061500033947501B3D767013365F400B3D5650196 +:106160006FF09FC5130605001305000093F6150098 +:10617000638406003305C50093D515001316160079 +:10618000E39605FE678000006340050663C60506CA +:1061900013860500930505001305F0FF630C060246 +:1061A00093061000637AB6006358C00013161600F9 +:1061B00093961600E36AB6FE1305000063E6C50079 +:1061C000B385C5403365D50093D616001356160027 +:1061D000E39606FE6780000093820000EFF05FFB0D +:1061E00013850500678002003305A04063D80500D1 +:1061F000B305B0406FF0DFF9B305B0409382000003 +:10620000EFF01FF93305A040678002009382000081 +:1062100063CA0500634C0500EFF09FF71385050086 +:1062200067800200B305B040E35805FE3305A04087 +:10623000EFF01FF63305B0406780020097A701001A +:1062400093874732944317A7010013076730184319 +:10625000C8C2637BE50017A7010013072730084376 +:106260008C4391056FA0CFAD411122C406C617A77C +:1062700001001307E72E2A8408438C439105EFA001 +:106280002FAC97A701009387E72A9C436376F4001D +:1062900097A7010023A0872AB240224441018280AF +:1062A00097A701009387072C984394437C43FD17DD +:1062B0007CC3FC4299E3736004308280011122CCDC +:1062C00026CA2A84AE844AC84EC652C456C206CED6 +:1062D000EFB0FFA31C4003290401B7090001B3E597 +:1062E0009700930AC4000CC08144FD19370A0002CC +:1062F0001840638C2A03032609004A85B3654701C9 +:10630000B376360193175600758F0329490063C48D +:10631000070001E7F1BFE39DE6FC9317760063D326 +:106320000700D58CEFC01F8EE1B793C4F4FFF98C42 +:1063300004C0EFB0DFC20840F2406244D244424998 +:10634000B249224A924A05618280411106C622C49E +:10635000EFE07FB417F7FFFF1307E7A78146054675 +:106360009305400617A501001305850AEFD08FDDC0 +:1063700019C92A84EFB0BF9A2A860147814685450C +:106380002285EFD0CFE8EFB00FF3B24022440145B1 +:0463900041018280C5 +:02000004800278 +:10000000CE44FEFFCC45FEFFD644FEFFCC45FEFFAE +:10001000CC45FEFFCC45FEFFCC45FEFFEE44FEFF87 +:10002000CC45FEFFCC45FEFF0845FEFF1445FEFF14 +:10003000CC45FEFF2645FEFF3245FEFF3245FEFF62 +:100040003245FEFF3245FEFF3245FEFF3245FEFFE0 +:100050003245FEFF3245FEFF3245FEFFCC45FEFF36 +:10006000CC45FEFFCC45FEFFCC45FEFFCC45FEFF58 +:10007000CC45FEFFCC45FEFFCC45FEFFCC45FEFF48 +:10008000CC45FEFFCC45FEFFCC45FEFFCC45FEFF38 +:10009000CC45FEFFCC45FEFFCC45FEFFCC45FEFF28 +:1000A000CC45FEFFCC45FEFFCC45FEFFCC45FEFF18 +:1000B000CC45FEFFCC45FEFFCC45FEFFCC45FEFF08 +:1000C000CC45FEFFCC45FEFFCC45FEFFCC45FEFFF8 +:1000D000CC45FEFFCC45FEFFCC45FEFFCC45FEFFE8 +:1000E000CC45FEFFCC45FEFFCC45FEFFCC45FEFFD8 +:1000F000CC45FEFFCC45FEFFCC45FEFFCC45FEFFC8 +:100100006645FEFF7C45FEFFCC45FEFFCC45FEFF6D +:10011000CC45FEFFCC45FEFFCC45FEFFCC45FEFFA7 +:10012000CC45FEFFC245FEFFCC45FEFFCC45FEFFA1 +:100130007443FEFF2C44FEFFCC45FEFFCC45FEFF82 +:100140006244FEFFCC45FEFFBA44FEFFCC45FEFFF5 +:10015000CC45FEFFE844FEFFDA48FEFF2E49FEFFD5 +:10016000E248FEFF2E49FEFF2E49FEFF2E49FEFF0C +:100170002E49FEFFD447FEFF2E49FEFF2E49FEFF0B +:10018000EE47FEFFFA47FEFF2E49FEFF0C48FEFF3A +:100190001848FEFF1848FEFF1848FEFF1848FEFFEB +:1001A0001848FEFF1848FEFF1848FEFF1848FEFFDB +:1001B0001848FEFF2E49FEFF2E49FEFF2E49FEFF86 +:1001C0002E49FEFF2E49FEFF2E49FEFF2E49FEFF5F +:1001D0002E49FEFF2E49FEFF2E49FEFF2E49FEFF4F +:1001E0002E49FEFF2E49FEFF2E49FEFF2E49FEFF3F +:1001F0002E49FEFF2E49FEFF2E49FEFF2E49FEFF2F +:100200002E49FEFF2E49FEFF2E49FEFF2E49FEFF1E +:100210002E49FEFF2E49FEFF2E49FEFF2E49FEFF0E +:100220002E49FEFF2E49FEFF2E49FEFF2E49FEFFFE +:100230002E49FEFF2E49FEFF2E49FEFF2E49FEFFEE +:100240002E49FEFF2E49FEFF2E49FEFF2E49FEFFDE +:100250002E49FEFF2E49FEFF4C48FEFF7A48FEFF66 +:100260002E49FEFF2E49FEFF2E49FEFF2E49FEFFBE +:100270002E49FEFF2E49FEFF2E49FEFFD048FEFF0D +:100280002E49FEFF2E49FEFF3246FEFF2C47FEFFA1 +:100290002E49FEFF2E49FEFF9E47FEFF2E49FEFF20 +:1002A000C447FEFF2E49FEFF2E49FEFF2849FEFFF0 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D0002051756575654F76657277726974652011 +:1003E0007461736B73200D0A000000006D69616F0A +:1003F000750A00006D69616F75320A0068756E6775 +:1004000072790A0054696D65723200004368656351 +:100410006B54696D65720000514F76657200000083 +:100420001000000000000000017A5200017C010170 +:100430001B0D02005000000018000000F44CFEFFED +:100440005805000000440E507489039406990B81EE +:1004500001880292049305950796089709980A9ACD +:100460000C9B0D036C010AC144C844C944D244D357 +:1004700044D444D544D644D744D844D944DA44DBA0 +:10048000440E00440B0000004C0000006C00000013 +:10049000F851FEFFDC05000000440E307089039522 +:1004A000078101880292049305940696089709989B +:1004B0000A990B9A0C0370020AC144C844C944D279 +:1004C00044D344D444D544D644D744D844D944DA58 +:1004D000440E00440B00000050000000BC0000006F +:1004E0008457FEFF0005000000440E507488028906 +:1004F000039305990B81019204940695079608973A +:1005000009980A9A0C9B0D0320010AC144C844C9EA +:1005100044D244D344D444D544D644D744D844D90F +:0C05200044DA44DB440E00440B000000F1 +:0C05A000FC8F01000900000001000000B9 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/QueueOverwrite_rv32im_O3.hex b/VexRiscv/src/test/resources/freertos/QueueOverwrite_rv32im_O3.hex new file mode 100644 index 0000000..1db72a7 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/QueueOverwrite_rv32im_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/QueueOverwrite_rv32imac_O3.hex b/VexRiscv/src/test/resources/freertos/QueueOverwrite_rv32imac_O3.hex new file mode 100644 index 0000000..d273ee4 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/QueueOverwrite_rv32imac_O3.hex @@ -0,0 +1,1558 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000A1C5170502001305E54697950300938552 +:1000A000256E01461122170502001305A53C970590 +:1000B0000200938525430146C52817A503001305B3 +:1000C000656C97B503009385A56B37B6ABAB13068C +:1000D000B6BAD92817B103001301C16AEF503037FF +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2422723A02200F322103457 +:1002400096DEEF00002B170102000321E125024199 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E22523A0120023A2AD +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40B025170102000321DA +:10033000A1170241F65273901234B7220000938243 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A21783A0B4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2420523A0220086DEEF203D +:10046000402D17010200032121040241F65273902E +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF40D005EF10700901E57A +:10050000B24041018280B24041016F2080229307B6 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30101F1F +:1005B0008280411122C406C62A8426C2EF209010F0 +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF20A0566DD5EF2080763C44FD1780 +:1005F0003CC43C44E344F0FEFD573CC4EF20D00E25 +:10060000EF20500C7C40635BF0021C4885CB9304C8 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2060526DD5EF2040729F +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20100A29C5411151 +:1006500022C426C22A8406C6AE84EF20B0063440E7 +:100660005C5C1840232C0402B387F6027D5670C0F0 +:1006700018C430C4B386D740BA9736975CC058C404 +:1006800091EC1C489DE3EF203006B2402244924496 +:100690000545410182807370043001A013050401F7 +:1006A000BD3513054402A535F9BF13050401EF203C +:1006B000E0498547E319F5FC913BF1B701E573701B +:1006C000043001A0011126CA4AC84EC606CE22CC6B +:1006D000B2892E89AA84A5C53305B502130555052F +:1006E000EF3080732A8435C1930745051CC144DC73 +:1006F00023202405EF20007D34405C5C18407D56AB +:10070000B387F602232C040270C018C430C413054A +:100710000401B386D740BA9736975CC058C4C53B2E +:1007200013054402ED33EF20207C23083405228595 +:10073000F2406244D2444249B249056182801305C5 +:100740004005EF30606D2A8401E57370043001A02C +:1007500000C071BF01E57370043001A06375B5007E +:100760007370043001A0411122C409462E848145D2 +:1007700006C6A93711C500DDB2402244410182807E +:100780007370043001A05D7186C6A2C4A6C2CAC03F +:100790004EDE52DC56DA5AD85ED632C6630A051CE3 +:1007A0006382051C89476399F600585D8547630598 +:1007B000F7007370043001A03689AE842A84EF20DC +:1007C000A05B11E5B24781C77370043001A0EF2030 +:1007D000606F185C5C5C014B894BFD59130A040186 +:1007E000930A44026365F70C63077919B247638182 +:1007F000072463150B002808EF206048EF20C06E27 +:10080000EF10C04FEF20006C7C406394370123222F +:1008100004043C446394370123240404EF20C06C97 +:100820006C002808EF20C047631E051EEF2080697A +:10083000185C5C5C630CF70AEF20006BEF208068AB +:100840003C44634FF00039A85685EF20203019C191 +:10085000EF2000503C44FD173CC43C446354F0007E +:100860005C50FDF323243405EF200068EF20806501 +:100870007C40634FF00039A85285EF20202D19C12C +:10088000EF20004D7C40FD177CC07C406354F0009D +:100890001C48FDF323223405EF200065EF10006BA8 +:1008A000054BEF202062185C5C5CE37FF7F2304080 +:1008B0006305060C631F09120844A685EF30006E1D +:1008C0001C4434405840B6971CC463EAE70A1C5CD9 +:1008D00014405850850714C41CDC5DC7130544023E +:1008E000EF20C02685476311F50AC9A8EF20C05F35 +:1008F000B2455285EF204007EF20C05C3C44634F77 +:10090000F00039A85685EF20602419C1EF2040443B +:100910003C44FD173CC43C446354F0005C50FDF380 +:1009200023243405EF20405CEF20C0597C40634F06 +:10093000F00039A85285EF20602119C1EF20404115 +:100940007C40FD177CC07C406354F0001C48FDF3E4 +:1009500023223405EF204059EF10405F31F17D3400 +:10096000054B81B73C41E38F07E27370043001A06F +:100970007370043001A0304021E61C4085C71C5C28 +:10098000585085071CDC39FBEF2000560545B64062 +:10099000264496440649F259625AD25A425BB25BE7 +:1009A000616182804840EF2040491C5C58502322FE +:1009B000040085071CDCE31307F279D5813CE9B715 +:1009C0004844A685EF30805D3C4058441440B3074E +:1009D000F0403E9758C4637CD7025840BA975CC435 +:1009E00009475C506306E902185C050718DCC9DF9B +:1009F000F5B54844A685EF30605A3C405844144051 +:100A0000B307F0403E9758C4E37CD7FCF9B75C507D +:100A1000185C79DB185C7D1718DC185C050718DC9E +:100A2000A5D76DBD22857136EF104052014585B7BF +:100A3000EF20804B0145A1BF411126C2AA841305B6 +:100A4000400522C406C6EF30203D2A8415CD232C54 +:100A50000502FD577CC10547410558DC3CC42322F3 +:100A60000400232004002324040023260400232060 +:100A7000040423089404613C13054402493C814664 +:100A800001468145228501332285B24022449244A9 +:100A9000410182800DC5411122C426C206C64AC04A +:100AA000032945002A84EF20602C8144630CA900AF +:100AB000B2402244268502499244410182807370EB +:100AC000043001A05C448544FD175CC4F5F3814605 +:100AD0000146814522854539E1BF31CDA1C90111CA +:100AE00022CC26CA4AC852C406CE4EC68947B6840E +:100AF00032892E8A2A846399F600585D8547630FF0 +:100B0000F7047370043001A0C932185C5C5CAA89D8 +:100B10006366F70281444E857532F2406244268551 +:100B20004249D244B249224A056182803C41C5DB38 +:100B30007370043001A07370043001A0304041E2B2 +:100B40001C40D5CB1C5C7D5785071CDC3C4463876F +:100B5000E7083C44854485073CC475BFBD32185C3A +:100B60005C5CAA89636DF702304079DA4844D2852B +:100B7000EF30C0423C4058441440B307F0403E9729 +:100B800058C46375D7005840BA975CC48947E39B43 +:100B9000F4FA1C5CC5DB1C5CFD171CDC65B730403F +:100BA00045D24844D285EF30603F3C405844144021 +:100BB000B307F0403E9758C4E367D7FCD9BFDDF4D4 +:100BC0000844D285EF30803D1C4434405840B697ED +:100BD0001CC4E3E9E7F61C401CC4ADB75C50854477 +:100BE0009DDB13054402EF10707615D5E30509F27D +:100BF000232099000DB74840EF2020242322040031 +:100C000091B721CD3C4181C77370043001A01C41D4 +:100C1000A1CB011122CC26CA2A844AC84EC606CED0 +:100C20002E896530185C5C5CAA898144637DF7007D +:100C30001C5C7D5785071CDC3C446388E7023C4410 +:100C4000854485073CC44E85B538F240624426850C +:100C50004249D244B249056182807370043001A0D8 +:100C60005C41C5DB7370043001A05C5099E385449E +:100C7000D9BF13054402EF10706D75D9E30909FE61 +:100C800085472320F900E5B7397106DE22DC26DA34 +:100C90004AD84ED652D456D232C66302051C638A55 +:100CA000051AB68AAE892A84EF20000D11E5B247F5 +:100CB00081C77370043001A0EF20C0201C5C6392D8 +:100CC0000714B247638E070A2808EF10507BFD54C3 +:100CD00013094402130A0401EF200021EF1000025F +:100CE000EF20401E7C4063949700232204043C4480 +:100CF0006394970023240404EF20001F6C0028084D +:100D0000EF10107A63130518EF20C01B1C5CADCFE9 +:100D1000EF20801DEF20001B3C44634FF00039A8FA +:100D20004A85EF10B06219C1EF2080023C44FD17E4 +:100D30003CC43C446354F0005C50FDF324C4EF20F9 +:100D4000A01AEF2020187C40634FF00039A852858C +:100D5000EF10D05F19C1EF10B07F7C40FD177CC051 +:100D60007C406354F0001C48FDF364C0EF20C017C2 +:100D7000EF10C01DEF2000151C5CC1E7B247A9FFB2 +:100D8000EF208016014555A0EF2000161C40DDC75E +:100D9000B2454A85EF10503DEF20C0123C44634FEE +:100DA000F00039A84A85EF10705A19C1EF10507A37 +:100DB0003C44FD173CC43C446354F0005C50FDF3DC +:100DC00024C4EF206012EF20E00F7C40634FF0005E +:100DD00039A85285EF10905719C1EF1070777C40F9 +:100DE000FD177CC07C406354F0001C48FDF364C0D8 +:100DF000EF20800FEF10801531C9EF20A00C1C5C94 +:100E0000B5DFCE8522854444EFF0CFF8639C0A0419 +:100E10001C5C1840FD171CDC01E7EF20601148C086 +:100E20001C48A1EFEF20400C0545F2506254D2540B +:100E30004259B259225A925A21618280EF20800889 +:100E40004840EF107075EF20200A99B7EFF00FDCE3 +:100E50006DB73C41E38707E47370043001A0737001 +:100E6000043001A05C5044C4D5DF13054402EF10E8 +:100E7000F04D4DD9EFF08FD975B713050401EF1080 +:100E8000F04C8547E310F5FAF5B72285EFF06FF2E5 +:100E9000EF10C00B014551BF1DC9411122C44AC00A +:100EA00006C626C244412E892A84EF10306C638323 +:100EB000A40281464A8681452285F933854763002D +:100EC000F502B2402244924402494101828073708B +:100ED000043001A05C44054585075CC4DDB75C4473 +:100EE00085075CC4F9BF15CDADC9011122CC26CA56 +:100EF0004AC84EC652C42A8406CE3289AE89EFF063 +:100F0000CFDC1C5C2A8A814485E35285EFF08FDBBD +:100F1000F240624426854249D244B249224A0561E0 +:100F200082807370043001A0304001CE4C445C409C +:100F3000B2954CC463E4F5000C404CC44E85EF30D0 +:100F4000E0051C5C7D57FD171CDC7C40638CE700D2 +:100F50007C40854485077CC04DBF3C41D9D7737028 +:100F6000043001A01C4899E3854445B713050401EA +:100F7000EF10D03D75D9E30909FE85472320F9001C +:100F8000E5B721C93C41A1CDA1CB011122CC26CA94 +:100F90004AC852C42A8406CE4EC62E8AEFF0EFD23B +:100FA0001C5C2A89814491CB8329C400D285228587 +:100FB000EFF04FDE8544232634014A85EFF08FD0D1 +:100FC000F240624426854249D244B249224A056130 +:100FD00082807370043001A07370043001A0EDDFD3 +:100FE0007370043001A001E57370043001A0411159 +:100FF00022C42A8406C6EF10F06C005CEF10D06E9D +:10100000B240228522444101828001E573700430A0 +:1010100001A0411106C622C42A84EF10B06A1C5CEC +:10102000405C1D8CEF10506C2285B240224441017F +:10103000828019C1085D82807370043001A01DCDCB +:101040009787030093870770D843630CE502D8475E +:10105000630CE504D84B6307E504D84F6302E5044D +:10106000D853630DE502D8576308E502D85B6303E4 +:10107000E502D85F630EE5006F20306C73700430BA +:1010800001A001470E07BA9723A007006F20F06A5E +:101090001D47CDBF1947FDB71547EDB71147DDB760 +:1010A0000D47CDB70947F9BF0547E9BF68458280BD +:1010B0006CC5828003450505828009C5085D13352E +:1010C000150082807370043001A019C51C5D485D55 +:1010D0001D8D1335150082807370043001A0978731 +:1010E000030093872766984305C3984705C7984B25 +:1010F00005C7984F05C7985305C7985705C7985B0C +:1011000015C7985F15C3828001470E07BA978CC335 +:10111000C8C382800547D5BF0947C5BF0D47F5B78E +:101120001147E5B71547D5B71D47C5B71947F1BFF3 +:101130009787030093870761D8436308E502D84780 +:10114000630BE502D84B630AE502D84F6309E50259 +:10115000D8536308E502D8576307E502D85B6308F4 +:10116000E502D85F6303A702828001470E07BA97A2 +:1011700023A0070082800547D5BF0947C5BF0D479B +:10118000F5B71147E5B71547D5B71D47C5B7194797 +:10119000F1BF411122C426C24AC02A8406C6AE84C9 +:1011A0003289EF1030527840FD576314F700232244 +:1011B00004043844FD576314F70023240404EF109B +:1011C000B0521C5C99E74A86A68513054402EF10CD +:1011D000B00CEF10304F3C44635BF0025C5085CBA9 +:1011E0009304440209A83C44FD173CC43C446350AA +:1011F000F0025C5089CF2685EF1050156DD5EF10A9 +:1012000030353C44FD173CC43C44E344F0FEFD57FC +:101210003CC4EF10704DEF10F04A7C40635BF0026D +:101220001C4885CB9304040109A87C40FD177CC0B1 +:101230007C406350F0021C4889CF2685EF101011C6 +:101240006DD5EF10F0307C40FD177CC07C40E3444E +:10125000F0FEFD577CC02244B24092440249410155 +:101260006F10904805C579714ECE52CC06D63E8A95 +:1012700022D426D24AD056CA5AC85EC662C4A547EE +:10128000BA8963F8E7007370043001A0737004300A +:1012900001A0AA8AAE843289B68B428B63090822E8 +:1012A00013050006EF2050372A84630D05200A0934 +:1012B000232865034A869305500A5A85EF20105C5F +:1012C00003C704001C587119230AE40203C7040071 +:1012D0003E991379C9FF79C783C71400A30AF402A2 +:1012E00083C71400E1C383C72400230BF40283C720 +:1012F0002400CDCB83C73400A30BF40283C7340092 +:10130000D5C383C74400230CF40283C74400D9CB60 +:1013100083C75400A30CF40283C75400C1C783C71A +:101320006400230DF40283C76400ADCF83C774004B +:10133000A30DF40283C77400B5C783C78400230ECE +:10134000F40283C78400B9CF83C79400A30EF402CC +:1013500083C79400A1CB83C7A400230FF40283C7E3 +:10136000A400A9C383C7B400A30FF40283C7B400C9 +:1013700095CB83C7C4002300F40483C7C4009DC376 +:1013800083C7D400A300F40483C7D40081CF83C7EC +:10139000E4002301F40483C7E40089C783C7F40091 +:1013A000A301F404130B44005A85A301040423266B +:1013B000340323283405232A0404EFF08F96130501 +:1013C0008401EFF00F96A947B3873741232C04041B +:1013D00000C81CCC40D023220404232E04045E86C3 +:1013E000D6854A85EFF00F8F08C063040A002320DA +:1013F0008A007370043017F9010013092909832743 +:10140000090097F401009384240A91C798409C40F6 +:101410007C4385077CC317F701001307E7071C43CC +:10142000850797F6010023A9F6069C40D5CB8327B4 +:10143000090017FA0100130AAA1489EB9C40DC5733 +:1014400063E6F90097F7010023A0870697F70100EC +:101450009387C702984397F60100938666035C540E +:101460009442050717F60100232AE60038C463F604 +:10147000F60017F70100232DF7005147B387E70265 +:10148000DA853305FA00EFF02F8A8327090081C738 +:101490009C40FC43BDE383270900054499C79C4059 +:1014A000DC5763F43701EFE07FF62285B250225417 +:1014B00092540259F249624AD24A424BB24B224CF0 +:1014C000456182805A85EF2050277D54F9BF13155E +:1014D0002600EF2070142A8BE31405DC7D54F1B74D +:1014E00097F7010023A287FC184385476301F702A1 +:1014F00017FA0100130ACA0891BF984094407C4330 +:10150000FD177CC3FC42C1FB7360043069B7814A9C +:1015100017FA0100130ACA06514CA94B33858A03F6 +:10152000850A5295EFE0BFFEE39A7AFF97FB010030 +:10153000938B8B115E85EFE09FFD97FA0100938AF4 +:10154000EA115685EFE0BFFC17F5010013054512BF +:10155000EFE0FFFB17F501001305C512EFE03FFBBD +:1015600017F5010013054513EFE07FFA97F7010027 +:1015700023AA77F397F7010023A457F3C1BD4111C4 +:1015800022C406C626C24AC02A847370043097F467 +:1015900001009384A4EF9C40F9E311E497F7010064 +:1015A000938787F08043130944004A85EFE05FFC8E +:1015B0001C5489C713058401EFE09FFBCA8517F50A +:1015C00001001305250CEFE03FF697F70100938724 +:1015D000E7EC984397F70100938747EA9C43050798 +:1015E00097F6010023ACE6EA9840850797F60100DC +:1015F00023A6F6E801CB97F701009387E7EA984323 +:10160000784349EF9C40B1C797F701009387C7E93A +:101610009843630F870873700430984039EB17F7CD +:101620000100130727E814439442A5E27D5797F67B +:10163000010023A3E6E4984009CF9843784311CBF7 +:10164000984394437C43FD177CC3FC4299E3736049 +:101650000430B2402244924402494101828097F70B +:101660000100938767E498439C437C4385077CC3D0 +:101670002DB794439843F8420507F8C217F70100C5 +:10168000130747E214439442D5D2184358475847AA +:10169000584397F6010023A1E6DE71BF98439443B7 +:1016A0007C43FD177CC3FC42B1FF7360043099BFDB +:1016B00097F70100938707DC9C4381C77370043060 +:1016C00001A02244B2409244024941016FE01FD47C +:1016D00041C517F70100130727DD14438147638BCA +:1016E000A606411106C622C426C27370043097F6BE +:1016F00001009386A6D99C4291C710431C437C42AB +:1017000085077CC29C42AA84404981C71C43FC4394 +:10171000B9E797F701009387E7D89C43638B870469 +:1017200097F701009387C7D79C436384870497F793 +:101730000100938767F66309F40297F70100938726 +:1017400067F46301F4028547B240224492443E8527 +:10175000410182803E8582807370043001A0EF4099 +:10176000604445BF9147CDB79C5493B71700890794 +:10177000E1BF8947D1BF7370043097F701009387A9 +:10178000E7D0984309EF15C59C43485581CB97F79F +:101790000100938767D19843784315E3828017F758 +:1017A0000100130767D014431843F8420507F8C235 +:1017B00061FD17F70100130727CF0843F1B79843DE +:1017C00094437C43FD177CC3FC42E9FB7360043007 +:1017D0008280411122C42A8406C6EFE01FCF11E4A3 +:1017E00097F70100938747CC80434054EFE09FCDAB +:1017F000B2402285224441018280A54763F5B700AB +:101800007370043001A0011122CC06CE26CA4AC84A +:101810004EC652C42A847370043017F901001309AC +:10182000E9C683270900D5EF79C43C486389F508E8 +:1018300017F70100130747C763FCB7001443638D14 +:10184000860C18434457B3B4950093C4140029A0E0 +:101850000443818C93B4140058546384E70A1C4CED +:101860002CC863C60700A947B385B7400CCC9317B3 +:101870002700BA97584897F90100938969D08A07D9 +:10188000CE97631BF702130A44005285EFE05FCE48 +:1018900017F701001307C7BF5C5418436376F700BE +:1018A00017F701002326F7BE5147B387E702D28519 +:1018B0003385F900EFE05FC799C0EFE03FB58327BC +:1018C000090081CB97F70100938707BE9C43FC4337 +:1018D0009DEBF2406244D2444249B249224A05613A +:1018E000828097F70100938727BC98439C437C43F1 +:1018F00085077CC31DF897F701009387E7BA8043FB +:101900002DB74CD4A9BF6244F240D2444249B249F7 +:10191000224A05616F40002981443DBF25C1011164 +:1019200026CA97F40100938424B89C4006CE22CCAA +:101930004AC84EC6638DA7027370043017F90100C0 +:101940001309C9B48327090091C798409C407C4380 +:1019500085077CC3584997F701009387E7D3630253 +:10196000F7028327090081C79C40FC43A5EBF240A6 +:101970006244D2444249B2490561828073700430A6 +:1019800001A01C5517F70100130787CEE38BE7FC76 +:10199000E9FB930945002A844E85EFE07FBD17F7E8 +:1019A00001001307E7AE5C5418436376F70017F79E +:1019B0000100232FF7AC5147B387E70217F5010069 +:1019C000130505BCCE853E95EFE01FB69C405854EC +:1019D000DC57E368F7F8EFE07FA361B76244F240B9 +:1019E000D2444249B24905616F40C01B15CD011177 +:1019F00022CC26CA4AC82A8406CE4EC6EFE0FFACE7 +:101A0000584897F70100938727C92A8981446300C2 +:101A1000F7024A85EFE01FABF24062442685424957 +:101A2000D244B249056182807370043001A01C5415 +:101A300017F501001305C5C3E38DA7FCF9FB97F764 +:101A40000100938727A39C43A9EB97F70100938795 +:101A5000A7A59C43445493094400DC574E85B3B476 +:101A6000F400EFE0FFB017F70100130767A25C5422 +:101A7000184393C414006376F70017F70100232975 +:101A8000F7A05147B387E70217F50100130545AFEB +:101A9000CE853E95EFE05FA9ADBF93058401EFE0F1 +:101AA000BFA885BF0111056506CE22CC26CA4AC84B +:101AB0004EC652C456C25AC0EF200036630E051AF5 +:101AC000AA8413050006EF2020352A84630A051C2A +:101AD00005669305500A04D92685EF20205A04583C +:101AE0008567F117BE94B7474C4593879744130A0F +:101AF00044005CD85285230C0402A301040423266D +:101B0000040223280404232A0404EFE09FA1130500 +:101B10008401EFE01FA1A947232C0404F19800C819 +:101B20001CCC40D023220404232E04040146971524 +:101B300000009385E5B12685EFE0DF9908C073705A +:101B4000043017F90100130969948327090097F4F9 +:101B500001009384649591C798409C407C4385071D +:101B60007CC317F70100130727931C43850797F6DB +:101B7000010023A3F6929C40C9C38327090097F96B +:101B800001009389E99F81EB9C40DC5789E797F737 +:101B9000010023AB879097F701009387278E984326 +:101BA00097F601009386C68E5C549442050717F69B +:101BB00001002325E68C38C463F6F60017F7010010 +:101BC0002328F78C139527003E950A05D2854E955C +:101BD000EFE09F958327090081C79C40FC43DDE728 +:101BE0008327090091C39C40EF1070498547630526 +:101BF000F50C59E17370043001A097F7010023A59B +:101C0000878A1843854797F9010093896997E314F8 +:101C1000F7F8CE8A17FB0100130B0BA35685D10AE8 +:101C2000EFE0FF8EE31C5BFF17F501001305C5A174 +:101C3000EFE0FF8D97FA0100938A4AA25685EFE004 +:101C40001F8D17F501001305A5A2EFE05F8C17F5B6 +:101C50000100130525A3EFE09F8B17F50100130585 +:101C6000A5A3EFE0DF8A97F7010023AD678397F71D +:101C7000010023A7578305B7F2406244D24442498A +:101C8000B249224A924A024B0561828098409440B0 +:101C90007C43FD177CC3FC42A1F77360043089B715 +:101CA0006244F2404249B249224A924A024B268596 +:101CB000D24405616F20602873700430FD5717E728 +:101CC0000100232BF77A624497E7010023A0A77C49 +:101CD000F240D2444249B249224A924A024B97E723 +:101CE000010023A9077A05616FE0AFDB7370043050 +:101CF00097E7010023AC07786FE0EFE817E70100F2 +:101D0000130747771C4385071CC382807370043018 +:101D100097E7010093878777984305E317E701006A +:101D20001307477708439C4381CB97E701009387CC +:101D3000A7779843784301EF828017E701001307E4 +:101D4000A77614431843F8420507F8C2C1BF984369 +:101D500094437C43FD177CC3FC42F9FF736004305D +:101D60008280411106C622C4EFE02FF697E70100FA +:101D7000938747728043EFE0EFF4B240228522441C +:101D80004101828097E70100938707718843828031 +:101D9000797106D622D426D24AD04ECE52CC56CA1B +:101DA0005AC85EC697E701009387C76C9C43B1EFA2 +:101DB00017E701001307076E1C43850797E601002C +:101DC00023AAF66C832A0700638F0A0697E70100AF +:101DD0009387876A9C43814463F1FA0897E901007D +:101DE0009389097A17EA0100130A0A6C83270A000B +:101DF0008546D85793172700BA978A07BE9983A7B5 +:101E0000090063FFF600854421A897E70100938746 +:101E1000A7679C438144850717E701002326F766DF +:101E200097E70100938707669C4391C38544B250AE +:101E30002254268502599254F249624AD24A424BB0 +:101E4000B24B4561828017E401001304A4651C4075 +:101E50009C43DDC37370043001A017E40100130438 +:101E6000646497E901009389A97117EA0100130AD4 +:101E7000AA6317EB0100130BAB611C409C43B5C375 +:101E80001C40DC4703A9C70083274900930B490086 +:101E90005E8563EEFA04EFE0AFED83278902130558 +:101EA000890199C3EFE0CFEC8327C90203270B0018 +:101EB000DE85139527003E950A054E956376F7005B +:101EC00017E701002326F75CEFE00FE683270A00FF +:101ED0000327C902DC57E362F7FA1C4085449C43A0 +:101EE000C5F3FD5717E701002328F75801B717E797 +:101EF00001002323F758DDBD17E701001307475AF8 +:101F00001C40184397E6010023AEE65817E701008E +:101F10002328F75897E70100938787569C43850746 +:101F200017E70100232EF7541C409C4399E7FD5707 +:101F300017E701002322F75451BD1C40DC47DC4762 +:101F4000DC4317E701002329F75249B597E7010061 +:101F500093874752984301E77370043001A0011141 +:101F600006CE22CC26CA4AC84EC652C456C25AC051 +:101F70007370043097E4010093844451984061EBFE +:101F800098437D1797E6010023A6E64E9C43D9EBC4 +:101F900097E70100938747509C43C9C797EA010020 +:101FA000938A0A5E17EA0100130A8A4E17E90100B4 +:101FB0001309894F854919A83385EA00EFE0CFD688 +:101FC000832709005854DC576379F70483A70A0F65 +:101FD000C1CF83A7CA0FC04713058401EFE04FD9D3 +:101FE000130B44005A85EFE0AFD85C5483260A00F7 +:101FF000DA85139527003E950A052A875695E3FD55 +:10200000F6FA17E701002325F748EFE0EFD1832721 +:1020100009005854DC57E36BF7FA97E7010023A354 +:1020200037476DB701449C4081CB97E70100938708 +:10203000A7479C43FC4395E72285F2406244D24483 +:102040004249B249224A924A024B0561828017E70F +:1020500001001307674514431843F8420507F8C207 +:1020600005B7EF303034C9BF17E401001304C44191 +:102070001C4095C31C40054999CF193B09C597E7FA +:10208000010023A127411C40FD1717E70100232D64 +:10209000F73E1C40FDF397E701009387A73E984366 +:1020A0008547E311F7F8EFE06FB60544ADBF11CDFA +:1020B00081E57370043001A017E701001307873B27 +:1020C0001C4399C77370043001A07370043001A0E1 +:1020D000411122C406C614431841850617E60100C3 +:1020E000232AD63897E601009386C63A94423304F1 +:1020F000B70000C163F7E602637FE40063FD86007A +:1021000097E701009387473A88431105EFE04FC6F0 +:102110002285EF30F0221D3D01C9B2402244410129 +:102120008280E36FE4FCD9BF2244B24041016FE0FA +:10213000EFAD11C917E701001307C7331C4391C75F +:102140007370043001A06FE06FAC411106C622C469 +:102150001843AA87050797E6010023ADE63097E606 +:1021600001009386663417E701001307A73218436E +:1021700088423304F7001105EFE08FBF2285EF306E +:10218000301CE93311E52244B24041016FE00FA851 +:10219000B240224441018280411122C406C697E721 +:1021A00001009387272D9C430144850717E7010011 +:1021B0002322F72C97E701009387072E9C4363E0C7 +:1021C000F51217EE0100130E6E4797E2010093829D +:1021D000623A01440147854F1303500A21A0311E82 +:1021E000638DC20B83278EFFBA86F5DB8327CEFF74 +:1021F000DC43232EFEFE638AC70F03AFC700DC4318 +:102200002A97814E232EFEFE6385C70783A8C70049 +:1022100093874803232017015CC383A788042326E0 +:10222000F7011CC783A7C8021CCB83A70805232C72 +:1022300007005CCB03A8080383470800639067048A +:10224000C287850783C60700B3850741E38B66FE17 +:102250008981C205C181231EB700850E13070702BD +:1022600063061F038327CEFFDC43232EFEFEE39F7E +:10227000C7F983274E00232EFEFE49BF8145231E4A +:10228000B700850E13070702E31E1FFD7694131790 +:102290005400311EBA86E397C2F597E70100938791 +:1022A000672003AE070083270E00639D070E97E7A4 +:1022B00001009387E71E03AE070083270E00639299 +:1022C000071817EE0100130EAE2B83274E109DE367 +:1022D00083278E116395072019C223200600BD3184 +:1022E0002285B24022444101828083274E00232E62 +:1022F000FEFE21B783278E1017EF0100130F0F3951 +:10230000DC4317E701002321F7386380E73383A21A +:10231000C700DC43AA96814E17E701002326F73653 +:10232000914F1303500A6388E70783A8C700938778 +:10233000480323A01601DCC283A7880423A6F60164 +:102340009CC683A7C8029CCA83A7080523AC0600C5 +:10235000DCCA03A80803834708006391672AC28781 +:10236000850703C70700B3850741E30B67FE93D7D3 +:102370002500C207C183239EF600850E93860602C0 +:102380006386122983278E10DC4317E70100232D73 +:10239000F72EE39CE7F983270E1117E701002325A9 +:1023A000F72E61B783274E00130F8E00D4432322EC +:1023B000DE006388E629B307E500D84283A2C600A1 +:1023C000814E2322EE00894F1303500A6306EF0665 +:1023D0008328C7001387480323A01701D8C303A786 +:1023E000880423A6F70198C703A7C80298CB03A7C0 +:1023F000080523AC0700D8CB03A80803034708004F +:102400006318671C4287050783460700B305074129 +:10241000E38B66FE13D7250042074183239EE70026 +:10242000850E93870702638D121B83264E00D842C8 +:102430002322EE00E31EEFF80327CE002322EE0056 +:1024400041BF83274E00130F8E00DC432322FE0082 +:102450006384E71F83A2C700DC43AA96814E232230 +:10246000FE00894F1303500A6306FF0683A8C700C6 +:102470009387480323A01601DCC283A7880423A600 +:10248000F6019CC683A7C8029CCA83A7080523AC93 +:102490000600DCCA03A80803834708006396671098 +:1024A000C287850703C70700B3850741E30B67FEB3 +:1024B00093D72500C207C183239EF600850E93861D +:1024C0000602638B120F83274E00DC432322FE009B +:1024D000E31EFFF88327CE002322FE0041BF83279F +:1024E000CE1197EE0100938EAE1BDC4317E701007F +:1024F0002326F71A6384D71383AFC700DC4333075F +:10250000D500014397E6010023AAF6180D4F894232 +:102510009308500A6387D707C8479307450308C342 +:102520005CC33C452326E7011CC75C551CCB1C55EE +:1025300099C3232657003C49232C07005CCB032872 +:1025400005038347080063951705C287850783C67F +:102550000700B3850741E38B16FF93D72500C20719 +:10256000C183231EF700050313070702638AAF0226 +:102570008327CE11DC4397E6010023A1F612E39DE9 +:10258000D7F983274E1297E6010023A9F61069B701 +:102590008147231EF700050313070702E39AAFFCE8 +:1025A0001A94E31C06D225BB8147239EF600850EB4 +:1025B00093860602E39912F117EE0100130E4EFC0A +:1025C00083274E10769493165400E38307D01DB3EF +:1025D0000147239EE700850E93870702E39712E5E4 +:1025E00097E701009387C7EB03AE07007694931635 +:1025F000540083270E00E38607CCA1B58147239EB4 +:10260000F600850E93860602E39E12D783278E116D +:10261000769493165400E38107CCD1B583274E12EC +:1026200017E70100232CF706C1BD83270E1117E71A +:102630000100232BF704E1B98327CE002322FE00FB +:1026400011BD8326CE002322DE00B5B3797126D2D8 +:102650004AD04ECE52CC56CA5AC85EC606D622D4EE +:1026600097E90100938909E117E90100130949F18C +:1026700017EA0100130A8AE197EA0100938ACAE285 +:1026800097EB0100938B4BE197E40100938404E105 +:10269000054B21A883A7090003244910850717E7E4 +:1026A00001002329F7DC5D3011E89C40E5F7832722 +:1026B0000900E37CFBFEEFD07FD5C5BF737004300B +:1026C00083270A00B9E383270911C0471305440093 +:1026D000EFD01FEA83A70B00FD1717E70100232D9A +:1026E000F7DA9C40FD1717E701002329F7DA832763 +:1026F0000A0089C783A70A00FC4385E30858EF1046 +:10270000D0032285EF1070034DB703A70A0083A7FB +:102710000A007C4385077CC37DB703A70A0083A614 +:102720000A007C43FD177CC3FC42E9FB7360043064 +:10273000F1B797E701009387E7D39C43DDE34111AD +:1027400006C697E7010023AF07D217E7010013077A +:10275000A7D51C43B7A6A5A59386565A9C5B8C4368 +:10276000639BD500D0436318B60094476395C600B9 +:10277000DC476388D70008430C4393854503EF206B +:10278000C07697E601009386A6D0904217E7010035 +:10279000130707DF11A89C42B9CB9C42FD1717E62F +:1027A00001002327F6CE904293172600B2978A079E +:1027B000BA979C43EDD3904293172600B2978A07AD +:1027C000B305F700D441A107BA97D442D4C16383BB +:1027D000F602DC46B24017E701002327F7CC41019F +:1027E0008280854717E70100232EF7C88280737027 +:1027F000043001A0D1473306F602D442329754C3C5 +:10280000C9BF01114AC806CE22CC26CA4EC62A89A3 +:102810007370043097E40100938444C79C4017E42C +:102820000100130464C8D9E76314090003290400F4 +:10283000930949004E85EFD0BFD38327890289C70A +:1028400013058901EFD0DFD2CE8517E5010013050E +:10285000A5E4EFD07FCD9C4081C71C40FC43ADE395 +:102860001C40638B27079C409DCF737004309C40B5 +:10287000C1EB97E701009387E7C29843184325EB24 +:10288000FD5717E701002329F7BE9C4089CF1C4064 +:10289000FC4391CB184014407C43FD177CC3FC42A1 +:1028A00099E373600430F2406244D2444249B24931 +:1028B0000561828018401C407C4385077CC3ADB70E +:1028C000184014407C43FD177CC3FC42D1FB73606D +:1028D00004301C40E39927F99C40A1C397E701000D +:1028E000938747B99C43ADCB7370043001A09C43E0 +:1028F000DC47DC47DC4317E70100232FF7B671B74D +:1029000018401C407C4385077CC397E701009387F0 +:1029100067B9984318432DD7D9BF97E7010093872C +:10292000A7B717E701001307A7C59C430327871123 +:10293000631DF700F240624497E7010023A607B643 +:10294000D2444249B249056182806244F240D24495 +:102950004249B2490561F1BB6244F240D244424966 +:10296000B24905616FD09FAA3DC9411126C297E4C3 +:102970000100938464B34AC02E898C4006C622C4E9 +:10298000E105EFD0FFBB88401105EFD07FBEFD57BA +:10299000630AF90497E701009387C7AF8043984023 +:1029A0009C434A9440C3636CF40497E70100938707 +:1029B00067AF88438C409105EFD09FB897E701003F +:1029C000938787AB9C436376F40097E7010023A5C8 +:1029D00087AAB24022449244024941018280737026 +:1029E000043001A08C402244B2409244024917E5D1 +:1029F0000100130565CA910541016FD0FFB297E749 +:102A000001009387E7A9884322448C40B240924456 +:102A10000249910541016FD0BFB211C997E701008A +:102A2000938747A59C4399E77370043001A07370A6 +:102A3000043001A0411126C297E401009384C4A68A +:102A40009840AE878C40B7060080D58F06C622C45A +:102A50001CCFE1053284EFD03FAD88401105EFD0A7 +:102A60003FB1FD57630EF40097E70100938787A2FB +:102A70008843B24092442295224441016F30400C79 +:102A80008C402244B240924417E501001305C5C0B2 +:102A9000910541016FD05FA925CD411126C297E470 +:102AA0000100938464A04AC02E898C4006C622C4CB +:102AB000E1053284EFD05FA788401105EFD05FAB0E +:102AC0008547630AF40497E701009387A79C804336 +:102AD00098409C434A9440C3636CF40497E7010018 +:102AE0009387479C88438C409105EFD07FA597E75B +:102AF0000100938767989C436376F40097E7010091 +:102B000023AC8796B240224492440249410182801C +:102B10007370043001A08C402244B24092440249B8 +:102B200017E50100130545B7910541016FD0DF9FFF +:102B300097E701009387C796884322448C40B240B0 +:102B400092440249910541016FD09F9F411106C6F1 +:102B500022C426C25C45C04735CC93048401268537 +:102B6000EFD01FA197E701009387C7909C43B5E77B +:102B7000930444002685EFD0BF9F97E70100938719 +:102B8000279158549C4363F6E70097E7010023A17F +:102B9000E79093172700BA978A0717E501001305F6 +:102BA000259EA6853E95EFD03F9897E70100938735 +:102BB000A78F9C4358540145DC5763F8E7008547CD +:102BC00017E701002320F78C0545B24022449244C8 +:102BD000410182807370043001A0A68517E50100D1 +:102BE000130505A9EFD05F94C9B797E70100938754 +:102BF00067889C4381E77370043001A0411106C6C9 +:102C000022C426C2B70700804045CD8F1CC12DC409 +:102C1000EFD01F96930444002685EFD07F9597E769 +:102C200001009387E78658549C4363F6E70097E7D3 +:102C3000010023AFE78493172700BA978A0717E5A7 +:102C400001001305E5933E95A685EFD0FF8D97E72C +:102C50000100938767859C4358540145DC5763F80E +:102C6000E700854717E70100232EF7800545B240AE +:102C700022449244410182807370043001A011CD3E +:102C800097D701009387C77F984397E70100938701 +:102C900067809C4318C15CC182807370043001A0BE +:102CA00055C1D1CD411106C622C42A8773700430A4 +:102CB00097D801009388887D83A7080091CB97D788 +:102CC00001009387677E94439C43FC428507FCC2C6 +:102CD00017D301001303037C9C4103260300FD5618 +:102CE0000144638FD70217DE0100130E6E790325AE +:102CF0000E000328070054436305A80005446371D0 +:102D0000D6023308D6400544637CF80003250E0044 +:102D1000918F03260300B6979CC108C350C301449A +:102D200083A7080081CB97D701009387E7779C435F +:102D3000FC4381EF2285B2402244410182807370BE +:102D4000043001A07370043001A0EF20B065DDB73E +:102D5000854717D701002327F772828019C168457C +:102D600082800145828011C16CC5828097D70100A5 +:102D7000938787738843828097D701009387077171 +:102D80009C43054589CB97D701009387A76E88435D +:102D9000133515000605828031CD011126CA97D45E +:102DA0000100938464709C4006CE22CC4AC84EC673 +:102DB0005855DC576379F7021C4D63C707009C40E8 +:102DC000D457A947958F1CCD93172700BA97584918 +:102DD00017D901001309C97A8A07CA97630DF7004A +:102DE0009C40DC575CD5F2406244D2444249B2492F +:102DF000056182808280930945002A844E85EFD048 +:102E00002FF79C4017D70100130787681843DC573A +:102E10005CD46376F70017D70100232BF766139570 +:102E200027003E9562440A05F240D244CE854A9579 +:102E3000B249424905616FD02FEF19CD411106C645 +:102E400022C426C297D70100938707668043630791 +:102E5000A4007370043001A0014582807C4881E7A2 +:102E60007370043001A054543848FD177CC8638344 +:102E7000E60099C70145B240224492444101828054 +:102E8000930444002685EFD0AFEE3C4817D70100ED +:102E900013070760144329471D8F5CD418CC63F6D1 +:102EA000F60017D701002325F75E5147B387E702E5 +:102EB00017D501001305C56CA6853E95EFD0CFE66A +:102EC000054555BF7370043097D701009387075CA1 +:102ED0009C4391CB97D701009387075D98439C4310 +:102EE0007C4385077CC3828097D701009387075A6C +:102EF0009C438DC397D701009387075B9843784322 +:102F000011CB984394437C43FD177CC3FC4299E367 +:102F100073600430828097D701009387E75890430D +:102F200094439843A947D456084E958F1CCF82806E +:102F300097D7010093874757984309C79443F84AA6 +:102F40000507F8CA8843828079714AD006D622D410 +:102F500026D24ECE2A897370043097D40100938410 +:102F6000E4529C4017D4010013040454BDE31C40F8 +:102F7000BC4F89E71C400547F8CFD1E19C4081C791 +:102F80001C40FC43F1EB737004309C408DEF1C40FF +:102F9000A84F11C5630409021C4023AC07041C4060 +:102FA00023AE07049C4081C71C40FC438DEBB2500C +:102FB000225492540259F2494561828018403C4F94 +:102FC000FD173CCFE9BF18401C407C4385077CC3FC +:102FD0007DBF18401C407C4385077CC349BF184017 +:102FE00014407C43FD177CC3FC42F1F37360043052 +:102FF000B250225492540259F249456182800840ED +:103000002EC61105EFD0CFD6B245FD576380F50629 +:1030100097D701009387074883A9070018409C436E +:10302000AE992322370163ECF90497D70100938707 +:10303000674788430C409105EFD08FD097D70100A8 +:10304000938787439C4363F6F90097D7010023A534 +:103050003743EFD0AFBB1DB7184014407C43FD177A +:103060007CC3FC428DF37360043031BF0C4017D534 +:103070000100130565629105EFD00FCBD9BF97D73B +:1030800001009387E74188430C409105EFD04FCB77 +:10309000C9B779714AD04ECE06D622D426D252CCA8 +:1030A0002E89B2897370043097D401009384043E52 +:1030B0009C4017D401001304243FF9EF18408947BE +:1030C000784F630CF7001C401345F5FFB84F798D1E +:1030D000A8CF1C400547F8CFADE69C4081C71C40F7 +:1030E000FC43F5E3737004309C40B1E7638609004C +:1030F0001C40BC4F23A0F900184085470145784F7C +:10310000630BF7001C401349F9FF0545B84F3379AD +:10311000E90023AC27051C4023AE07049C4081C76F +:103120001C40FC43C1E3B250225492540259F2496C +:10313000624A4561828018401C407C4385077CC3FD +:1031400075B7084036C61105EFD08FC2B246FD579D +:103150006385F60897D701009387C73303AA070052 +:1031600018409C43369A232247016363FA0897D795 +:1031700001009387273388430C409105EFD04FBC63 +:1031800097D701009387472F9C436370FA0697D720 +:10319000010023A3472F91A818401C407C438507BA +:1031A0007CC329BF184014407C43FD177CC3FC42FC +:1031B000BDFB73600430B250225492540259F2495C +:1031C000624A45618280184014407C43FD177CC3ED +:1031D000FC4289FB7360043031B70C4017D5010005 +:1031E0001305854B9105EFD02FB4EFD02FA2F5B585 +:1031F00097D701009387C72A88430C409105EFD0E9 +:103200002FB4E5B751C1411106C622C426C24AC037 +:103210007370043097D40100938444279C40B9EB29 +:1032200099C23C4D9CC2B2878946704D74CD2E87A1 +:103230002A846386D70663FCF6048D456387B7004E +:1032400091456395B7006300D60C38CC8547630F72 +:10325000F60405449C4081CB97D701009387C7248F +:103260009C43FC43A9E32285B24022449244024994 +:103270004101828097D701009387072398439C439D +:103280007C4385077CC369BF7370043001A0854609 +:10329000E39ED7FA3C4D33E7B70038CD45BF3C4DF0 +:1032A00085073CCD65B7EF20F00F75BF13094400CB +:1032B0004A85EFD0EFAB17D701001307671D5C54A9 +:1032C00018436376F70017D701002323F71C5147F3 +:1032D000B387E70217D501001305852ACA853E95F5 +:1032E000EFD08FA41C5481C77370043001A097D70E +:1032F00001009387671B9C435854DC57E3FBE7F4BA +:10330000EFD0CF90B9B70144B1B739CD011122CC7C +:1033100026CA4AC84EC652C456C206CEBA89368A92 +:10332000B2842E892A84EFD04F9AAA8A63050A00B4 +:103330003C4C2320FA00784C89477CCC6389F4020A +:1033400063F4970C8D466387D40091466396D4004E +:10335000630AF70A232C24058547631EF7061C54CD +:1033600099CB7370043001A07370043001A03C4C01 +:1033700085073CCCD5B797D701009387A70F9C430F +:10338000ADEB930444002685EFD08F9E17D7010044 +:10339000130707105C5418436376F70017D7010032 +:1033A0002328F70E5147B387E70217D5010013050D +:1033B000251DA6853E95EFD02F9797D701009387BF +:1033C000A70E9C435854DC5763F7E7006385090058 +:1033D000854723A0F90005445685EFD0AF8E22859E +:1033E000F2406244D2444249B249224A924A0561BB +:1033F00082809305840117D5010013056527EFD05E +:10340000AF9265BF0144C9BF8547E397F4F43C4CD4 +:1034100033E92701232C240581B74DC1011122CCAA +:1034200026CA2A844AC806CE4EC6AE84EFD0EF899B +:103430008947744C7CCC3C4C05472A8985073CCC99 +:103440006395E6061C5481C77370043001A097D7BA +:103450000100938727029C43ADE7930944004E8502 +:10346000EFD00F9117D70100130787025C54184360 +:103470006376F70017D70100232CF7005147B38775 +:10348000E70217D501001305A50FCE853E95EFD0B5 +:10349000AF8997D70100938727019C435854DC5785 +:1034A00063F5E70099C085479CC06244F240D2446E +:1034B000B2494A85424905616FD0CF8073700430AC +:1034C00001A09305840117D501001305651AEFD0FB +:1034D000AF85C1B7AA8729C57370043017D701001B +:1034E0001307C7FA14439DE2F04F8946014563155F +:1034F000D60023AE070405451C4381CB97D70100B6 +:10350000938787FA9843784315E3828097D6010022 +:10351000938686F9904294427442850674C2E9B754 +:1035200097D70100938747F89C437DB7984394430E +:103530007C43FD177CC3FC42E9FB7360043082804E +:103540005D71A6C2CAC052DC56DA5AD85ED686C6AB +:10355000A2C44EDE62D466D26AD017D90100130924 +:10356000A9F517DB0100130B6BF497D401009384CA +:1035700024F497DA0100938AEAF3054AA54B8327DE +:103580000900984363010712DC4783A90700EFE0B5 +:10359000EFF6EFE0AFF783270B002A8C6362F50AA2 +:1035A00097D7010023A4A7F001466370351B88401C +:1035B000B3858941EFD0FFBDEFE05F99630105124C +:1035C0008840814601462C00EFD00FEC4DD9A24730 +:1035D000E3C807FEC24983A7490189C7138549008B +:1035E000EFC01FF9EFE08FF283270B002A8C636D89 +:1035F000F508A24717D70100232A87EBE3E2FBFC7B +:10360000B317FA0013F70721631A071613F7770C9D +:103610006318071E93F70702C5D74E85EF00F01118 +:1036200045B7DC47C04783AC0700930944004E858B +:10363000EFC01FF45C50228582975C4C6384470B7B +:1036400083270900984371FF03A70A0097D601005A +:1036500023AE86E597D6010023AEF6E497D70100A6 +:1036600023ACE7E4EFE09F8EA1BFDC47C04703AD8A +:103670000700930C44006685EFC09FEF5C502285E5 +:1036800082975C4C6386470983270900984371FF42 +:1036900003A70A0097D6010023AEF6E097D70100F2 +:1036A00023ACE7E0B9B7EFE06FE5EFE02FE6832763 +:1036B0000B002A8CE366F5F883A70A00884081494D +:1036C0009043B385894117D70100232187DF133643 +:1036D0001600EFD01FACEFE07F87E31305EEEFC0DD +:1036E000FFD2F9BD1C4CCE85E69763E9FC049C40F3 +:1036F00089CF02CA66CC22CEEFF00FE8884081461F +:1037000001464C08EFD02F8805FD7370043001A0EE +:103710001C4CE685EA97636CFD049C4089CF02CA85 +:103720006ACC22CEEFF04FE58840814601464C0836 +:10373000EFD06F8531F97370043001A003250900C3 +:103740005CC000C8EFC0DFDFE5BDEFE03F8083274E +:103750000900DC47C047930C44006685EFC05FE179 +:103760005C4C638F47035C502285829791BD032593 +:1037700009005CC000C8EFC0BFDC39B7B24723AC5A +:10378000F900A5CFE29723A2F90023A83901938578 +:103790004900636FFC0403A50A00EFC07FDA0DB592 +:1037A0001C4C00C83387F90058C0636DEC02330C21 +:1037B0003C416363FC029C4089CF02CA4ECC22CEBE +:1037C000EFF08FDB8840814601464C08EFC0BFFB1D +:1037D00059F97370043001A003A50A00E685EFC013 +:1037E0003FD651B703250900E685EFC07FD5A5BFB9 +:1037F00003250900EFC0DFD4E1B37370043001A0EA +:10380000B24783A6890123A839013387D70023A2B1 +:10381000E9006364EC04B307FC4063EBD70483A7BF +:1038200049024E85829783A7C901E39B47D983A6A6 +:103830008901B2479840B69711CF02CA3ECC4ECE0E +:10384000EFF08FD38840814601464C08EFC0BFF3AC +:10385000E31805D67370043001A06374FC00E370B4 +:10386000F7FC0325090093854900EFC07FCD89BB94 +:1038700003A50A0093854900EFC09FCC91B3411185 +:1038800022C406C626C24AC017D40100130444C28B +:10389000EFF04FE31C4095CBEFF00FE51C409DC3CC +:1038A000814801488147094781461306004097D562 +:1038B00001009385A5B0170500001305A5C8EFD03A +:1038C0007F9A39E97370043001A017D901001309F8 +:1038D000E9DD4A85EFC0BFC397D40100938444DE7D +:1038E0002685EFC0DFC20146B145114597D70100DB +:1038F00023A427BD97D7010023AE97BAEFC01FDCE2 +:1039000017D701002326A7BA11CD97D501009385BB +:1039100065AAEFD0CFFC49B7B24022449244024995 +:10392000410182807370043001A0A5C9797156CA23 +:10393000AA8A1305C00222D426D24AD04ECE52CC37 +:1039400006D65AC85EC63A89B689328AAE84E9215B +:103950002A8405C9EFF00FD797D70100938747B5A1 +:103960009C439DCFEFF04FD8130544002320540112 +:1039700004CC232E44012320340323222403EFC04C +:103980005FBA2285B250225492540259F249624AD7 +:10399000D24A424BB24B456182807370043001A021 +:1039A00097DB0100938B8BD05E85EFC05FB617DB92 +:1039B0000100130BEBD05A85EFC07FB50146B1452E +:1039C000114597D7010023A977AF97D7010023A30B +:1039D00067AFEFC0BFCE17D70100232BA7AC01C93B +:1039E00097D501009385059DEFD06FEFA5BF73704C +:1039F000043001A039C5011122CC06CE26CA17D445 +:103A000001001304E4AA832804002A880145638581 +:103A10000802BA842EC2368732C442C6954663C5B0 +:103A2000B602EFF06FB58947630BF5020840814697 +:103A300001464C00EFC03FD5F2406244D2440561DC +:103A400082807370043001A04C0081463A8646851E +:103A5000EFD0AF88F2406244D244056182800840D2 +:103A6000814626864C00EFC01FD2F9B719C1084124 +:103A700082807370043001A001E57370043001A0EE +:103A8000411106C622C42A84EFF0CFC3484833341C +:103A9000A000EFF06FC52285B24022444101828030 +:103AA00001E57370043001A0411122C42A8406C6C6 +:103AB000EFF04FC10050EFF02FC3B24022852244F7 +:103AC0004101828001E57370043001A0411122C4DC +:103AD00026C22E84AA8406C6EFF0CFBE80D0224430 +:103AE000B240924441016FF02FC04111714522C490 +:103AF00006C61D262A8411C5232005001105EFC026 +:103B00001FA12285B24022444101828001CDB70726 +:103B100000FFED8F81C77370043001A099E57370C9 +:103B2000043001A07370043001A0011122CC4AC8F6 +:103B30004EC652C456C206CE26CABA893689B28A41 +:103B40002E842A8AEFF04FA311E563850900737074 +:103B5000043001A0EFE08F9A83240A00B377940029 +:103B60006316090295C763870A009347F4FFE58F40 +:103B70002320FA00EFE08FBDF240624426854249DF +:103B8000D244B249224A924A05618280E30DF4FC94 +:103B9000E38209FEB3355001E20563050900B7076A +:103BA0000004DD8D4E86C18D13054A00EFE0FFE66F +:103BB000EFE0CFB919E1EFC07F85EFF0CFB59317F4 +:103BC0006500AA8963D80700B7040001FD14B3F4A7 +:103BD00099005DB7EFF00FAF83290A00B377340186 +:103BE000631E090089CB63880A009347F4FFB3F78B +:103BF00037012320FA00EFF02FAFF9B7E31DF4FEF1 +:103C0000DDB701C9B70700FFED8F99C773700430A6 +:103C100001A07370043001A0411122C42A8406C699 +:103C200026C24AC02E89EFF0EFA904409345F9FF60 +:103C3000B3F795001CC0EFF02FABB24022442685AD +:103C40000249924441018280411122C42A8406C65D +:103C5000EFC0BF870040EFC0FF86B24022852244FC +:103C60004101828009C9370700FFB3F7E50099C712 +:103C70007370043001A07370043001A06F10507491 +:103C8000B70700FFF18F81C77370043001A001E610 +:103C90007370043001A0011122CC4AC84EC652C430 +:103CA00006CE26CA3689AE892A8A3284EFF0CF8CB6 +:103CB00021E1630509007370043001A0EFE00F8477 +:103CC00083240A00CE855285B3E49900613FB3771F +:103CD00094006307F40683240A00EFE02FA7F24064 +:103CE000624426854249D244B249224A0561828013 +:103CF000EFE0CF8083240A00CE855285B3E43401FF +:103D00009537B3F78400638D8702E30609FCB70596 +:103D100000054A86C18D13054A00EFE01FD0EFE091 +:103D2000EFA219E1EFC08FEEEFF0EF9E9317650061 +:103D3000AA8463DF0700B7070001FD17FD8C45B7B4 +:103D400083270A001344F4FFE18F2320FA0071B7A0 +:103D5000EFF04F9783240A00B377940063978700AE +:103D60009347F4FFE58F2320FA00EFF0EF97E1B7D8 +:103D7000411122C406C62A8426C2EFD03FF85C4017 +:103D800085C308489304C4006316950015A008482D +:103D900063009502B7050002EFE03FE55C40E5FBFC +:103DA0002285612A2244B240924441016FE00F9A79 +:103DB0007370043001A009C9370700FFB3F7E500AD +:103DC00099C77370043001A07370043001A06F10A4 +:103DD000305F01C9B70700FFED8F99C773700430DA +:103DE00001A07370043001A0411122C426C206C68E +:103DF0002A84AE84EFF00F8D184093C7F4FFF98F3B +:103E00001CC02244B240924441016FF0EF8D19C1B1 +:103E1000084D828001458280411122C406C62A8451 +:103E2000EFD0DFED97C70100938747699C43A1EB73 +:103E3000E56717D701001307278AF11797C6010016 +:103E400023A4E66817C70100232EF76617D70100E1 +:103E50002326F78897C701009387876617D7010045 +:103E6000232CF786854717C701002321076617C74C +:103E700001002327076417C701002321F76419E80D +:103E8000EFE0CF8CEF10E00501442285B2402244E0 +:103E9000410182801305840093773500C1EFE56707 +:103EA0001307F5FFE917E3EDE7FC97C601009386DA +:103EB000A6619C4229A0984311C7BE86BA87D84301 +:103EC000E36BA7FE17C701001307875FE38AE7FAD2 +:103ED000984341461384870098C2D843B306A7404D +:103EE0006378D6023388A7002322D800C8C383256D +:103EF000480097C601009386265D11A0BA869842B5 +:103F00005043E36DB6FE2320E80023A00601D8430A +:103F100097C701009387475D9C43998F17C701009E +:103F20002324F75CEFE08F8231DC2285B24022440B +:103F300041018280719911059DB729C9411122C49F +:103F400006C62A84EFD09FDB930584FF0326C4FFB7 +:103F500017C701001307475711A03E871C43D443DE +:103F6000E3EDC6FE232CF4FE0CC397C70100938734 +:103F7000A75798438327C4FF2244B240BA9717C774 +:103F800001002323F75641016FD05FFC828097C761 +:103F90000100938767558843828082803367B5002C +:103FA0000D8BAA871DE79308D6FFAA982A87AE86AD +:103FB0006371150303A8060011079106232E07FF5E +:103FC000E36A17FF9347F5FFC697F19B9107BE95EC +:103FD000AA972A9663F3C70A938645001388470079 +:103FE000B3B6D70033B8050133E7F50093C6160022 +:103FF000134818000D8BB3E6060113371700758FB1 +:10400000330EF6409386170029CF1337AE0031EBFD +:1040100013532E002E88BE8601478328080005070B +:10402000110823A016019106E36967FE1377CEFFFE +:10403000BA95BA976302EE0483C60500138717008A +:104040002380D700637AC70283C615001387270031 +:10405000A380D7006372C70203C725002381E7004E +:104060008280B307F640AE97850503C7F5FF850646 +:10407000238FE6FEE39AF5FE82808280B367C50057 +:104080008D8BB308C500E9C36371150FB307A0405A +:104090008D8B13873700954693F5F50F13081500A0 +:1040A0003383A8407D166373D70015476363E60C1E +:1040B000D5CF2300B5000547638DE700A300B50009 +:1040C0000D47130825006396E70013083500230108 +:1040D000B500139685004D8E13970501518F33035C +:1040E000F34093968501D98E13562300AA97014772 +:1040F00094C305079107E36DC7FE1377C3FFB307AA +:10410000E8006307E3062380B70013871700637C8A +:104110001703A380B7001387270063761703238153 +:10412000B7001387370063701703A381B7001387A5 +:104130004700637A17012382B7001387570063741F +:104140001701A382B700828093F5F50F13978500BE +:10415000D98D139705014D8FE37715FFAA87910736 +:1041600023AEE7FEE3ED17FF828082802A8895B7B1 +:104170008280AA8749BF834705002A8799C705051A +:1041800083470500EDFF198D82800145828005057A +:104190008347F5FF850503C7F5FF91C7E389E7FE70 +:1041A0003385E74082808147E5BFAA87850503C73D +:1041B000F5FF8507A38FE7FE75FB82808346050028 +:1041C000930700026397F600050583460500E38D1B +:1041D000F6FE938756FD93F7D70F85C7AA878145CB +:1041E00085CE01458507138606FD1317250083C676 +:1041F00007003A9506053295F5F699C13305A040BA +:104200008280938536FD8346150093B5150093078C +:104210001500E1FA0145D5B7014582809C41238014 +:10422000A7009C4185079CC1828097C601009386A8 +:10423000262A9C42175703001307C74C1386170002 +:10424000BA972380A70097C5010023A3C528A947D3 +:104250006308F500930700046305F60001458280BA +:1042600075DE370610F005078347F7FF2320F6F0C9 +:104270009C42FD1797C5010023ACF524EDF70145DD +:1042800082804D712322911423202115232A51135A +:10429000232481132326111423248114232E311364 +:1042A000232C4113232861132326711323229113F6 +:1042B0002320A113232EB111AA842E8932C6130CF8 +:1042C0005002A54A8347090063808703B9CB804029 +:1042D00011A0A1CB2300F40080400509050480C093 +:1042E00083470900E39787FF0346190093081900E5 +:1042F000C686130D0002FD597D5A0148130550056D +:104300009307D6FD93F7F70F138916006363F52A19 +:1043100017C70100130707CF8A07BA979C43BA97BC +:1043200082878320C1140324811483244114032928 +:1043300001148329C113032A8113832A4113032BF8 +:104340000113832BC112032C8112832C4112032DE4 +:104350000112832DC11171618280A14C02C4854775 +:1043600063D50715B2478040938B770093F68BFF98 +:1043700003AB060083A94600938786003EC6668687 +:1043800081465A85CE85EF1060462AC881476385ED +:104390003723930D4101854B668681465A85CE852C +:1043A000EF10E00E814666862A8BAE89EF1000443E +:1043B000A24723A0AD0093861B00910D63843701B3 +:1043C000B68BD9BFE37E9BFF63DD4601A2872380C6 +:1043D000A70180407D1A930714009CC03E84E3C867 +:1043E00046FF93962B001C08BE9631A8938777054D +:1043F0002300F4008040FD1B93871B00050480C050 +:10440000F116E351F0EC9C42E3E2FAFE93870703D6 +:10441000C5B79C409306000332472380D7009C40D9 +:1044200093064700C14C1386170090C013068007FF +:10443000A380C700804002C4BA87050480C003ABD4 +:104440000700814936C625BFB24783AC0700938B6E +:10445000470063840C1C635F40199307D002631903 +:10446000FD1683C70C0085C363DC09189440850CD6 +:104470007D1A2380F6008040050480C083C70C00AD +:10448000E5F7635D40018040930700022300F400DC +:1044900080407D1A050480C0E31A0AFE5EC61DB581 +:1044A00002C48547A94CE3CF07EBB247804093860F +:1044B000470071B703C61600CA8699B59C4093069B +:1044C00050022380D7008040050480C0E5BBC14C6A +:1044D00002C471B5B24703C61600CA8683A9070095 +:1044E00091073EC6E35E0AE04E8AFD5911BD03C640 +:1044F0001600130DD002CA8621B59347FAFFFD8737 +:1045000003C61600337AFA00CA86DDBB03C616005E +:10451000130D0003CA86EDB383C51600930906FD8B +:10452000CA86938705FD2E86E3EEFAFA9397290053 +:10453000BE9985068609AE9983C50600938909FD53 +:10454000938705FD2E86E3F3FAFE69BF32479C4050 +:10455000144311073AC62380D7008040050480C069 +:1045600095B3854763D3070BB24793867700E19AEB +:10457000938786003EC683A946009C4263DC090AF5 +:1045800094401306D002B307F0402380C600804059 +:10459000B336F000B3093041050480C03E8BB389C7 +:1045A000D940A94C02C4E1BB03C616000508CA865F +:1045B00081BB9C40468921B7E37D9BDD8547814BCC +:1045C0008546E3C547E131BD97CC0100938C8CDF74 +:1045D000CE856685EF009031330AAA40635C4001C6 +:1045E00080402300A40180407D1A050480C0E31AA6 +:1045F0000AFE014A83C70C00E38207EAE3C809E622 +:10460000FD197D57E394E9E6ADBDB24793864700B7 +:104610009C4336C693D9F74195B76356400193073B +:10462000D002E313FDFA97CC0100938CACD9930729 +:1046300080021DBD3E8BA94C02C4804089B34D71E0 +:10464000232E3113B70900409387F9FF23248114E7 +:1046500023229114232C4113232A511323261114AE +:10466000232021152328611323267113232481136A +:10467000232291132320A113232EB1112A8A2EC4A1 +:1046800017C401001304C4E4975A0300938A8A07ED +:1046900097C40100938484AC3EC69305500229451B +:1046A00013080004B70610F083470A006386B704B6 +:1046B000C1C71840050A13061700569797C801008E +:1046C00023A8C8E02300F7006382A706E31E06FDC7 +:1046D000175703001307070305078347F7FF23A0B6 +:1046E000F6F01C40FD1717C601002323F6DEEDF798 +:1046F00083470A00E39EB7FA83461A0013051A009F +:104700002A87930B00027D5B7D5C01489305500571 +:10471000A5489387D6FD93F7F70F130A170063E7B1 +:10472000F5348A07A6979C43A697828717570300FC +:10473000130747FD55F28DBF8320C1140324811454 +:1047400083244114032901148329C113032A8113EB +:10475000832A4113032B0113832BC112032C8112D3 +:10476000832C4112032D0112832DC11171618280AE +:10477000A14C014D854763DB0719A2479D07E19BCB +:1047800083AD070003AB4700138787003AC46686F2 +:1047900081466E85DA85EF1060052AC863066D359F +:1047A000130941018549668681466E85DA85EF00E9 +:1047B000104E66868146AA8D2E8BEF102003232093 +:1047C000A90085091109E3106DFFE3FE9DFD1306A5 +:1047D0000004B70610F063D189031C40138717004B +:1047E000D69797C5010023A5E5CE23807701630CFA +:1047F000C7047D1CE3C389FFB2476800A548B386A0 +:10480000F9008A061C08BE962948130300043706DF +:1048100010F0E384A6E89C429305000363F4F800DB +:10482000930570051840AE9793051700569717CE5D +:104830000100232FBEC82300F7006380072B638C81 +:104840006526F116F9B7175703001307A7EB0507FD +:104850008347F7FF23A0F6F01C40FD1797C5010022 +:1048600023A8F5C6EDF77D1C71B71C4013060004A4 +:104870001387170097C5010023ACE5C4B386FA007F +:10488000930500032380B600630FC73C890797C6D2 +:10489000010023AFF6C25697930680072300D70086 +:1048A00017570300130707E6B70610F0639EC7000B +:1048B00005078347F7FF23A0F6F01C40FD1717C636 +:1048C00001002327F6C0EDF7A247C14C014D910727 +:1048D0002247014B832D07003EC455BDA24783AC40 +:1048E000070013894700638E0C3A635480219307B5 +:1048F000D002639EFB2E03C70C00BA85631007200D +:1049000025AC8547A94C014DE3C907E7A2479107AC +:10491000C1B7A24783461700528703AB0700910730 +:104920003EC4E3580CDE5A8C7D5BE5B3834617002A +:10493000930BD0025287F1BB9347FCFFFD87834660 +:104940001700337CFC005287E9B383461700930BB2 +:10495000000352877DBB03461700138B06FD528769 +:10496000930706FDB286E3EEF8FA93172B00DA9769 +:1049700005078607B29703460700138B07FD9307C9 +:1049800006FDB286E3F3F8FE69BFA2451C40294646 +:10499000984193861700D69717C50100232AD5B2F0 +:1049A0002380E700138945006300C72A93070004AA +:1049B0006387F6204AC4D5B1854763DE0729A2473D +:1049C00013877700619B930787003EC4032B470042 +:1049D0001C43635A0B30184013060004930617005B +:1049E00097C5010023A6D5AE56979305D0022300A4 +:1049F000B7006387C62CB307F0403337F000330BA2 +:104A00006041BE8D330BEB40A94C014D49B3834649 +:104A1000170005085287F5B9834617005287D5B9A4 +:104A20001C40930600041387170017C601002321BA +:104A3000E6AAD697130650022380C700E31FD7C407 +:104A400017570300130707CCB70610F00507834775 +:104A5000F7FF23A0F6F01C40FD1717C6010023291D +:104A6000F6A6EDF71DB9C14C014D29B31C409306C4 +:104A700000042A8A1387170017C60100232AE6A418 +:104A8000D697130650022380C700E318D7C01757E4 +:104A90000300130727C7B70610F005078347F7FF82 +:104AA00023A0F6F01C40FD1717C601002322F6A232 +:104AB000EDF72A8ADDB6175703001307A7C40507C9 +:104AC0008347F7FF2320F6F01C40FD1797C5010030 +:104AD00023A0F5A0EDF7F1162DBB17570300130720 +:104AE00067C2F1FDF11635B3E3FC9DCB8549C5B135 +:104AF000014C03C70C00BA85E30E07EAFD582945AF +:104B000013080004B70610F063570B0A1C40138605 +:104B10001700D69717C30100232CC39A2380E70000 +:104B20006384A50663040609850C03C70C007D1C7D +:104B3000BA8579FBE35080E91C4093050002130617 +:104B40000004B70610F021A07D1CE3050CE61387D6 +:104B50001700D6972380B70017C50100232AE596D2 +:104B6000BA87E313C7FE175703001307A7B9050752 +:104B70008347F7FF23A0F6F01C40FD1717C501007F +:104B80002328F594EDF7C9B717570300130787B724 +:104B900041DE05078347F7FF23A0F6F01C40FD1711 +:104BA00017C601002326F692EDF7BDBF1757030085 +:104BB000130747B5F9BF7D1BE31A1BF5A5BF1757B0 +:104BC0000300130727B4B70610F005078347F7FF64 +:104BD00023A0F6F01C40FD1717C60100232AF68E0D +:104BE000EDF74AC45DBC97BC0100938CAC7DDA85BF +:104BF0006685ED29330CAC40E35D80EF1C40130665 +:104C00000004B70610F021A07D1CE3030CEE13870F +:104C10001700D6972380770197C5010023AAE58A5C +:104C2000BA87E313C7FE175703001307A7AD05079D +:104C30008347F7FF23A0F6F01C40FD1797C501003E +:104C400023A8F588EDF7C9B717570300130787ABFB +:104C5000BDFA4AC499B4A247138747009C433AC49B +:104C600013DBF741BDB3175703001307A7A9B70616 +:104C700010F005078347F7FF23A0F6F01C40FD174F +:104C800017C601002326F684EDF7854717C70100F4 +:104C90002320F78493078007175703002304F7A600 +:104CA00025B1635680019307D002E39EFBF297BCC7 +:104CB0000100938C2C7113078002930580023DBD87 +:104CC00097560300938606A4370610F0850603C79F +:104CD000F6FF2320E6F018407D1797B5010023A9C1 +:104CE000E57E6DF709BBBE8DA94C014D4DB4B707EC +:104CF00010F023A0A7F201A03971130341022ED2B4 +:104D00009A8506CE32D436D63AD83EDA42DC46DE32 +:104D10001AC63532F2400145216182805D7113036C +:104D2000810322D42AC632DC2A841A86680006D679 +:104D3000BEC236DEBAC0C2C4C6C61ACEEFF06FD449 +:104D4000B247238007003245B250018D2254616181 +:104D50008280B70710F083A647F403A607F403A7E1 +:104D600047F4E31AD7FE8566938606FAB29633B601 +:104D7000C600B305E60023A4D7F423A6B7F48280C7 +:104D8000B70710F003A747F403A607F483A647F478 +:104D9000E31AD7FE8566938606FAB29633B6C60046 +:104DA00023A4D7F4B305E60023A6B7F493070008BD +:104DB00073A04730828085AC411122C406C697B7E4 +:104DC00001009387677117B401001304A47098431E +:104DD0001C401306F07C930647069387470697B559 +:104DE000010023ABD56E97B5010023A5F56E634690 +:104DF000D600B2402244410182801307478997B709 +:104E0000010023ABE76CDD2A8547631FF5001840DE +:104E100085679387F7760145E3DDE7FCC93D2244CA +:104E2000B24041016FC09FEC17B501001305055A50 +:104E3000E13509456D3D2244B24041016FC01FEB91 +:104E400017B501001305C55A45BD17B50100130577 +:104E5000A55A5DB5411122C426C206C6856417B4A1 +:104E600001001304E459938784380100FD17F5FF0E +:104E700022855935CDBF4111014506C622C4352AC8 +:104E800017070000130767F38146054693052003C3 +:104E900017B5010013054557EFE03FA92A84EFC07D +:104EA000FFE62A8622852244B24001478146854595 +:104EB00041016FE03FB4411106C689220547814791 +:104EC0006316E500B2403E854101828017B50100BE +:104ED0001305C54FEFF05FE2B24089473E854101BF +:104EE00082807370043001A082807370043001A04E +:104EF000797101469145054506D622D426D24AD07D +:104F00004ECE52CCEFB08FFB55C5AA84054417BADC +:104F10000100130A2A5DA9491149894601462C005E +:104F200026854EC4EFB03F86814601462C0026857B +:104F300002C4EFB07FD5A24702C6D91793B71700B6 +:104F4000B307F0407D8C894601466C002685EFB0A2 +:104F50009F83854601462C002685EFB0FFD2A247ED +:104F600032472685998F93B71700B307F0407D8CA1 +:104F7000EFC06F87B2477D151335150085073305E0 +:104F8000A0403EC6698CE370F9FC814601462C00C6 +:104F90002685EFB07FCF2685EFC0EF8411E935DCA1 +:104FA00083270A00850717B70100232DF752B5B7ED +:104FB0000144A5B77370043001A0411122C4014619 +:104FC0002A849145054506C6EFB04FEF228722445B +:104FD000B24097B7010023A5A750814801488147F7 +:104FE00081461306004097B50100938525431705B8 +:104FF0000000130525F041016FC0CFA697B701004F +:105000009387074F9843854701456319F70097B782 +:1050100001009387274D88433335A00097B70100DF +:1050200023A2074C828079714ECE97B901009389F3 +:10503000294B03A5090026D24AD0D144294906D6D6 +:1050400022D44AC226C43DC917B40100130404493E +:105050001C4005476387E7069DCF0947639EE7002D +:1050600001466C00EFB03FE8B247638197061C40F1 +:1050700017B70100232E074685070947637DF7040C +:10508000B250225497B7010023AA07449254025900 +:10509000F24945618280894601464C00EFB0FFA38A +:1050A00003A509006C00EFB0DFEDB2476380270372 +:1050B00097B7010023AE07421C407DBF73700430D8 +:1050C00001A0894601462C00EFB03FA11C400947D2 +:1050D0008507E367F7FAB250225417B70100232F70 +:1050E000F73E92540259F24945618280AA95AA87F7 +:1050F0006385B70003C7070001E73385A7408280B7 +:105100008507FDB7814863DA05003305A040B33752 +:10511000A000B305B0409D8DFD5863D9060033064D +:10512000C040B337C000B306D0409D8E328836836E +:10513000AA872E87639F061C97B601009386861761 +:1051400063F1C50C416E6377C60B130EF00F6373EA +:10515000CE002143335E6600F29603CE06001A9E0F +:10516000130300023303C341630C0300B3956500CE +:10517000335EC501331866003367BE00B3176500A0 +:10518000135E0801B355C70313150801418193D677 +:1051900007013376C703B305B5024206D18E63F823 +:1051A000B600C29663E5060163F3B600C2968D8E23 +:1051B00033F7C603C207C183B3D6C603B306D5020D +:1051C00013150701C98F63F8D700C29763E507017C +:1051D00063F3D700C297958F33D567008145638A03 +:1051E0000800B307A0403337F000B305B0403E8558 +:1051F000998D8280370E00014143E36DC6F561430E +:1052000091BF01E605483358680241676373E808B7 +:105210001307F00F63730701214333576800BA96F1 +:1052200003C606001A96130300023303C340631932 +:105230000306B38505419356080113150801418102 +:1052400013D6070133F7D502B3D5D5024207518FE4 +:10525000B305B5026378B7004297636507016373CE +:10526000B7004297B305B74033F7D502C207C183F1 +:10527000B3D5D502B305B50213150701C98F63F87D +:10528000B700C29763E5070163F3B700C2978D8F3C +:10529000A1B7370700014143E361E8F86143B5BFB7 +:1052A0003318680033DEC500935E08013356C5002D +:1052B000B31765003355DE03B39565004D8E931526 +:1052C0000801C181935606013377DE033385A502B9 +:1052D0004207558F6378A7004297636507016373A0 +:1052E000A7004297B306A74033F7D6034206418290 +:1052F000B3D6D6034207B386D502B365C70063F8B9 +:10530000D500C29563E5050163F3D500C295958D7F +:105310001DB7E3E6D5EC416863F50605930EF00F83 +:1053200033B8DE000E0833DE060117B301001303A5 +:1053300063F87293834E0300130E0002C29E330E75 +:10534000DE4163170E0263E4B6006369C500B3076C +:10535000C540958D3335F5003387A5403E85BA8528 +:10536000BDBD370300014148E3EF66FA614865BF00 +:105370003357D601B396C601D98EB357D50133D76B +:10538000D501B395C501CD8F93D506013373B7020F +:10539000139F0601135F0F0113D807013316C601CF +:1053A0003315C5013357B702420333680301B30F06 +:1053B000EF023A83637CF80136981303F7FF6367C3 +:1053C000D8006375F8011303E7FF36983308F841F6 +:1053D000B37FB8023358B802C20FB3050F03139F4F +:1053E0000701135F0F0133EFEF014287637CBF00BA +:1053F000369F1307F8FF6367DF006375BF0013076D +:10540000E8FF369F4203C16F3363E3009387FFFFDA +:1054100013580601330FBF40B375F3001353030154 +:10542000F18F3387F502B307F302B3850503330326 +:105430000303BE95135807012E986373F8007E93FB +:10544000C167FD17935508013378F80042087D8F36 +:105450009A9542976366BF00631BBF006379E500BE +:105460003306C7403337C700958D998D3287330790 +:10547000E5403335E500B305BF40898DB397D501CD +:105480003357C70133E5E700B3D5C50189BBAE8704 +:10549000328836872A836396062097B8010093885E +:1054A00068E163FEC50A41676374E60A1307F00FFB +:1054B0003337C7000E07B356E600B69883C6080018 +:1054C000369793060002998E99CAB397D70033573F +:1054D000E5003318D600B365F7003313D500135534 +:1054E0000801B3D7A50213160801418293560301A0 +:1054F00033F7A502B305F6024207D98E3E8763FC57 +:10550000B600C2961387F7FF63E7060163F5B6009E +:105510001387E7FFC2968D8EB3F7A602420313539B +:105520000301B3D6A602C20733E36700B305D60270 +:105530003685637BB30042931385F6FF63660301F0 +:105540006374B3001385E6FF4207498F81454DA878 +:10555000B70600014147E360D6F66147A9BF01E6FF +:10556000854633D8C602C166637ED8089306F00F1D +:1055700063F306012147B356E800B69883C60800D6 +:10558000BA9613070002158F49E7B387074185458F +:10559000135608019318080193D808019356030184 +:1055A00033F7C702B3D7C7024207D98E3385F80253 +:1055B0003E8763FCA600C2961387F7FF63E70601E8 +:1055C00063F5A6001387E7FFC296898EB3F7C6027C +:1055D000420313530301B3D6C602C20733E3670085 +:1055E000B388D8023685637B130142931385F6FF97 +:1055F00063660301637413011385E6FF4207498F55 +:105600003A858280B70600014147E366D8F66147D4 +:105610009DB73318E800B3D5D7003313E500B35670 +:10562000D50013550801B397E70033F7A502B3E897 +:10563000F60093170801C18313D60801B3D5A5025C +:105640004207518FB386B7022E86637CD7004297FC +:105650001386F5FF636707016375D7001386E5FFBF +:105660004297B306D74033F7A602C20893D8080181 +:10567000B3D6A6024207B385D702B36717013687B0 +:1056800063FCB700C2971387F6FF63E7070163F572 +:10569000B7001387E6FFC2978D8F93150601D98D4A +:1056A000C5BD63E2D514416763FEE6021308F00F3F +:1056B0003337D8000E0733D8E60097B5010093853D +:1056C00065BFC29503C80500930500023A98B385EB +:1056D000054185E10547E3E5F6F23335C50013479B +:1056E000150039BFB70500014147E3E6B6FC614745 +:1056F000D9B733570601B396B600D98E93DE0601AB +:1057000033D70701B378D703B397B70033580501F0 +:105710003363F80093970601C183135803013316CE +:10572000B6003357D703C20833E80801338FE702C6 +:105730003A8E637CE8013698130EF7FF6367D80052 +:105740006375E801130EE7FF36983308E841B37834 +:10575000D8033358D803C208B38E07039317030145 +:10576000C183B3E7F800428763FCD701B6971307FC +:10577000F8FF63E7D70063F5D7011307E8FFB69793 +:10578000420EB387D741C16E3367EE001388FEFF28 +:105790003373070193580701337806014182330EB2 +:1057A00003033388080393560E013303C302429365 +:1057B0009A96B388C80263F30601F69813D60601D9 +:1057C000B29863E01703E39317D9C167FD17FD8E05 +:1057D000C206337EFE003315B500F2968145E371B3 +:1057E000D5E27D17A5B38145014719BDB28836873B +:1057F000AA872E886398061C97B60100938686AB0D +:1058000063F8C50A4163637E66081303F00F637390 +:10581000C30021473353E6009A9603CE06001303D4 +:1058200000023A9E3303C341630C0300B395650045 +:10583000335EC501B31866003368BE00B317650058 +:1058400013D608013377C80213950801418193D616 +:1058500007013358C8024207D98E3308050363F89D +:105860000601C69663E5160163F30601C696B38684 +:10587000064133F7C602C207C183B3D6C602B306D8 +:10588000D50213150701C98F63F8D700C69763E5E2 +:10589000170163F3D700C697958F33D5670081450D +:1058A0008280370300014147E36666F661479DB792 +:1058B00001E60546B3581603416663F3C8081306AC +:1058C000F00F63731601214733D6E800B29603CE7A +:1058D0000600130300023A9E3303C3416319030613 +:1058E000B385154113D7080113950801418113D6DB +:1058F0000701B3F6E502B3D5E502C206D18EB305C2 +:10590000B50263F8B600C69663E5160163F3B60008 +:10591000C696B385B640B3F6E502C207C183B3D5D8 +:10592000E502B305B50213950601C98F63F8B70008 +:10593000C69763E5170163F3B700C6978D8FB1BFB4 +:10594000370600014147E3E1C8F86147B5BFB398A6 +:10595000680033D7C501B3176500335EC50113D5A1 +:105960000801B376A702B3956500336EBE00939528 +:105970000801C18113560E013357A702C206D18E0A +:105980003387E50263F8E600C69663E5160163F324 +:10599000E600C6963386E640B376A602420E135E54 +:1059A0000E013356A602C2063386C502B3E5C60110 +:1059B00063F8C500C69563E5150163F3C500C69598 +:1059C000918D0DB7E3EED5EC416763F5E604930ED8 +:1059D000F00F33B7DE000E0733D3E60097B80100AF +:1059E0009388488D9A9883CE0800130E0002BA9EC1 +:1059F000330EDE4163170E0263E4B6006369C5002F +:105A0000B307C540958D3335F5003388A5403E85F5 +:105A1000C28579B5B70800014147E3EF16FB61473E +:105A200065BF3357D601B396C601D98EB357D5019A +:105A300033D7D501B395C501CD8F93D50601337307 +:105A4000B702139F0601135F0F0193D807013316A6 +:105A5000C6013315C5013357B7024203B3681301BA +:105A60003308EF023A8363FC0801B6981303F7FF8B +:105A700063E7D80063F508011303E7FFB698B3881E +:105A80000841B3FFB802B3D8B802C20FB3051F0371 +:105A9000139F0701135F0F0133EFEF014687637C0C +:105AA000BF00369F1387F8FF6367DF006375BF0091 +:105AB0001387E8FF369F4203C1683363E30093878F +:105AC000F8FF13580601330FBF40B375F3001353AB +:105AD0000301F18F3387F502B307F302B3850503A2 +:105AE00033030303BE95135807012E986373F80020 +:105AF0004693C167FD17935508013378F8004208B3 +:105B00007D8F9A9542976366BF00631BBF006379E0 +:105B1000E5003306C7403337C700958D998D32872E +:105B20003307E5403335E500B305BF40898DB397B2 +:105B3000D5013357C70133E5E700B3D5C5018DB3B0 +:105B400097B7010093874796944317B7010013074F +:105B500067941843C8C2637BE50017B701001307B9 +:105B6000279408438C4391056FA09F9D411122C447 +:105B700006C617B701001307E7922A8408438C432F +:105B80009105EFA0FF9B97B701009387E78E9C4399 +:105B90006376F40097B7010023A0878EB2402244B9 +:105BA0004101828097B701009387079098439443FF +:105BB0007C43FD177CC3FC4299E373600430828010 +:105BC000011122CC26CA2A84AE844AC84EC652C4C9 +:105BD00056C206CEEFC08F921C4003290401B709BC +:105BE0000001B3E59700930AC4000CC08144FD197D +:105BF000370A00021840638C2A03032609004A85ED +:105C0000B3654701B376360193175600758F0329A4 +:105C1000490063C4070001E7F1BFE39DE6FC931769 +:105C2000760063D30700D58CEFC03FFCE1B793C487 +:105C3000F4FFF98C04C0EFC06FB10840F240624439 +:105C4000D2444249B249224A924A056182804111B6 +:105C500006C622C4EFF02FA217F7FFFF130707169F +:105C6000814605469305400617A501001305457AB0 +:105C7000EFD0BFCB19C92A84EFC04F892A860147CC +:105C8000814685452285EFD0FFD6EFB0BFE1B24017 +:085C900022440145410182801C +:02000004800278 +:10000000B444FEFFB245FEFFBC44FEFFB245FEFF16 +:10001000B245FEFFB245FEFFB245FEFFD444FEFFEF +:10002000B245FEFFB245FEFFEE44FEFFFA44FEFF7E +:10003000B245FEFF0C45FEFF1845FEFF1845FEFFCA +:100040001845FEFF1845FEFF1845FEFF1845FEFF48 +:100050001845FEFF1845FEFF1845FEFFB245FEFF9E +:10006000B245FEFFB245FEFFB245FEFFB245FEFFC0 +:10007000B245FEFFB245FEFFB245FEFFB245FEFFB0 +:10008000B245FEFFB245FEFFB245FEFFB245FEFFA0 +:10009000B245FEFFB245FEFFB245FEFFB245FEFF90 +:1000A000B245FEFFB245FEFFB245FEFFB245FEFF80 +:1000B000B245FEFFB245FEFFB245FEFFB245FEFF70 +:1000C000B245FEFFB245FEFFB245FEFFB245FEFF60 +:1000D000B245FEFFB245FEFFB245FEFFB245FEFF50 +:1000E000B245FEFFB245FEFFB245FEFFB245FEFF40 +:1000F000B245FEFFB245FEFFB245FEFFB245FEFF30 +:100100004C45FEFF6245FEFFB245FEFFB245FEFFD5 +:10011000B245FEFFB245FEFFB245FEFFB245FEFF0F +:10012000B245FEFFA845FEFFB245FEFFB245FEFF09 +:100130005A43FEFF1244FEFFB245FEFFB245FEFFEA +:100140004844FEFFB245FEFFA044FEFFB245FEFF5D +:10015000B245FEFFCE44FEFFC048FEFF1449FEFF3D +:10016000C848FEFF1449FEFF1449FEFF1449FEFF74 +:100170001449FEFFBA47FEFF1449FEFF1449FEFF73 +:10018000D447FEFFE047FEFF1449FEFFF247FEFFA3 +:10019000FE47FEFFFE47FEFFFE47FEFFFE47FEFF57 +:1001A000FE47FEFFFE47FEFFFE47FEFFFE47FEFF47 +:1001B000FE47FEFF1449FEFF1449FEFF1449FEFFEF +:1001C0001449FEFF1449FEFF1449FEFF1449FEFFC7 +:1001D0001449FEFF1449FEFF1449FEFF1449FEFFB7 +:1001E0001449FEFF1449FEFF1449FEFF1449FEFFA7 +:1001F0001449FEFF1449FEFF1449FEFF1449FEFF97 +:100200001449FEFF1449FEFF1449FEFF1449FEFF86 +:100210001449FEFF1449FEFF1449FEFF1449FEFF76 +:100220001449FEFF1449FEFF1449FEFF1449FEFF66 +:100230001449FEFF1449FEFF1449FEFF1449FEFF56 +:100240001449FEFF1449FEFF1449FEFF1449FEFF46 +:100250001449FEFF1449FEFF3248FEFF6048FEFFCE +:100260001449FEFF1449FEFF1449FEFF1449FEFF26 +:100270001449FEFF1449FEFF1449FEFFB648FEFF75 +:100280001449FEFF1449FEFF1846FEFF1247FEFF09 +:100290001449FEFF1449FEFF8447FEFF1449FEFF88 +:1002A000AA47FEFF1449FEFF1449FEFF0E49FEFF58 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D0002051756575654F76657277726974652011 +:1003E0007461736B73200D0A000000006D69616F0A +:1003F000750A00006D69616F75320A0068756E6775 +:1004000072790A0054696D65723200004368656351 +:100410006B54696D65720000514F76657200000083 +:100420001000000000000000017A5200017C010170 +:100430001B0D02001000000018000000C84CFEFF59 +:100440008A03000000000000100000002C000000E3 +:100450003E50FEFF5E0300000000000010000000A0 +:10046000400000008853FEFF54030000000000001D +:0C04E400FC8F0100090000000100000076 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/QueueSetPolling_rv32i_O0.hex b/VexRiscv/src/test/resources/freertos/QueueSetPolling_rv32i_O0.hex new file mode 100644 index 0000000..c58d622 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/QueueSetPolling_rv32i_O0.hex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diff --git a/VexRiscv/src/test/resources/freertos/QueueSetPolling_rv32i_O3.hex b/VexRiscv/src/test/resources/freertos/QueueSetPolling_rv32i_O3.hex new file mode 100644 index 0000000..524e891 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/QueueSetPolling_rv32i_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/QueueSetPolling_rv32ic_O0.hex b/VexRiscv/src/test/resources/freertos/QueueSetPolling_rv32ic_O0.hex new file mode 100644 index 0000000..2153f90 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/QueueSetPolling_rv32ic_O0.hex @@ -0,0 +1,1499 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000E1B4170502001305E53697950300938533 +:1000A000A567014611221705020013056532970561 +:1000B0000200938565320146C52817A50300130584 +:1000C000E56597B503009385256537B6ABAB130699 +:1000D000B6BAD92817B1030013014164EF40B01F2D +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2C21923A02200F3221034E5 +:1002400096DEEF00603017010200032161180241C1 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E21523A0120023A2BD +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40A06A170102000321A5 +:10033000210A0241F65273901234B72200009382D0 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A20783A0C4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2C2F723A0220086DEEF10DB +:10046000F05D170102000321A1F60241F6527390DC +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F0961730020309707BF +:1004C00002009387A7F19843FD576305F700737007 +:1004D000043001A07370043001A041112AC6B24754 +:1004E000739047300100410182804111F327403071 +:1004F0003EC673F04330B2473E85410182804111D0 +:100500002AC62EC432C2B247F1173EC62247B247AE +:1005100098C3B247938787FA3EC61247B24798C33B +:10052000B247A1173EC68E873E87B24798C3B247EF +:10053000D1173EC6170700001307A7F8B24798C3A4 +:10054000B2473E8541018280411106C6EF40C0405E +:10055000EF101032AA8799C3EF10504E0100B2403D +:100560004101828041112AC6B24713878700B247F2 +:10057000D8C3B2477D5798C7B24713878700B247A1 +:10058000D8C7B24713878700B24798CBB24723A09A +:10059000070001004101828041112AC6B24723A809 +:1005A000070001004101828001112AC62EC4B24712 +:1005B000DC433ECEA2477247D8C3F2479847A247D2 +:1005C00098C7F2479C472247D8C3F247224798C7AB +:1005D000A247324798CBB2479C4313871700B247D4 +:1005E00098C301000561828001112AC62EC4A2476A +:1005F0009C433ECC6247FD576316F700B2479C4BC5 +:100600003ECE31A8B247A1073ECE21A0F247DC433F +:100610003ECEF247DC439C436247E379F7FEF24764 +:10062000D843A247D8C3A247DC43224798C7A24772 +:10063000724798C7F2472247D8C3A247324798CBA0 +:10064000B2479C4313871700B24798C30100056166 +:10065000828001112AC6B2479C4B3ECEB247DC4392 +:100660003247184798C7B2479C4732475843D8C3C8 +:10067000F247DC4332476316F700B2479847F24728 +:10068000D8C3B24723A80700F2479C431387F7FF5C +:10069000F24798C3F2479C433E8505618280797199 +:1006A00006D622D42AC62EC4B2473ECEF24781E7F0 +:1006B0007370043001A0EF206074F2478043F2476A +:1006C000D85FF247BC43BE853A85EF50601CAA87CD +:1006D0003307F400F247D8C3F24723AC0702F247CE +:1006E0009843F24798C7F2478043F247DC5F13878D +:1006F000F7FFF247BC43BE853A85EF506019AA87E1 +:100700003307F400F247D8C7F2477D57F8C3F247E2 +:100710007D57B8C7A24799EFF2479C4B8DC7F24768 +:10072000C1073E85EF10D05D2A878547631DF7001E +:10073000F13911A8F247C1073E852D35F24793875D +:1007400047023E850535EF20406E85473E85B25015 +:10075000225445618280797106D62AC62EC4B2879A +:10076000A303F10002CCB24781E77370043001A00B +:10077000A24799E302CE01A8A2453245EF504011AD +:10078000AA8785073ECEF247938787053E85EF30DF +:10079000C0792ACAD2479DCFA24789E7D24752479C +:1007A00098C331A0D24713878705D24798C3D24751 +:1007B0003247D8DFD2472247B8C385455245C535B1 +:1007C000D247034771002388E704D24723AA0704CE +:1007D000D2473ECCE24781E77370043001A0E24784 +:1007E0003E85B25045618280797106D6AA87A307FB +:1007F000F10013058005EF3040732ACEF247B5C3F0 +:10080000F24723A20700F24723A00700F24723A4E0 +:100810000700F24723A60700F24723AC0702F2477E +:100820000547D8DFF24723A00704F2477D57F8C3F6 +:10083000F2477D57B8C7F2470347F1002388E70422 +:10084000F24723AA0704F247C1073E85213BF2473E +:10085000938747023E85393381460146814572457B +:100860003122F2473E85B25045618280797106D6C9 +:1008700022D42AC6B2473ECCE24781E773700430E7 +:1008800001A0E247C043EF208039AA876313F40236 +:10089000E247DC471387F7FFE247D8C7E247DC4768 +:1008A00091E78146014681456245C92085473ECE94 +:1008B00011A002CEF2473E85B2502254456182809B +:1008C000797106D622D42AC62EC4B2473ECCE2475E +:1008D00081E77370043001A0E247C043EF20203469 +:1008E000AA87631BF400E247DC4713871700E2473F +:1008F000D8C785473ECE0DA0814622468145624538 +:10090000592E2ACE724785476318F700E247DC4725 +:1009100013871700E247D8C7F2473E85B2502254EA +:1009200045618280797106D62AC62EC4B24781E716 +:100930007370043001A02247B24763F5E70073707B +:10094000043001A009468145324531352ACEF247AF +:1009500081C7F247224798DFF24781E7737004307E +:1009600001A0F2473E85B25045618280397106DEB2 +:100970002AC62EC432C236C002D6B2473ED4A257CF +:1009800081E77370043001A0A24781E7A257BC43FE +:1009900099E3854711A0814781E77370043001A076 +:1009A000024789476317F700A257D85F854763144A +:1009B000F700854711A0814781E77370043001A0DB +:1009C000EF204027AA8799E3924799E3854711A032 +:1009D000814781E77370043001A0EF202042A257C5 +:1009E000985FA257DC5F6366F70002478947631A86 +:1009F000F7040246A245225519252AD2A257FC4BDC +:100A000099CB82452255EF0090222A8785476316AD +:100A1000F702ED3A1DA0A257DC5389CFA257938766 +:100A200047023E85EF10D02D2A8785476317F700D0 +:100A3000F13A21A0925791C3D13AEF20003F854768 +:100A400071A8924789E7EF20403E814741A8B257FD +:100A500099E77C083E85EF10504285473ED6EF204F +:100A6000C03CEF102035EF206039A257F843FD5706 +:100A70006315F700A25723A20704A257B847FD57F2 +:100A80006315F700A25723A40704EF20003A58008B +:100A90007C08BA853E85EF105041AA879DEB225510 +:100AA000EF004077AA878DC3A257C1071247BA85C6 +:100AB0003E85EF10D00B2255A525EF106031AA8797 +:100AC000E39D07F0A13211BF2255992DEF10403060 +:100AD00029B72255B125EF10A02F81473E85F2504E +:100AE00021618280797106D62AC62EC432C236C0F0 +:100AF000B2473ECCE24781E77370043001A0A247C1 +:100B000081E7E247BC4399E3854711A0814781E72C +:100B10007370043001A0024789476317F700E2476A +:100B2000D85F85476314F700854711A0814781E7A7 +:100B30007370043001A0553AAA873ECAE247985F15 +:100B4000E247DC5F6366F700024789476316F706F2 +:100B50000246A24562456521E247B847FD57631446 +:100B6000F704E247FC4B99CF82456245EF00300C19 +:100B70002A878547631FF702924785CF924705472B +:100B800098C305A8E247DC538DC7E2479387470225 +:100B90003E85EF10F016AA8789CF924799CB9247EE +:100BA000054798C339A0E247BC4713871700E247BF +:100BB000B8C785473ECE11A002CED2473E85313A16 +:100BC000F2473E85B25045618280797106D62AC6C9 +:100BD0002EC4B2473ECCE24781E77370043001A0D7 +:100BE000E247BC4381C77370043001A0E2479C43D5 +:100BF00081E7E247DC4399E3854711A0814781E71C +:100C00007370043001A0D530AA873ECAE247985FCE +:100C1000E247DC5F6378F706E2479C5F13871700C3 +:100C2000E24798DFE247B847FD576314F704E2470D +:100C3000FC4B99CF81456245EF00607F2A8785474D +:100C4000631FF702A24785CFA247054798C305A8AF +:100C5000E247DC538DC7E247938747023E85EF109A +:100C6000300AAA8789CFA24799CBA247054798C3E4 +:100C700039A0E247BC4713871700E247B8C785474A +:100C80003ECE11A002CED2473E858138F2473E8546 +:100C9000B25045618280397106DE2AC62EC432C246 +:100CA00036C002D6B2473ED4A25781E773700430F3 +:100CB00001A0A24781E7A257BC4399E3854711A051 +:100CC000814781E77370043001A0EF10B076AA87E6 +:100CD00099E3924799E3854711A0814781E77370B3 +:100CE000043001A0EF208011A2579C5FB5CBA25722 +:100CF000DC473ED2A2452255F92E824795EFA257F6 +:100D00009C5F1387F7FFA25798DFA2579C4391E798 +:100D1000EF2000192A87A257D8C3A2579C4B8DCF2A +:100D2000A257C1073E85EF10A07D2A87854763152E +:100D3000F702EFF0AFED0DA0A2571257D8C7A25798 +:100D4000DC5399CBA257938747023E85EF10407B37 +:100D5000AA8799C3EFF08FEBEF20200D85475DA8A0 +:100D6000924789E7EF20600C81476DA0B25799E761 +:100D70007C083E85EF10701085473ED6EF20E00AD4 +:100D8000EF104003EF208007A257F843FD5763158B +:100D9000F700A25723A20704A257B847FD576315CF +:100DA000F700A25723A40704EF20200858007C086E +:100DB000BA853E85EF10700FAA87A1EB225531212D +:100DC000AA879DCFA2579C4391EBEF202003A25707 +:100DD000DC433E85EF101069EF202005A257938772 +:100DE00047021247BA853E85EF10605822550D2EF6 +:100DF000EF00107EAA87E39707EEEFF02FE1DDB555 +:100E00002255392EEF00D07CF1BD2255112EEF0076 +:100E1000307C81473E85F25021618280797106D60F +:100E20002AC62EC432C2B2473ECCE24781E7737075 +:100E3000043001A0A24781E7E247BC4399E385471C +:100E400011A0814781E77370043001A0EFF0EFE952 +:100E5000AA873ECAE2479C5FA1CBA2456245A52C6A +:100E6000E2479C5F1387F7FFE24798DFE247F843CA +:100E7000FD576312F702E2479C4B85C7E247C10763 +:100E80003E85EF10E067AA8789CF924799CB9247BA +:100E9000054798C339A0E247FC4313871700E24790 +:100EA000F8C385473ECE11A002CED2473E85EFF073 +:100EB000CFE2F2473E85B25045618280797106D615 +:100EC0002AC62EC4B2473ECCE24781E77370043095 +:100ED00001A0A24781E7E247BC4399E3854711A0FF +:100EE000814781E77370043001A0E247BC4381E78A +:100EF0007370043001A0EFF04FDFAA873ECAE247CB +:100F00009C5F89CFE247DC473EC8A2456245E122AB +:100F1000E2474247D8C785473ECE11A002CED2470E +:100F20003E85EFF08FDBF2473E85B250456182800F +:100F3000797106D62AC6B24781E77370043001A0E2 +:100F4000EF10D06BB2479C5F3ECEEF10106EF247B1 +:100F50003E85B25045618280797106D62AC6B24775 +:100F60003ECEF24781E77370043001A0EF101069A4 +:100F7000F247D85FF2479C5FB307F7403ECCEF10D3 +:100F8000D06AE2473E85B2504561828001112AC68F +:100F9000B24781E77370043001A0B2479C5F3ECE38 +:100FA000F2473E8505618280797106D62AC6B2472E +:100FB0003ECEF24781E77370043001A072450926E6 +:100FC0007245EF3080090100B250456182804111C5 +:100FD0002AC6B247FC473E854101828041112AC69C +:100FE0002EC4B2472247F8C7010041018280411157 +:100FF0002AC6B24783C707053E85410182807971C1 +:1010000006D62AC62EC432C202CEB247BC4391EFE6 +:10101000B2479C43D5E7B247DC433E85EF103052E0 +:101020002ACEB24723A2070061A8924785EFB247B4 +:101030009847B247BC433E86A2453A85EF308010C0 +:10104000B2479847B247BC433E97B24798C7B247AA +:101050009847B247DC436365F706B2479843B24707 +:1010600098C7B9A8B247D847B247BC433E86A24505 +:101070003A85EF30200DB247D847B247BC43B3079B +:10108000F0403E97B247D8C7B247D847B2479C43D3 +:10109000637BF700B247D843B247BC43B307F04085 +:1010A0003E97B247D8C712478947631BF700B2473C +:1010B0009C5F99C7B2479C5F1387F7FFB24798DFE1 +:1010C000B2479C5F13871700B24798DFF2473E850F +:1010D000B25045618280011106CE2AC62EC4B247A5 +:1010E000BC439DCBB247D847B247BC433E97B247BB +:1010F000D8C7B247D847B247DC436366F700B24768 +:101100009843B247D8C7B247D847B247BC433E8698 +:10111000BA852245EF3000030100F240056182806C +:10112000011106CE2AC6EF10704D89A0B247FC4BC4 +:1011300099CB81453245E52C2A8785476312F70212 +:10114000EF10006031A8B247DC5395C7B2479387D0 +:1011500047023E85EF10C03AAA8799C3EF10405E60 +:10116000B247BC471387F7FFB247B8C7B247BC477F +:10117000E34EF0FA11A00100B2477D57B8C7EF1057 +:10118000D04AEF10B0471DA0B2479C4B8DC7B24765 +:10119000C1073E85EF10C036AA8799C3EF10405AA9 +:1011A000B247FC431387F7FFB247F8C3B247FC438B +:1011B000E34CF0FC11A00100B2477D57F8C3EF10DB +:1011C000D0460100F24005618280797106D62AC6B8 +:1011D000EF10D042B2479C5F81E785473ECE11A019 +:1011E00002CEEF109044F2473E85B25045618280B6 +:1011F00001112AC6B24781E77370043001A0B247DB +:101200009C5F81E785473ECE11A002CEF2473E8526 +:1012100005618280797106D62AC6EF10303EB2474A +:10122000985FB247DC5F6315F70085473ECE11A09B +:1012300002CEEF10903FF2473E85B250456182806A +:1012400001112AC6B24781E77370043001A0B2478A +:10125000985FB247DC5F6315F70085473ECE11A06B +:1012600002CEF2473E850561828001112AC62EC456 +:1012700002CE81A01787030013074746F2478E0767 +:10128000BA979C4385E71787030013072745F24762 +:101290008E07BA97224798C317870300130707449E +:1012A000F2478E07BA973247D8C301A8F24785079D +:1012B0003ECE72479D47E3FFE7FA01000561828059 +:1012C00001112AC602CE0DA8178703001307074194 +:1012D000F2478E07BA97DC433247631CF700178743 +:1012E00003001307A73FF2478E07BA9723A0070012 +:1012F00001A8F24785073ECE72479D47E3F6E7FC1B +:10130000010005618280797106D62AC62EC432C2D8 +:10131000B2473ECEEF10902EF247F843FD576315CB +:10132000F700F24723A20704F247B847FD576315B9 +:10133000F700F24723A40704EF10302FF2479C5F19 +:1013400089EBF247938747021246A2453E85EF108C +:1013500080137245F1330100B2504561828079718A +:1013600006D62AC6014691453245EFF0CFBE2ACEB9 +:10137000F2473E85B25045618280797106D62AC611 +:101380002EC4EF10B027B247FC4B99C302CE19A868 +:10139000B2479C5F99C302CE31A0B2472247F8CB37 +:1013A00085473ECEEF107028F2473E85B25045612A +:1013B0008280797106D62AC62EC4B2473ECCE24757 +:1013C000FC4B22476304F70002CE39A8E2479C5F3A +:1013D00099C302CE11A8EF107022E24723AA070496 +:1013E000EF10B02485473ECEF2473E85B2504561AE +:1013F0008280797106D62AC62EC402CE7C08814628 +:101400002246BE8532454138F2473E85B25045619D +:101410008280797106D62AC602CE7C080146BE8536 +:101420003245ED3AF2473E85B2504561828079718E +:1014300006D62AC62EC4B247FC4B3ECC02CEE247AB +:1014400081E77370043001A0E247985FE247DC5FF8 +:101450006365F7007370043001A0E247985FE247CC +:10146000DC5F6371F7047C002246BE856245413E25 +:101470002ACEE247B847FD576310F702E247DC5334 +:1014800095C3E247938747023E85EF106007AA871E +:1014900091CB85473ECE39A0E247BC471387170062 +:1014A000E247B8C7F2473E85B25045618280397144 +:1014B00006DE2ACE2ECC36C83AC63EC442C246C04C +:1014C000B287231BF100F24781E77370043001A05B +:1014D0003247A54763F5E7007370043001A08357D6 +:1014E000610192453E85EF1080512AD4A257638F47 +:1014F0000712A257985B83566101B7070040FD179A +:10150000B6978A07BA973ED29257F19B3ED292572E +:101510008D8B81C77370043001A0835761013E87B2 +:1015200082463246E2452255EF10E0274246F24518 +:101530001255EFE0DFFC2A87A25798C3A24781C764 +:10154000A247225798C3EF10700B97F701009387BB +:10155000A7069C431387170097F701009387C705D9 +:1015600098C397F701009387A7E69C4395E397F705 +:1015700001009387E7E5225798C397F70100938707 +:10158000A70398438547631AF702EF10C02C35A0D4 +:1015900097F70100938707039C4385E397F70100C2 +:1015A000938707E39C43DC5732476368F70097F75C +:1015B00001009387E7E1225798C397F701009387CB +:1015C00067019C431387170097F7010093878700F3 +:1015D00098C397F701009387E7FF9843A257B8C7CE +:1015E000A257D85797F70100938787FD9C4363F96B +:1015F000E700A257D85797F70100938767FC98C375 +:10160000A257D857BA878A07BA978A0717F70100EF +:10161000130707E73E97A2579107BE853A85EFE08B +:10162000BFF885473ED6EF10500019A0FD573ED6B3 +:10163000325785476313F70297F70100938787F8BE +:101640009C4381CF97F70100938787D89C43DC5751 +:10165000324763F4E700EFE07FDBB2573E85F2509C +:1016600021618280797106D62AC6EF102079B247AF +:1016700099E797F701009387A7D59C4311A0B2473C +:101680003ECEF24791073E85EFE0BFFCF2479C5704 +:1016900091C7F247E1073E85EFE0BFFBF2479107B4 +:1016A000BE8517F50100130565EEEFE0FFEF97F734 +:1016B00001009387E7EE9C431387170097F701001B +:1016C000938707EE98C397F701009387A7F09C4391 +:1016D0001387170097F701009387C7EF98C3EF10A0 +:1016E000C07497F701009387E7ED9C439DCB97F774 +:1016F00001009387E7CD9C437247631EF70097F77D +:1017000001009387A7ED9C4381C77370043001A04B +:10171000EFE0DFCF39A0EF10606EEF10A04BEF10BD +:10172000C0700100B25045618280797106D62AC628 +:101730002EC402CEB24781E77370043001A0A247E5 +:1017400081E77370043001A097F70100938707E9E0 +:101750009C4381C77370043001A0A92D97F7010045 +:101760009387C7E59C433ECCB2479C432247BA9738 +:101770003ECAB2479C436247637EF700B2479C4330 +:1017800052476374F7025247E24763F0E702854726 +:101790003ECE21A8B2479C4352476366F7005247AA +:1017A000E24763F4E70085473ECEB247524798C30D +:1017B000F24789CF97F70100938787C19C43910730 +:1017C0003E85EFE01FE95245EF10001B11252AC8A6 +:1017D000C24799E3EFE09FC30100B25045618280A8 +:1017E000797106D62AC602CEB247A9C397F701007F +:1017F0009387C7DE9C4381C77370043001A05D2BC3 +:1018000097F70100938787DB9C433247BA973ECC1A +:1018100097F701009387C7BB9C4391073E85EFE094 +:101820005FE36245EF10401565232ACEF24799E346 +:10183000EFE0DFBD0100B25045618280797106D6CC +:101840002AC6B2473ECCE24781E77370043001A05C +:1018500097F701009387C7B79C4362476314F7006B +:1018600002CEBDA0EF108059E247DC4B3ECAEF101C +:10187000C05B97F701009387A7CF9C435247630A49 +:10188000F70097F701009387E7CE9C435247631513 +:10189000F70089473ECE2DA8524797F7010093875E +:1018A00067D0631BF700E2479C5781E78D473ECE28 +:1018B00005A089473ECE29A8524797F70100938794 +:1018C000E7CC6315F70091473ECE19A085473ECE81 +:1018D000F2473E85B25045618280797106D62AC6AC +:1018E000EF10C051B24799E797F70100938747AED1 +:1018F0009C4311A0B2473ECEF247DC573ECCEF10DE +:10190000C052E2473E85B25045618280797106D669 +:101910002AC6EFE09FBDAA873ECEB24799E797F768 +:1019200001009387E7AA9C4311A0B2473ECCE2474F +:10193000DC573ECAF2473E85EFE03FBAD2473E85CC +:10194000B25045618280797106D62AC62EC402CE75 +:101950002247A54763F5E7007370043001A02247D2 +:10196000A54763F4E700A5473EC4EF102049B247FE +:1019700099E797F701009387A7A59C4311A0B24769 +:101980003ECCE247BC4B3ECA5247A247630AF70E21 +:101990002247D24763F6E70297F70100938747A3F0 +:1019A0009C4362476308F70297F70100938747A2B9 +:1019B0009C43DC572247636FF70085473ECE19A84A +:1019C00097F701009387C7A09C4362476314F70011 +:1019D00085473ECEE247DC573EC8E247B84BE24778 +:1019E000DC576315F700E2472247D8D7E247224782 +:1019F000B8CBE2479C4F63C707002947A2471D8F1A +:101A0000E24798CFE247D44B4247BA878A07BA9752 +:101A10008A0717F701001307A7A6BA976394F60081 +:101A2000854711A08147B9C7E24791073E85EFE09E +:101A30005FC2E247D85797F70100938767B89C4386 +:101A400063F9E700E247D85797F70100938747B754 +:101A500098C3E247D857BA878A07BA978A0717F711 +:101A600001001307E7A13E97E2479107BE853A853B +:101A7000EFE09FB3724785476314F700EFE01F99CB +:101A8000EF10A03A0100B25045618280797106D60C +:101A90002AC6EF10A036B24799E797F7010093875F +:101AA00027939C4311A0B2473ECEF24791073E8553 +:101AB000EFE03FBAF2479C5791C7F247E1073E85F6 +:101AC000EFE03FB9F2479107BE8517F50100130516 +:101AD00065ADEFE07FADEF10403597F701009387DC +:101AE000278F9C4372476319F70497F70100938788 +:101AF00067AD9C4389CF97F70100938727AE9C433E +:101B000081C77370043001A0EFE05F9091A097F758 +:101B10000100938727A9984397F701009387C7A9E6 +:101B20009C436319F70097F701009387678A23A006 +:101B3000070039A8212729A897F70100938787A8CC +:101B40009C4399C7EF10802BEF10C008EF10E02DD9 +:101B50000100B2504561828001112AC602CEB2470F +:101B60003ECCB24781E77370043001A0E247D84B06 +:101B700097F70100938707A36314F700854711A027 +:101B8000814795C3E247985797F701009387C79E0F +:101B9000630BF700E2479C5799E3854711A0814703 +:101BA00099C385473ECEF2473E85056182807971B3 +:101BB00006D62AC6B2473ECEB24781E773700430DC +:101BC00001A0F247C9C797F70100938767809C433C +:101BD0007247630EF706EF1060227245B53F2A8701 +:101BE00085476314F706F24791073E85EFE07FA62D +:101BF000F247D85797F701009387879C9C4363F976 +:101C0000E700F247D85797F701009387679B98C37F +:101C1000F247D857BA878A07BA978A0717F7010099 +:101C2000130707863E97F2479107BE853A85EFE096 +:101C3000BF97F247D85797E70100938767799C438E +:101C4000DC576364F700EFE06FFCEF10001E01004B +:101C5000B25045618280797106D62AC602CEB2475B +:101C60003ECCB24781E77370043001A0EFE0FF87FC +:101C7000AA873ECA6245CD352A8785476314F7088F +:101C800097F70100938787959C43A5E7E247D857CC +:101C900097E701009387C7739C43DC576364F700A1 +:101CA00085473ECEE24791073E85EFE09F9AE247A7 +:101CB000D85797F701009387A7909C4363F9E700F3 +:101CC000E247D85797F701009387878F98C3E24779 +:101CD000D857BA878A07BA978A0717E70100130708 +:101CE000277A3E97E2479107BE853A85EFE0DF8B82 +:101CF00011A8E247E107BE8517F501001305C58766 +:101D0000EFE09F8AD2473E85EFE02FFDF2473E8508 +:101D1000B25045618280011106CE81480148814759 +:101D2000014781461306004097E501009385852D04 +:101D300017150000130585A5EFF06FF72AC6324787 +:101D400085476315F700EF10C06D2AC632478547F7 +:101D50006319F7027370043097F701009387C78700 +:101D60007D5798C397F701009387C785054798C3A8 +:101D700097F701009387878423A00700EFE06FD2D5 +:101D800031A0B24781E77370043001A00100F24036 +:101D900005618280411106C67370043097F7010017 +:101DA0009387478223A00700EFE0EFDD0100B240F8 +:101DB0004101828097F70100938747829C431387F4 +:101DC000170097F701009387678198C3010082800D +:101DD000011106CE02C697F70100938727809C4326 +:101DE00081E77370043001A0EF10400197E7010014 +:101DF0009387C77E9C431387F7FF97E7010093877C +:101E0000E77D98C397E701009387477D9C436390E4 +:101E1000071097E701009387277A9C436389070E91 +:101E200059A097E7010093872775DC47DC473EC43C +:101E3000A247E1073E85EFE0DF81A24791073E859B +:101E4000EFE03F81A247D85797E701009387477794 +:101E50009C4363F9E700A247D85797E701009387AF +:101E6000277698C3A247D857BA878A07BA978A07AE +:101E700017E701001307C7603E97A2479107BE8589 +:101E80003A85EFE06FF2A247D85797E701009387B2 +:101E900027549C43DC576368F70097E7010093875A +:101EA000E772054798C397E701009387E76C9C4367 +:101EB000ADFB97E70100938727719C438DCF35A039 +:101EC000452AAA8799C797E7010093872770054796 +:101ED00098C397E701009387276F9C431387F7FF09 +:101EE00097E701009387476E98C397E701009387B0 +:101EF000A76D9C43F1F797E701009387276D9843FF +:101F000085476316F70085473EC6EFE02FD0EF0008 +:101F1000D071B2473E85F24005618280011106CE44 +:101F2000EF00D06D97E70100938747699C433EC659 +:101F3000EF00B06FB2473E85F2400561828001112B +:101F400006CEEFE08FDAAA873EC697E701009387B7 +:101F5000E7669C433EC4B2473E85EFE00FD8A247F8 +:101F60003E85F2400561828097E701009387C76450 +:101F70009C433E858280797106D62AC62EC432C221 +:101F800002CEA9473ECC3D3597E701009387C76253 +:101F90009C4322476368F70CE247FD173ECCF247AB +:101FA00096073247B306F7006247BA878A07BA979F +:101FB0008A0717E701001307A74CBA970546BE85A5 +:101FC0003685EF00902A2A87F247BA973ECEE2473D +:101FD000E1F7F247960732473E9797E7010093876C +:101FE00027599C430946BE853A85EF0010282A8769 +:101FF000F247BA973ECEF247960732473E9797E7A9 +:102000000100938727579C430946BE853A85EF0018 +:10201000D0252A87F247BA973ECEF2479607324735 +:10202000BA97114697E50100938545563E85EF0026 +:10203000D0232A87F247BA973ECEF2479607324717 +:10204000BA970D4697E501009385C5553E85EF008B +:10205000D0212A87F247BA973ECE924781C792474E +:1020600023A00700B533F2473E85B2504561828018 +:10207000797106D602CE97E70100938727569C43D5 +:102080006395071897E70100938747539C4313878D +:10209000170097E701009387675298C397E70100FD +:1020A0009387C7519C433ECCE247B9EF97E70100C5 +:1020B0009387074C9C439C4381C77370043001A0F5 +:1020C00097E701009387C74A9C433ECA97E7010000 +:1020D0009387474A984397E701009387674998C3D6 +:1020E00097E7010093870749524798C397E7010094 +:1020F0009387074E9C431387170097E70100938748 +:10210000274D98C3EF00102D97E701009387C74C28 +:102110009C4362476365F70C97E701009387474547 +:102120009C439C4399E3854711A0814781CB97E766 +:1021300001009387674A7D5798C355A097E7010030 +:10214000938707439C43DC47DC473EC8C247DC43D8 +:102150003EC66247B2476379F70097E7010093876D +:10216000A747324798C3A5A8C24791073E85EFE02D +:102170004FCEC2479C5791C7C247E1073E85EFE06B +:102180004FCDC247D85797E70100938767439C43D9 +:1021900063F9E700C247D85797E7010093874742A2 +:1021A00098C3C247D857BA878A07BA978A0717E7EA +:1021B00001001307E72C3E97C2479107BE853A8579 +:1021C000EFE08FBEC247D85797E7010093874720BB +:1021D0009C43DC57E362F7F485473ECE35BF97E773 +:1021E00001009387E71E9C43D85797E6010093862A +:1021F0002629BA878A07BA978A07B69798438547E8 +:1022000063F1E70285473ECE29A897E7010093874F +:10221000A73B9C431387170097E701009387C73AB2 +:1022200098C397E701009387673A9C4399C3854712 +:102230003ECEF2473E85B25045618280011106CE06 +:1022400097E70100938787399C4381CB97E701008B +:102250009387C737054798C339A297E7010093874B +:10226000E73623A0070097E70100938767169C4392 +:102270009C5B3EC6B7A7A5A59387575A3EC4B247F5 +:102280009C4322476314F702B24791079C432247BD +:10229000631EF700B247A1079C4322476318F7006B +:1022A000B247B1079C4322476308F70497E7010050 +:1022B00093870712984397E70100938767119C4320 +:1022C00093874703BE853A85EF20D00F35A097E767 +:1022D00001009387E72E9C4381E77370043001A0CF +:1022E00097E701009387C72D9C431387F7FF97E774 +:1022F00001009387E72C98C397E701009387472C49 +:10230000984397E601009386A617BA878A07BA977B +:102310008A07B6979C43C5DF97E701009387472A52 +:102320009843BA878A07BA978A0717E70100130705 +:102330002715BA973EC29247DC43D8439247D8C389 +:102340009247D8439247A1076317F7009247DC43AF +:10235000D8439247D8C39247DC43D84797E7010058 +:102360009387070798C30100F24005618280797165 +:1023700006D62AC62EC4B24781E77370043001A086 +:1023800097E701009387C7049C43E107BE85324568 +:10239000EFE08FA597E70100938787039C439107A0 +:1023A0003E85EFE00FAB2247FD576310F70297E73A +:1023B00001009387E7019C439107BE8517E5010063 +:1023C0001305451EEFE04F9E19A897E7010093877C +:1023D000E71E9C432247BA973ECE7245712B0100FF +:1023E000B25045618280797106D62AC62EC432C2A7 +:1023F000B24781E77370043001A097E7010093872B +:10240000E71D9C4381E77370043001A097E701004A +:10241000938707FC9C43A24637070080558F98CFCF +:1024200097E701009387C7FA9C43E107BE853245D1 +:10243000EFE08F9797E70100938787F99C43910717 +:102440003E85EFE00FA11247FD576310F70297E7B3 +:1024500001009387E7F79C439107BE8517E50100CC +:1024600013054514EFE04F9419A897E701009387EF +:10247000E7149C431247BA973ECE7245F5290100F6 +:10248000B25045618280797106D62AC62EC432C206 +:10249000B24781E77370043001A097E7010093878A +:1024A00027F39C43E107BE853245EFE0EF8F97E7C6 +:1024B00001009387E7F19C4391073E85EFE06F9918 +:1024C000124785476310F70297E70100938747F0AB +:1024D0009C439107BE8517E501001305A50CEFE0AD +:1024E000AF8C19A897E701009387470D9C432247BB +:1024F000BA973ECE724549210100B25045618280B3 +:10250000797106D62AC6B247DC47DC473ECCE247A3 +:1025100081E77370043001A0E247E1073E85EFE0F8 +:102520004F9397E701009387670B9C43A1EBE2472A +:1025300091073E85EFE0EF91E247D85797E701001A +:10254000938707089C4363F9E700E247D85797E76A +:1025500001009387E70698C3E247D857BA878A07EE +:10256000BA978A0717E70100130787F13E97E247FA +:102570009107BE853A85EFE02F8311A8E247E10776 +:10258000BE8517E50100130525FFEFE0EF81E24767 +:10259000D85797E701009387A7E39C43DC5763FB79 +:1025A000E70085473ECE97E701009387270205475E +:1025B00098C311A002CEF2473E85B2504561828099 +:1025C000797106D62AC62EC497E7010093870701C2 +:1025D0009C4381E77370043001A02247B707008055 +:1025E0005D8FB24798C3B247DC473ECCE24781E7F4 +:1025F0007370043001A03245EFE0AF85E2479107E8 +:102600003E85EFE00F85E247D85797E701009387B3 +:1026100027FB9C4363F9E700E247D85797E701009F +:10262000938707FA98C3E247D857BA878A07BA97B9 +:102630008A0717E701001307A7E43E97E2479107CF +:10264000BE853A85EFD05FF6E247D85797E701009D +:10265000938707D89C43DC5763FBE70085473ECE52 +:1026600097E70100938787F6054798C311A002CE2C +:10267000F2473E85B2504561828041112AC6B24779 +:1026800081E77370043001A097E70100938747F456 +:102690009843B24798C397E70100938727F298437E +:1026A000B247D8C3010041018280797106D62AC69B +:1026B0002EC4B24781E77370043001A0A24781E7BE +:1026C0007370043001A01D2F97E70100938707EF77 +:1026D0009C433ECCA2479843FD576314F70002CEBB +:1026E00091A8B247984397E70100938767EE9C4310 +:1026F000630AF700B247DC4362476365F70085472A +:102700003ECE0DA8B247DC4362471D8FA2479C43D3 +:102710006370F702A2479843B247D443E247B387B6 +:10272000F6403E97A24798C33245813F02CE19A09A +:1027300085473ECEDD2DF2473E85B2504561828011 +:1027400097E70100938787E8054798C301008280D7 +:1027500001112AC6B24799C7B2473ECCE247FC47AF +:102760003ECE11A002CEF2473E8505618280011166 +:102770002AC62EC4B24791C7B2473ECEF24722477F +:10278000F8C7010005618280011106CE2AC68522A4 +:1027900097E701009387C7CE98438547E3F9E7FEA3 +:1027A000EFD0DFC6EDB7397106DE2ACE2ECC32CAA5 +:1027B00036C8BA872317F10002D625A06247B25760 +:1027C000BA9703C70700F246B257B697238AE702C3 +:1027D0006247B257BA9783C7070089CBB2578507BC +:1027E0003ED63257BD47E3FBE7FC11A00100F2479C +:1027F000A38107045247A54763F4E700A5473ECAF3 +:10280000F2475247D8D7F2475247B8CBF24723AAEC +:102810000704F24791073E85EFD01FD8F247E10742 +:102820003E85EFD07FD7F247724798CB2947D247F2 +:102830001D8FF24798CFF2477247D8D3F24723A2B1 +:102840000704F24723AC0704F24723AE0704010054 +:10285000F25021618280011106CE02C60DA03247DE +:10286000BA878A07BA978A0717E70100130747C193 +:10287000BA973E85EFD01FCFB24785073EC6324795 +:10288000A547E3FEE7FC17E501001305E5CBEFD014 +:102890007FCD17E50100130565CCEFD0BFCC17E560 +:1028A0000100130565CDEFD0FFCB17E5010013053F +:1028B000E5CDEFD03FCB17E501001305A5CEEFD056 +:1028C0007FCA97E701009387A7CA17E7010013079C +:1028D000A7C798C397E701009387C7C917E7010007 +:1028E0001307C7C798C30100F24005618280011138 +:1028F00006CE8DA8EFF00FCC97E70100938707C9AC +:102900009C4393B7170093F7F70F3EC6EFF04FCCF9 +:10291000B247A9EBE52197E70100938727C7DC477A +:10292000DC473EC4A24791073E85EFD09FD297E790 +:102930000100938767C89C431387F7FF97E701005F +:10294000938787C798C397E70100938767C59C4320 +:102950001387F7FF97E70100938787C498C3F12196 +:102960002245912C97E70100938787C39C43D9F3B5 +:102970000100F24005618280011106CE2AC697E768 +:1029800001009387E7A49C433247D8C397E701002F +:102990009387C7C29C4332476372F70297E70100EF +:1029A000938747BD984397E70100938767A29C43AD +:1029B0009107BE853A85EFD03FC335A897E7010060 +:1029C000938707BB984397E70100938767A09C43D1 +:1029D0009107BE853A85EFD03FC197E70100938705 +:1029E000A7BF9C4332476378F70097E701009387BE +:1029F000A7BE324798C30100F24005618280797119 +:102A000006D6AA872EC42317F100A24789EB835765 +:102A1000E1008A073E85EF104051AA8711A0A24726 +:102A20003ECCE24785C313050006EF1000502ACEC6 +:102A3000F24789C7F247624798DB31A06245EF1041 +:102A4000C06111A002CEF24781CFF247985B835755 +:102A5000E1008A073E869305500A3A85EF104075DB +:102A6000F2473E85B25045618280397106DE22DC34 +:102A70002AC62EC432C202D6A2479C43638D070EDB +:102A8000A2473ED4A257DC43D843A257D8C3A2578B +:102A9000D843A257A1076317F700A257DC43D843D6 +:102AA000A257D8C3A257DC43DC473ED2A2473ED050 +:102AB0008257DC43D8438257D8C38257D8438257C2 +:102AC000A1076317F7008257DC43D8438257D8C366 +:102AD0008257DC43DC473ECEB25796073247BA975F +:102AE000724798C3B25796073247BA97724713078F +:102AF0004703D8C3B25796073247BA977247384749 +:102B000098C7B25796073247BA971247D8C7B257F5 +:102B100096073247BA977247585798CB12478D4756 +:102B2000631BF700F2479C5799C7B2579607324785 +:102B3000BA970947D8C7B25796073247BA9772472C +:102B4000384BD8CBB25796073247BA9723AC070019 +:102B5000F247945BB257960732473304F700368545 +:102B60000D20AA87231EF400B25785073ED6724770 +:102B70009257E31DF7F2B2573E85F250625421613D +:102B8000828001112AC602CE39A0B24785073EC60F +:102B9000F24785073ECEB24703C707009307500AA6 +:102BA000E305F7FEF24789833ECEF247C207C183B1 +:102BB0003E8505618280011106CE2AC6B2479C5B24 +:102BC0003E85EF1080493245EF1020490100F24068 +:102BD00005618280411197E70100938767999C43C3 +:102BE0009C4399E3854711A0814781CB97E701007A +:102BF0009387879E7D5798C305A097E70100938729 +:102C000027979C43DC47DC473EC6B247D84397E74B +:102C100001009387679C98C30100410182804111A4 +:102C200097D701009387C77A9C433EC6B2473E853B +:102C300041018280411197E701009387A7989C4347 +:102C400081E785473EC619A897E701009387079952 +:102C50009C4381E789473EC611A002C6B2473E8524 +:102C600041018280797106D62AC6B2473ECEB2476C +:102C7000F9C3F247D85797D70100938767759C43EC +:102C8000DC57637AF70AF2479C4F63CC070097D76B +:102C900001009387E7739C43DC5729471D8FF24758 +:102CA00098CFF247D44BF247D857BA878A07BA97DA +:102CB0008A0717D701001307A77CBA976394F60019 +:102CC000854711A08147A5C3F24791073E85EFD004 +:102CD0005F9897D701009387A76F9C43D857F24717 +:102CE000D8D7F247D85797E701009387678D9C4361 +:102CF00063F9E700F247D85797E701009387478CBD +:102D000098C3F247D857BA878A07BA978A0717D75E +:102D100001001307E7763E97F2479107BE853A8593 +:102D2000EFD09F8809A897D701009387676A9C43D3 +:102D3000D857F247D8D70100B250456182807971E7 +:102D400006D62AC6B2473ECC02CEB247DDC397D7DD +:102D500001009387E7679C4362476305F700737040 +:102D6000043001A0E247FC4B81E77370043001A0FE +:102D7000E247FC4B1387F7FFE247F8CBE247D8570F +:102D8000E247BC4B6307F706E247FC4BBDE3E24773 +:102D900091073E85EFD0FF8BE247B84BE247D8D78B +:102DA000E247DC5729471D8FE24798CFE247D857C3 +:102DB00097E701009387C7809C4363F9E700E247E8 +:102DC000D85797D701009387A77F98C3E247D85772 +:102DD000BA878A07BA978A0717D701001307476A85 +:102DE0003E97E2479107BE853A85EFD0EFFB8547D6 +:102DF0003ECEF2473E85B25045618280737004300A +:102E000097D701009387077C9C4391CF97D7010008 +:102E10009387075C9C43F8430507F8C397D70100E5 +:102E20009387075B9C430100828097D701009387BB +:102E300067799C438DCB97D70100938767599C4353 +:102E4000FC4395C397D70100938787589C43F84369 +:102E50007D17F8C397D70100938787579C43FC439E +:102E600099E37360043001008280411197D701001B +:102E7000938707569C439C4F3EC697D70100938784 +:102E800027559C43D85797D70100938767549C4395 +:102E9000A9463387E64098CFB2473E8541018280FC +:102EA00097D701009387C7529C4389CB97D70100DE +:102EB000938707529C43F84B0507F8CB97D701003F +:102EC000938707519C433E858280797106D62AC636 +:102ED0002EC42D3797D701009387874F9C43BC4F53 +:102EE000ADE397D701009387A74E9C430547F8CFE2 +:102EF000A247A1CB97D701009387874D9C439107A9 +:102F00003E85EFD00FF52247FD576310F70297D7A4 +:102F100001009387E74B9C439107BE8517D50100BD +:102F200013054568EFD04FE819A897D7010093879C +:102F3000E7689C432247BA973ECE7245353CEFD0B6 +:102F4000EFCCE535653D97D70100938767489C43F3 +:102F5000BC4F3ECCE2479DC3B24789CB97D7010017 +:102F6000938707479C4323AC070409A897D7010020 +:102F7000938707469C43B84F7D17B8CF97D701007A +:102F8000938707459C4323AE07044535E2473E85BA +:102F9000B25045618280797106D62AC62EC432C2EB +:102FA00036C0A93D97D70100938787429C43F84FCD +:102FB0008947630DF70697D70100938767419C43C4 +:102FC000B44F32471347F7FF758FB8CF97D701003B +:102FD000938707409C430547F8CF8247A1CB97D7FB +:102FE00001009387E73E9C4391073E85EFD06FE653 +:102FF0000247FD576310F70297D701009387473DBB +:103000009C439107BE8517D501001305A559EFD044 +:10301000AFD919A897D701009387475A9C43024715 +:10302000BA973ECC6245893AEFD04FBEFD3BF933AB +:10303000924789CB97D70100938787399C43B84F34 +:10304000924798C397D70100938787389C43F84FDE +:1030500085476314F70002CE31A897D70100938704 +:1030600027379C43B44F22471347F7FF758FB8CFDC +:1030700085473ECE97D70100938787359C4323AE83 +:1030800007046533F2473E85B2504561828079710D +:1030900006D62AC62EC432C236C085473ECEB247B7 +:1030A00081E77370043001A0B2473ECC813B824778 +:1030B00089C7E247B84F824798C3E247FC4F3ECAF0 +:1030C000E2470947F8CF1247914763EEE70492477A +:1030D0001397270097D70100938747F3BA97984330 +:1030E00097D70100938787F2BA978287E247B84F54 +:1030F000A2475D8FE247B8CF3DA0E247BC4F1387A0 +:103100001700E247B8CF05A0E2472247B8CF21A871 +:10311000524789476306F700E2472247B8CF21A00C +:1031200002CE11A0010052478547631AF706E24715 +:1031300091073E85EFD0EFD1E247D85797D70100EE +:10314000938707489C4363F9E700E247D85797D72E +:1031500001009387E74698C3E247D857BA878A07A2 +:10316000BA978A0717D70100130787313E97E247BE +:103170009107BE853A85EFD02FC3E2479C5781C7A0 +:103180007370043001A0E247D85797D701009387A6 +:1031900027249C43DC5763F4E700EFD02FA771315D +:1031A000F2473E85B25045618280397106DE2ACEF3 +:1031B0002ECC32CA36C83AC685473ED6F24781E79A +:1031C0007370043001A0F2473ED4EFD00FB2AA874B +:1031D0003ED2C24789C7A257B84FC24798C3A25729 +:1031E000FC4F3ED0A2570947F8CF5247914763EEB4 +:1031F000E704D2471397270097D70100938747E248 +:10320000BA97984397D70100938787E1BA97828747 +:10321000A257B84FE2475D8FA257B8CF3DA0A25743 +:10322000BC4F13871700A257B8CF05A0A25762471B +:10323000B8CF21A8025789476306F700A257624713 +:10324000B8CF21A002D611A0010002578547631D07 +:10325000F708A2579C5781C77370043001A097D715 +:1032600001009387A7379C43A1EBA25791073E85A6 +:10327000EFD02FBEA257D85797D701009387473476 +:103280009C4363F9E700A257D85797D7010093876B +:10329000273398C3A257D857BA878A07BA978A079D +:1032A00017D701001307C71D3E97A2579107BE8588 +:1032B0003A85EFD06FAF11A8A257E107BE8517D5A9 +:1032C00001001305652BEFD02FAEA257D85797D723 +:1032D00001009387E70F9C43DC5763F7E700B24791 +:1032E00081C7B247054798C392573E85EFD0EF9EFE +:1032F000B2573E85F25021618280797106D62AC686 +:103300002EC4B24781E77370043001A0B2473ECEAD +:10331000EFD0AF9DAA873ECCF247FC4F3ECAF247A2 +:103320000947F8CFF247BC4F13871700F247B8CFD1 +:1033300052478547631DF708F2479C5781C7737052 +:10334000043001A097D70100938747299C43A1EB44 +:10335000F24791073E85EFD0CFAFF247D85797D7C6 +:1033600001009387E7259C4363F9E700F247D857AC +:1033700097D701009387C72498C3F247D857BA87D5 +:103380008A07BA978A0717D701001307670F3E9776 +:10339000F2479107BE853A85EFD00FA111A8F247F9 +:1033A000E107BE8517D501001305051DEFD0CF9F9E +:1033B000F247D85797D70100938787019C43DC5782 +:1033C00063F7E700A24781C7A247054798C3E247D2 +:1033D0003E85EFD08F900100B250456182807971B7 +:1033E00006D62AC6B2473ECCE24799E797D70100F6 +:1033F000938707FE9C4311A0E2473ECC0134E2478D +:10340000F84F89476318F700E24723AE0704854762 +:103410003ECE11A002CE113CF2473E85B25045612E +:103420008280011106CE02C61D2B97D7010093871B +:10343000271E9C439DC38148014881470947814617 +:103440001306004097D501009385C5BE17050000FF +:103450001305051BEFE0AF852AC6B24781E77370FD +:10346000043001A0B2473E85F24005618280397187 +:1034700006DE2ACE2ECC32CA36C83AC6E24799E3D7 +:1034800002D62DA81305C002EF00302A2AD6B25763 +:1034900095C7F121B257724798C3B257624798CF88 +:1034A000B2575247D8CFB257424798D3B257324754 +:1034B000D8D3B25791073E85EFD00F8EE24781E710 +:1034C0007370043001A0B2573E85F25021618280B2 +:1034D000397106DE2ACE2ECC32CA36C83AC602D69A +:1034E000F24781E77370043001A097D701009387FA +:1034F00027129C43B5CBE2473ED0D2473ED2F2479B +:103500003ED46247954763C4E704EFF0AFF22A87E1 +:1035100089476310F70297D701009387670F9C4391 +:10352000181081463246BA853E85EFD02FC42AD680 +:1035300025A897D701009387A70D9C4318108146B3 +:103540000146BA853E85EFD06FC22AD631A897D7FB +:1035500001009387E70B9C43181081464246BA85C9 +:103560003E85EFD02FD82AD6B2573E85F250216142 +:10357000828001112AC6B2473ECEB24781E77370FE +:10358000043001A0F2479C433E8505618280797139 +:1035900006D62AC62EC497D701009387E7069C4318 +:1035A000DC47DC473ECEF24791073E85EFD06F8A7D +:1035B000F247D84F8547631BF702F247984FB2474F +:1035C000BA97B2462246BE857245B9222A878547F8 +:1035D000631EF70001478146324681457245CD3D65 +:1035E0002ACCE24781E77370043001A0F247DC5334 +:1035F000724582970100B25045618280797106D68A +:103600002AC63C083E8541282ACEE247BE8572453F +:1036100019206922FDB7797106D62AC62EC4EFE0BB +:103620006FF93C083E8545282ACEE247B9EFA2470C +:1036300099EB3247F24763E8E700EFE06FF9F245B4 +:103640003245B137A9A0A24781CF97D70100938710 +:10365000E7FB9C439C4393B7170093F7F70F3EC4D7 +:1036600097D701009387C7FA94433247F247B307CD +:10367000F7402246BE853685EFD0FFC8EFE04FF514 +:10368000AA8791E7EFC09FD819A0EFE06FF401007F +:10369000B2504561828001112AC697D701009387F5 +:1036A000A7F69C439C4393B7170093F7F70F3E8709 +:1036B000B24798C3B2479C4391EB97D701009387D9 +:1036C000A7F49C43DC479C433ECE11A002CEF247B8 +:1036D0003E8505618280797106D62AC6EFE01F8497 +:1036E0002ACE97D701009387E7F29C43724763770E +:1036F000F700692AB247054798C321A0B24723A023 +:10370000070097D701009387E7F0724798C3F24705 +:103710003E85B25045618280797106D62AC62EC494 +:1037200032C236C002CEB2472247D8C3B247324770 +:1037300098CB2247924763E8E702124782471D8FE2 +:10374000B2479C4F6365F70085473ECE99A097D757 +:1037500001009387A7EB9843B2479107BE853A854E +:10376000EFC09FE83DA0124782476379F7002247E8 +:1037700082476365F70085473ECE21A897D70100B1 +:10378000938787E89843B2479107BE853A85EFC093 +:10379000BFE5F2473E85B25045618280797106D619 +:1037A000D9A0A24763C0070CC2473ECEF247DC4B0C +:1037B00091C7F24791073E85EFC0BFE95C003E85A7 +:1037C000193F2ACCA2472547636FF70813972700B4 +:1037D00097D7010093878786BA97984397D70100B8 +:1037E0009387C785BA9782873247F2479C4FBA972B +:1037F0003247BA866246BE857245393F2A87854779 +:103800006313F706F247DC5372458297F247D84FAD +:103810008547631AF7043247F2479C4FBA9701472E +:1038200081463E868145724565312ACAD24785EF79 +:103830007370043001A03247F24798CFF2479C4F93 +:1038400081E77370043001A0F247984FE247BA97BE +:10385000E2466246BE857245C13531A07245EF0031 +:10386000C07F11A0010097D70100938767DA9C43BE +:10387000380081460146BA853E85EFD0CFC1AA8780 +:10388000E39107F20100B25045618280797106D65A +:1038900071A097D70100938727D79C43DC479C43AF +:1038A0003ECC97D70100938727D69C43DC47DC4763 +:1038B0003ECAD24791073E85EFC0BFD9D247DC53FD +:1038C00052458297D247D84F85476319F704D247AC +:1038D0009C4F6247BA973EC84247E24763F4E7020B +:1038E000D2474247D8C3D247524798CB97D7010017 +:1038F000938787D19843D2479107BE853A85EFC019 +:10390000BFCE29A801478146624681455245C93646 +:103910002AC6B24781E77370043001A097D701002F +:10392000938787CE9C439C43ADF797D7010093873D +:10393000A7CD9C433ECE97D70100938727CD9843D0 +:1039400097D70100938747CC98C397D701009387F7 +:10395000E7CB724798C30100B250456182804111A4 +:1039600006C6EFF0AFC997D70100938767CA9C439B +:10397000C9E717D501001305A5C6EFC0BFBE17D50F +:103980000100130525C7EFC0FFBD97D7010093873E +:10399000A7C717D701001307A7C498C397D701007B +:1039A0009387C7C617D701001307C7C498C301463A +:1039B000B1451145EFC03FDA2A8797D701009387B9 +:1039C00027C598C397D70100938787C49C4381E795 +:1039D0007370043001A097D70100938767C39C439D +:1039E00089CF97D701009387A7C29C4397C5010051 +:1039F000938545673E85EFD05F87EFF00FC30100E9 +:103A0000B24041018280797106D62AC6B2473ECEC5 +:103A1000B24781E77370043001A0EFF02FBEF24788 +:103A2000DC4BB337F00093F7F70F3ECCEFF0EFBF6E +:103A3000E2473E85B25045618280797106D62AC63A +:103A4000B2473ECEB24781E77370043001A0EFF079 +:103A5000EFBAF2479C533ECCEFF02FBDE2473E85D4 +:103A6000B25045618280797106D62AC62EC4B2470B +:103A70003ECEB24781E77370043001A0EFF00FB87B +:103A8000F247224798D3EFF04FBA0100B250456198 +:103A90008280011106CE714549292AC6B24789CBD9 +:103AA000B24723A00700B24791073E85EFC09FAB06 +:103AB000B2473E85F24005618280397106DE2AC632 +:103AC0002EC432C236C0B2473ED402D21247B70724 +:103AD00000FFF98F81C77370043001A0924781E71E +:103AE0007370043001A0EFF0EF94AA8799E3824746 +:103AF00099E3854711A0814781E77370043001A0E5 +:103B0000EFE04FABA2579C433ED0A24532458524FF +:103B10000257A2475D8F9247F98F12476310F70251 +:103B20000257A247D98F3ED6A2579843924793C7D0 +:103B3000F7FF7D8FA25798C302C025A0824799CF77 +:103B4000A257938647001247B7070005D98F024650 +:103B5000BE853685EFE03F8902D621A0A2579C435F +:103B60003ED6EFE0EFA62ACE8247B1CBF24799E3EB +:103B7000EFC0DF89EFF06FAF2AD63257B7070002E8 +:103B8000F98F85EBEFF08FA7A2579C433ED63257B3 +:103B90009247F98F1247631AF700A257984392474A +:103BA00093C7F7FF7D8FA25798C3EFF00FA8854703 +:103BB0003ED23257B7070001FD17F98F3ED6B257F4 +:103BC0003E85F250216182805D7186C62ACE2ECC60 +:103BD00032CA36C83AC6F2473EDA02DC02D8F247A9 +:103BE00081E77370043001A06247B70700FFF98FC7 +:103BF00081C77370043001A0E24781E7737004301D +:103C000001A0EFF02F83AA8799E3B24799E3854794 +:103C100011A0814781E77370043001A0EFE08F9914 +:103C2000D2579C433ED64246E245325575242AD4AB +:103C3000A25799CFB2573EDE02C6D247A9CBD25780 +:103C40009843E24793C7F7FF7D8FD25798C381A06F +:103C5000B24781E7B2573EDE1DA8D24791C76257EF +:103C6000B7070001D98F3EDCC24791C76257B7073B +:103C70000004D98F3EDCD257938647006247E25753 +:103C8000D98F3246BE853685EFE0EFF502DEEFE0F4 +:103C90002F942AD2B247B9CB925799E3EFC00FF7CE +:103CA000EFF0AF9C2ADE7257B7070002F98F8DEB59 +:103CB000EFF0CF94D2579C433EDE4246E245725528 +:103CC000212CAA8799CBD24789CBD2579843E24778 +:103CD00093C7F7FF7D8FD25798C3EFF00F9502D8A7 +:103CE0007257B7070001FD17F98F3EDEF2573E8588 +:103CF000B64061618280797106D62AC62EC4B24769 +:103D00003ECEB24781E77370043001A02247B70767 +:103D100000FFF98F81C77370043001A0EFF00F8EA0 +:103D2000F2479C433ECCF2479843A24793C7F7FF24 +:103D30007D8FF24798C3EFF04F8FE2473E85B25038 +:103D400045618280797106D62AC6B2473ECEEFC061 +:103D5000CFF9AA873ECCF2479C433ECAE2473E8554 +:103D6000EFC0AFF7D2473E85B250456182805D71AA +:103D700086C62AC62EC402DCB2473ED802DAB24753 +:103D800081E77370043001A02247B70700FFF98F65 +:103D900081C77370043001A0C25791073ED6B25755 +:103DA000A1073ED4EFE00F81B257DC473EDEC25799 +:103DB0009843A2475D8FC25798C3BDA8F257DC4312 +:103DC0003ED2F2579C433ED002DA0257B70700FFBB +:103DD000F98F3ECE0257B7070001FD17F98F3ED08D +:103DE0007247B7070004F98F89EBC257984382578F +:103DF000F98F89CF85473EDA11A8C2579843825779 +:103E0000F98F02576314F70085473EDAD2579DC3F6 +:103E10007247B7070001F98F89C762578257D98F58 +:103E20003EDCC2579843B7070002D98FBE85725552 +:103E3000EFE00FF992573EDE7257A257E310F7F802 +:103E4000C2579843E25793C7F7FF7D8FC25798C375 +:103E5000EFD01FF8C2579C433E85B6406161828017 +:103E6000797106D62AC6B2473ECEF24791073ECCBC +:103E7000EFD05FF40DA0E247D847E247A1076315F2 +:103E8000F7007370043001A0E247DC47B705000279 +:103E90003E85EFE0EFF2E2479C43F1FF7245752A61 +:103EA000EFD01FF30100B25045618280011106CEB0 +:103EB0002AC62EC4A24532455D3D0100F24005618F +:103EC0008280011106CE2AC62EC4A2453245253570 +:103ED0000100F2400561828001112AC62EC432C25F +:103EE00002CE924781EB3247A247F98F81CF8547B7 +:103EF0003ECE09A83247A247F98F22476314F70044 +:103F000085473ECEF2473E850561828001112AC673 +:103F1000B2473ECCB24799E302CE21A0E2479C4F84 +:103F20003ECEF2473E8505618280397106DE2AC6A3 +:103F300002D2EFD03FE8975703009387E76E9C4388 +:103F400081EB5522975703009387076E054798C367 +:103F5000B24789CFA1473E87B247BA973EC6B2471C +:103F60008D8B89C7B247F19B91073EC6B247F9CB0B +:103F70003247E567ED1763E7E70C97570300938730 +:103F8000A7693ED497570300938707699C433ED6A1 +:103F900031A0B2573ED4B2579C433ED6B257DC4311 +:103FA000324763F5E700B2579C43E5F7325797571E +:103FB00003009387E7666307F708A2579C432147EE +:103FC000BA973ED2B2579843A25798C3B257D84334 +:103FD000B247B307F740214706076378F704325723 +:103FE000B247BA973ECEB257D843B2471D8FF24779 +:103FF000D8C3B2573247D8C3F247DC433ECC9757B9 +:104000000300938767613ED021A082579C433ED036 +:1040100082579C43DC436247E3E9E7FE82579843BB +:10402000F24798C38257724798C397C70100938796 +:10403000673B9843B257DC431D8F97C701009387B6 +:10404000673A98C3EFD0DFD8925799E3EF00F03684 +:1040500092573E85F25021618280797106D62AC638 +:10406000B2473ECCB247BDC3A147B307F040624759 +:10407000BA973ECCE2473ECAEFD0DFD3D247DC430B +:104080003EC897570300938727593ECE21A0F24799 +:104090009C433ECEF2479C43DC434247E3E9E7FEC4 +:1040A000F2479843D24798C3F247524798C3D24742 +:1040B000D84397C701009387E7329C433E9797C741 +:1040C00001009387273298C3EFD09FD00100B250F0 +:1040D0004561828097C701009387C7309C433E8526 +:1040E000828001008280411197C7010093870753A6 +:1040F000F19B3EC69757030093870752324798C3F8 +:10410000975703009387475123A20700975703004F +:104110009387075165677117D8C397570300938733 +:10412000275023A00700B2473EC4A2476567711716 +:10413000D8C3A247175703001307874E98C301003F +:104140004101828001112AC62EC432C2A2473ECE4E +:10415000B2473ECC3247A247D98F8D8BA1E319A835 +:10416000F2479843E24798C3E24791073ECCF247B3 +:1041700091073ECE9247F5173247BA976247E361FF +:10418000F7FE29A87247930717003ECEE2479386B1 +:10419000170036CC034707002380E70032479247D9 +:1041A000BA976247E360F7FEB2473E8505618280B9 +:1041B00001112AC62EC432C232479247D98F8D8B45 +:1041C0009DEFA24793F7F70F3ECAD247A207524787 +:1041D000D98F3ECAD247C2075247D98F3ECAB2478B +:1041E0003ECE39A0F247138747003ACE524798C3D4 +:1041F00032479247BA977247E366F7FE1DA0B2476F +:104200003ECC11A8E247138717003ACC2247137718 +:10421000F70F2380E70032479247BA976247E3637C +:10422000F7FEB2473E850561828001112AC6B2477A +:104230003ECE21A0F24785073ECEF24783C7070056 +:10424000F5FB7247B247B307F7403E8505618280B0 +:1042500001112AC62EC4B247138717003AC683C776 +:104260000700A30FF100A247138717003AC483C7C2 +:104270000700230FF1008347F10199C70347F101BC +:104280008347E101E309F7FC0347F1018347E101BB +:10429000B307F7403E850561828001112AC62EC40E +:1042A000B2473ECE01002247930717003EC4F247B3 +:1042B0009386170036CE034707002380E70083C7A5 +:1042C0000700F5F3B2473E850561828001112AC6D9 +:1042D00002CE02CC21A0B24785073EC6B24703C733 +:1042E000070093070002E308F7FEB24703C7070081 +:1042F0009307D0026309F700B24703C7070093078B +:10430000B0026311F704B24783C70700938737FDF4 +:1043100093B7170093F7F70F3ECCB24785073EC619 +:1043200015A07247BA878A07BA9786073ECEB2476A +:10433000138717003AC683C70700938707FD7247A4 +:10434000BA973ECEB24783C70700E1FFE24789C76D +:10435000F247B307F04011A0F2473E850561828025 +:1043600001112AC6975703009387C72D9C43938654 +:104370001700175703001307E72C14C332471377AE +:10438000F70F975603009386E62FB6972380E70032 +:104390003247A947630BF700975703009387872A93 +:1043A0009843930700046315F70497570300938716 +:1043B000672D3ECE05A8F247138717003ACE03C7F4 +:1043C0000700B70710F0938707F098C397570300CB +:1043D000938747279C431387F7FF975703009387DB +:1043E000672698C3975703009387C7259C43E1F737 +:1043F00081473E85056182804D7123261114232457 +:1044000081142322911423202115232E3113232CD0 +:104410004113232A51132ACE2ECC32C836CA3AC6AB +:104420003EC442C223260112B2473E8A814AC24795 +:1044300052485286D6863E85C285EF007075AA879F +:104440002E883E86C2868327C11213871700232633 +:10445000E11232878A07141AB69723AEE7EEB24705 +:104460003E848144D247268763E8E702D247268705 +:104470006396E700C247228763E0E702B2473E89BE +:1044800081494A86CE864245D245EF00B012AA87BE +:104490002E883EC842CA49BF010029A0F247E24522 +:1044A00012458297A2471387F7FF3AC40327C11228 +:1044B000E346F7FE25A88327C1128A07181ABA9780 +:1044C00003A7C7EF8327C1128A07141AB69783A6DA +:1044D000C7EFA54763F5D7009307700519A09307A9 +:1044E0000003BA977247E2453E8502978327C112BF +:1044F0001387F7FF2326E112E34FF0FA0100832030 +:10450000C114032481148324411403290114832931 +:10451000C113032A8113832A4113716182804111DF +:104520002AC62EC4A2460547635ED700B2479C4305 +:104530009D07E19B93868700324714C303A8470079 +:104540009C4335A0224719CB324718431306470036 +:10455000B24690C21843BA87014811A8324718439F +:1045600013064700B24690C21843BA8701483E85F9 +:10457000C2854101828041112AC62EC4A246054748 +:10458000635ED700B2479C439D07E19B93868700FB +:10459000324714C303A847009C4305A8224701CF14 +:1045A0003247184313064700B24690C21843BA87F1 +:1045B0007D873A8819A83247184313064700B24648 +:1045C00090C21843BA877D873A883E85C2854101EB +:1045D0008280397106DE22DC26DA2AC62EC432C277 +:1045E00036C011A863060424924785073EC2B2472D +:1045F000A24522858297924783C707003E8493078E +:104600005002E311F4FE924785073EC292473EC82E +:1046100093070002A30BF100FD573ECEFD573ECCA1 +:1046200002D09247138717003AC283C707003E841F +:104630009307D4FD130750056363F71E13972700F4 +:1046400097C70100938707A3BA97984397C70100BC +:10465000938747A2BA9782879307D002A30BF100F2 +:10466000C9B793070003A30BF10065BF02CC6247F3 +:10467000BA878A07BA978607A297938707FD3ECC29 +:10468000924783C707003E849307F00263D68702F0 +:104690009307900363C28702924785073EC2C1BF5A +:1046A0008247138747003AC09C433ECC39A0F2476B +:1046B000E3D907F602CEB5B70100F247E3D307F618 +:1046C000E2473ECEFD573ECCA9BF825785073ED07C +:1046D00089BF8247138747003AC09C433247A245AF +:1046E0003E850297A9A28247138747003AC08443B8 +:1046F00089E497C4010093846497F2476356F004F9 +:10470000034771019307D0026300F704E247BE85B7 +:104710002685BD2D2A87F247998F3ECE11A8834763 +:1047200071013247A2453E850297F247FD173ECE02 +:10473000F247E346F0FE09A8B247A24522858297D8 +:104740008504F247FD173ECE83C704003E841DC09A +:10475000E247E3C307FEE247FD173ECCE247E3DD55 +:1047600007FC09A8B247A245130500028297F24749 +:10477000FD173ECEF247E347F0FE55A88A878255E3 +:104780003E85D53BAA872E883ED442D6A2573258C2 +:10479000C28763D90702B247A2451305D0028297A8 +:1047A000A255325681470148B386B740368533B5A6 +:1047B000A7003307C840B307A7403E87B6873A88AB +:1047C0003ED442D6A9473ED21DA8A9473ED215A045 +:1047D000A1473ED239A885473ED0B247A24513052E +:1047E00000038297B247A245130580078297C1470D +:1047F0003ED28A8782553E851D332AD42ED6125743 +:10480000834771013E88F2472256B256A24532458F +:10481000E53631A8B247A2452285829709A8B2475A +:10482000A245130550028297C2473EC20100E1B380 +:104830000100F2506254D2542161828041112AC693 +:10484000B70710F0938707F2324798C301A05D7154 +:1048500006D62AC62EDA32DC36DEBAC0BEC2C2C4E2 +:10486000C6C69C0891173ECEF247BE8632468145A9 +:1048700017050000130505AFA93B81473E85B250DF +:104880006161828001112AC62EC41EC2A2473ECE9B +:10489000F2479C4332471377F70F2380E700F24734 +:1048A0009C4313871700F24798C30100056182807B +:1048B0001D7106DE2AC62EC4B2C4B6C6BAC8BECAA8 +:1048C000C2CCC6CE9C103ED25C084C081706000035 +:1048D000130686FB7D779346F7FF05651305058074 +:1048E0003388A5003378E8001368783B23A00701DC +:1048F0003295698F13677733D8C333F7D500931593 +:10490000470137870300130737394D8F98C733772F +:10491000D600931647013707030013077706558F14 +:10492000D8C70F100000B2473ED69C10A1173ED446 +:1049300022575C083E857C00BA862246BE855139E6 +:10494000B24723800700B2473E87B257B307F7400C +:104950003E85F250256182804111370510F0130524 +:1049600045F408412AC6370510F0130505F408413F +:104970002AC4370510F0130545F40841B248E39EF8 +:10498000A8FC32452A838143131703008146224540 +:10499000AA850146B3E7B6003368C700370710F0B1 +:1049A000130587F48565938505FA0146B386B7003C +:1049B000B688B3B8F8003307C800B387E8003E876D +:1049C000B6873A881CC1232205010100410182807B +:1049D000411106C651379307000873A04730010004 +:1049E000B24041018280011106CE2AC617B50100EE +:1049F0001305C57DEFF0BFE50100F240056182803F +:104A0000011106CE2AC617B501001305A57CEFF0EB +:104A10001FE40100F24005618280797106D62AC642 +:104A200002CE29A00100F24785073ECE7247856776 +:104A300093877738E3D8E7FE17B501001305057AA9 +:104A4000EFF0FFE0F1BF797106D62AC602CE29A0A9 +:104A5000AD2CF24785073ECE7247A547E3DAE7FE65 +:104A60000100B25045618280011106CE95221707E0 +:104A70000000130787FD814605469305200317B5FF +:104A8000010013052576EFE09F9E2AC6EFD00FC9DF +:104A9000AA87014781463E8685453245EFE05FA300 +:104AA0000100F24005618280011106CE02C6692C28 +:104AB0002A878547630CF70017B5010013050573B6 +:104AC000EFF0FFD8B24793E727003EC6B2473E85D6 +:104AD000F24005618280011106CE02C671371707C8 +:104AE000000013076704814605469305400617B585 +:104AF000010013052571EFE09F972AC6B24799CBB5 +:104B0000EFD0CFC1AA87014781463E868545324511 +:104B1000EFE01F9C02C4EFD00FA081473E85F2401A +:104B200005618280797106D62AC697C701009387EE +:104B3000678A9C431387470697C701009387878935 +:104B400098C397C70100938727899C43138747061B +:104B500097C701009387478898C397C70100938739 +:104B6000678798439307F07C63D3E70497C70100F6 +:104B7000938747869C431387078397C701009387D2 +:104B8000678598C315372ACEF24799EB97C701007E +:104B900093878784984385679387F77663DBE7007D +:104BA000F2473E85EFF09FC9EFD0CF9E21A00100D4 +:104BB00011A00100B250456182807370043001A0E1 +:104BC0000100828041112AC62EC47370043001A0F6 +:104BD000411106C6014691452945EFB0DFB72A8746 +:104BE00097570300938707AE98C32945EFC02FF767 +:104BF0002A8797570300938727AD98C397570300D9 +:104C0000938747AC9843975703009387E7AB9C4340 +:104C1000BE853A85EFC06FF681480148814701475C +:104C200081461306004097B501009385655E170520 +:104C3000000013054501EFC09F870100B24041010C +:104C40008280797106D62AC602CE975703009387D1 +:104C5000A7A79C4381453E85EFC0AFF92ACCE24728 +:104C6000EDD75C0881460146BE856245EFC0AF8244 +:104C70002A8785476308F70097B70100938707776E +:104C800023A00700D24772476316F700F247850753 +:104C90003ECE39A097B701009387477523A0070040 +:104CA00097B701009387877498438547E31FF7F808 +:104CB00097570300938787A19C4313871700975743 +:104CC00003009387A7A098C349B7411106C6975719 +:104CD00003009387E79F9C431387170097570300B0 +:104CE0009387079F98C3975703009387679E9843BE +:104CF0009307200363F6E704975703009387479DC4 +:104D000023A00700975703009387C79B9C438146C6 +:104D10000146975503009385E59B3E85EFB09FDCE8 +:104D20002A878547631EF700975703009387879A62 +:104D30009C4313871700975703009387A79998C33D +:104D40000100B24041018280975703009387C798C2 +:104D5000984397570300938767979C436318F7001E +:104D600097B701009387876823A007009757030030 +:104D70009387C7959843975703009387E79598C300 +:104D800097B70100938787669C433E858280000029 +:104D9000B305B500930705006386B70003C7070096 +:104DA000631607003385A7406780000093871700CC +:104DB0006FF09FFE130101FD23229102232A51016E +:104DC000232611022324810223202103232E3101D3 +:104DD000232C410123286101232671012324810111 +:104DE000232291012320A101930A05009384050049 +:104DF000639E0638130406009309050017B90100E5 +:104E00001309894163F8C512B7070100138B050028 +:104E10006378F6101307F00F3337C7001317370006 +:104E2000B357E6003309F900834609003387E600EB +:104E300093060002B386E640638C0600B394D40068 +:104E400033D7EA003314D600336B9700B399DA00F6 +:104E5000935A040193850A0013050B00EF00902A72 +:104E60001309050093850A00931B040113050B0029 +:104E7000EF00D02493DB0B0193040500930505009C +:104E800013850B00EF00D0201319090193D70901F6 +:104E9000B367F900138A040063FEA700B387870095 +:104EA000138AF4FF63E8870063F6A700138AE4FF20 +:104EB000B3878700B384A74093850A001385040055 +:104EC000EF0050241309050093850A0013850400A0 +:104ED000EF00D01E93990901930405009305050086 +:104EE0001319090113850B0093D90901EF00501A1A +:104EF000B36939011386040063FCA900B3093401C6 +:104F00001386F4FF63E6890063F4A9001386E4FFC7 +:104F100013140A013364C400130A00006F00001365 +:104F2000B707000113070001E36CF6EE13078001D9 +:104F30006FF01FEF138A0600631A06009305000046 +:104F400013051000EF00901713040500B7070100C8 +:104F5000637EF4129307F00F63F48700130A800056 +:104F6000B35744013309F9000347090093060002CF +:104F700033074701B386E64063940612B384844046 +:104F8000130A1000135B040193050B001385040042 +:104F9000EF0050171309050093050B00138504005B +:104FA000931B0401EF00901193DB0B0193040500A8 +:104FB0009305050013850B00EF00900D13190901EF +:104FC00093D70901B367F900938A040063FEA70031 +:104FD000B3878700938AF4FF63E8870063F6A7002E +:104FE000938AE4FFB3878700B384A74093050B003F +:104FF00013850400EF0010111309050093050B0041 +:1050000013850400EF00900B9399090193040500A8 +:10501000930505001319090113850B0093D90901A4 +:10502000EF001007B36939011386040063FCA9007F +:10503000B30934011386F4FF63E6890063F4A90021 +:105040001386E4FF13940A013364C40013050400BB +:1050500093050A008320C1020324810283244102B4 +:10506000032901028329C101032A8101832A410105 +:10507000032B0101832BC100032C8100832C4100F1 +:10508000032D01001301010367800000B707000131 +:10509000130A0001E366F4EC130A80016FF05FEC81 +:1050A0003314D40033DAE400B399DA0033D7EA00DA +:1050B000935A0401B394D40093850A0013050A009F +:1050C000336B9700EF0010041309050093850A0065 +:1050D00013050A00931B0401EF00407E93DB0B01D4 +:1050E000930405009305050013850B00EF00407A3B +:1050F0001319090113570B013367E900138A0400E0 +:10510000637EA70033078700138AF4FF6368870074 +:105110006376A700138AE4FF33078700B304A74030 +:1051200093850A0013850400EF00C07D1309050074 +:1051300093850A0013850400EF004078930405006E +:105140009305050013850B00EF00807413170B0106 +:105150001357070113190901B367E9001387040006 +:1051600063FEA700B38787001387F4FF63E8870017 +:1051700063F6A7001387E4FFB3878700131A0A01B9 +:10518000B384A740336AEA006FF0DFDF63ECD51E1B +:10519000B707010063F4F6041307F00FB335D70027 +:1051A0009395350033D7B60097B701009387C706AC +:1051B000B387E70003C70700130A00023307B700ED +:1051C000330AEA4063160A0213041000E3E096E88B +:1051D00033B6CA00134416006FF05FE7B70700014B +:1051E00093050001E3E0F6FC930580016FF09FFB5F +:1051F000B35CE600B3964601B3ECDC0033D4E400C4 +:1052000093DB0C01B397440133D7EA0093850B007D +:1052100013050400336BF700B3194601EF00806EED +:105220001309050093850B0013050400139C0C0162 +:10523000EF00C068135C0C019304050093050500A2 +:1052400013050C00EF00C0641319090113570B017B +:105250003367E90013840400637EA70033079701D6 +:105260001384F4FF636897016376A7001384E4FF57 +:1052700033079701B304A74093850B0013850400FF +:10528000EF0040681309050093850B0013850400A7 +:10529000EF00C062930405009305050013050C00A0 +:1052A000EF00005F93170B011319090193D7070152 +:1052B000B367F9001386040063FEA700B387970164 +:1052C0001386F4FF63E8970163F6A7001386E4FFF3 +:1052D000B387970113140401B70B01003364C400B2 +:1052E0001389FBFF337D240133F92901B384A740DF +:1052F0009305090013050D00EF008059935C04012C +:1053000093050900130B050013850C00EF004058AE +:1053100093D90901130C05009385090013850C002E +:10532000EF000057130905009385090013050D00D0 +:10533000EF0000563305850193570B013385A70015 +:10534000637485013309790193570501B3872701F8 +:1053500063E6F402E392F4BCB70701009387F7FF1A +:105360003375F50013150501337BFB0033964A01B5 +:1053700033056501130A0000E37AA6CC1304F4FF99 +:105380006FF09FB9130A0000130400006FF01FCCE8 +:10539000130101FB2324810423229104232E3103D2 +:1053A000232291032326110423202105232C4103CA +:1053B000232A510323286103232671032324810315 +:1053C0002320A103232EB101930C0500938905002E +:1053D0001304050093840500639E06261309060046 +:1053E000138A060097BA0100938A0AE363F4C5148E +:1053F000B70701006376F6129307F00F63F4C70056 +:10540000130A8000B3574601B38AFA0003C70A00A3 +:105410001305000233074701330AE540630C0A0015 +:10542000B395490133D7EC0033194601B364B70093 +:1054300033944C01935A090193850A0013850400A3 +:10544000EF00404C9309050093850A00131B0901E6 +:1054500013850400EF008046135B0B0193050500E4 +:1054600013050B00EF00C042939909019357040103 +:10547000B3E7F90063FAA700B387270163E62701C2 +:1054800063F4A700B3872701B384A74093850A007C +:1054900013850400EF0000479309050093850A0077 +:1054A00013850400EF0080411314040193050500E7 +:1054B0009399090113050B0013540401EF00403DBB +:1054C00033E48900637AA400330424016366240171 +:1054D0006374A400330424013304A440335544010D +:1054E000930500008320C104032481048324410424 +:1054F000032901048329C103032A8103832A410369 +:10550000032B0103832BC102032C8102832C410254 +:10551000032D0102832DC1011301010567800000E5 +:10552000B7070001130A0001E36EF6EC130A8001CD +:105530006FF05FED631A060093050000130510007D +:10554000EF00C03713090500B7070100637AF90EB1 +:105550009307F00F63F42701130A8000B357490142 +:10556000B38AFA0003C70A0013050002B384294175 +:1055700033074701330AE540E30E0AEA33194901CC +:10558000B3DAE900B395490133D7EC00935409012C +:10559000336BB70013850A0093850400EF00803653 +:1055A0009309050093850400931B090113850A00E4 +:1055B000EF00C03093DB0B019305050013850B0052 +:1055C000EF00002D9399090193570B01B3E7F90000 +:1055D00033944C0163FAA700B387270163E62701E0 +:1055E00063F4A700B3872701B38AA740938504001B +:1055F00013850A00EF00003193090500938504002C +:1056000013850A00EF00802B9305050013850B001E +:10561000EF00002893150B019399090193D505011B +:10562000B3E5B90063FAA500B385250163E625015A +:1056300063F4A500B3852501B384A5406FF09FDF17 +:10564000B7070001130A0001E36AF9F0130A8001A9 +:105650006FF0DFF0E3E8D5E8B707010063FCF6047C +:10566000930BF00F33B5DB001315350033D7A600CD +:1056700097B70100938747BAB387E70083CB07004A +:1056800093050002B38BAB00338B7541631E0B0295 +:1056900063E4360163EACC003384CC40B386D9405E +:1056A00033B58C00B384A640130504009385040031 +:1056B0006FF05FE3B707000113050001E3E8F6FAB6 +:1056C000130580016FF09FFAB3966601335D760192 +:1056D000336DDD0033D47901B395690133DC7C018E +:1056E00093540D01336CBC00130504009385040032 +:1056F000B31A6601EF000021130A05009385040028 +:105700001305040033996C01931C0D01EF00001B7D +:1057100093DC0C01130405009305050013850C00B0 +:10572000EF000017131A0A0113570C013367EA0040 +:10573000130A0400637EA7003307A701130AF4FFCE +:105740006368A7016376A700130AE4FF3307A70184 +:10575000B309A7409385040013850900EF00801A60 +:10576000938504001304050013850900EF0000155C +:10577000930505009304050013850C00EF0040110C +:1057800093150C011314040193D50501B365B400FE +:105790001387040063FEA500B385A5011387F4FFFA +:1057A00063E8A50163F6A5001387E4FFB385A501AF +:1057B000131A0A01B70C0100336AEA001384FCFFD4 +:1057C000B3778A0033F48A00B384A54013850700B9 +:1057D000930504002326F100135A0A01EF00400B41 +:1057E000930905009305040013050A00EF00400A21 +:1057F00013DC0A01930D050093050C0013050A0044 +:10580000EF0000098327C100130A050093050C006F +:1058100013850700EF00C0073305B50113D7090151 +:105820003307A7006374B701330A9A01B707010071 +:105830009387F7FF935507013377F7001317070195 +:10584000B3F7F900B3854501B307F70063E6B40089 +:10585000639EB400637CF90033865741B3B7C70039 +:10586000B385A541B385F54093070600B307F9401A +:105870003339F900B385B440B385254133947501BC +:10588000B3D767013365F400B3D565016FF09FC5E9 +:10589000130605001305000093F615006384060047 +:1058A0003305C50093D5150013161600E39605FEC3 +:1058B000678000006340050663C605061386050081 +:1058C000930505001305F0FF630C06029306100014 +:1058D000637AB6006358C00013161600939616003C +:1058E000E36AB6FE1305000063E6C500B385C54054 +:1058F0003365D50093D6160013561600E39606FEC0 +:105900006780000093820000EFF05FFB13850500C5 +:10591000678002003305A04063D80500B305B0409E +:105920006FF0DFF9B305B04093820000EFF01FF98C +:105930003305A040678002009382000063CA05001F +:10594000634C0500EFF09FF71385050067800200A8 +:10595000B305B040E35805FE3305A040EFF01FF655 +:085960003305B040678002002E +:02000004800278 +:1000000049444C45000000001C31FEFFE430FEFF77 +:10001000F230FEFF0031FEFF0831FEFF2C32FEFF02 +:10002000F431FEFF0232FEFF1032FEFF1832FEFFF7 +:10003000546D722053766300B037FEFFB037FEFF79 +:10004000B037FEFF2E38FEFFFE37FEFF2438FEFFDE +:10005000B037FEFFB037FEFF2E38FEFFFE37FEFF43 +:10006000546D725100000000286E756C6C29000000 +:10007000B245FEFFAE47FEFFA447FEFFAE47FEFFC0 +:10008000AE47FEFFAE47FEFFAE47FEFF3046FEFF27 +:10009000AE47FEFFAE47FEFFE845FEFF3E46FEFFD1 +:1000A000AE47FEFFF245FEFFFC45FEFFFC45FEFFAE +:1000B000FC45FEFFFC45FEFFFC45FEFFFC45FEFF48 +:1000C000FC45FEFFFC45FEFFFC45FEFFAE47FEFF84 +:1000D000AE47FEFFAE47FEFFAE47FEFFAE47FEFF58 +:1000E000AE47FEFFAE47FEFFAE47FEFFAE47FEFF48 +:1000F000AE47FEFFAE47FEFFAE47FEFFAE47FEFF38 +:10010000AE47FEFFAE47FEFFAE47FEFFAE47FEFF27 +:10011000AE47FEFFAE47FEFFAE47FEFFAE47FEFF17 +:10012000AE47FEFFAE47FEFFAE47FEFFAE47FEFF07 +:10013000AE47FEFFAE47FEFFAE47FEFFAE47FEFFF7 +:10014000AE47FEFFAE47FEFFAE47FEFFAE47FEFFE7 +:10015000AE47FEFFAE47FEFFAE47FEFFAE47FEFFD7 +:10016000AE47FEFFAE47FEFFAE47FEFFAE47FEFFC7 +:100170006246FEFF0C47FEFFAE47FEFFAE47FEFFA6 +:10018000AE47FEFFAE47FEFFAE47FEFFAE47FEFFA7 +:10019000AE47FEFF5A46FEFFAE47FEFFAE47FEFFEC +:1001A0006047FEFF6647FEFFAE47FEFFAE47FEFF1D +:1001B0007646FEFFAE47FEFF5A47FEFFAE47FEFF04 +:1001C000AE47FEFF7E47FEFF6D69616F750A000056 +:1001D0006D69616F75320A0068756E6772790A0021 +:1001E00054696D65723200004572726F7220696EDB +:1001F00020787878207461736B73200D0A000000FA +:10020000436865636B54696D657200005365745093 +:100210006F6C6C0000010202030303030404040476 +:100220000404040405050505050505050505050582 +:100230000505050506060606060606060606060662 +:10024000060606060606060606060606060606064E +:100250000606060607070707070707070707070732 +:10026000070707070707070707070707070707071E +:10027000070707070707070707070707070707070E +:1002800007070707070707070707070707070707FE +:1002900007070707080808080808080808080808E2 +:1002A00008080808080808080808080808080808CE +:1002B00008080808080808080808080808080808BE +:1002C00008080808080808080808080808080808AE +:1002D000080808080808080808080808080808089E +:1002E000080808080808080808080808080808088E +:1002F000080808080808080808080808080808087E +:10030000080808080808080808080808080808086D +:0403100008080808C9 +:100314001000000000000000017A5200017C01017D +:100324001B0D02004C00000018000000844AFEFF70 +:10033400DC05000000440E307089039507810188B4 +:100344000292049305940696089709980A990B9AC1 +:100354000C0370020AC144C844C944D244D344D4EF +:1003640044D544D644D744D844D944DA440E00444E +:100374000B00000050000000680000001050FEFF59 +:100384000005000000440E50748802890393059907 +:100394000B810192049406950796089709980A9A86 +:1003A4000C9B0D0320010AC144C844C944D244D360 +:1003B40044D444D544D644D744D844D944DA44DB5D +:0803C400440E00440B00000090 +:1003D800AAAAAAAA08000000FC8F010009000000D0 +:0403E8000100000010 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/QueueSetPolling_rv32ic_O3.hex b/VexRiscv/src/test/resources/freertos/QueueSetPolling_rv32ic_O3.hex new file mode 100644 index 0000000..d24bff3 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/QueueSetPolling_rv32ic_O3.hex @@ -0,0 +1,1718 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900061D1170502001305E5529795030093857A +:1000A000257A01461122170502001305A547970579 +:1000B00002009385E54E0146C52817A503001305E8 +:1000C000657897B503009385A57737B6ABAB130674 +:1000D000B6BAD92817B103001301C176EF60E04A20 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2423223A02200F32210344C +:1002400096DEEF00002B170102000321E13002418E +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E23123A0120023A2A1 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF4010531701020003214C +:10033000A1220241F65273901234B7220000938238 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A22383A0A8 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2421023A0220086DEEF2032 +:10046000205A170102000321210F0241F652739016 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF403033EF10503601E5DF +:10050000B24041018280B24041016F20604F9307A9 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C38280411122C406C626C23C412A84F7 +:1005A00081EF1C41A5CF01451C5C85071CDCB240D6 +:1005B0002244924441018280B2841DE608453E8671 +:1005C000EF30304B1C4434405840B6971CC4E3EC29 +:1005D000E7FC1C4001451CC41C5C85071CDCB240C8 +:1005E000224492444101828048453E86EF30704863 +:1005F00038405C4414403307E040BA975CC463F56C +:10060000D7005C403E9758C48947E39EF4F81C5CD1 +:10061000D9DB1C5C0145FD171CDC79B74841EF2094 +:10062000302F2322040049B7011106CE22CC26CA5E +:1006300060492AC615C0185C5C5C6365F70073707E +:10064000043001A0185C8144636BF700F2406244FF +:100650002685D244056182807370043001A02E8605 +:1006600022856C00053F3844FD57AA846306F700D5 +:100670003C4485073CC4D9BF5C50E9DB1305440208 +:10068000EF20207A61D58544D1B73041AE8701CEC5 +:100690004C455841B2954CC563E4E5000C414CC54E +:1006A0003E856F30103D8280797122D406D62A842F +:1006B00026D24AD04ECE52CC56CAEF20102E3C4401 +:1006C000635CF002130A4402FD590549644889C875 +:1006D000985CDC5C22C66367F7067370043001A087 +:1006E0005C5099CB5285EF20C0732DED3C44FD1733 +:1006F0003CC43C44E34CF0FCFD573CC4EF20302CA0 +:10070000EF20B0297C406352F0021C4899CF93043B +:1007100004012685EF20E07029E97C40FD177CC0AC +:100720007C406354F0001C48EDF7FD577CC0EF207F +:100730001029B250225492540259F249624AD24AC4 +:1007400045618280985CE373F7FA01466C00268568 +:100750009135BC44AA8A638D3701BC448507BCC46B +:10076000E3962AF9EF20300C51B7EF20D00B75B784 +:10077000DC50FDD713854402EF20A06A75D1DDB7A8 +:1007800029CD011122CC2A8406CE26CA4AC84EC6DB +:100790002E89EF20902024404C5C8329040026857C +:1007A000EF5050407D57232C0402B3079540CE975D +:1007B0004E9578C048C0232434015CC438C463110A +:1007C00009021C488DE7EF20901FF2406244D2449A +:1007D0004249B2490545056182807370043001A029 +:1007E000130504012D33130544021533E9BF130526 +:1007F0000401EF2000638547E317F5FC0139E1B7F9 +:1008000001E57370043001A0011126CA4AC84EC622 +:1008100006CE22CCAA842E89B289B5C9EF50903871 +:1008200013059505EF30B00C2A8425C9930785057B +:100830001CC144DC23202405EF20301624404C5CEE +:10084000032904002685EF50F035FD56232C0402C1 +:10085000B30795403307A900CA9774C058C05CC459 +:1008600034C4130504012324240155311305440223 +:100870007939EF20D01423083405232A0404228573 +:10088000F2406244D2444249B24905618280130574 +:100890008005EF30D0052A8401E57370043001A093 +:1008A00000C041BF797126D2AA841305800522D4E5 +:1008B00006D64AD04ECE52CCEF3070032A8421C9DE +:1008C000232C0502FD577CC10547130A05013CC4D2 +:1008D000528558DC23220400232004002324040032 +:1008E000232604002320040423089404232A040458 +:1008F0003939130944024A8519393C4002C28DC373 +:100900007370043001A022854533EF101011228549 +:10091000B250225492540259F249624A456182808F +:10092000EF20E07201E59247639C070AEF20F00692 +:10093000185C5C5C8144FD596362F7069247C1C351 +:1009400081E42800EF200061EF207007EF10E067DE +:10095000EF20B0047C4063943701232204043C441C +:100960006394370123240404EF2070054C00280011 +:10097000EF20606049F9EF205002185C5C5C630373 +:10098000F704EF20D00322850533EF1010098544CA +:10099000EF20B000185C5C5CE372F7FA304021E6AF +:1009A0001C40ADC701451C5C784885071CDC39CB71 +:1009B00081452285953985476313F500813CEF20F9 +:1009C0001000B1B7EF20A07F92455285EF2020277D +:1009D0002285D939EF1070045DF90D3C85444DBF77 +:1009E0007370043001A008448145EF3090081C4426 +:1009F00034405840B6971CC4E3E6E7FA1C40014572 +:100A00001CC455B75C5089EB5DD94DBF4840EF2001 +:100A100020702322040041BF4A85EF208040854793 +:100A2000E31FF5F861BF01E57370043001A0637541 +:100A3000B5007370043001A0411122C409462E8410 +:100A4000814506C6753B11C500DDB2402244410117 +:100A500082807370043001A0397106DE22DC26DA50 +:100A60004AD84ED652D456D25AD032C66300051058 +:100A70006388050E89476399F600585D85476305CD +:100A8000F7007370043001A0B6842E892A84EF2009 +:100A9000005C11E5B24781C77370043001A0EF20FC +:100AA000C06F185C5C5C8149094B7D5A930A040154 +:100AB0006367F706638F640BB24763890712639518 +:100AC00009002808EF200049EF20606FEF10E04F89 +:100AD000EF20A06C7C4063944701232204043C4433 +:100AE0006394470123240404EF20606D6C00280800 +:100AF000EF2060486316050EEF20206A185C5C5CEE +:100B00006302F704EF20A06B2285793EEF10E070BE +:100B10008549EF208068185C5C5CE37DF7F8304025 +:100B200039CA6399040C0844CA85EF3080741C44A8 +:100B300034405840B6971CC463E1E7041C400145AB +:100B40001CC42DA8EF20A067B2455685EF20200FCA +:100B50002285993EEF10606C45FD4D38854955BFA3 +:100B60003C41E38907F07370043001A073700430D6 +:100B700001A0304005EE1C40D5C301451C5C7848FF +:100B800085071CDC51C7A6852285793C854763040F +:100B9000F508EF20C0620545F2506254D254425924 +:100BA000B259225A925A025B216182804844CA8516 +:100BB000EF30206C3C4058441440B307F0403E975F +:100BC00058C46378D7005840BA975CC48947E39605 +:100BD000F4FA1C5CDDD31C5C0145FD171CDC79BFFD +:100BE0002285D934EF10606301457DB7EF20205D89 +:100BF00001455DB74844CA85EF30A0673C40584482 +:100C00001440B307F0403E9758C4E371D7FC65BF6A +:100C10005C5099EB3DDDEFF06FFFA5BF4840EF2042 +:100C2000204F2322040099BF13054402EF20601FC8 +:100C30008547E310F5F6C5B70DC5411122C426C29C +:100C400006C64AC0032945002A84EF20803F81441C +:100C5000630CA900B2402244268502499244410116 +:100C600082807370043001A05C448544FD175CC42D +:100C7000F5F38146014681452285F93BE1BF39CD37 +:100C8000A9C9011122CC26CA4AC852C406CE4EC6F2 +:100C90008947B68432892E8A2A846399F600585D82 +:100CA00085476300F7067370043001A03938185C7B +:100CB0005C5CAA896367F70281444E85EFF09F80F0 +:100CC000F240624426854249D244B249224A056133 +:100CD00082803C41DDD77370043001A07370043012 +:100CE00001A0304049E21C40DDCB1C5C7D578507EC +:100CF0001CDC3C446388E7083C44854485073CC4CD +:100D00006DBFEFF08FFC185C5C5CAA89636DF70225 +:100D1000304071DA4844D285EF30A0553C40584409 +:100D20001440B307F0403E9758C46375D70058404D +:100D3000BA975CC48947E39AF4FA1C5CDDD71C5C63 +:100D4000FD171CDC5DB7304059DE4844D285EF30DA +:100D500040523C4058441440B307F0403E9758C4BA +:100D6000E367D7FCD9BFDDF40844D285EF3060508B +:100D70001C4434405840B6971CC4E3E8E7F61C40D6 +:100D80001CC4A5B77C4895C3A685228571388544C7 +:100D9000E31595F2E30309F22320990039BF484097 +:100DA000EF2000372322040089B75C508544E38696 +:100DB00007F013054402EF20C00669FDFDBD31CDEB +:100DC0003C4181C77370043001A01C41B1CB0111BB +:100DD00022CC26CA2A844AC84EC606CE2E89EFF0F7 +:100DE000CFEE185C5C5CAA898144637DF7001C5CD3 +:100DF0007D5785071CDC3C446389E7023C448544FD +:100E000085073CC44E85EFF0EFEBF2406244268547 +:100E10004249D244B249056182807370043001A016 +:100E20005C41D5D77370043001A07C4891CB8145DB +:100E30002285EFF06FFF8547630BF5008544D9B736 +:100E40005C50EDDF13054402EF10B07D65D9E30778 +:100E500009FE85472320F900D5B7397106DE22DC6B +:100E600026DA4AD84ED652D432C6630A050E6382B9 +:100E7000050EB6892E892A84EF20601D11E5B24740 +:100E800081C77370043001A0EF2020311C5CD1E7D2 +:100E9000B247638E07102808EF20C00BFD54130AD9 +:100EA000440239A8EF20A0312285EFF0EFFFEF10C8 +:100EB000C036EF20802E1C5CADE3B2476389070E7D +:100EC000EF20E02FEF106010EF20202D7C40639486 +:100ED0009700232204043C446394970023240404D1 +:100EE000EF20E02D6C002808EF20E00855E9EF2006 +:100EF000C02A1C5CC5FBEF20802C1C40BDC7B2453E +:100F00005285EF10D0532285EFF00FFAEF10E0304A +:100F100031C9EF2080281C5CCDD3CA85228544448A +:100F2000EFF0AFF6639B09041C5C1840FD171CDC56 +:100F300001E7EF20402D48C01C48B9EBEF202028E6 +:100F40000545F2506254D2544259B259225A216195 +:100F500082803C41E38F07F07370043001A073700E +:100F6000043001A0EFF08FCA6DB7EF200023484096 +:100F7000EF20E00FEF20A02459B75C5044C4DDDF20 +:100F800013054402EF10F06955D9EFF02FC87DB773 +:100F900013050401EF10F0688547E311F5FAF5B782 +:100FA0002285EFF06FF0EF104027014559BFEF2089 +:100FB0000021014579B71DC9411122C44AC006C6A6 +:100FC00026C244412E892A84EF20A0076383A4020D +:100FD00081464A8681452285493585476300F50269 +:100FE000B24022449244024941018280737004302D +:100FF00001A05C44054585075CC4DDB75C448507FA +:101000005CC4F9BF15CDADC9011122CC26CA4AC8AE +:101010004EC652C42A8406CE3289AE89EFF0EFCA9A +:101020001C5C2A8A814485E35285EFF0AFC9F24007 +:10103000624426854249D244B249224A05618280EF +:101040007370043001A0304001CE4C445C40B29536 +:101050004CC463E4F5000C404CC44E85EF30602175 +:101060001C5C7D57FD171CDC7C40638CE7007C40DA +:10107000854485077CC04DBF3C41D9D7737004308F +:1010800001A01C4899E3854445B713050401EF10FE +:10109000505975D9E30909FE85472320F900E5B7C2 +:1010A00021C93C41A1CDA1CB011122CC26CA4AC8FD +:1010B00052C42A8406CE4EC62E8AEFF00FC11C5CA5 +:1010C0002A89814491CB8329C400D2852285EFF0FF +:1010D000CFDB8544232634014A85EFF0AFBEF240D2 +:1010E000624426854249D244B249224A056182803F +:1010F0007370043001A07370043001A0EDDF7370D1 +:10110000043001A001E57370043001A0411122C434 +:101110002A8406C6EF206008005CEF20400AB24037 +:10112000228522444101828001E57370043001A0D0 +:10113000411106C622C42A84EF2020061C5C405CB4 +:101140001D8CEF20C0072285B240224441018280DD +:1011500019C1085D82807370043001A01DCD97878E +:1011600003009387276AD843630CE502D847630CD2 +:10117000E504D84B6307E504D84F6302E504D85370 +:10118000630DE502D8576308E502D85B6303E50207 +:10119000D85F630EE5006F30A0077370043001A0C4 +:1011A00001470E07BA9723A007006F3060061D475E +:1011B000CDBF1947FDB71547EDB71147DDB70D474F +:1011C000CDB70947F9BF0547E9BF684582806CC5BF +:1011D000828003450505828009C5085D1335150029 +:1011E00082807370043001A019C51C5D485D1D8D9F +:1011F0001335150082807370043001A097870300B7 +:1012000093874760984305C3984705C7984B05C720 +:10121000984F05C7985305C7985705C7985B15C7DA +:10122000985F15C3828001470E07BA978CC3C8C365 +:1012300082800547D5BF0947C5BF0D47F5B71147A0 +:10124000E5B71547D5B71D47C5B71947F1BF97870C +:1012500003009387275BD8436308E502D847630BF5 +:10126000E502D84B630AE502D84F6309E502D8537B +:101270006308E502D8576307E502D85B6308E50217 +:10128000D85F6303A702828001470E07BA9723A0A5 +:10129000070082800547D5BF0947C5BF0D47F5B791 +:1012A0001147E5B71547D5B71D47C5B71947F1BF72 +:1012B000797122D426D24AD02A8406D64ECE52CC78 +:1012C00056CAAE843289EF10506D7840FD576314D2 +:1012D000F700232204043844FD576314F700232445 +:1012E0000404EF10D06D1C5C99E74A86A6851305AF +:1012F0004402EF10D027EF10506A3C44635CF002C8 +:10130000130A4402FD590549644889C8985CDC5CAD +:1013100022C66367F7067370043001A05C5099CB56 +:101320005285EF10103035ED3C44FD173CC43C4471 +:10133000E34CF0FCFD573CC4EF107068EF10F06513 +:101340007C406352F0021C4899CF93040401268527 +:10135000EF10302D31E97C40FD177CC07C40635498 +:10136000F0001C48EDF7FD577CC0EF105065B250FF +:10137000225492540259F249624AD24A456182800B +:10138000985CE373F7FA01466C002685EFF08FA0B6 +:10139000BC44AA8A638D3701BC448507BCC4E3956D +:1013A0002AF9EF10504849B7EF10F0476DB7DC50FD +:1013B000FDD713854402EF10D02675D1DDB701E5C6 +:1013C0007370043001A0411126C2AA840A051305D6 +:1013D000950522C406C64AC0EF2070512A843DC13B +:1013E000930785051CC191473CC144DDEF10F05ABD +:1013F00024404C5C032904002685EF40B07AFD565A +:10140000232C0402B30795403307A900CA9774C080 +:1014100058C05CC434C41305040123242401EFF034 +:101420000F8F13054402EFF08F8EEF1050592308F1 +:101430000404232A04042285B2402244924402492F +:10144000410182807370043001A0411122C426C280 +:101450004AC02A8406C62E89EF1030547C48814445 +:1014600091CBEF10D055B2402244268502499244D8 +:10147000410182801C5C8144EDF7232A24058544C8 +:10148000CDB77C496385B70081473E858280185D72 +:10149000814765FF411122C42A8406C6EF10F04F30 +:1014A000232A0404EF10B051B240224485473E8500 +:1014B0004101828001112E8681466C0006CE02C653 +:1014C000693AF240324505618280011106CE22CC94 +:1014D00026CA02C605C12A84EFE03FFF1C5CAA842D +:1014E00089EF2685EFE01FFEF24062443245D24488 +:1014F000056182807370043001A0304001CE4C44FD +:101500005C40B2954CC463E4F5000C404CC46800E8 +:10151000EF2030561C5C7D57FD171CDC7C40638639 +:10152000E7007C4085077CC06DBF1C48DDDB1305F0 +:101530000401EF10100F75B71DC179714ECE52CC5A +:1015400006D63E8A22D426D24AD056CA5AC85EC689 +:10155000A547BA8963F8E7007370043001A073707F +:10156000043001A02A8BAE843289B68BC28A63070D +:10157000082213050006EF2090372A84630B05200C +:101580000A09232855034A869305500A5685EF20F9 +:10159000505C03C704001C587119230AE40203C7F6 +:1015A00004003E991379C9FF79C783C71400A30AC1 +:1015B000F40283C71400E1C383C72400230BF402A1 +:1015C00083C72400CDCB83C73400A30BF40283C7A9 +:1015D0003400D5C383C74400230CF40283C74400FE +:1015E000D9CB83C75400A30CF40283C75400C1C7EE +:1015F00083C76400230DF40283C76400ADCF83C7A3 +:101600007400A30DF40283C77400B5C783C78400B8 +:10161000230EF40283C78400B9CF83C79400A30EBE +:10162000F40283C79400A1CB83C7A400230FF40264 +:1016300083C7A400A9C383C7B400A30FF40283C760 +:10164000B40095CB83C7C4002300F40483C7C4004F +:101650009DC383C7D400A300F40483C7D40081CF03 +:1016600083C7E4002301F40483C7E40089C783C768 +:10167000F400A301F404930A44005685A301040472 +:101680002326340323283405232A0404EFE07FE9CA +:1016900013058401EFE0FFE8A947B3873741232C06 +:1016A000040400C81CCC40D023220404232E0404CC +:1016B0005E86DA854A85EFE0FFE108C063040A0030 +:1016C00023208A007370043017F90100130909E719 +:1016D0008327090097F40100938404E891C7984098 +:1016E0009C407C4385077CC317F701001307C7E5BF +:1016F0001C43850797F6010023A8F6E49C40C5CB60 +:101700008327090017FB0100130B8BF389EB9C4027 +:10171000DC5763E6F90097F7010023AF87E297F7FC +:1017200001009387A7E09C4317F70100130747E1E7 +:1017300048541843850797F6010023A9F6DE3CC4F8 +:101740006376A70097F7010023ACA7DED145EF40F1 +:101750007045D6855A95EFE03FDD8327090081C7A4 +:101760009C40FC43B5E383270900054499C79C408E +:10177000DC5763F43701EFE07FC92285B250225471 +:1017800092540259F249624AD24A424BB24B4561E5 +:1017900082805685EF20D0277D54C5B713152600CB +:1017A000EF20F014AA8AE31605DC7D54F9B797F709 +:1017B000010023A387DA184385476301F70217FB6B +:1017C0000100130BEBE7A1BF984094407C43FD1749 +:1017D0007CC3FC42C9FB7360043071B7014A17FB3C +:1017E0000100130BEBE5A94B5285D145EF40903B2F +:1017F000050A5A95EFE0BFD1E3187AFF97FB010085 +:10180000938B8BF05E85EFE09FD017FA0100130AEF +:10181000EAF05285EFE0BFCF17F50100130545F15F +:10182000EFE0FFCE17F501001305C5F1EFE03FCE65 +:1018300017F50100130545F2EFE07FCD97F70100A2 +:1018400023AA77D197F7010023A447D1C9BD41113D +:1018500022C406C626C24AC02A847370043097F494 +:1018600001009384A4CD9C40F9E311E497F70100B3 +:10187000938787CE8043130944004A85EFE05FCF0A +:101880001C5489C713058401EFE09FCECA8517F564 +:101890000100130525EBEFE03FC997F7010093879F +:1018A000E7CA984397F70100938747C89C43050709 +:1018B00097F6010023ACE6C89840850797F601002B +:1018C00023A6F6C601CB97F701009387E7C8984394 +:1018D000784349EF9C40B1C797F701009387C7C78A +:1018E0009843630F870873700430984039EB17F7FB +:1018F0000100130727C614439442A5E27D5797F6CB +:10190000010023A3E6C2984009CF9843784311CB46 +:10191000984394437C43FD177CC3FC4299E3736076 +:101920000430B2402244924402494101828097F738 +:101930000100938767C298439C437C4385077CC31F +:101940002DB794439843F8420507F8C217F70100F2 +:10195000130747C014439442D5D2184358475847F9 +:10196000584397F6010023A1E6BC71BF9843944306 +:101970007C43FD177CC3FC42B1FF7360043099BF08 +:1019800097F70100938707BA9C4381C773700430AF +:1019900001A02244B2409244024941016FE01FA7D6 +:1019A00041C517F70100130727BB14438147638B19 +:1019B000A606411106C622C426C27370043097F6EB +:1019C00001009386A6B79C4291C710431C437C42FA +:1019D00085077CC29C42AA84404981C71C43FC43C2 +:1019E000B9E797F701009387E7B69C43638B8704B9 +:1019F00097F701009387C7B59C436384870497F7E3 +:101A00000100938767D56309F40297F70100938774 +:101A100067D36301F4028547B240224492443E8575 +:101A2000410182803E8582807370043001A0EF40C6 +:101A3000302B45BF9147CDB79C5493B7170089070A +:101A4000E1BF8947D1BF7370043097F701009387D6 +:101A5000E7AE984309EF15C59C43485581CB97F7EE +:101A60000100938767AF9843784315E3828017F7A7 +:101A70000100130767AE14431843F8420507F8C284 +:101A800061FD17F70100130727AD0843F1B798432D +:101A900094437C43FD177CC3FC42E9FB7360043034 +:101AA0008280411122C42A8406C6EFE01FA211E4FD +:101AB00097F70100938747AA80434054EFE09FA027 +:101AC000B2402285224441018280A54763F5B700D8 +:101AD0007370043001A0011122CC06CE26CA4AC878 +:101AE0004EC652C42A847370043017F901001309DA +:101AF000E9A483270900CDEF71C43C486388F50849 +:101B000017F70100130747A563FCB7001443638C64 +:101B1000860C18434457B3B4950093C4140029A00D +:101B20000443818C93B4140058546383E70A1C4C1B +:101B30002CC863C60700A947B385B7400CCC9317E0 +:101B40002700BA97584897F90100938969AF8A0727 +:101B5000CE97631AF702130A44005285EFE05FA1A3 +:101B600097F701009387C79D48549C4363F6A700ED +:101B700097F7010023A6A79CD145EF40B002D2857C +:101B80004E95EFE07F9A99C0EFE05F8883270900C8 +:101B900081CB97F701009387279C9C43FC439DEBE7 +:101BA000F2406244D2444249B249224A05618280ED +:101BB00097F701009387479A98439C437C43850796 +:101BC0007CC305FC97F7010093870799804335B7DD +:101BD0004CD4B1BF6244F240D2444249B249224A95 +:101BE00005616F40F00F814481B725C1011126CAFC +:101BF00097F40100938444969C4006CE22CC4AC8B8 +:101C00004EC6638DA7027370043017F901001309E3 +:101C1000E9928327090091C798409C407C4385073F +:101C20007CC3584997F70100938707B36302F70213 +:101C30008327090081C79C40FC43A5EBF240624426 +:101C4000D2444249B249056182807370043001A0D8 +:101C50001C5517F701001307A7ADE38BE7FCE9FB61 +:101C6000930945002A844E85EFE09F9097F7010085 +:101C70009387078D48549C4363F6A70097F70100AC +:101C800023A0A78CD145EF40E07197F7010093871F +:101C9000279B3E95CE85EFE03F899C405854DC570A +:101CA000E368F7F8EFE08FF661B76244F240D244A0 +:101CB0004249B24905616F40B00215CD011122CCF5 +:101CC00026CA4EC62A8406CE4AC8EFE01F8058486E +:101CD00097F70100938747A8AA8981446300F70218 +:101CE0004E85EFE02FFEF240624426854249D24401 +:101CF000B249056182807370043001A01C5417F54D +:101D000001001305E5A2E38DA7FCF9FB97F701009D +:101D1000938747819C43A9EB97F701009387C7837B +:101D20009C43445413094400DC574A85B3B4F4007F +:101D3000EFE01F8497F701009387878048549C4306 +:101D400093C4140063F6A70097E7010023AAA77EB7 +:101D5000D145EF40206597F701009387678ECA85CC +:101D60003E95EFE06FFCADBF93058401EFE0CFFB44 +:101D700085BF0111056506CE22CC26CA4AC84EC6CB +:101D800052C456C25AC0EF208036630E051AAA8488 +:101D900013050006EF20A0352A84630A051C05669A +:101DA0009305500A04D92685EF20A05A0458856768 +:101DB000F117BE94B7474C4593879744130A4400E4 +:101DC0005CD85285230C0402A301040423260402D8 +:101DD00023280404232A0404EFE0AFF4130584014C +:101DE000EFE02FF4A947232C0404F19800C81CCC81 +:101DF00040D023220404232E04040146971500003A +:101E00009385E5B12685EFE0EFEC08C073700430F0 +:101E100017E90100130989728327090097E401007B +:101E20009384847391C798409C407C4385077CC30E +:101E300017E70100130747711C43850797E6010068 +:101E400023A4F6709C40C9C38327090097E90100C9 +:101E50009389097F81EB9C40DC5789E797E7010074 +:101E600023AC876E97E701009387476C984397E60A +:101E700001009386E66C5C549442050717E6010066 +:101E80002326E66A38C463F6F60017E70100232923 +:101E9000F76A139527003E950A05D2854E95EFE027 +:101EA000AFE88327090081C79C40FC43DDE7832717 +:101EB000090091C39C40EF10F04985476305F50C7C +:101EC00059E17370043001A097E7010023A68768E9 +:101ED0001843854797E9010093898976E314F7F859 +:101EE000CE8A17FB0100130B2B825685D10AEFE037 +:101EF0000FE2E31C5BFF17F501001305E580EFE03F +:101F00000FE197FA0100938A6A815685EFE02FE08E +:101F100017F501001305C581EFE06FDF17F501002C +:101F200013054582EFE0AFDE17F501001305C5820A +:101F3000EFE0EFDD97E7010023AE676197E701006F +:101F400023A8576105B7F2406244D2444249B249DE +:101F5000224A924A024B05618280984094407C4319 +:101F6000FD177CC3FC42A1F77360043089B762445B +:101F7000F2404249B249224A924A024B2685D24453 +:101F800005616F20E02873700430FD5717E70100EA +:101F9000232CF758624497E7010023A1A75AF24087 +:101FA000D2444249B249224A924A024B97E7010081 +:101FB00023AA075805616FE0CFAE7370043097E72E +:101FC000010023AD07566FE00FBC17E701001307B0 +:101FD00067551C4385071CC382807370043097E7E4 +:101FE00001009387A755984305E317E701001307FE +:101FF000675508439C4381CB97E701009387C755FA +:102000009843784301EF828017E701001307C75414 +:1020100014431843F8420507F8C2C1BF98439443DC +:102020007C43FD177CC3FC42F9FF7360043082805F +:10203000411106C622C4EFE04FC997E7010093871C +:1020400067508043EFE00FC8B2402285224441012F +:10205000828097E701009387274F884382807971B8 +:1020600006D622D426D24AD04ECE52CC56CA5AC810 +:102070005EC697E701009387E74A9C43B1EF17E7F5 +:1020800001001307274C1C43850797E6010023AB8B +:10209000F64A832A0700638F0A0697E701009387B1 +:1020A000A7489C43814463F1FA0897E901009389AA +:1020B000295917EA0100130A2A4A83270A0085468C +:1020C000D85793172700BA978A07BE9983A70900A4 +:1020D00063FFF600854421A897E701009387C74571 +:1020E0009C438144850717E701002327F74497E7BE +:1020F0000100938727449C4391C38544B2502254E6 +:10210000268502599254F249624AD24A424BB24B56 +:102110004561828017E401001304C4431C409C43C2 +:10212000DDC37370043001A017E40100130484427E +:1021300097E901009389C95017EA0100130ACA41BF +:1021400017EB0100130BCB3F1C409C43B5C31C4055 +:10215000DC4703A9C70083274900930B49005E852C +:1021600063EEFA04EFE0CFC08327890213058901EB +:1021700099C3EFE0EFBF8327C90203270B00DE8579 +:10218000139527003E950A054E956376F70017E7ED +:1021900001002327F73AEFE02FB983270A0003272E +:1021A000C902DC57E362F7FA1C4085449C43C5F33F +:1021B000FD5717E701002329F73601B717E701009C +:1021C0002324F736DDBD17E70100130767381C40ED +:1021D000184397E6010023AFE63617E701002329ED +:1021E000F73697E701009387A7349C43850717E7E5 +:1021F0000100232FF7321C409C4399E7FD5717E756 +:1022000001002323F73251BD1C40DC47DC47DC438F +:1022100017E70100232AF73049B597E701009387B4 +:102220006730984301E77370043001A0011106CEB6 +:1022300022CC26CA4AC84EC652C456C25AC073706F +:10224000043097E401009384642F984061EB984335 +:102250007D1797E6010023A7E62C9C43D9EB97E76F +:1022600001009387672E9C43C9C797EA0100938AB0 +:102270002A3D17EA0100130AAA2C17E901001309E5 +:10228000A92D854919A83385EA00EFE0EFA9832736 +:1022900009005854DC576379F70483A70A0FC1CFAC +:1022A00083A7CA0FC04713058401EFE06FAC130B7F +:1022B00044005A85EFE0CFAB5C5483260A00DA85F0 +:1022C000139527003E950A052A875695E3FDF6FAF1 +:1022D00017E701002326F726EFE00FA58327090063 +:1022E0005854DC57E36BF7FA97E7010023A437252E +:1022F0006DB701449C4081CB97E701009387C725C8 +:102300009C43FC4395E72285F2406244D244424913 +:10231000B249224A924A024B0561828017E70100C6 +:102320001307872314431843F8420507F8C205B77B +:10233000EF40001BC9BF17E401001304E41F1C4059 +:1023400095C31C40054999CF193B09C597E7010082 +:1023500023A2271F1C40FD1717E70100232EF71C9F +:102360001C40FDF397E701009387C71C98438547FE +:10237000E311F7F8EFE08F890544ADBF11CD81E59A +:102380007370043001A017E701001307A7191C435D +:1023900099C77370043001A07370043001A041111B +:1023A00022C406C614431841850617E60100232BF4 +:1023B000D61697E601009386E61894423304B700D8 +:1023C00000C163F7E602637FE40063FD860097E7E0 +:1023D00001009387671888431105EFE06F99228504 +:1023E000EF40C0091D3D01C9B24022444101828035 +:1023F000E36FE4FCD9BF2244B24041016FE00F819A +:1024000011C917E701001307E7111C4391C7737047 +:10241000043001A06FD09FFF411106C622C41843AB +:10242000AA87050797E6010023AEE60E97E60100AE +:102430009386861217E701001307C71018438842D6 +:102440003304F7001105EFE0AF922285EF4000035F +:10245000E93311E52244B24041016FD03FFBB24065 +:10246000224441018280411122C406C697E701003F +:102470009387470B9C430144850717E701002323FB +:10248000F70A97E701009387270C9C4363E0F51256 +:1024900017EE0100130E8E2697E201009382821937 +:1024A00001440147854F1303500A21A0311E638D5B +:1024B000C20B83278EFFBA86F5DB8327CEFFDC4372 +:1024C000232EFEFE638AC70F03AFC700DC432A97A3 +:1024D000814E232EFEFE6385C70783A8C70093871E +:1024E0004803232017015CC383A788042326F70130 +:1024F0001CC783A7C8021CCB83A70805232C070091 +:102500005CCB03A808038347080063906704C28775 +:10251000850783C60700B3850741E38B66FE898183 +:10252000C205C181231EB700850E1307070263068B +:102530001F038327CEFFDC43232EFEFEE39FC7F954 +:1025400083274E00232EFEFE49BF8145231EB70080 +:10255000850E13070702E31E1FFD76941317540020 +:10256000311EBA86E397C2F597E70100938787FE8D +:1025700003AE070083270E00639D070E97E7010057 +:10258000938707FD03AE070083270E0063920718A9 +:1025900017EE0100130ECE0A83274E109DE383270A +:1025A0008E116395072019C223200600BD312285B4 +:1025B000B24022444101828083274E00232EFEFE3A +:1025C00021B783278E1017EF0100130F2F18DC435C +:1025D00017E70100232AF7166380E73383A2C700B9 +:1025E000DC43AA96814E17E70100232FF714914F81 +:1025F0001303500A6388E70783A8C700938748033B +:1026000023A01601DCC283A7880423A6F6019CC67A +:1026100083A7C8029CCA83A7080523AC0600DCCAAE +:1026200003A80803834708006391672AC2878507C8 +:1026300003C70700B3850741E30B67FE93D7250067 +:10264000C207C183239EF600850E93860602638629 +:10265000122983278E10DC4317E701002326F70E8B +:10266000E39CE7F983270E1117E70100232EF70CEF +:1026700061B783274E00130F8E00D4432322DE0060 +:102680006388E629B307E500D84283A2C600814EDD +:102690002322EE00894F1303500A6306EF068328B6 +:1026A000C7001387480323A01701D8C303A78804D2 +:1026B00023A6F70198C703A7C80298CB03A708056C +:1026C00023AC0700D8CB03A808030347080063180E +:1026D000671C4287050783460700B3050741E38B64 +:1026E00066FE13D7250042074183239EE700850E2F +:1026F00093870702638D121B83264E00D842232244 +:10270000EE00E31EEFF80327CE002322EE0041BFC8 +:1027100083274E00130F8E00DC432322FE006384C8 +:10272000E71F83A2C700DC43AA96814E2322FE0046 +:10273000894F1303500A6306FF0683A8C7009387D7 +:10274000480323A01601DCC283A7880423A6F60150 +:102750009CC683A7C8029CCA83A7080523AC0600B1 +:10276000DCCA03A808038347080063966710C28782 +:10277000850703C70700B3850741E30B67FE93D7BF +:102780002500C207C183239EF600850E93860602AC +:10279000638B120F83274E00DC432322FE00E31ECF +:1027A000FFF88327CE002322FE0041BF8327CE11EE +:1027B00097EE0100938ECEFADC4317E70100232F3A +:1027C000F7F86384D71383AFC700DC433307D50022 +:1027D000014397E6010023A3F6F80D4F89429308C1 +:1027E000500A6387D707C8479307450308C35CC3EC +:1027F0003C452326E7011CC75C551CCB1C5599C3DF +:10280000232657003C49232C07005CCB03280503F3 +:102810008347080063951705C287850783C60700AD +:10282000B3850741E38B16FF93D72500C207C18309 +:10283000231EF700050313070702638AAF028327ED +:10284000CE11DC4397E6010023AAF6F0E39DD7F909 +:1028500083274E1297E6010023A2F6F069B781475D +:10286000231EF700050313070702E39AAFFC1A942F +:10287000E31C06D225BB8147239EF600850E938676 +:102880000602E39912F117EE0100130E6EDB8327A7 +:102890004E10769493165400E38307D01DB301477E +:1028A000239EE700850E93870702E39712E597E7DB +:1028B00001009387E7C903AE07007694931654008E +:1028C00083270E00E38607CCA1B58147239EF6003F +:1028D000850E93860602E39E12D783278E11769487 +:1028E00093165400E38107CCD1B583274E1217E726 +:1028F00001002325F7E6C1BD83270E1117E701006C +:102900002324F7E4E1B98327CE002322FE0011BD82 +:102910008326CE002322DE00B5B3797126D24AD0B9 +:102920004ECE52CC56CA5AC85EC606D622D497E9B5 +:102930000100938929BF17E90100130969D017EA3B +:102940000100130AAABF97EA0100938AEAC097EB35 +:102950000100938B6BBF97E40100938424BF054B68 +:1029600021A883A7090003244910850717E7010060 +:10297000232AF7BA5D3011E89C40E5F78327090068 +:10298000E37CFBFEEFD09FA8C5BF737004308327A4 +:102990000A00B9E383270911C04713054400EFD0AB +:1029A0003FBD83A70B00FD1717E70100232EF7B8E3 +:1029B0009C40FD1717E70100232AF7B883270A0078 +:1029C00089C783A70A00FC4385E30858EF10500429 +:1029D0002285EF10F0034DB703A70A0083A70A0072 +:1029E0007C4385077CC37DB703A70A0083A60A0042 +:1029F0007C43FD177CC3FC42E9FB73600430F1B7F4 +:102A000097E70100938707B29C43DDE7411106C6B3 +:102A100022C426C297E7010023AE07B017E70100E2 +:102A2000130787B31C43B7A6A5A59386565A9C5B8C +:102A30008C43639BD500D0436318B60094476395DD +:102A4000C600DC476388D70008430C4393854503E1 +:102A5000EF20E07817E70100130787AE144317E46F +:102A600001001304E4BD11A81C43A9CF1C43FD17AA +:102A700097E6010023A6F6AC144393972600B69779 +:102A80008A07A2979C43EDD3084393172500AA9782 +:102A90008A073307F4005443A107A297C44244C3F2 +:102AA0006385F402DC44B240224417E70100232589 +:102AB000F7AA924441018280854717E70100232B42 +:102AC000F7A682807370043001A0C440D145EF3076 +:102AD000700D229544C1F9B701114AC806CE22CC27 +:102AE00026CA4EC62A897370043097E40100938485 +:102AF000E4A49C4017E40100130404A6D9E763147E +:102B0000090003290400930949004E85EFD05FA610 +:102B10008327890289C713058901EFD07FA5CE8558 +:102B200017E50100130545C3EFD01FA09C4081C7E6 +:102B30001C40FC43ADE31C40638B27079C409DCFAA +:102B4000737004309C40C1EB97E70100938787A026 +:102B50009843184325EBFD5717E701002326F79C00 +:102B60009C4089CF1C40FC4391CB184014407C43CF +:102B7000FD177CC3FC4299E373600430F240624469 +:102B8000D2444249B2490561828018401C407C43CE +:102B900085077CC3ADB7184014407C43FD177CC348 +:102BA000FC42D1FB736004301C40E39927F99C4040 +:102BB000A1C397E701009387E7969C43ADCB737061 +:102BC000043001A09C43DC47DC47DC4317E70100ED +:102BD000232CF79471B718401C407C4385077CC3B5 +:102BE00097E7010093870797984318432DD7D9BFDC +:102BF00097E701009387479517E70100130747A45C +:102C00009C4303278711631DF700F240624497E756 +:102C1000010023A30794D2444249B249056182804E +:102C20006244F240D2444249B2490561D1BB624498 +:102C3000F240D2444249B24905616FD02FFD3DC9EF +:102C4000411126C297E40100938404914AC02E8961 +:102C50008C4006C622C4E105EFD09F8E8840110546 +:102C6000EFD01F91FD57630AF90497E7010093879E +:102C7000678D804398409C434A9440C3636CF4043E +:102C800097E701009387078D88438C409105EFD02B +:102C90003F8B97E70100938727899C436376F40075 +:102CA00097E7010023A28788B24022449244024958 +:102CB000410182807370043001A08C402244B240F4 +:102CC0009244024917E50100130505A99105410148 +:102CD0006FD09F8597E701009387878788432244B9 +:102CE0008C40B24092440249910541016FD05F850A +:102CF00011C997E701009387E7829C4399E77370B6 +:102D0000043001A07370043001A0411126C297E481 +:102D10000100938464849840AE878C40B70600809D +:102D2000D58F06C622C41CCFE1053284EFD0CFFF79 +:102D300088401105EFD0DF83FD57630EF40097E75D +:102D40000100938727808843B24092442295224411 +:102D500041016F30A0728C402244B240924417E58A +:102D600001001305659F910541016FD0EFFB25CD53 +:102D7000411126C297D401009384047E4AC02E8953 +:102D80008C4006C622C4E1053284EFD0EFF98840BA +:102D90001105EFD0EFFD8547630AF40497D70100D2 +:102DA0009387477A804398409C434A9440C3636C1E +:102DB000F40497D701009387E77988438C40910505 +:102DC000EFD00FF897D70100938707769C4363767F +:102DD000F40097D7010023A98774B240224492449B +:102DE0000249410182807370043001A08C4022446A +:102DF000B2409244024917E501001305E59591059B +:102E000041016FD06FF297D70100938767748843B1 +:102E100022448C40B24092440249910541016FD056 +:102E20002FF2411106C622C426C25C45C04735CCEC +:102E3000930484012685EFD0AFF397D701009387E1 +:102E4000676E9C43B5E7930444002685EFD04FF2AC +:102E500097D701009387C76E58549C4363F6E700E9 +:102E600097D7010023AEE76C93172700BA978A071C +:102E700017D501001305C57CA6853E95EFD0CFEA96 +:102E800097D701009387476D9C4358540145DC5701 +:102E900063F8E700854717D70100232DF76805453C +:102EA000B24022449244410182807370043001A0F8 +:102EB000A68517E501001305A587EFD0EFE6C9B792 +:102EC00097D70100938707669C4381E773700430AE +:102ED00001A0411106C622C426C2B70700804045A2 +:102EE000CD8F1CC12DC4EFD0AFE8930444002685DC +:102EF000EFD00FE897D701009387876458549C431D +:102F000063F6E70097D7010023ACE7629317270029 +:102F1000BA978A0717D50100130585723E95A685D5 +:102F2000EFD08FE097D70100938707639C435854F5 +:102F30000145DC5763F8E700854717D70100232BCD +:102F4000F75E0545B240224492444101828073708D +:102F5000043001A011CD97D701009387675D984396 +:102F600097D701009387075E9C4318C15CC182809C +:102F70007370043001A055C1D1CD411106C622C4E1 +:102F80002A877370043097D801009388285B83A741 +:102F9000080091CB97D701009387075C94439C432B +:102FA000FC428507FCC217D301001303A3599C41BF +:102FB00003260300FD560144638FD70217DE01008C +:102FC000130E0E5703250E00032807005443630514 +:102FD000A80005446371D6023308D6400544637CDB +:102FE000F80003250E00918F03260300B6979CC1BD +:102FF00008C350C3014483A7080081CB97D70100C1 +:10300000938787559C43FC4381EF2285B24022443D +:10301000410182807370043001A07370043001A0FC +:10302000EF30004CDDB7854717D701002324F75058 +:10303000828019C1684582800145828011C16CC5BA +:10304000828097D70100938727518843828097D742 +:1030500001009387A74E9C43054589CB97D7010074 +:103060009387474C8843133515000605828031CD80 +:10307000011126CA97D401009384044E9C4006CEC9 +:1030800022CC4AC84EC65855DC576379F7021C4D0E +:1030900063C707009C40D457A947958F1CCD931751 +:1030A0002700BA97584917D90100130969598A07A7 +:1030B000CA97630DF7009C40DC575CD5F240624430 +:1030C000D2444249B2490561828082809309450019 +:1030D0002A844E85EFD0CFC99C4017D70100130733 +:1030E00027461843DC575CD46376F70017D70100F6 +:1030F0002328F744139527003E9562440A05F240C1 +:10310000D244CE854A95B249424905616FD0CFC1BC +:1031100019CD411106C622C426C297D70100938754 +:10312000A74380436307A4007370043001A00145E6 +:1031300082807C4881E77370043001A05454384881 +:10314000FD177CC86383E60099C70145B24022445D +:10315000924441018280930444002685EFD04FC100 +:10316000284897D701009387A73D9843A947898F9F +:1031700048D41CCC6376A70097D7010023A2A73CB4 +:10318000D145EF30202297D701009387674B3E95BA +:10319000A685EFD06FB9054555BF7370043097D73A +:1031A00001009387A7399C4391CB97D70100938760 +:1031B000A73A98439C437C4385077CC3828097D77A +:1031C00001009387A7379C438DC397D7010093874E +:1031D000A7389843784311CB984394437C43FD1719 +:1031E0007CC3FC4299E373600430828097D701006E +:1031F00093878736904394439843A947D456084E03 +:10320000958F1CCF828097D701009387E73498432E +:1032100009C79443F84A0507F8CA88438280797140 +:103220004AD006D622D426D24ECE2A8973700430D4 +:1032300097D40100938484309C4017D40100130478 +:10324000A431BDE31C40BC4F89E71C400547F8CFC3 +:10325000D1E19C4081C71C40FC43F1EB737004300A +:103260009C408DEF1C40A84F11C5630409021C400F +:1032700023AC07041C4023AE07049C4081C71C40BC +:10328000FC438DEBB250225492540259F2494561ED +:10329000828018403C4FFD173CCFE9BF18401C40CE +:1032A0007C4385077CC37DBF18401C407C43850759 +:1032B0007CC349BF184014407C43FD177CC3FC42CB +:1032C000F1F373600430B250225492540259F2491F +:1032D0004561828008402EC61105EFD06FA9B24526 +:1032E000FD576380F50697D701009387A72583A92B +:1032F000070018409C43AE992322370163ECF90480 +:1033000097D701009387072588430C409105EFD09C +:103310002FA397D70100938727219C4363F6F900D9 +:1033200097D7010023A23721EFD04F8E1DB7184049 +:1033300014407C43FD177CC3FC428DF37360043062 +:1033400031BF0C4017D50100130505419105EFD0A1 +:10335000AF9DD9BF97D701009387871F88430C4043 +:103360009105EFD0EF9DC9B779714AD04ECE06D600 +:1033700022D426D252CC2E89B2897370043097D4CD +:1033800001009384A41B9C4017D401001304C41CA7 +:10339000F9EF18408947784F630CF7001C4013453C +:1033A000F5FFB84F798DA8CF1C400547F8CFADE6A3 +:1033B0009C4081C71C40FC43F5E3737004309C4083 +:1033C000B1E7638609001C40BC4F23A0F9001840F8 +:1033D00085470145784F630BF7001C401349F9FFFF +:1033E0000545B84F3379E90023AC27051C4023AECF +:1033F00007049C4081C71C40FC43C1E3B2502254E7 +:1034000092540259F249624A4561828018401C4038 +:103410007C4385077CC375B7084036C61105EFD0DD +:103420002F95B246FD576385F60897D7010093871D +:10343000671103AA070018409C43369A23224701CC +:103440006363FA0897D701009387C71088430C403D +:103450009105EFD0EF8E97D701009387E70C9C433F +:103460006370FA0697D7010023A0470D91A8184072 +:103470001C407C4385077CC329BF184014407C4313 +:10348000FD177CC3FC42BDFB73600430B250225474 +:1034900092540259F249624A4561828018401440B0 +:1034A0007C43FD177CC3FC4289FB7360043031B759 +:1034B0000C4017D501001305252A9105EFD0CF86C2 +:1034C000EFC0DFF4F5B597D701009387670888430D +:1034D0000C409105EFD0CF86E5B751C1411106C62A +:1034E00022C426C24AC07370043097D4010093846A +:1034F000E4049C40B9EB99C23C4D9CC2B28789461A +:10350000704D74CD2E872A846386D70663FCF6043B +:103510008D456387B70091456395B7006300D60C6E +:1035200038CC8547630FF60405449C4081CB97D780 +:103530000100938767029C43FC43A9E32285B240C4 +:103540002244924402494101828097D70100938727 +:10355000A70098439C437C4385077CC369BF737075 +:10356000043001A08546E39ED7FA3C4D33E7B7000F +:1035700038CD45BF3C4D85073CCD65B7EF20507633 +:1035800075BF130944004A85EFC09FFE97D701001D +:10359000938707FB48549C4363F6A70097D7010025 +:1035A00023A0A7FAD145EF20F05F97D701009387BA +:1035B00027093E95CA85EFC03FF71C5481C7737039 +:1035C000043001A097D70100938707F99C43585412 +:1035D000DC57E3FBE7F4EFC07FE3B9B70144B1B7D1 +:1035E00039CD011122CC26CA4AC84EC652C456C291 +:1035F00006CEBA89368AB2842E892A84EFC0FFECBF +:10360000AA8A63050A003C4C2320FA00784C8947BB +:103610007CCC6389F40263F4970C8D466387D400F5 +:1036200091466396D400630AF70A232C2405854744 +:10363000631EF7061C5499CB7370043001A073709D +:10364000043001A03C4C85073CCCD5B797D701008E +:10365000938747ED9C43ADEB930444002685EFC070 +:103660003FF197D701009387A7ED48549C4363F639 +:10367000A70097D7010023A5A7ECD145EF209052D2 +:1036800097D701009387C7FBA6853E95EFC0DFE97A +:1036900097D70100938747EC9C435854DC5763F756 +:1036A000E70063850900854723A0F9000544568596 +:1036B000EFC05FE12285F2406244D2444249B24900 +:1036C000224A924A056182809305840117D5010040 +:1036D00013050506EFC05FE565BF0144C9BF854717 +:1036E000E397F4F43C4C33E92701232C240581B7FC +:1036F0004DC1011122CC26CA2A844EC606CE4AC824 +:10370000AE84EFC09FDC8947744C7CCC3C4C0547B1 +:10371000AA8985073CCC6395E6061C5481C7737063 +:10372000043001A097D701009387C7DF9C43ADE722 +:10373000130944004A85EFC0BFE397D70100938780 +:1037400027E048549C4363F6A70097D7010023A9BC +:10375000A7DED145EF20104597D70100938747EEAC +:10376000CA853E95EFC05FDC97D701009387C7DE1F +:103770009C435854DC5763F5E70099C085479CC0CB +:103780006244F240D24442494E85B24905616FC05D +:103790007FD37370043001A09305840117D5010015 +:1037A000130505F9EFC05FD8C1B7AA8729C57370A3 +:1037B000043017D70100130767D814439DE2F04F78 +:1037C000894601456315D60023AE070405451C4311 +:1037D00081CB97D70100938727D89843784315E387 +:1037E000828097D60100938626D7904294427442F5 +:1037F000850674C2E9B797D701009387E7D59C4344 +:103800007DB7984394437C43FD177CC3FC42E9FB9E +:103810007360043082805D71A6C2CAC052DC56DA81 +:103820005AD85ED686C6A2C44EDE62D466D26AD0AC +:1038300017D90100130949D317DB0100130B0BD271 +:1038400097D401009384C4D197DA0100938A8AD176 +:10385000054AA54B83270900984363010712DC47FB +:1038600083A90700EFE06FF6EFE02FF783270B0047 +:103870002A8C6362F50A97D7010023A1A7CE0146DF +:103880006370351B8840B3858941EFD07FA2EFE09C +:10389000DF98630105128840814601462C00EFD075 +:1038A000CFDB4DD9A247E3C807FEC24983A7490130 +:1038B00089C713854900EFC0BFCBEFE00FF2832724 +:1038C0000B002A8C636DF508A24717D70100232748 +:1038D00087C9E3E2FBFCB317FA0013F70721631A69 +:1038E000071613F7770C6318071E93F70702C5D75F +:1038F0004E85EF00F01145B7DC47C04783AC0700A9 +:10390000930944004E85EFC0BFC65C502285829764 +:103910005C4C6384470B83270900984371FF03A71E +:103920000A0097D6010023AB86C397D6010023ABCC +:10393000F6C297D7010023A9E7C2EFE01F8EA1BF0F +:10394000DC47C04703AD0700930C44006685EFC019 +:103950003FC25C50228582975C4C6386470983276F +:103960000900984371FF03A70A0097D6010023AB13 +:10397000F6BE97D7010023A9E7BEB9B7EFE0EFE4A1 +:10398000EFE0AFE583270B002A8CE366F5F883A709 +:103990000A00884081499043B385894117D70100C7 +:1039A000232E87BB13361600EFD09F90EFE0FF86E3 +:1039B000E31305EEEFC09FA5F9BD1C4CCE85E6973D +:1039C00063E9FC049C4089CF02CA66CC22CEEFF0AA +:1039D0000FE88840814601464C08EFD0EF8705FD8F +:1039E0007370043001A01C4CE685EA97636CFD04FB +:1039F0009C4089CF02CA6ACC22CEEFF04FE58840C6 +:103A0000814601464C08EFD02F8531F973700430A0 +:103A100001A0032509005CC000C8EFC07FB2E5BD6E +:103A2000EFE0AFFF83270900DC47C047930C440059 +:103A30006685EFC0FFB35C4C638F47035C50228503 +:103A4000829791BD032509005CC000C8EFC05FAF3D +:103A500039B7B24723ACF900A5CFE29723A2F9000A +:103A600023A8390193854900636FFC0403A50A006C +:103A7000EFC01FAD0DB51C4C00C83387F90058C00E +:103A8000636DEC02330C3C416363FC029C4089CFC4 +:103A900002CA4ECC22CEEFF08FDB88408146014631 +:103AA0004C08EFC07FFB59F97370043001A003A5E7 +:103AB0000A00E685EFC0DFA851B703250900E685B7 +:103AC000EFC01FA8A5BF03250900EFC07FA7E1B382 +:103AD0007370043001A0B24783A6890123A839017D +:103AE0003387D70023A2E9006364EC04B307FC40EA +:103AF00063EBD70483A749024E85829783A7C90148 +:103B0000E39B47D983A68901B2479840B69711CF66 +:103B100002CA3ECC4ECEEFF08FD38840814601469C +:103B20004C08EFC07FF3E31805D67370043001A092 +:103B30006374FC00E370F7FC0325090093854900DA +:103B4000EFC01FA089BB03A50A0093854900EFC001 +:103B50003F9F91B3411122C406C626C24AC017D462 +:103B600001001304E49FEFF04FE31C4095CBEFF00E +:103B70000FE51C409DC381480148814709478146A4 +:103B80001306004097D50100938545831705000073 +:103B90001305A5C8EFD05F9A39E97370043001A00E +:103BA00017D90100130989BC4A85EFC05F9697D4E5 +:103BB00001009384E4BC2685EFC07F950146B145A2 +:103BC000114597D7010023A1279B97D7010023AB6D +:103BD0009798EFC0FFC217D701002323A79811CDF4 +:103BE00097C501009385057DEFD04FE149B7B240FD +:103BF000224492440249410182807370043001A042 +:103C0000A5C9797156CAAA8A1305C00222D426D240 +:103C10004AD04ECE52CC06D65AC85EC63A89B6892C +:103C2000328AAE84E9212A8405C9EFF00FD797D7ED +:103C300001009387E7929C439DCFEFF04FD8130587 +:103C400044002320540104CC232E440123203403B8 +:103C500023222403EFC0FF8C2285B25022549254B9 +:103C60000259F249624AD24A424BB24B45618280C4 +:103C70007370043001A097DB0100938B2BAF5E853E +:103C8000EFC0FF8817DB0100130B8BAF5A85EFC025 +:103C90001F880146B145114597D7010023A6778DAE +:103CA00097D7010023A0678DEFC09FB517D70100FC +:103CB0002328A78A01C997C501009385A56FEFD076 +:103CC000EFD3A5BF7370043001A039C5011122CC18 +:103CD00006CE26CA17D40100130484888328040062 +:103CE0002A88014563850802BA842EC2368732C409 +:103CF00042C6954663C5B602EFF06FB58947630BC0 +:103D0000F5020840814601464C00EFC0FFD4F24066 +:103D10006244D244056182807370043001A04C007B +:103D200081463A864685EFC09FF5F2406244D24410 +:103D3000056182800840814626864C00EFC0DFD1B5 +:103D4000F9B719C1084182807370043001A001E500 +:103D50007370043001A0411106C622C42A84EFF01A +:103D6000CFC348483334A000EFF06FC52285B2407E +:103D700022444101828001E57370043001A04111A9 +:103D800022C42A8406C6EFF04FC10050EFF02FC3C3 +:103D9000B240228522444101828001E573700430E3 +:103DA00001A0411122C426C22E84AA8406C6EFF0C7 +:103DB000CFBE80D02244B240924441016FF02FC068 +:103DC0004111714522C406C61D262A8411C523202F +:103DD00005001105EFC0AFF32285B2402244410136 +:103DE000828001CDB70700FFED8F81C7737004306B +:103DF00001A099E57370043001A07370043001A034 +:103E0000011122CC4AC84EC652C456C206CE26CA9A +:103E1000BA893689B28A2E842A8AEFF04FA311E537 +:103E2000638509007370043001A0EFE00F9A8324CA +:103E30000A00B37794006316090295C763870A00E6 +:103E40009347F4FFE58F2320FA00EFE00FBDF24027 +:103E5000624426854249D244B249224A924A0561C7 +:103E60008280E30DF4FCE38209FEB3355001E205E4 +:103E700063050900B7070004DD8D4E86C18D13056B +:103E80004A00EFE0FFE6EFE04FB919E1EFC00FD8CD +:103E9000EFF0CFB593176500AA8963D80700B70480 +:103EA0000001FD14B3F499005DB7EFF00FAF832963 +:103EB0000A00B3773401631E090089CB63880A00C6 +:103EC0009347F4FFB3F737012320FA00EFF02FAF49 +:103ED000F9B7E31DF4FEDDB701C9B70700FFED8FA9 +:103EE00099C77370043001A07370043001A04111B0 +:103EF00022C42A8406C626C24AC02E89EFF0EFA942 +:103F000004409345F9FFB3F795001CC0EFF02FABC9 +:103F1000B2402244268502499244410182804111E7 +:103F200022C42A8406C6EFC04FDA0040EFC08FD902 +:103F3000B240228522444101828009C9370700FF2F +:103F4000B3F7E50099C77370043001A073700430B3 +:103F500001A06F20A05AB70700FFF18F81C77370CF +:103F6000043001A001E67370043001A0011122CCDD +:103F70004AC84EC652C406CE26CA3689AE892A8A97 +:103F80003284EFF0CF8C21E16305090073700430B7 +:103F900001A0EFE08F8383240A00CE855285B3E42D +:103FA0009900613FB37794006307F40683240A0005 +:103FB000EFE0AFA6F240624426854249D244B249BE +:103FC000224A05618280EFE04F8083240A00CE857B +:103FD0005285B3E434019537B3F78400638D8702CB +:103FE000E30609FCB70500054A86C18D13054A00A2 +:103FF000EFE01FD0EFE06FA219E1EFC02FC1EFF0AB +:10400000EF9E93176500AA8463DF0700B7070001DE +:10401000FD17FD8C45B783270A001344F4FFE18F99 +:104020002320FA0071B7EFF04F9783240A00B3778B +:104030009400639787009347F4FFE58F2320FA00ED +:10404000EFF0EF97E1B7411122C406C62A8426C2D9 +:10405000EFD0BFF75C4085C308489304C4006316E3 +:10406000950015A0084863009502B7050002EFE02F +:104070003FE55C40E5FB2285612A2244B240924440 +:1040800041016FE08F997370043001A009C93707AF +:1040900000FFB3F7E50099C77370043001A0737097 +:1040A000043001A06F20804501C9B70700FFED8FE4 +:1040B00099C77370043001A07370043001A04111DE +:1040C00022C426C206C62A84AE84EFF00F8D1840A3 +:1040D00093C7F4FFF98F1CC02244B24092444101BF +:1040E0006FF0EF8D19C1084D82800145828041112A +:1040F00022C406C62A84EFD05FED97C701009387DC +:10410000E7469C43A1EBE56717C701001307C768A3 +:10411000F11797C6010023A1E64617C70100232B1C +:10412000F74417C70100232BF76697C70100938751 +:10413000274417C701002321F766854717C70100E9 +:10414000232E074217C701002324074217C7010087 +:10415000232EF74019E8EFE04F8CEF10C007014421 +:104160002285B24022444101828013058400937766 +:104170003500C1EFE5671307F5FFE917E3EDE7FC4D +:1041800097C601009386463F9C4229A0984311C7D9 +:10419000BE86BA87D843E36BA7FE17C70100130793 +:1041A000273DE38AE7FA984341461384870098C283 +:1041B000D843B306A7406378D6023388A7002322EA +:1041C000D800C8C38325480097C601009386C63A25 +:1041D00011A0BA8698425043E36DB6FE2320E80052 +:1041E00023A00601D84397C701009387A73B9C43B0 +:1041F000998F17C701002327F73AEFE00F8231DCD0 +:104200002285B240224441018280719911059DB7F7 +:1042100029C9411122C406C62A84EFD01FDB9305A9 +:1042200084FF0326C4FF17C701001307E73411A05A +:104230003E871C43D443E3EDC6FE232CF4FE0CC39F +:1042400097C701009387073698438327C4FF22440A +:10425000B240BA9717C701002326F73441016FD047 +:10426000DFFB828097C701009387C7338843828032 +:1042700082803367B5000D8BAA871DE79308D6FFB0 +:10428000AA982A87AE866371150303A80600110752 +:104290009106232E07FFE36A17FF9347F5FFC697A2 +:1042A000F19B9107BE95AA972A9663F3C70A938656 +:1042B000450013884700B3B6D70033B8050133E78C +:1042C000F50093C61600134818000D8BB3E60601DF +:1042D00013371700758F330EF6409386170029CFDA +:1042E0001337AE0031EB13532E002E88BE860147E4 +:1042F000832808000507110823A016019106E36929 +:1043000067FE1377CEFFBA95BA976302EE0483C6B1 +:104310000500138717002380D700637AC70283C67E +:10432000150013872700A380D7006372C70203C755 +:1043300025002381E7008280B307F640AE9785050C +:1043400003C7F5FF8506238FE6FEE39AF5FE82801C +:104350008280B367C5008D8BB308C500E9C3637164 +:10436000150FB307A0408D8B13873700954693F543 +:10437000F50F130815003383A8407D166373D7002B +:1043800015476363E60CD5CF2300B5000547638D61 +:10439000E700A300B5000D47130825006396E7006A +:1043A000130835002301B500139685004D8E139731 +:1043B0000501518F3303F34093968501D98E13562F +:1043C0002300AA97014794C305079107E36DC7FE31 +:1043D0001377C3FFB307E8006307E3062380B70042 +:1043E00013871700637C1703A380B7001387270088 +:1043F000637617032381B7001387370063701703B1 +:10440000A381B70013874700637A17012382B7009F +:104410001387570063741701A382B700828093F556 +:10442000F50F13978500D98D139705014D8FE3770D +:1044300015FFAA87910723AEE7FEE3ED17FF828001 +:1044400082802A8895B78280AA8749BF8347050062 +:104450002A8799C7050583470500EDFF198D8280DE +:104460000145828005058347F5FF850503C7F5FFF4 +:1044700091C7E389E7FE3385E74082808147E5BF46 +:10448000AA87850503C7F5FF8507A38FE7FE75FBA0 +:10449000828083460500930700026397F6000505B6 +:1044A00083460500E38DF6FE938756FD93F7D70FFD +:1044B00085C7AA87814585CE01458507138606FDF8 +:1044C0001317250083C607003A9506053295F5F6C1 +:1044D00099C13305A0408280938536FD834615003F +:1044E00093B5150093071500E1FA0145D5B70145CD +:1044F00082809C412380A7009C4185079CC18280CB +:1045000097C601009386C6079C42175703001307FE +:10451000672B13861700BA972380A70097C5010061 +:1045200023A0C506A9476308F500930700046305A7 +:10453000F6000145828075DE370610F005078347D7 +:10454000F7FF2320F6F09C42FD1797C5010023A931 +:10455000F502EDF7014582804D712322911423204D +:104560002115232A511323248113232611142324D4 +:104570008114232E3113232C411323286113232666 +:104580007113232291132320A113232EB111AA8486 +:104590002E8932C6130C5002A54A83470900638056 +:1045A0008703B9CB804011A0A1CB2300F400804049 +:1045B0000509050480C083470900E39787FF034688 +:1045C000190093081900C686130D0002FD597D5A83 +:1045D0000148130550059307D6FD93F7F70F13898C +:1045E00016006363F52A17C701001307A7A18A07FE +:1045F000BA979C43BA9782878320C11403248114FD +:1046000083244114032901148329C113032A81132C +:10461000832A4113032B0113832BC112032C811214 +:10462000832C4112032D0112832DC11171618280EF +:10463000A14C02C4854763D50715B2478040938BD0 +:10464000770093F68BFF03AB060083A946009387A0 +:1046500086003EC6668681465A85CE85EF10900458 +:104660002AC8814763853723930D4101854B6686B0 +:1046700081465A85CE85EF102025814666862A8B95 +:10468000AE89EF103002A24723A0AD0093861B0035 +:10469000910D63843701B68BD9BFE37E9BFF63DD49 +:1046A0004601A2872380A70180407D1A930714004A +:1046B0009CC03E84E3C846FF93962B001C08BE9620 +:1046C00031A8938777052300F4008040FD1B938772 +:1046D0001B00050480C0F116E351F0EC9C42E3E2BC +:1046E000FAFE93870703C5B79C4093060003324741 +:1046F0002380D7009C4093064700C14C13861700C7 +:1047000090C013068007A380C700804002C4BA8708 +:10471000050480C003AB0700814936C625BFB247F8 +:1047200083AC0700938B470063840C1C635F4019C4 +:104730009307D0026319FD1683C70C0085C363DCA1 +:1047400009189440850C7D1A2380F60080400504EA +:1047500080C083C70C00E5F7635D4001804093078C +:1047600000022300F40080407D1A050480C0E31A93 +:104770000AFE5EC61DB502C48547A94CE3CF07EB10 +:10478000B24780409386470071B703C61600CA86B9 +:1047900099B59C40930650022380D70080400504C1 +:1047A00080C0E5BBC14C02C471B5B24703C6160058 +:1047B000CA8683A9070091073EC6E35E0AE04E8AD7 +:1047C000FD5911BD03C61600130DD002CA8621B5CE +:1047D0009347FAFFFD8703C61600337AFA00CA86AC +:1047E000DDBB03C61600130D0003CA86EDB383C5F7 +:1047F0001600930906FDCA86938705FD2E86E3EE13 +:10480000FAFA93972900BE9985068609AE9983C561 +:104810000600938909FD938705FD2E86E3F3FAFED2 +:1048200069BF32479C40144311073AC62380D70022 +:104830008040050480C095B3854763D3070BB2471A +:1048400093867700E19A938786003EC683A9460047 +:104850009C4263DC090A94401306D002B307F0407F +:104860002380C6008040B336F000B3093041050410 +:1048700080C03E8BB389D940A94C02C4E1BB03C6BA +:1048800016000508CA8681BB9C40468921B7E37D96 +:104890009BDD8547814B8546E3C547E131BD97CC1C +:1048A0000100938C2CB2CE856685EF00302A330A46 +:1048B000AA40635C400180402300A40180407D1A2F +:1048C000050480C0E31A0AFE014A83C70C00E38294 +:1048D00007EAE3C809E6FD197D57E394E9E6ADBDB3 +:1048E000B247938647009C4336C693D9F74195B7A4 +:1048F000635640019307D002E313FDFA97CC010001 +:10490000938C4CAC930780021DBD3E8BA94C02C416 +:10491000804089B34D71232E3113B709004093872E +:10492000F9FF2324811423229114232C4113232AD9 +:104930005113232611142320211523286113232624 +:10494000711323248113232291132320A113232ED7 +:10495000B1112A8A2EC417C40100130464C2975AE5 +:104960000300938A2AE697B401009384247F3EC60D +:1049700093055002294513080004B70610F0834739 +:104980000A006386B704C1C71840050A130617005A +:10499000569797C8010023A5C8BE2300F70063827D +:1049A000A706E31E06FD175703001307A7E1050737 +:1049B0008347F7FF23A0F6F01C40FD1717C6010040 +:1049C0002320F6BCEDF783470A00E39EB7FA83463F +:1049D0001A0013051A002A87930B00027D5B7D5C89 +:1049E000014893055005A5489387D6FD93F7F70F27 +:1049F000130A170063E7F5348A07A6979C43A69726 +:104A00008287175703001307E7DB55F28DBF83201A +:104A1000C11403248114832441140329011483291C +:104A2000C113032A8113832A4113032B0113832B00 +:104A3000C112032C8112832C4112032D0112832DEC +:104A4000C11171618280A14C014D854763DB07195B +:104A5000A2479D07E19B83AD070003AB4700138787 +:104A600087003AC4668681466E85DA85EF108043FA +:104A70002AC863066D35130941018549668681465A +:104A80006E85DA85EF00506466868146AA8D2E8B8E +:104A9000EF1040412320A90085091109E3106DFFA3 +:104AA000E3FE9DFD13060004B70610F063D18903F1 +:104AB0001C4013871700D69797C5010023A2E5ACC9 +:104AC00023807701630CC7047D1CE3C389FFB247D1 +:104AD0006800A548B386F9008A061C08BE962948D6 +:104AE00013030004370610F0E384A6E89C42930504 +:104AF000000363F4F800930570051840AE97930522 +:104B00001700569717CE0100232CBEA62300F700EE +:104B10006380072B638C6526F116F9B717570300DE +:104B2000130747CA05078347F7FF23A0F6F01C4089 +:104B3000FD1797C5010023A5F5A4EDF77D1C71B7FE +:104B40001C40130600041387170097C5010023A912 +:104B5000E5A2B386FA00930500032380B600630F35 +:104B6000C73C890797C6010023ACF6A05697930669 +:104B700080072300D700175703001307A7C4B70601 +:104B800010F0639EC70005078347F7FF23A0F6F0E8 +:104B90001C40FD1717C601002324F69EEDF7A2471F +:104BA000C14C014D91072247014B832D07003EC4A4 +:104BB00055BDA24783AC070013894700638E0C3AAA +:104BC000635480219307D002639EFB2E03C70C0021 +:104BD000BA856310072025AC8547A94C014DE3C970 +:104BE00007E7A2479107C1B7A2478346170052873C +:104BF00003AB070091073EC4E3580CDE5A8C7D5B83 +:104C0000E5B383461700930BD0025287F1BB93475D +:104C1000FCFFFD8783461700337CFC005287E9B315 +:104C200083461700930B000352877DBB0346170092 +:104C3000138B06FD5287930706FDB286E3EEF8FA62 +:104C400093172B00DA9705078607B29703460700EC +:104C5000138B07FD930706FDB286E3F3F8FE69BFE9 +:104C6000A2451C402946984193861700D69717C540 +:104C700001002327D5902380E700138945006300B6 +:104C8000C72A930700046387F6204AC4D5B1854735 +:104C900063DE0729A24713877700619B930787008C +:104CA0003EC4032B47001C43635A0B3018401306C5 +:104CB00000049306170097C5010023A3D58C5697CF +:104CC0009305D0022300B7006387C62CB307F040DA +:104CD0003337F000330B6041BE8D330BEB40A94CF2 +:104CE000014D49B38346170005085287F5B983463D +:104CF00017005287D5B91C4093060004138717008C +:104D000017C60100232EE686D6971306500223808D +:104D1000C700E31FD7C4175703001307A7AAB70696 +:104D200010F005078347F7FF23A0F6F01C40FD179E +:104D300017C601002326F684EDF71DB9C14C014DBD +:104D400029B31C40930600042A8A1387170017C64C +:104D500001002327E682D697130650022380C7005E +:104D6000E318D7C0175703001307C7A5B70610F0FD +:104D700005078347F7FF23A0F6F01C40FD1717B681 +:104D80000100232FF67EEDF72A8ADDB617570300C0 +:104D9000130747A305078347F7FF2320F6F01C40BE +:104DA000FD1797B5010023ADF57CEDF7F1162DBB8E +:104DB00017570300130707A1F1FDF11635B3E3FC04 +:104DC0009DCB8549C5B1014C03C70C00BA85E30EE4 +:104DD00007EAFD58294513080004B70610F0635789 +:104DE0000B0A1C4013861700D69717B3010023291E +:104DF000C3782380E7006384A50663040609850C55 +:104E000003C70C007D1CBA8579FBE35080E91C4088 +:104E10009305000213060004B70610F021A07D1CC4 +:104E2000E3050CE613871700D6972380B70017B564 +:104E300001002327E574BA87E313C7FE1757030061 +:104E40001307479805078347F7FF23A0F6F01C4098 +:104E5000FD1717B501002325F572EDF7C9B71757F0 +:104E600003001307279641DE05078347F7FF23A0BA +:104E7000F6F01C40FD1717B601002323F670EDF77E +:104E8000BDBF175703001307E793F9BF7D1BE31A54 +:104E90001BF5A5BF175703001307C792B70610F0FD +:104EA00005078347F7FF23A0F6F01C40FD1717B650 +:104EB00001002327F66CEDF74AC45DBC97BC0100E6 +:104EC000938C4C50DA8566855121330CAC40E35D00 +:104ED00080EF1C4013060004B70610F021A07D1CD3 +:104EE000E3030CEE13871700D6972380770197B55D +:104EF000010023A7E568BA87E313C7FE175703002D +:104F00001307478C05078347F7FF23A0F6F01C40E3 +:104F1000FD1797B5010023A5F566EDF7C9B717573B +:104F200003001307278ABDFA4AC499B4A24713871E +:104F300047009C433AC413DBF741BDB31757030046 +:104F400013074788B70610F005078347F7FF23A02C +:104F5000F6F01C40FD1717B601002323F662EDF7AB +:104F6000854717B70100232DF76093078007175770 +:104F700003002309F78425B1635680019307D0020B +:104F8000E39EFBF297BC0100938CCC431307800295 +:104F9000930580023DBD975603009386A68237068F +:104FA00010F0850603C7F6FF2320E6F018407D17B2 +:104FB00097B5010023A6E55C6DF709BBBE8DA94C32 +:104FC000014D4DB4B70710F023A0A7F201A039712D +:104FD000130341022ED29A8506CE32D436D63AD861 +:104FE0003EDA42DC46DE1AC63532F2400145216126 +:104FF00082805D711303810322D42AC632DC2A84A5 +:105000001A86680006D6BEC236DEBAC0C2C4C6C69C +:105010001ACEEFF06FD4B247238007003245B2506A +:10502000018D225461618280B70710F083A647F496 +:1050300003A607F403A747F4E31AD7FE8566938611 +:1050400006FAB29633B6C600B305E60023A4D7F439 +:1050500023A6B7F48280B70710F003A747F403A68E +:1050600007F483A647F4E31AD7FE8566938606FA0B +:10507000B29633B6C60023A4D7F4B305E60023A640 +:10508000B7F49307000873A047308280411122C40F +:1050900006C629447D14312C75FCB24022444101DE +:1050A0008280411122C406C697B701009387C74D7D +:1050B00017B401001304044D98431C401306F07C00 +:1050C000930647069387470697B5010023AED54A56 +:1050D00097B5010023A8F54A6346D600B2402244A2 +:1050E000410182801307478997B7010023AEE74843 +:1050F0001D2485476310F502184085679387F7766E +:105100000145E3DDE7FCEFF0FFEB2244B240410153 +:105110006FC0BFEA17B501001305452BEFF03FEB59 +:105120000945EFF03FEA2244B24041016FC0FFE879 +:1051300017B501001305052B6FF07FE917B50100C6 +:105140001305C52A6FF0BFE8411122C426C206C666 +:10515000856417B401001304E4299387843801009F +:10516000FD17F5FF2285EFF09FE6C5BF411106C68A +:1051700022C4DD2017070000130787F1814605468A +:105180009305200317B5010013054527EFE05FA73E +:105190002A84EFC09FE42A8622852244B240014738 +:1051A0008146854541016FE05FB2411106C6A522E7 +:1051B000054781476316E500B2403E854101828084 +:1051C00017B5010013058520EFF07FE0B240894755 +:1051D0003E85410182807370043001A0828073702B +:1051E000043001A0797122D426D24AD04ECE52CCBE +:1051F00006D6014917B401001304443A97B90100D7 +:105200009389C93A17BA0100130A0A39854408403C +:105210008145EFC02FAA65DD814601466C00EFB0E5 +:10522000DFC36306950097B7010023A10738B24793 +:105230006382270397B7010023AA073683A70900D3 +:10524000E39797FC83270A00850717B701002325FA +:10525000F73475BF0509DDB7411101469145294570 +:1052600006C622C4EFB0CFD917B4010013044433EB +:1052700008C02945EFC0AF94AA870840BE8517B77C +:105280000100232DF730EFC04F9C2244B2408148EB +:1052900001488147014781461306004097B5010048 +:1052A0009385051717050000130505F441016FC02C +:1052B000AFA897B701009387E72D9C4313072003FE +:1052C00085076367F70017B701002325F72C828055 +:1052D000411197B701009387A72C06C688438146E2 +:1052E000014697B501009385A52A97B7010023A32E +:1052F000072AEFB0DF988547631CF50097B70100D8 +:10530000938707299C43850717B701002322F728B5 +:10531000B2404101828097B701009387E72717B712 +:1053200001001307A7269C4318436316F70017B71D +:105330000100232D072617B701001307272708436D +:1053400017B701002324F72482800000B305B500BD +:10535000930705006386B70003C7070063160700BD +:105360003385A74067800000938717006FF09FFE8A +:10537000130101FB23229104232C410323229103D7 +:10538000232611042324810423202105232E310305 +:10539000232A510323286103232671032324810335 +:1053A0002320A103232EB101930C0500138A0500CD +:1053B0009304000063DE05003305A0403337A000EE +:1053C000B305B040930C0500338AE5409304F0FF29 +:1053D00063DA06003306C040B337C000B306D040DE +:1053E000B386F640930A06009389060013840C00E6 +:1053F00013090A006396062817BB0100130B8BEBF9 +:105400006370CA16B70701006372F6149307F00FB2 +:1054100063F4C70093098000B3573601330BFB00D8 +:1054200083470B0013050002B3873701B309F5402A +:10543000638C0900B3153A01B3D7FC00B31A3601E7 +:1054400033E9B70033943C0113DB0A0193050B00E9 +:1054500013050900EF00507C130A050093050B00AB +:10546000939B0A0113050900EF00907693DB0B0173 +:105470009305050013850B00EF00D072131A0A0183 +:1054800093570401B367FA0063FAA700B387570183 +:1054900063E6570163F4A700B38757013389A74038 +:1054A00093050B0013050900EF001077130A0500A0 +:1054B00093050B0013050900EF009071131404010C +:1054C00093050500131A0A0113850B0013540401F8 +:1054D000EF00506D33648A00637AA40033045401F2 +:1054E000636654016374A400330454013304A4407C +:1054F0003354340193050000638A04003304804070 +:10550000B3378000B305B040B385F5401305040000 +:105510008320C1040324810483244104032901045A +:105520008329C103032A8103832A4103032B010337 +:10553000832BC102032C8102832C4102032D010223 +:10554000832DC1011301010567800000B707000129 +:1055500093090001E362F6EC930980016FF0DFEB41 +:10556000631A06009305000013051000EF00506653 +:10557000930A0500B707010063FAFA0E9307F00FCC +:1055800063F4570193098000B3D73A01330BFB0052 +:1055900083470B001305000233095A41B3873701D3 +:1055A000B309F540E38209EAB39A3A01335BFA00A2 +:1055B000B3153A01B3D7FC0093DB0A0133E9B70016 +:1055C00013050B0093850B00EF001065130A05000F +:1055D00093850B00139C0A0113050B00EF00505F2D +:1055E000135C0C019305050013050C00EF00905BA4 +:1055F000131A0A0193570901B367FA0033943C0167 +:1056000063FAA700B387570163E6570163F4A70065 +:10561000B3875701338BA74093850B0013050B000D +:10562000EF00905F130A050093850B0013050B0034 +:10563000EF00105A9305050013050C00EF0090567B +:1056400093160901131A0A0193D60601B366DA000C +:1056500063FAA600B386560163E6560163F4A6001A +:10566000B38656013389A6406FF01FDEB7070001ED +:1056700093090001E3EAFAF0930980016FF0DFF08B +:105680006376DA0093050A006FF01FE7B7070100A1 +:1056900063FAF604930BF00F33B5DB0013153500F6 +:1056A00033D7A60097B701009387C7C0B387E70039 +:1056B00083CB070093050002B38BAB00338B75419E +:1056C000631C0B0263E4460163EACC003384CC40E4 +:1056D000B306DA4033B98C003389264193050900BB +:1056E0006FF09FE1B707000113050001E3EAF6FA46 +:1056F000130580016FF0DFFAB3966601335D760122 +:10570000336DDD00B35D7A01B3156A0133DC7C01D2 +:1057100013540D01336CBC0013850D009305040078 +:10572000B3196601EF00504F930A0500930504007A +:1057300013850D0033996C01931C0D01EF00504946 +:1057400093DC0C01130A05009305050013850C007A +:10575000EF005045939A0A0113570C0133E7EA0012 +:10576000930D0A00637EA7003307A701930DFAFF8C +:105770006368A7016376A700930DEAFF3307A701CB +:10578000330AA7409305040013050A00EF00D04830 +:1057900093050400930A050013050A00EF00504327 +:1057A000930505001304050013850C00EF00903FDE +:1057B00093150C01939A0A0193D50501B3E5BA003C +:1057C0001307040063FEA500B385A5011307F4FFCA +:1057D00063E8A50163F6A5001307E4FFB385A501FF +:1057E000939D0D01B70C0100B3EDED001384FCFF98 +:1057F000B3F78D0033F48900338AA5401385070081 +:10580000930504002326F10093DD0D01EF0090398C +:1058100093050400930A050013850D00EF009038EE +:1058200013DC090193050C002324A10013850D004E +:10583000EF0050378327C10013040C0093050400C8 +:10584000130C050013850700EF00D0358326810077 +:1058500013D70A013305D5003307A7006374D700B7 +:10586000330C9C01B70701009387F7FF935507019D +:105870003377F70013170701B3F7FA00B3858501F3 +:10588000B307F7006366BA00631EBA00637CF900D1 +:1058900033863741B3B7C700B385A541B385F5401B +:1058A00093070600B307F9403339F900B305BA404E +:1058B000B385254133947501B3D767013364F40090 +:1058C000B3D565016FF05FC3130101FD232291027F +:1058D000232A51012326110223248102232021039C +:1058E000232E3101232C410123286101232671013C +:1058F00023248101232291012320A101930A050081 +:1059000093840500639E063813040600930905007E +:1059100017B901001309099A63F8C512B707010006 +:10592000138B05006378F6101307F00F3337C700A9 +:1059300013173700B357E6003309F900834609000F +:105940003387E60093060002B386E640638C0600C8 +:10595000B394D40033D7EA003314D600336B9700E6 +:10596000B399DA00935A040193850A0013050B00DA +:10597000EF00902A1309050093850A00931B040188 +:1059800013050B00EF00D02493DB0B0193040500FB +:105990009305050013850B00EF00D02013190901B2 +:1059A00093D70901B367F900138A040063FEA700C7 +:1059B000B3878700138AF4FF63E8870063F6A700C4 +:1059C000138AE4FFB3878700B384A74093850A0056 +:1059D00013850400EF0050241309050093850A0085 +:1059E00013850400EF00D01E93990901930405006C +:1059F000930505001319090113850B0093D90901BB +:105A0000EF00501AB36939011386040063FCA90042 +:105A1000B30934011386F4FF63E6890063F4A90037 +:105A20001386E4FF13140A013364C400130A000050 +:105A30006F000013B707000113070001E36CF6EED7 +:105A4000130780016FF01FEF138A0600631A060028 +:105A50009305000013051000EF00901713040500D4 +:105A6000B7070100637EF4129307F00F63F4870019 +:105A7000130A8000B35744013309F90003470900B2 +:105A80009306000233074701B386E640639406128B +:105A9000B3848440130A1000135B040193050B00C8 +:105AA00013850400EF0050171309050093050B0040 +:105AB00013850400931B0401EF00901193DB0B018D +:105AC000930405009305050013850B00EF00900D6E +:105AD0001319090193D70901B367F900938A0400E8 +:105AE00063FEA700B3878700938AF4FF63E887000B +:105AF00063F6A700938AE4FFB3878700B384A740C7 +:105B000093050B0013850400EF0010111309050025 +:105B100093050B0013850400EF00900B9399090186 +:105B200093040500930505001319090113850B0063 +:105B300093D90901EF001007B369390113860400F6 +:105B400063FCA900B30934011386F4FF63E68900FE +:105B500063F4A9001386E4FF13940A013364C400BC +:105B60001305040093050A008320C1020324810267 +:105B700083244102032901028329C101032A8101EF +:105B8000832A4101032B0101832BC100032C8100D7 +:105B9000832C4100032D01001301010367800000E5 +:105BA000B7070001130A0001E366F4EC130A800151 +:105BB0006FF05FEC3314D40033DAE400B399DA0009 +:105BC00033D7EA00935A0401B394D40093850A00B2 +:105BD00013050A00336B9700EF001004130905004A +:105BE00093850A0013050A00931B0401EF00407E11 +:105BF00093DB0B01930405009305050013850B004F +:105C0000EF00407A1319090113570B013367E900BC +:105C1000138A0400637EA70033078700138AF4FF0A +:105C2000636887006376A700138AE4FF3307870061 +:105C3000B304A74093850A0013850400EF00C07DDC +:105C40001309050093850A0013850400EF004078CE +:105C5000930405009305050013850B00EF00807485 +:105C600013170B011357070113190901B367E90053 +:105C70001387040063FEA700B38787001387F4FF30 +:105C800063E8870063F6A7001387E4FFB387870004 +:105C9000131A0A01B384A740336AEA006FF0DFDF0A +:105CA00063ECD51EB707010063F4F6041307F00F89 +:105CB000B335D7009395350033D7B60097A70100C9 +:105CC0009387475FB387E70003C70700130A000203 +:105CD0003307B700330AEA4063160A0213041000C0 +:105CE000E3E096E833B6CA00134416006FF05FE7AE +:105CF000B707000193050001E3E0F6FC930580017E +:105D00006FF09FFBB35CE600B3964601B3ECDC009A +:105D100033D4E40093DB0C01B397440133D7EA009A +:105D200093850B0013050400336BF700B31946018C +:105D3000EF00806E1309050093850B001305040026 +:105D4000139C0C01EF00C068135C0C019304050068 +:105D50009305050013050C00EF00C0641319090139 +:105D600013570B013367E90013840400637EA70017 +:105D7000330797011384F4FF636897016376A700E4 +:105D80001384E4FF33079701B304A74093850B0006 +:105D900013850400EF0040681309050093850B008C +:105DA00013850400EF00C06293040500930505000D +:105DB00013050C00EF00005F93170B011319090185 +:105DC00093D70701B367F9001386040063FEA700A9 +:105DD000B38797011386F4FF63E8970163F6A70082 +:105DE0001386E4FFB387970113140401B70B010076 +:105DF0003364C4001389FBFF337D240133F9290187 +:105E0000B384A7409305090013050D00EF008059E6 +:105E1000935C040193050900130B050013850C0026 +:105E2000EF00405893D90901130C05009385090030 +:105E300013850C00EF000057130905009385090036 +:105E400013050D00EF0000563305850193570B0134 +:105E50003385A700637485013309790193570501E0 +:105E6000B387270163E6F402E392F4BCB7070100AD +:105E70009387F7FF3375F50013150501337BFB009E +:105E800033964A0133056501130A0000E37AA6CC74 +:105E90001304F4FF6FF09FB9130A0000130400000D +:105EA0006FF01FCC130101FB2324810423229104F2 +:105EB000232E3103232291032326110423202105BD +:105EC000232C4103232A5103232861032326710332 +:105ED000232481032320A103232EB101930C050069 +:105EE000938905001304050093840500639E06262C +:105EF00013090600138A060097AA0100938A8A3BB9 +:105F000063F4C514B70701006376F6129307F00F28 +:105F100063F4C700130A8000B3574601B38AFA003E +:105F200003C70A001305000233074701330AE5409F +:105F3000630C0A00B395490133D7EC0033194601CD +:105F4000B364B70033944C01935A090193850A0056 +:105F500013850400EF00404C9309050093850A0067 +:105F6000131B090113850400EF008046135B0B012E +:105F70009305050013050B00EF00C042939909013A +:105F800093570401B3E7F90063FAA700B387270129 +:105F900063E6270163F4A700B3872701B384A74012 +:105FA00093850A0013850400EF000047930905005C +:105FB00093850A0013850400EF0080411314040147 +:105FC000930505009399090113050B00135404016F +:105FD000EF00403D33E48900637AA40033042401D8 +:105FE000636624016374A400330424013304A440D1 +:105FF00033554401930500008320C1040324810428 +:1060000083244104032901048329C103032A810352 +:10601000832A4103032B0103832BC102032C81023A +:10602000832C4102032D0102832DC10113010105BF +:1060300067800000B7070001130A0001E36EF6EC69 +:10604000130A80016FF05FED631A060093050000EC +:1060500013051000EF00C03713090500B707010052 +:10606000637AF90E9307F00F63F42701130A800097 +:10607000B3574901B38AFA0003C70A0013050002A7 +:10608000B384294133074701330AE540E30E0AEAA6 +:1060900033194901B3DAE900B395490133D7EC006C +:1060A00093540901336BB70013850A0093850400EC +:1060B000EF0080369309050093850400931B0901C6 +:1060C00013850A00EF00C03093DB0B019305050038 +:1060D00013850B00EF00002D9399090193570B01D5 +:1060E000B3E7F90033944C0163FAA700B3872701A3 +:1060F00063E6270163F4A700B3872701B38AA740AB +:106100009385040013850A00EF0000319309050010 +:106110009385040013850A00EF00802B930505008A +:1061200013850B00EF00002893150B0193990901CB +:1061300093D50501B3E5B90063FAA500B385250140 +:1061400063E6250163F4A500B3852501B384A5406A +:106150006FF09FDFB7070001130A0001E36AF9F04F +:10616000130A80016FF0DFF0E3E8D5E8B70701001C +:1061700063FCF604930BF00F33B5DB001315350009 +:1061800033D7A60097A701009387C712B387E7000C +:1061900083CB070093050002B38BAB00338B7541B3 +:1061A000631E0B0263E4360163EACC003384CC4007 +:1061B000B386D94033B58C00B384A64013050400E0 +:1061C000938504006FF05FE3B7070001130500013A +:1061D000E3E8F6FA130580016FF09FFAB3966601C3 +:1061E000335D7601336DDD0033D47901B3956901F8 +:1061F00033DC7C0193540D01336CBC0013050400A7 +:1062000093850400B31A6601EF000021130A05000C +:10621000938504001305040033996C01931C0D0150 +:10622000EF00001B93DC0C0113040500930505002F +:1062300013850C00EF000017131A0A0113570C0105 +:106240003367EA00130A0400637EA7003307A7013F +:10625000130AF4FF6368A7016376A700130AE4FF3B +:106260003307A701B309A7409385040013850900EC +:10627000EF00801A938504001304050013850900BC +:10628000EF000015930505009304050013850C002D +:10629000EF00401193150C011314040193D505016F +:1062A000B365B4001387040063FEA500B385A501A0 +:1062B0001387F4FF63E8A50163F6A5001387E4FFE5 +:1062C000B385A501131A0A01B70C0100336AEA006D +:1062D0001384FCFFB3778A0033F48A00B384A540AB +:1062E00013850700930504002326F100135A0A01C1 +:1062F000EF00400B930905009305040013050A0005 +:10630000EF00400A13DC0A01930D050093050C0011 +:1063100013050A00EF0000098327C100130A0500D6 +:1063200093050C0013850700EF00C0073305B50186 +:1063300013D709013307A7006374B701330A9A0121 +:10634000B70701009387F7FF935507013377F700ED +:1063500013170701B3F7F900B3854501B307F70039 +:1063600063E6B400639EB400637CF9003386574152 +:10637000B3B7C700B385A541B385F54093070600C1 +:10638000B307F9403339F900B385B440B3852541EB +:1063900033947501B3D767013365F400B3D5650154 +:1063A0006FF09FC5130605001305000093F6150056 +:1063B000638406003305C50093D515001316160037 +:1063C000E39605FE678000006340050663C6050688 +:1063D00013860500930505001305F0FF630C060204 +:1063E00093061000637AB6006358C00013161600B7 +:1063F00093961600E36AB6FE1305000063E6C50037 +:10640000B385C5403365D50093D6160013561600E4 +:10641000E39606FE6780000093820000EFF05FFBCA +:1064200013850500678002003305A04063D805008E +:10643000B305B0406FF0DFF9B305B04093820000C0 +:10644000EFF01FF93305A04067800200938200003F +:1064500063CA0500634C0500EFF09FF71385050044 +:1064600067800200B305B040E35805FE3305A04045 +:10647000EFF01FF63305B0406780020097A70100D8 +:106480009387870D944317A701001307A70B1843A1 +:10649000C8C2637BE50017A701001307670B084319 +:1064A0008C4391056FA0CF89411122C406C617A75E +:1064B00001001307270A2A8408438C439105EFA0A3 +:1064C0002F8897A70100938727069C436376F400E3 +:1064D00097A7010023AA8704B24022444101828089 +:1064E00097A7010093874707984394437C43FD1780 +:1064F0007CC3FC4299E3736004308280011122CC9A +:1065000026CA2A84AE844AC84EC652C456C206CE93 +:10651000EFB0BFAB1C4003290401B7090001B3E58C +:106520009700930AC4000CC08144FD19370A000289 +:106530001840638C2A03032609004A85B365470186 +:10654000B376360193175600758F0329490063C44B +:10655000070001E7F1BFE39DE6FC9317760063D3E4 +:106560000700D58CEFC0DF95E1B793C4F4FFF98C39 +:1065700004C0EFB09FCA0840F2406244D24442498E +:10658000B249224A924A05618280411106C622C45C +:10659000EFE0DFBD17F7FFFF1307E7B081460546C1 +:1065A0009305400617A501001305C5E5EFD04FE59B +:1065B00019C92A84EFB07FA22A8601478146854502 +:1065C0002285EFD08FF0EFB0CFFAB24022440145E0 +:0465D0004101828083 +:02000004800278 +:100000008A47FEFF8848FEFF9247FEFF8848FEFFB2 +:100010008848FEFF8848FEFF8848FEFFAA47FEFF8B +:100020008848FEFF8848FEFFC447FEFFD047FEFF1A +:100030008848FEFFE247FEFFEE47FEFFEE47FEFF69 +:10004000EE47FEFFEE47FEFFEE47FEFFEE47FEFFE8 +:10005000EE47FEFFEE47FEFFEE47FEFF8848FEFF3D +:100060008848FEFF8848FEFF8848FEFF8848FEFF5C +:100070008848FEFF8848FEFF8848FEFF8848FEFF4C +:100080008848FEFF8848FEFF8848FEFF8848FEFF3C +:100090008848FEFF8848FEFF8848FEFF8848FEFF2C +:1000A0008848FEFF8848FEFF8848FEFF8848FEFF1C +:1000B0008848FEFF8848FEFF8848FEFF8848FEFF0C +:1000C0008848FEFF8848FEFF8848FEFF8848FEFFFC +:1000D0008848FEFF8848FEFF8848FEFF8848FEFFEC +:1000E0008848FEFF8848FEFF8848FEFF8848FEFFDC +:1000F0008848FEFF8848FEFF8848FEFF8848FEFFCC +:100100002248FEFF3848FEFF8848FEFF8848FEFF71 +:100110008848FEFF8848FEFF8848FEFF8848FEFFAB +:100120008848FEFF7E48FEFF8848FEFF8848FEFFA5 +:100130003046FEFFE846FEFF8848FEFF8848FEFF87 +:100140001E47FEFF8848FEFF7647FEFF8848FEFFF9 +:100150008848FEFFA447FEFF964BFEFFEA4BFEFFDA +:100160009E4BFEFFEA4BFEFFEA4BFEFFEA4BFEFF13 +:10017000EA4BFEFF904AFEFFEA4BFEFFEA4BFEFF12 +:10018000AA4AFEFFB64AFEFFEA4BFEFFC84AFEFF40 +:10019000D44AFEFFD44AFEFFD44AFEFFD44AFEFFF3 +:1001A000D44AFEFFD44AFEFFD44AFEFFD44AFEFFE3 +:1001B000D44AFEFFEA4BFEFFEA4BFEFFEA4BFEFF8E +:1001C000EA4BFEFFEA4BFEFFEA4BFEFFEA4BFEFF67 +:1001D000EA4BFEFFEA4BFEFFEA4BFEFFEA4BFEFF57 +:1001E000EA4BFEFFEA4BFEFFEA4BFEFFEA4BFEFF47 +:1001F000EA4BFEFFEA4BFEFFEA4BFEFFEA4BFEFF37 +:10020000EA4BFEFFEA4BFEFFEA4BFEFFEA4BFEFF26 +:10021000EA4BFEFFEA4BFEFFEA4BFEFFEA4BFEFF16 +:10022000EA4BFEFFEA4BFEFFEA4BFEFFEA4BFEFF06 +:10023000EA4BFEFFEA4BFEFFEA4BFEFFEA4BFEFFF6 +:10024000EA4BFEFFEA4BFEFFEA4BFEFFEA4BFEFFE6 +:10025000EA4BFEFFEA4BFEFF084BFEFF364BFEFF6C +:10026000EA4BFEFFEA4BFEFFEA4BFEFFEA4BFEFFC6 +:10027000EA4BFEFFEA4BFEFFEA4BFEFF8C4BFEFF14 +:10028000EA4BFEFFEA4BFEFFEE48FEFFE849FEFFA9 +:10029000EA4BFEFFEA4BFEFF5A4AFEFFEA4BFEFF27 +:1002A000804AFEFFEA4BFEFFEA4BFEFFE44BFEFFF7 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020787878207461736B73200D0A00000018 +:1003E0006D69616F750A00006D69616F75320A0091 +:1003F00068756E6772790A0054696D657232000023 +:10040000436865636B54696D657200005365745091 +:040410006F6C6C00A1 +:100414001000000000000000017A5200017C01017C +:100424001B0D02005000000018000000404FFEFFAA +:100434005805000000440E507489039406990B81FA +:1004440001880292049305950796089709980A9AD9 +:100454000C9B0D036C010AC144C844C944D244D363 +:1004640044D444D544D644D744D844D944DA44DBAC +:10047400440E00440B0000004C0000006C0000001F +:100484004454FEFFDC05000000440E3070890395DF +:1004940007810188029204930594069608970998A7 +:1004A4000A990B9A0C0370020AC144C844C944D285 +:1004B40044D344D444D544D644D744D844D944DA64 +:1004C400440E00440B00000050000000BC0000007B +:1004D400D059FEFF0005000000440E5074880289C4 +:1004E400039305990B810192049406950796089746 +:1004F40009980A9A0C9B0D0320010AC144C844C9F7 +:1005040044D244D344D444D544D644D744D844D91B +:0C05140044DA44DB440E00440B000000FD +:0C05A000FC8F01000900000001000000B9 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/QueueSetPolling_rv32im_O3.hex b/VexRiscv/src/test/resources/freertos/QueueSetPolling_rv32im_O3.hex new file mode 100644 index 0000000..8c88d4a --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/QueueSetPolling_rv32im_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/QueueSetPolling_rv32imac_O3.hex b/VexRiscv/src/test/resources/freertos/QueueSetPolling_rv32imac_O3.hex new file mode 100644 index 0000000..b30fc83 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/QueueSetPolling_rv32imac_O3.hex @@ -0,0 +1,1593 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000A1C5170502001305E54697950300938552 +:1000A000256E01461122170502001305E53B970551 +:1000B0000200938525430146C52817A503001305B3 +:1000C000656C97B503009385A56B37B6ABAB13068C +:1000D000B6BAD92817B103001301C16AEF50505ABC +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2822623A02200F322103418 +:1002400096DEEF00002B1701020003212125024159 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E22523A0120023A2AD +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF4050501701020003210F +:10033000E1160241F65273901234B7220000938204 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A21783A0B4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2820423A0220086DEEF20FE +:10046000E05717010200032161030241F652739025 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF407030EF10103401E5E4 +:10050000B24041018280B24041016F20204D9307EB +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C38280411122C406C626C23C412A84F7 +:1005A00081EF1C41A5CF01451C5C85071CDCB240D6 +:1005B0002244924441018280B2841DE608453E8671 +:1005C000EF3070481C4434405840B6971CC4E3ECEC +:1005D000E7FC1C4001451CC41C5C85071CDCB240C8 +:1005E000224492444101828048453E86EF30B04526 +:1005F00038405C4414403307E040BA975CC463F56C +:10060000D7005C403E9758C48947E39EF4F81C5CD1 +:10061000D9DB1C5C0145FD171CDC79B74841EF2094 +:10062000702C2322040049B7011106CE22CC26CA21 +:1006300060492AC615C0185C5C5C6365F70073707E +:10064000043001A0185C8144636BF700F2406244FF +:100650002685D244056182807370043001A02E8605 +:1006600022856C00053F3844FD57AA846306F700D5 +:100670003C4485073CC4D9BF5C50E9DB1305440208 +:10068000EF20607761D58544D1B73041AE8701CE88 +:100690004C455841B2954CC563E4E5000C414CC54E +:1006A0003E856F30503A8280797122D406D62A84F2 +:1006B00026D24AD04ECE52CC56CAEF20502B3C44C4 +:1006C000635CF002130A4402FD590549644889C875 +:1006D000985CDC5C22C66367F7067370043001A087 +:1006E0005C5099CB5285EF2000712DED3C44FD17F5 +:1006F0003CC43C44E34CF0FCFD573CC4EF20702963 +:10070000EF20F0267C406352F0021C4899CF9304FE +:1007100004012685EF20206E29E97C40FD177CC06E +:100720007C406354F0001C48EDF7FD577CC0EF207F +:100730005026B250225492540259F249624AD24A87 +:1007400045618280985CE373F7FA01466C00268568 +:100750009135BC44AA8A638D3701BC448507BCC46B +:10076000E3962AF9EF20700951B7EF20100975B709 +:10077000DC50FDD713854402EF20E06775D1DDB76B +:1007800029C5411122C426C22A8406C6AE84EF20A0 +:10079000101E34405C5C1840232C0402B387F60220 +:1007A0007D5670C018C430C4B386D740BA97369708 +:1007B0005CC058C491EC1C489DE3EF20901DB240F2 +:1007C000224492440545410182807370043001A0A7 +:1007D000130504012D3B13054402153BF9BF130516 +:1007E0000401EF2040618547E319F5FC0531F1B7BD +:1007F00001E57370043001A0011126CA4AC84EC633 +:1008000006CE22CCB2892E89AA84B5C53305B5029D +:1008100013059505EF30F00A2A8425C59307850551 +:100820001CC144DC23202405EF20701434405C5CA0 +:1008300018407D56B387F602232C040270C018C4FA +:1008400030C413050401B386D740BA9736975CC00D +:1008500058C47539130544025D39EF2090132308FD +:100860003405232A04042285F2406244D2444249DA +:10087000B2490561828013058005EF3090042A8417 +:1008800001E57370043001A000C061BF797126D208 +:10089000AA841305800522D406D64AD04ECE52CC67 +:1008A000EF3030022A8421C9232C0502FD577CC178 +:1008B0000547130A05013CC4528558DC2322040075 +:1008C00023200400232404002326040023200404FE +:1008D00023089404232A04041D39130944024A8579 +:1008E0003D313C4002C28DC37370043001A02285AB +:1008F000653BEF1050102285B25022549254025999 +:10090000F249624A45618280EF20A07101E5924779 +:10091000639C070AEF20B005185C5C5C8144FD59BC +:100920006362F7069247C1C381E42800EF20C05FED +:10093000EF203006EF102067EF2070037C406394B7 +:100940003701232204043C44639437012324040424 +:10095000EF2030044C002800EF20205F49F9EF2001 +:100960001001185C5C5C6303F704EF2090022285A1 +:10097000253BEF1050088544EF20607F185C5C5CDD +:10098000E372F7FA304021E61C40ADC701451C5C1C +:10099000784885071CDC39CB814522857131854734 +:1009A0006313F500A534EF20C07EB1B7EF20607E61 +:1009B00092455285EF20E0252285FD31EF10B003EE +:1009C0005DF9A93485444DBF7370043001A008441B +:1009D0008145EF3050071C4434405840B6971CC442 +:1009E000E3E6E7FA1C4001451CC455B75C5089EBAF +:1009F0005DD94DBF4840EF20E06E2322040041BF87 +:100A00004A85EF20403F8547E31FF5F861BF01E5C8 +:100A10007370043001A06375B5007370043001A0D9 +:100A2000411122C409462E84814506C6D13311C521 +:100A300000DDB2402244410182807370043001A085 +:100A4000397106DE22DC26DA4AD84ED652D456D286 +:100A50005AD032C6630005106388050E8947639932 +:100A6000F600585D85476305F7007370043001A0F8 +:100A7000B6842E892A84EF20C05A11E5B24781C777 +:100A80007370043001A0EF20806E185C5C5C8149BB +:100A9000094B7D5A930A04016367F706638F640B61 +:100AA000B24763890712639509002808EF20C04701 +:100AB000EF20206EEF10204FEF20606B7C4063949E +:100AC0004701232204043C44639447012324040483 +:100AD000EF20206C6C002808EF2020476316050EDD +:100AE000EF20E068185C5C5C6302F704EF20606A4A +:100AF00022855D3EEF1020708549EF204067185C2D +:100B00005C5CE37DF7F8304039CA6399040C084413 +:100B1000CA85EF3040731C4434405840B6971CC41B +:100B200063E1E7041C4001451CC42DA8EF2060666A +:100B3000B2455685EF20E00D2285BD36EF10A06B43 +:100B400045FDE930854955BF3C41E38907F07370A5 +:100B5000043001A07370043001A0304005EE1C4049 +:100B6000D5C301451C5C784885071CDC51C7A685A8 +:100B700022855D3C85476304F508EF2080610545CB +:100B8000F2506254D2544259B259225A925A025BDC +:100B9000216182804844CA85EF30E06A3C40584475 +:100BA0001440B307F0403E9758C46378D7005840CC +:100BB000BA975CC48947E396F4FA1C5CDDD31C5CED +:100BC0000145FD171CDC79BF2285F93CEF10A062BE +:100BD00001457DB7EF20E05B01455DB74844CA851C +:100BE000EF3060663C4058441440B307F0403E97F5 +:100BF00058C4E371D7FC65BF5C5099EB3DDDEFF065 +:100C0000FF80A5BF4840EF20E04D2322040099BF9C +:100C100013054402EF20201E8547E310F5F6C5B703 +:100C20000DC5411122C426C206C64AC0032945008B +:100C30002A84EF20403E8144630CA900B240224444 +:100C4000268502499244410182807370043001A0DC +:100C50005C448544FD175CC4F5F38146014681453B +:100C60002285F93BE1BF31CDA1C9011122CC26CAB1 +:100C70004AC852C406CE4EC68947B68432892E8AE7 +:100C80002A846399F600585D8547630FF7047370F3 +:100C9000043001A01D38185C5C5CAA896366F70209 +:100CA00081444E850530F240624426854249D24453 +:100CB000B249224A056182803C41C5DB7370043031 +:100CC00001A07370043001A0304049E21C40DDCB2C +:100CD0001C5C7D5785071CDC3C446388E7083C446A +:100CE000854485073CC475BFEFF02FFE185C5C5C43 +:100CF000AA89636DF702304071DA4844D285EF303B +:100D000080543C4058441440B307F0403E9758C4C8 +:100D10006375D7005840BA975CC48947E39AF4FAE0 +:100D20001C5CDDD71C5CFD171CDC5DB7304059DE58 +:100D30004844D285EF3020513C4058441440B3071A +:100D4000F0403E9758C4E367D7FCD9BFDDF40844B0 +:100D5000D285EF30404F1C4434405840B6971CC4F5 +:100D6000E3E8E7F61C401CC4A5B77C4895C3A685FC +:100D700022855D388544E31695F2E30409F22320C9 +:100D8000990005B74840EF20E0352322040089B7D9 +:100D90005C508544E38707F013054402EF20A0056B +:100DA00069FD01B731CD3C4181C77370043001A0AA +:100DB0001C41B1CB011122CC26CA2A844AC84EC696 +:100DC00006CE2E89EFF06FF0185C5C5CAA89814436 +:100DD000637DF7001C5C7D5785071CDC3C44638900 +:100DE000E7023C44854485073CC44E85EFF08FED17 +:100DF000F240624426854249D244B249056182806C +:100E00007370043001A05C41D5D77370043001A029 +:100E10007C4889CB8145228501388547630BF500E5 +:100E20008544E1B75C50EDDF13054402EF10B07C60 +:100E300065D9E30709FE85472320F900D5B7397145 +:100E400006DE22DC26DA4AD84ED652D432C66309F0 +:100E5000050E6381050EB6892E892A84EF20601C59 +:100E600011E5B24781C77370043001A0EF20203034 +:100E70001C5CC9E7B247638D07102808EF20C00A41 +:100E8000FD54130A440231A8EF20A03022852938EE +:100E9000EF106036EF20A02D1C5CADE3B2476389F4 +:100EA000070EEF20002FEF100010EF20402C7C40A9 +:100EB00063949700232204043C4463949700232402 +:100EC0000404EF20002D6C002808EF20000855E9ED +:100ED000EF20E0291C5CCDFBEF20A02B1C40BDC700 +:100EE000B2455285EF10F0522285EFF0EFFBEF1084 +:100EF000803031C9EF20A0271C5CCDD3CA85228564 +:100F00004444EFF08FF8639B09041C5C1840FD1704 +:100F10001CDC01E7EF20602C48C01C48B9EBEF2037 +:100F200040270545F2506254D2544259B259225AD0 +:100F3000216182803C41E38007F27370043001A09C +:100F40007370043001A0EFF06FCC6DB7EF2020225A +:100F50004840EF20000FEF20C02359B75C5044C435 +:100F6000DDDF13054402EF10106955D9EFF00FCA09 +:100F70007DB713050401EF1010688547E311F5FAFA +:100F8000F5B72285EFF04FF2EF10E026014559BF8B +:100F9000EF202020014579B71DC9411122C44AC064 +:100FA00006C626C244412E892A84EF20C0066383E8 +:100FB000A40281464A8681452285513585476300D2 +:100FC000F502B2402244924402494101828073708A +:100FD000043001A05C44054585075CC4DDB75C4472 +:100FE00085075CC4F9BF15CDADC9011122CC26CA55 +:100FF0004AC84EC652C42A8406CE3289AE89EFF062 +:10100000CFCC1C5C2A8A814485E35285EFF08FCBDC +:10101000F240624426854249D244B249224A0561DF +:1010200082807370043001A0304001CE4C445C409B +:10103000B2954CC463E4F5000C404CC44E85EF30CF +:1010400080201C5C7D57FD171CDC7C40638CE70016 +:101050007C40854485077CC04DBF3C41D9D7737027 +:10106000043001A01C4899E3854445B713050401E9 +:10107000EF10705875D9E30909FE85472320F90060 +:10108000E5B721C93C41A1CDA1CB011122CC26CA93 +:101090004AC852C42A8406CE4EC62E8AEFF0EFC24A +:1010A0001C5C2A89814491CB8329C400D285228586 +:1010B000EFF0AFDD8544232634014A85EFF08FC081 +:1010C000F240624426854249D244B249224A05612F +:1010D00082807370043001A07370043001A0EDDFD2 +:1010E0007370043001A001E57370043001A0411158 +:1010F00022C42A8406C6EF208007005CEF20600926 +:10110000B240228522444101828001E5737004309F +:1011100001A0411106C622C42A84EF2040051C5CB0 +:10112000405C1D8CEF20E0062285B2402244410144 +:10113000828019C1085D82807370043001A01DCDCA +:101140009787030093870760D843630CE502D8476D +:10115000630CE504D84B6307E504D84F6302E5044C +:10116000D853630DE502D8576308E502D85B6303E3 +:10117000E502D85F630EE5006F30C006737004307F +:1011800001A001470E07BA9723A007006F30800522 +:101190001D47CDBF1947FDB71547EDB71147DDB75F +:1011A0000D47CDB70947F9BF0547E9BF68458280BC +:1011B0006CC5828003450505828009C5085D13352D +:1011C000150082807370043001A019C51C5D485D54 +:1011D0001D8D1335150082807370043001A0978730 +:1011E000030093872756984305C3984705C7984B34 +:1011F00005C7984F05C7985305C7985705C7985B0B +:1012000015C7985F15C3828001470E07BA978CC334 +:10121000C8C382800547D5BF0947C5BF0D47F5B78D +:101220001147E5B71547D5B71D47C5B71947F1BFF2 +:101230009787030093870751D8436308E502D8478F +:10124000630BE502D84B630AE502D84F6309E50258 +:10125000D8536308E502D8576307E502D85B6308F3 +:10126000E502D85F6303A702828001470E07BA97A1 +:1012700023A0070082800547D5BF0947C5BF0D479A +:10128000F5B71147E5B71547D5B71D47C5B7194796 +:10129000F1BF797122D426D24AD02A8406D64ECE06 +:1012A00052CC56CAAE843289EF10706C7840FD572C +:1012B0006314F700232204043844FD576314F70035 +:1012C00023240404EF10F06C1C5C99E74A86A68581 +:1012D00013054402EF10F026EF1070693C44635C84 +:1012E000F002130A4402FD590549644889C8985C14 +:1012F000DC5C22C66367F7067370043001A05C50A3 +:1013000099CB5285EF10302F35ED3C44FD173CC48E +:101310003C44E34CF0FCFD573CC4EF109067EF10E9 +:1013200010657C406352F0021C4899CF930404017D +:101330002685EF10502C31E97C40FD177CC07C40A5 +:101340006354F0001C48EDF7FD577CC0EF1070644B +:10135000B250225492540259F249624AD24A45612B +:101360008280985CE373F7FA01466C002685EFF003 +:101370006FA2BC44AA8A638D3701BC448507BCC4F4 +:10138000E3952AF9EF10704749B7EF1010476DB792 +:10139000DC50FDD713854402EF10F02575D1DDB781 +:1013A00001E57370043001A0411126C2AA840A0528 +:1013B0001305950522C406C6EF20B0502A8431CD0E +:1013C000930785051CC1914744DD3CC1EF10305A9D +:1013D00034405C5C18407D56B387F602232C04022F +:1013E00070C018C430C413050401B386D740BA973F +:1013F00036975CC058C4EFF08F9113054402EFF0AC +:101400000F91EF10105923080404232A04042285A5 +:10141000B24022449244410182807370043001A0A2 +:10142000411122C426C24AC02A8406C62E89EF1062 +:1014300010547C48814491CBEF10B055B240224407 +:10144000268502499244410182801C5C8144EDF76B +:10145000232A24058544CDB77C496385B70081479D +:101460003E858280185D814765FF411122C42A8430 +:1014700006C6EF10D04F232A0404EF109051B2405B +:10148000224485473E854101828001112E86814696 +:101490006C0006CE02C66532F2403245056182809C +:1014A000011106CE22CC26CA02C605C12A84EFF05D +:1014B000CF811C5CAA8489EF2685EFF0AF80F240D3 +:1014C00062443245D244056182807370043001A0C9 +:1014D000304001CE4C445C40B2954CC463E4F5000E +:1014E0000C404CC46800EF2010561C5C7D57FD1763 +:1014F0001CDC7C406386E7007C4085077CC06DBFB8 +:101500001C48DDDB13050401EF10F00E75B705C5AF +:1015100079714ECE52CC06D63E8A22D426D24AD0FB +:1015200056CA5AC85EC662C4A547BA8963F8E700BE +:101530007370043001A07370043001A0AA8AAE84D5 +:101540003289B68B428B6309082213050006EF200F +:1015500050372A84630D05200A09232865034A862B +:101560009305500A5A85EF20105C03C704001C58ED +:101570007119230AE40203C704003E991379C9FFD5 +:1015800079C783C71400A30AF40283C71400E1C318 +:1015900083C72400230BF40283C72400CDCB83C769 +:1015A0003400A30BF40283C73400D5C383C74400BF +:1015B000230CF40283C74400D9CB83C75400A30C87 +:1015C000F40283C75400C1C783C76400230DF4022B +:1015D00083C76400ADCF83C77400A30DF40283C733 +:1015E0007400B5C783C78400230EF40283C7840048 +:1015F000B9CF83C79400A30EF40283C79400A1CB94 +:1016000083C7A400230FF40283C7A400A9C383C720 +:10161000B400A30FF40283C7B40095CB83C7C40002 +:101620002300F40483C7C4009DC383C7D400A30070 +:10163000F40483C7D40081CF83C7E4002301F404FA +:1016400083C7E40089C783C7F400A301F404130B24 +:1016500044005A85A30104042326340323283405B7 +:10166000232A0404EFE0FFEB13058401EFE07FEB96 +:10167000A947B3873741232C040400C81CCC40D0B1 +:1016800023220404232E04045E86D6854A85EFE0D7 +:101690007FE408C063040A0023208A0073700430CA +:1016A00017F901001309C9DD8327090097F4010028 +:1016B0009384C4DE91C798409C407C4385077CC3DB +:1016C00017F70100130787DC1C43850797F6010015 +:1016D00023AEF6DA9C40D5CB8327090017FA010028 +:1016E000130A0AEA89EB9C40DC5763E6F90097F796 +:1016F000010023A587DA97F70100938767D79843FE +:1017000097F60100938606D85C549442050717F6B5 +:101710000100232FE6D438C463F6F60017F7010062 +:101720002322F7D65147B387E702DA853305FA005B +:10173000EFE09FDF8327090081C79C40FC43BDE3A6 +:1017400083270900054499C79C40DC5763F437019F +:10175000EFE0DFCB2285B250225492540259F24975 +:10176000624AD24A424BB24B224C456182805A8532 +:10177000EF2050277D54F9BF13152600EF20701479 +:101780002A8BE31405DC7D54F1B797F7010023A7FA +:1017900087D0184385476301F70217FA0100130A3F +:1017A0002ADE91BF984094407C43FD177CC3FC42E5 +:1017B000C1FB7360043069B7814A17FA0100130A4C +:1017C0002ADC514CA94B33858A03850A5295EFE0F8 +:1017D0001FD4E39A7AFF97FB0100938BEBE65E85BB +:1017E000EFE0FFD297FA0100938A4AE75685EFE0CF +:1017F0001FD217F501001305A5E7EFE05FD117F53C +:101800000100130525E8EFE09FD017F5010013054F +:10181000A5E8EFE0DFCF97F7010023AF77C797F791 +:10182000010023A957C7C1BD411122C406C626C263 +:101830004AC02A847370043097F40100938444C42E +:101840009C40F9E311E497F70100938727C5804393 +:10185000130944004A85EFE0BFD11C5489C7130522 +:101860008401EFE0FFD0CA8517F50100130585E17B +:10187000EFE09FCB97F70100938787C1984397F7D5 +:1018800001009387E7BE9C43050797F6010023A15B +:10189000E6C09840850797F6010023ABF6BC01CB64 +:1018A00097F70100938787BF9843784349EF9C409F +:1018B000B1C797F70100938767BE9843630F870806 +:1018C00073700430984039EB17F701001307C7BC59 +:1018D00014439442A5E27D5797F6010023A8E6B889 +:1018E000984009CF9843784311CB984394437C4365 +:1018F000FD177CC3FC4299E373600430B24022447C +:10190000924402494101828097F70100938707B909 +:1019100098439C437C4385077CC32DB794439843ED +:10192000F8420507F8C217F701001307E7B614439A +:101930009442D5D2184358475847584397F6010068 +:1019400023A6E6B271BF984394437C43FD177CC342 +:10195000FC42B1FF7360043099BF97F70100938791 +:10196000A7B09C4381C77370043001A02244B240E9 +:101970009244024941016FE07FA941C517F7010078 +:101980001307C7B114438147638BA606411106C6EE +:1019900022C426C27370043097F60100938646AEC7 +:1019A0009C4291C710431C437C4285077CC29C42E9 +:1019B000AA84404981C71C43FC43B9E797F701005B +:1019C000938787AD9C43638B870497F701009387C8 +:1019D00067AC9C436384870497F701009387C7CB68 +:1019E0006309F40297F701009387C7C96301F40202 +:1019F0008547B240224492443E85410182803E8523 +:101A000082807370043001A0EF40E03C45BF9147F5 +:101A1000CDB79C5493B717008907E1BF8947D1BF61 +:101A20007370043097F70100938787A5984309EFF7 +:101A300015C59C43485581CB97F70100938707A6AE +:101A40009843784315E3828017F70100130707A531 +:101A500014431843F8420507F8C261FD17F7010067 +:101A60001307C7A30843F1B7984394437C43FD177A +:101A70007CC3FC42E9FB736004308280411122C4C4 +:101A80002A8406C6EFE07FA411E497F7010093874C +:101A9000E7A080434054EFE0FFA2B24022852244F9 +:101AA00041018280A54763F5B7007370043001A03F +:101AB000011122CC06CE26CA4AC84EC652C42A8478 +:101AC0007370043017F901001309899B83270900FB +:101AD000D5EF79C43C486389F50817F7010013076F +:101AE000E79B63FCB7001443638D860C184344578F +:101AF000B3B4950093C4140029A00443818C93B41B +:101B0000140058546384E70A1C4C2CC863C60700B1 +:101B1000A947B385B7400CCC93172700BA9758480C +:101B200097F901009389C9A58A07CE97631BF7022D +:101B3000130A44005285EFE0BFA317F70100130713 +:101B400067945C5418436376F70017F70100232B62 +:101B5000F7925147B387E702D2853385F900EFE06A +:101B6000BF9C99C0EFE09F8A8327090081CB97F73C +:101B700001009387A7929C43FC439DEBF240624493 +:101B8000D2444249B249224A0561828097F7010056 +:101B90009387C79098439C437C4385077CC31DF87B +:101BA00097F701009387878F80432DB74CD4A9BF47 +:101BB0006244F240D2444249B249224A05616F4030 +:101BC000802181443DBF25C1011126CA97F401003F +:101BD0009384C48C9C4006CE22CC4AC84EC6638DEA +:101BE000A7027370043017F901001309698983276C +:101BF000090091C798409C407C4385077CC35849A5 +:101C000097F70100938747A96302F702832709002A +:101C100081C79C40FC43A5EBF2406244D244424958 +:101C2000B249056182807370043001A01C5517F71A +:101C300001001307E7A3E38BE7FCE9FB93094500E9 +:101C40002A844E85EFE0DF9217F7010013078783A0 +:101C50005C5418436376F70017F701002324F782DA +:101C60005147B387E70217F5010013056591CE854B +:101C70003E95EFE07F8B9C405854DC57E368F7F8C3 +:101C8000EFE0CFF861B76244F240D2444249B24932 +:101C900005616F40401415CD011122CC26CA4AC8F7 +:101CA0002A8406CE4EC6EFE05F82584897F70100BF +:101CB0009387879E2A8981446300F7024A85EFE073 +:101CC0007F80F240624426854249D244B249056190 +:101CD00082807370043001A01C5417F501001305B5 +:101CE0002599E38DA7FCF9FB97E701009387C77758 +:101CF0009C43A9EB97E701009387477A9C434454A0 +:101D000093094400DC574E85B3B4F400EFE05F86DE +:101D100017E70100130707775C54184393C41400B6 +:101D20006376F70017E70100232EF7745147B38756 +:101D3000E70217F501001305A584CE853E95EFE077 +:101D4000AFFEADBF93058401EFE00FFE85BF01112B +:101D5000056506CE22CC26CA4AC84EC652C456C213 +:101D60005AC0EF200036630E051AAA841305000638 +:101D7000EF2020352A84630A051C05669305500A66 +:101D800004D92685EF20205A04588567F117BE94A0 +:101D9000B7474C4593879744130A44005CD8528553 +:101DA000230C0402A30104042326040223280404B0 +:101DB000232A0404EFE0EFF613058401EFE06FF649 +:101DC000A947232C0404F19800C81CCC40D023223E +:101DD0000404232E04040146971500009385E5B101 +:101DE0002685EFE02FEF08C07370043017E901007B +:101DF000130909698327090097E401009384046AA1 +:101E000091C798409C407C4385077CC317E701003D +:101E10001307C7671C43850797E6010023A8F666EA +:101E20009C40C9C38327090097E90100938949753C +:101E300081EB9C40DC5789E797E7010023A0876688 +:101E400097E701009387C762984397E6010093865E +:101E500066635C549442050717E60100232AE66096 +:101E600038C463F6F60017E70100232DF7601395D9 +:101E700027003E950A05D2854E95EFE0EFEA8327CD +:101E8000090081C79C40FC43DDE78327090091C31B +:101E90009C40EF10704985476305F50C59E173705C +:101EA000043001A097E7010023AA875E1843854705 +:101EB00097E901009389C96CE314F7F8CE8A17EB10 +:101EC0000100130B6B785685D10AEFE04FE4E31C59 +:101ED0005BFF17E5010013052577EFE04FE397EA75 +:101EE0000100938AAA775685EFE06FE217E50100BB +:101EF00013050578EFE0AFE117E5010013058578DC +:101F0000EFE0EFE017E5010013050579EFE02FE0C2 +:101F100097E7010023A2675997E7010023AC5757C1 +:101F200005B7F2406244D2444249B249224A924A39 +:101F3000024B05618280984094407C43FD177CC32E +:101F4000FC42A1F77360043089B76244F240424911 +:101F5000B249224A924A024B2685D24405616F203B +:101F6000602873700430FD5717E701002320F750F5 +:101F7000624497E7010023A5A750F240D2444249AA +:101F8000B249224A924A024B97E7010023AE074E1C +:101F900005616FE00FB17370043097E7010023A172 +:101FA000074E6FE04FBE17E701001307E74B1C43D6 +:101FB00085071CC382807370043097E70100938704 +:101FC000274C984305E317E701001307E74B084345 +:101FD0009C4381CB97E701009387474C9843784314 +:101FE00001EF828017E701001307474B14431843A2 +:101FF000F8420507F8C2C1BF984394437C43FD17DC +:102000007CC3FC42F9FF736004308280411106C634 +:1020100022C4EFE08FCB97E701009387E746804328 +:10202000EFE04FCAB240228522444101828097E707 +:1020300001009387A74588438280797106D622D410 +:1020400026D24AD04ECE52CC56CA5AC85EC697E760 +:102050000100938767419C43B1EF17E70100130725 +:10206000A7421C43850797E6010023AFF640832A69 +:102070000700638F0A0697E701009387273F9C4379 +:10208000814463F1FA0897E901009389694F17EADF +:102090000100130AAA4083270A008546D8579317E0 +:1020A0002700BA978A07BE9983A7090063FFF60045 +:1020B000854421A897E701009387473C9C438144CE +:1020C000850717E70100232BF73A97E7010093876D +:1020D000A73A9C4391C38544B250225426850259A5 +:1020E0009254F249624AD24A424BB24B45618280D5 +:1020F00017E401001304443A1C409C43DDC3737091 +:10210000043001A017E401001304043997E9010029 +:102110009389094717EA0100130A4A3817EB0100AF +:10212000130B4B361C409C43B5C31C40DC4703A932 +:10213000C70083274900930B49005E8563EEFA04CC +:10214000EFE00FC3832789021305890199C3EFE0EC +:102150002FC28327C90203270B00DE8513952700B2 +:102160003E950A054E956376F70017E70100232B8D +:10217000F730EFE06FBB83270A000327C902DC5763 +:10218000E362F7FA1C4085449C43C5F3FD5717E70B +:102190000100232DF72C01B717E701002328F72CA6 +:1021A000DDBD17E701001307E72E1C40184397E633 +:1021B000010023A3E62E17E70100232DF72C97E754 +:1021C00001009387272B9C43850717E701002323F2 +:1021D000F72A1C409C4399E7FD5717E70100232786 +:1021E000F72851BD1C40DC47DC47DC4317E7010002 +:1021F000232EF72649B597E701009387E7269843F2 +:1022000001E77370043001A0011106CE22CC26CA6A +:102210004AC84EC652C456C25AC07370043097E4BE +:1022200001009384E425984061EB98437D1797E67D +:10223000010023ABE6229C43D9EB97E7010093878B +:10224000E7249C43C9C797EA0100938A6A3317EAD7 +:102250000100130A2A2317E90100130929248549DB +:1022600019A83385EA00EFE02FAC83270900585402 +:10227000DC576379F70483A70A0FC1CF83A7CA0F7E +:10228000C04713058401EFE0AFAE130B44005A853D +:10229000EFE00FAE5C5483260A00DA851395270021 +:1022A0003E950A052A875695E3FDF6FA17E70100E1 +:1022B000232AF71CEFE04FA7832709005854DC5767 +:1022C000E36BF7FA97E7010023A8371B6DB70144CA +:1022D0009C4081CB97E701009387471C9C43FC43BC +:1022E00095E72285F2406244D2444249B249224AEB +:1022F000924A024B0561828017E701001307071A13 +:1023000014431843F8420507F8C205B7EF30B02C64 +:10231000C9BF17E40100130464161C4095C31C4098 +:10232000054999CF193B09C597E7010023A6271551 +:102330001C40FD1717E701002322F7141C40FDF392 +:1023400097E701009387471398438547E311F7F810 +:10235000EFE0CF8B0544ADBF11CD81E57370043044 +:1023600001A017E70100130727101C4399C77370DA +:10237000043001A07370043001A0411122C406C6CC +:1023800014431841850617E60100232FD60C97E663 +:1023900001009386660F94423304B70000C163F7CF +:1023A000E602637FE40063FD860097E70100938700 +:1023B000E70E88431105EFE0AF9B2285EF30701BDD +:1023C0001D3D01C9B240224441018280E36FE4FC1B +:1023D000D9BF2244B24041016FE04F8311C917E7D2 +:1023E0000100130767081C4391C77370043001A0F4 +:1023F0006FE0CF81411106C622C41843AA870507A2 +:1024000097E6010023A2E60697E6010093860609F7 +:1024100017E7010013074707184388423304F70002 +:102420001105EFE0EF942285EF30B014E93311E5A8 +:102430002244B24041016FD07FFDB24022444101AD +:102440008280411122C406C697E701009387C70125 +:102450009C430144850717E701002327F70097E70E +:1024600001009387A7029C4363E0F51217EE010079 +:10247000130ECE1C97E201009382C20F0144014764 +:10248000854F1303500A21A0311E638DC20B832791 +:102490008EFFBA86F5DB8327CEFFDC43232EFEFEBC +:1024A000638AC70F03AFC700DC432A97814E232EF0 +:1024B000FEFE6385C70783A8C700938748032320D0 +:1024C00017015CC383A788042326F7011CC783A7D1 +:1024D000C8021CCB83A70805232C07005CCB03A8EC +:1024E00008038347080063906704C287850783C693 +:1024F0000700B3850741E38B66FE8981C205C18170 +:10250000231EB700850E1307070263061F038327E8 +:10251000CEFFDC43232EFEFEE39FC7F983274E0048 +:10252000232EFEFE49BF8145231EB700850E1307EB +:102530000702E31E1FFD769413175400311EBA865E +:10254000E397C2F597E70100938707F503AE07000D +:1025500083270E00639D070E97E70100938787F39B +:1025600003AE070083270E006392071817EE0100E1 +:10257000130E0E0183274E109DE383278E11639562 +:10258000072019C223200600BD312285B240224413 +:102590004101828083274E00232EFEFE21B7832730 +:1025A0008E1017EF0100130F6F0EDC4317E70100C9 +:1025B000232CF70C6380E73383A2C700DC43AA9681 +:1025C000814E17E701002321F70C914F1303500AA6 +:1025D0006388E70783A8C7009387480323A01601F1 +:1025E000DCC283A7880423A6F6019CC683A7C80281 +:1025F0009CCA83A7080523AC0600DCCA03A808030D +:10260000834708006391672AC287850703C70700CD +:10261000B3850741E30B67FE93D72500C207C1834B +:10262000239EF600850E9386060263861229832771 +:102630008E10DC4317E701002328F704E39CE7F939 +:1026400083270E1117E701002320F70461B78327C2 +:102650004E00130F8E00D4432322DE006388E62948 +:10266000B307E500D84283A2C600814E2322EE00C4 +:10267000894F1303500A6306EF068328C7001387A8 +:10268000480323A01701D8C303A7880423A6F70192 +:1026900098C703A7C80298CB03A7080523AC070077 +:1026A000D8CB03A80803034708006318671C4287B8 +:1026B000050783460700B3050741E38B66FE13D782 +:1026C000250042074183239EE700850E938707027A +:1026D000638D121B83264E00D8422322EE00E31E98 +:1026E000EFF80327CE002322EE0041BF83274E00E0 +:1026F000130F8E00DC432322FE006384E71F83A2B6 +:10270000C700DC43AA96814E2322FE00894F1303A3 +:10271000500A6306FF0683A8C7009387480323A0D7 +:102720001601DCC283A7880423A6F6019CC683A7F2 +:10273000C8029CCA83A7080523AC0600DCCA03A80C +:1027400008038347080063966710C287850703C79D +:102750000700B3850741E30B67FE93D72500C20747 +:10276000C183239EF600850E93860602638B120FAB +:1027700083274E00DC432322FE00E31EFFF883275D +:10278000CE002322FE0041BF8327CE1197EE010029 +:10279000938E0EF1DC4317E701002321F7F06384E9 +:1027A000D71383AFC700DC433307D500014397E657 +:1027B000010023A5F6EE0D4F89429308500A638766 +:1027C000D707C8479307450308C35CC33C45232686 +:1027D000E7011CC75C551CCB1C5599C32326570029 +:1027E0003C49232C07005CCB0328050383470800E2 +:1027F00063951705C287850783C60700B385074120 +:10280000E38B16FF93D72500C207C183231EF70071 +:10281000050313070702638AAF028327CE11DC4347 +:1028200097E6010023ACF6E6E39DD7F983274E1225 +:1028300097E6010023A4F6E669B78147231EF70057 +:10284000050313070702E39AAFFC1A94E31C06D2B0 +:1028500025BB8147239EF600850E93860602E399E9 +:1028600012F117EE0100130EAED183274E107694AD +:1028700093165400E38307D01DB30147239EE7005E +:10288000850E93870702E39712E597E70100938788 +:1028900067C003AE070076949316540083270E009A +:1028A000E38607CCA1B58147239EF600850E93866B +:1028B0000602E39E12D783278E1176949316540056 +:1028C000E38107CCD1B583274E1217E701002327F8 +:1028D000F7DCC1BD83270E1117E701002326F7DAC5 +:1028E000E1B98327CE002322FE0011BD8326CE004E +:1028F0002322DE00B5B3797126D24AD04ECE52CC17 +:1029000056CA5AC85EC606D622D497E901009389F2 +:10291000A9B517E901001309A9C617EA0100130AAE +:102920002AB697EA0100938A6AB797EB0100938B66 +:10293000EBB597E401009384A4B5054B21A883A7C8 +:10294000090003244910850717E70100232EF7B07B +:102950005D3011E89C40E5F783270900E37CFBFE2E +:10296000EFD0DFAAC5BF7370043083270A00B9E334 +:1029700083270911C04713054400EFD07FBF83A709 +:102980000B00FD1717E701002322F7B09C40FD174D +:1029900017E70100232EF7AE83270A0089C783A714 +:1029A0000A00FC4385E30858EF10D0032285EF109E +:1029B00070034DB703A70A0083A70A007C4385076D +:1029C0007CC37DB703A70A0083A60A007C43FD17DA +:1029D0007CC3FC42E9FB73600430F1B797E7010068 +:1029E000938787A89C43DDE3411106C697E7010062 +:1029F00023A407A817E70100130747AA1C43B7A69B +:102A0000A5A59386565A9C5B8C43639BD500D04307 +:102A10006318B60094476395C600DC476388D70007 +:102A200008430C4393854503EF20A07897E6010007 +:102A3000938646A5904217E70100130767B411A8D3 +:102A40009C42B9CB9C42FD1717E60100232CF6A24D +:102A5000904293172600B2978A07BA979C43EDD30A +:102A6000904293172600B2978A07B305F700D44126 +:102A7000A107BA97D442D4C16383F602DC46B240C0 +:102A800017E70100232CF7A041018280854717E753 +:102A900001002323F79E82807370043001A0D14788 +:102AA0003306F602D442329754C3C9BF01114AC853 +:102AB00006CE22CC26CA4EC62A897370043097E40B +:102AC00001009384E49B9C4017E401001304049DDF +:102AD000D9E76314090003290400930949004E85CE +:102AE000EFD01FA98327890289C713058901EFD079 +:102AF0003FA8CE8517E50100130505BAEFD0DFA288 +:102B00009C4081C71C40FC43ADE31C40638B2707FE +:102B10009C409DCF737004309C40C1EB97E701004F +:102B2000938787979843184325EBFD5717E70100D4 +:102B3000232EF7929C4089CF1C40FC4391CB184038 +:102B400014407C43FD177CC3FC4299E3736004305E +:102B5000F2406244D2444249B24905618280184041 +:102B60001C407C4385077CC3ADB7184014407C43B0 +:102B7000FD177CC3FC42D1FB736004301C40E39919 +:102B800027F99C40A1C397E701009387E78D9C43F9 +:102B9000ADCB7370043001A09C43DC47DC47DC43C1 +:102BA00017E701002324F78C71B718401C407C43C1 +:102BB00085077CC397E701009387078E98431843E6 +:102BC0002DD7D9BF97E701009387478C17E70100FE +:102BD0001307079B9C4303278711631DF700F240EF +:102BE000624497E7010023AB078AD2444249B249C5 +:102BF000056182806244F240D2444249B249056193 +:102C0000F1BB6244F240D2444249B24905616FD0FF +:102C1000EFFF3DC9411126C297E401009384048867 +:102C20004AC02E898C4006C622C4E105EFD05F91D0 +:102C300088401105EFD0DF93FD57630AF90497E749 +:102C4000010093876784804398409C434A9440C323 +:102C5000636CF40497E701009387078488438C40F2 +:102C60009105EFD0FF8D97E70100938727809C4364 +:102C70006376F40097D7010023AA877EB2402244EE +:102C800092440249410182807370043001A08C405B +:102C90002244B2409244024917E501001305C59F42 +:102CA000910541016FD05F8897D701009387877E98 +:102CB000884322448C40B24092440249910541012C +:102CC0006FD01F8811C997D701009387E7799C437C +:102CD00099E77370043001A07370043001A04111B2 +:102CE00026C297D401009384647B9840AE878C40C1 +:102CF000B7060080D58F06C622C41CCFE1053284FA +:102D0000EFD09F8288401105EFD09F86FD57630E5C +:102D1000F40097D70100938727778843B240924405 +:102D20002295224441016F30C0048C402244B240BD +:102D3000924417E5010013052596910541016FD0D6 +:102D4000AFFE25CD411126C297D4010093840475AE +:102D50004AC02E898C4006C622C4E1053284EFD0D9 +:102D6000AFFC88401105EFD0BF808547630AF404AB +:102D700097D7010093874771804398409C434A94BA +:102D800040C3636CF40497D701009387E7708843CE +:102D90008C409105EFD0CFFA97D701009387076D4C +:102DA0009C436376F40097D7010023A1876CB2405F +:102DB000224492440249410182807370043001A090 +:102DC0008C402244B2409244024917E501001305A9 +:102DD000A58C910541016FD02FF597D701009387FE +:102DE000676B884322448C40B2409244024991056B +:102DF00041016FD0EFF4411106C622C426C25C45E2 +:102E0000C04735CC930484012685EFD06FF697D761 +:102E10000100938767659C43B5E7930444002685CA +:102E2000EFD00FF597D701009387C76558549C439F +:102E300063F6E70097D7010023A6E76493172700FE +:102E4000BA978A0717D5010013058573A6853E95A5 +:102E5000EFD08FED97D70100938747649C43585478 +:102E60000145DC5763F8E700854717D701002325A4 +:102E7000F7600545B240224492444101828073705C +:102E8000043001A0A68517D501001305657EEFD09B +:102E9000AFE9C9B797D701009387075D9C4381E7E6 +:102EA0007370043001A0411106C622C426C2B707C0 +:102EB00000804045CD8F1CC12DC4EFD06FEB930433 +:102EC00044002685EFD0CFEA97D701009387875B30 +:102ED00058549C4363F6E70097D7010023A4E75AB0 +:102EE00093172700BA978A0717D50100130545697C +:102EF0003E95A685EFD04FE397D701009387075AF9 +:102F00009C4358540145DC5763F8E700854717D7C1 +:102F100001002323F7560545B24022449244410163 +:102F200082807370043001A011CD97D70100938780 +:102F30006754984397D70100938707559C4318C15E +:102F40005CC182807370043001A055C1D1CD4111A4 +:102F500006C622C42A877370043097D8010093886C +:102F6000285283A7080091CB97D701009387075376 +:102F700094439C43FC428507FCC217D30100130312 +:102F8000A3509C4103260300FD560144638FD702E2 +:102F900017DE0100130E0E4E03250E000328070056 +:102FA00054436305A80005446371D6023308D64034 +:102FB0000544637CF80003250E00918F032603006F +:102FC000B6979CC108C350C3014483A7080081CBB6 +:102FD00097D701009387874C9C43FC4381EF228560 +:102FE000B2402244410182807370043001A07370AA +:102FF000043001A0EF20305EDDB7854717D7010010 +:10300000232CF746828019C1684582800145828061 +:1030100011C16CC5828097D70100938727488843E8 +:10302000828097D701009387A7459C43054589CBAC +:1030300097D701009387474388431335150006054A +:10304000828031CD011126CA97D4010093840445B2 +:103050009C4006CE22CC4AC84EC65855DC576379F0 +:10306000F7021C4D63C707009C40D457A947958FB2 +:103070001CCD93172700BA97584917D90100130997 +:1030800029508A07CA97630DF7009C40DC575CD52E +:10309000F2406244D2444249B24905618280828052 +:1030A000930945002A844E85EFD08FCC9C4017D7DA +:1030B00001001307273D1843DC575CD46376F70003 +:1030C00017D701002320F73C139527003E95624453 +:1030D0000A05F240D244CE854A95B249424905617B +:1030E0006FD08FC419CD411106C622C426C297D70E +:1030F00001009387A73A80436307A40073700430EC +:1031000001A0014582807C4881E77370043001A0F2 +:1031100054543848FD177CC86383E60099C70145BD +:10312000B2402244924441018280930444002685A7 +:10313000EFD00FC43C4817D701001307A73414433E +:1031400029471D8F5CD418CC63F6F60017D7010011 +:10315000232AF7325147B387E70217D50100130539 +:103160002542A6853E95EFD02FBC054555BF73700F +:10317000043097D701009387A7309C4391CB97D712 +:1031800001009387A73198439C437C4385077CC308 +:10319000828097D701009387A72E9C438DC397D732 +:1031A00001009387A72F9843784311CB984394430A +:1031B0007C43FD177CC3FC4299E37360043082803A +:1031C00097D701009387872D904394439843A9474D +:1031D000D456084E958F1CCF828097D701009387D5 +:1031E000E72B984309C79443F84A0507F8CA884370 +:1031F000828079714AD006D622D426D24ECE2A8930 +:103200007370043097D40100938484279C4017D4B2 +:1032100001001304A428BDE31C40BC4F89E71C40F7 +:103220000547F8CFD1E19C4081C71C40FC43F1EB3E +:10323000737004309C408DEF1C40A84F11C563048F +:1032400009021C4023AC07041C4023AE07049C4029 +:1032500081C71C40FC438DEBB2502254925402595A +:10326000F2494561828018403C4FFD173CCFE9BFD1 +:1032700018401C407C4385077CC37DBF18401C4020 +:103280007C4385077CC349BF184014407C43FD172D +:103290007CC3FC42F1F373600430B2502254925468 +:1032A0000259F2494561828008402EC61105EFD0CF +:1032B0002FACB245FD576380F50697D70100938781 +:1032C000A71C83A9070018409C43AE99232237010D +:1032D00063ECF90497D701009387071C88430C40DF +:1032E0009105EFD0EFA597D70100938727189C434E +:1032F00063F6F90097D7010023AA3717EFD00F9193 +:103300001DB7184014407C43FD177CC3FC428DF36D +:103310007360043031BF0C4017D501001305C53769 +:103320009105EFD06FA0D9BF97D70100938787167B +:1033300088430C409105EFD0AFA0C9B779714AD04E +:103340004ECE06D622D426D252CC2E89B2897370A4 +:10335000043097D401009384A4129C4017D4010038 +:103360001304C413F9EF18408947784F630CF70032 +:103370001C401345F5FFB84F798DA8CF1C40054779 +:10338000F8CFADE69C4081C71C40FC43F5E3737069 +:1033900004309C40B1E7638609001C40BC4F23A069 +:1033A000F900184085470145784F630BF7001C4032 +:1033B0001349F9FF0545B84F3379E90023AC2705D8 +:1033C0001C4023AE07049C4081C71C40FC43C1E362 +:1033D000B250225492540259F249624A45618280A5 +:1033E00018401C407C4385077CC375B7084036C62F +:1033F0001105EFD0EF97B246FD576385F60897D7D2 +:1034000001009387670803AA070018409C43369A77 +:10341000232247016363FA0897D701009387C70700 +:1034200088430C409105EFD0AF9197D70100938767 +:10343000E7039C436370FA0697D7010023A847036C +:1034400091A818401C407C4385077CC329BF1840C5 +:1034500014407C43FD177CC3FC42BDFB7360043009 +:10346000B250225492540259F249624A4561828014 +:10347000184014407C43FD177CC3FC4289FB7360F9 +:10348000043031B70C4017D501001305E520910534 +:10349000EFD08F89EFC09FF7F5B597D701009387DD +:1034A00067FF88430C409105EFD08F89E5B751C184 +:1034B000411106C622C426C24AC07370043097D494 +:1034C00001009384E4FB9C40B9EB99C23C4D9CC243 +:1034D000B2878946704D74CD2E872A846386D706BD +:1034E00063FCF6048D456387B70091456395B7008B +:1034F0006300D60C38CC8547630FF60405449C4026 +:1035000081CB97D70100938767F99C43FC43A9E3DC +:103510002285B2402244924402494101828097D7D9 +:1035200001009387A7F798439C437C4385077CC39E +:1035300069BF7370043001A08546E39ED7FA3C4D05 +:1035400033E7B70038CD45BF3C4D85073CCD65B767 +:10355000EF20700875BF130944004A85EFD04F81F2 +:1035600017D70100130707F25C5418436376F7007E +:1035700017D701002328F7F05147B387E70217D583 +:1035800001001305E5FFCA853E95EFC0FFF91C5405 +:1035900081C77370043001A097D70100938707F0AB +:1035A0009C435854DC57E3FBE7F4EFC03FE6B9B760 +:1035B0000144B1B739CD011122CC26CA4AC84EC642 +:1035C00052C456C206CEBA89368AB2842E892A845B +:1035D000EFC0BFEFAA8A63050A003C4C2320FA0023 +:1035E000784C89477CCC6389F40263F4970C8D4650 +:1035F0006387D40091466396D400630AF70A232CAC +:1036000024058547631EF7061C5499CB737004305C +:1036100001A07370043001A03C4C85073CCCD5B7A9 +:1036200097D70100938747E49C43ADEB9304440094 +:103630002685EFC0FFF317D701001307A7E45C54FA +:1036400018436376F70017D70100232DF7E251479F +:10365000B387E70217D50100130585F2A6853E95CD +:10366000EFC09FEC97D70100938747E39C435854E2 +:10367000DC5763F7E70063850900854723A0F9005D +:1036800005445685EFC01FE42285F2406244D244CF +:103690004249B249224A924A0561828093058401D7 +:1036A00017D501001305C5FCEFC01FE865BF014435 +:1036B000C9BF8547E397F4F43C4C33E92701232C39 +:1036C000240581B74DC1011122CC26CA2A844AC8DB +:1036D00006CE4EC6AE84EFC05FDF8947744C7CCC0B +:1036E0003C4C05472A8985073CCC6395E6061C546B +:1036F00081C77370043001A097D701009387C7D6A4 +:103700009C43ADE7930944004E85EFC07FE617D791 +:103710000100130727D75C5418436376F70017D7C7 +:1037200001002321F7D65147B387E70217D50100DF +:10373000130505E5CE853E95EFC01FDF97D7010045 +:103740009387C7D59C435854DC5763F5E70099C06D +:1037500085479CC06244F240D244B2494A854249FE +:1037600005616FC03FD67370043001A093058401DA +:1037700017D501001305C5EFEFC01FDBC1B7AA873E +:1037800029C57370043017D70100130767CF14439E +:103790009DE2F04F894601456315D60023AE07042C +:1037A00005451C4381CB97D70100938727CF9843CA +:1037B000784315E3828097D60100938626CE904207 +:1037C00094427442850674C2E9B797D70100938783 +:1037D000E7CC9C437DB7984394437C43FD177CC35F +:1037E000FC42E9FB7360043082805D71A6C2CAC0EE +:1037F00052DC56DA5AD85ED686C6A2C44EDE62D4F1 +:1038000066D26AD017D90100130949CA17DB010033 +:10381000130B0BC997D401009384C4C897DA010035 +:10382000938A8AC8054AA54B8327090098436301F8 +:103830000712DC4783A90700EFE0EFF6EFE0AFF7F0 +:1038400083270B002A8C6362F50A97D7010023A90E +:10385000A7C401466370351B8840B3858941EFD00A +:103860005FA3EFE05F99630105128840814601463E +:103870002C00EFD0CFDC4DD9A247E3C807FEC249E8 +:1038800083A7490189C713854900EFC07FCEEFE0C8 +:103890008FF283270B002A8C636DF508A24717D798 +:1038A0000100232F87BFE3E2FBFCB317FA0013F7F5 +:1038B0000721631A071613F7770C6318071E93F78F +:1038C0000702C5D74E85EF00F01145B7DC47C0476A +:1038D00083AC0700930944004E85EFC07FC95C505C +:1038E000228582975C4C6384470B832709009843A9 +:1038F00071FF03A70A0097D6010023A386BB97D6C2 +:10390000010023A3F6BA97D7010023A1E7BAEFE09D +:103910009F8EA1BFDC47C04703AD0700930C440056 +:103920006685EFC0FFC45C50228582975C4C63863D +:10393000470983270900984371FF03A70A0097D618 +:10394000010023A3F6B697D7010023A1E7B6B9B7C4 +:10395000EFE06FE5EFE02FE683270B002A8CE366AC +:10396000F5F883A70A00884081499043B3858941CF +:1039700017D70100232687B313361600EFD07F91A7 +:10398000EFE07F87E31305EEEFC05FA8F9BD1C4CA5 +:10399000CE85E69763E9FC049C4089CF02CA66CCD9 +:1039A00022CEEFF00FE88840814601464C08EFD068 +:1039B0002F8905FD7370043001A01C4CE685EA9741 +:1039C000636CFD049C4089CF02CA6ACC22CEEFF022 +:1039D0004FE58840814601464C08EFD06F8631F9AB +:1039E0007370043001A0032509005CC000C8EFC05B +:1039F0003FB5E5BDEFE03F8083270900DC47C047C6 +:103A0000930C44006685EFC0BFB65C4C638F4703E0 +:103A10005C502285829791BD032509005CC000C8D7 +:103A2000EFC01FB239B7B24723ACF900A5CFE29778 +:103A300023A2F90023A8390193854900636FFC0490 +:103A400003A50A00EFC0DFAF0DB51C4C00C83387DB +:103A5000F90058C0636DEC02330C3C416363FC0217 +:103A60009C4089CF02CA4ECC22CEEFF08FDB88403B +:103A7000814601464C08EFC0BFFC59F97370043011 +:103A800001A003A50A00E685EFC09FAB51B703254F +:103A90000900E685EFC0DFAAA5BF03250900EFC036 +:103AA0003FAAE1B37370043001A0B24783A6890135 +:103AB00023A839013387D70023A2E9006364EC040B +:103AC000B307FC4063EBD70483A749024E85829776 +:103AD00083A7C901E39B47D983A68901B2479840D0 +:103AE000B69711CF02CA3ECC4ECEEFF08FD38840AE +:103AF000814601464C08EFC0BFF4E31805D6737049 +:103B0000043001A06374FC00E370F7FC0325090096 +:103B100093854900EFC0DFA289BB03A50A00938506 +:103B20004900EFC0FFA191B3411122C406C626C2CD +:103B30004AC017D401001304E496EFF04FE31C4091 +:103B400095CBEFF00FE51C409DC3814801488147AC +:103B5000094781461306004097D5010093850586E5 +:103B6000170500001305A5C8EFD07F9A39E97370D7 +:103B7000043001A017D90100130949B34A85EFC0E9 +:103B80001F9997D401009384A4B32685EFC03F9872 +:103B90000146B145114597D7010023A9279197D731 +:103BA000010023A39790EFC0BFC417D70100232BB8 +:103BB000A78E11CD97C501009385C57FEFD02FE269 +:103BC00049B7B24022449244024941018280737055 +:103BD000043001A0A5C9797156CAAA8A1305C0028A +:103BE00022D426D24AD04ECE52CC06D65AC85EC671 +:103BF0003A89B689328AAE84E9212A8405C9EFF070 +:103C00000FD797D701009387E7899C439DCFEFF0AB +:103C10004FD8130544002320540104CC232E440123 +:103C20002320340323222403EFC0BF8F2285B25008 +:103C3000225492540259F249624AD24A424BB24B40 +:103C4000456182807370043001A097DB0100938B83 +:103C5000EBA55E85EFC0BF8B17DB0100130B4BA6F6 +:103C60005A85EFC0DF8A0146B145114597D701005B +:103C700023AE778397D7010023A86783EFC05FB790 +:103C800017D701002320A78201C997C5010093859A +:103C90006572EFD0CFD4A5BF7370043001A039C5D1 +:103CA000011122CC06CE26CA17C401001304847F5A +:103CB000832804002A88014563850802BA842EC23D +:103CC000368732C442C6954663C5B602EFF06FB57B +:103CD0008947630BF5020840814601464C00EFC05E +:103CE0003FD6F2406244D244056182807370043052 +:103CF00001A04C0081463A864685EFC0DFF6F240CF +:103D00006244D244056182800840814626864C0088 +:103D1000EFC01FD3F9B719C1084182807370043016 +:103D200001A001E57370043001A0411106C622C450 +:103D30002A84EFF0CFC348483334A000EFF06FC5BA +:103D40002285B24022444101828001E57370043033 +:103D500001A0411122C42A8406C6EFF04FC10050D1 +:103D6000EFF02FC3B240228522444101828001E559 +:103D70007370043001A0411122C426C22E84AA848B +:103D800006C6EFF0CFBE80D02244B240924441013B +:103D90006FF02FC04111714522C406C61D262A842A +:103DA00011C5232005001105EFC06FF62285B24032 +:103DB00022444101828001CDB70700FFED8F81C70A +:103DC0007370043001A099E57370043001A0737022 +:103DD000043001A0011122CC4AC84EC652C456C2BA +:103DE00006CE26CABA893689B28A2E842A8AEFF08C +:103DF0004FA311E5638509007370043001A0EFE063 +:103E00008F9A83240A00B37794006316090295C73A +:103E100063870A009347F4FFE58F2320FA00EFE061 +:103E20008FBDF240624426854249D244B249224ABB +:103E3000924A05618280E30DF4FCE38209FEB3350A +:103E40005001E20563050900B7070004DD8D4E86C9 +:103E5000C18D13054A00EFE0FFE6EFE0CFB919E1AD +:103E6000EFC0CFDAEFF0CFB593176500AA8963D81A +:103E70000700B7040001FD14B3F499005DB7EFF03B +:103E80000FAF83290A00B3773401631E090089CB81 +:103E900063880A009347F4FFB3F737012320FA0041 +:103EA000EFF02FAFF9B7E31DF4FEDDB701C9B70797 +:103EB00000FFED8F99C77370043001A07370043058 +:103EC00001A0411122C42A8406C626C24AC02E89F6 +:103ED000EFF0EFA904409345F9FFB3F795001CC03C +:103EE000EFF02FABB24022442685024992444101B3 +:103EF0008280411122C42A8406C6EFC00FDD004033 +:103F0000EFC04FDCB240228522444101828009C9C2 +:103F1000370700FFB3F7E50099C77370043001A0BD +:103F20007370043001A06F10D06CB70700FFF18FE1 +:103F300081C77370043001A001E67370043001A0E2 +:103F4000011122CC4AC84EC652C406CE26CA3689B2 +:103F5000AE892A8A3284EFF0CF8C21E16305090013 +:103F60007370043001A0EFE00F8483240A00CE8533 +:103F70005285B3E49900613FB37794006307F40678 +:103F800083240A00EFE02FA7F240624426854249CD +:103F9000D244B249224A05618280EFE0CF80832477 +:103FA0000A00CE855285B3E434019537B3F7840017 +:103FB000638D8702E30609FCB70500054A86C18DBB +:103FC00013054A00EFE01FD0EFE0EFA219E1EFC0C8 +:103FD000EFC3EFF0EF9E93176500AA8463DF07003D +:103FE000B7070001FD17FD8C45B783270A0013446E +:103FF000F4FFE18F2320FA0071B7EFF04F9783248D +:104000000A00B3779400639787009347F4FFE58F26 +:104010002320FA00EFF0EF97E1B7411122C406C662 +:104020002A8426C2EFD03FF85C4085C30848930439 +:10403000C4006316950015A0084863009502B705F3 +:104040000002EFE03FE55C40E5FB2285612A224467 +:10405000B240924441016FE00F9A7370043001A0A6 +:1040600009C9370700FFB3F7E50099C7737004303B +:1040700001A07370043001A06F10B05701C9B707D9 +:1040800000FFED8F99C77370043001A07370043086 +:1040900001A0411122C426C206C62A84AE84EFF0D4 +:1040A0000F8D184093C7F4FFF98F1CC02244B24013 +:1040B000924441016FF0EF8D19C1084D8280014596 +:1040C0008280411122C406C62A84EFD0DFED97C753 +:1040D00001009387E73D9C43A1EBE56717C701000B +:1040E0001307875FF11797C6010023A9E63C17C79E +:1040F00001002323F73C17C701002321F75E97C770 +:1041000001009387273B17C701002327F75C8547EA +:1041100017C701002326073A17C70100232C0738C9 +:1041200017C701002326F73819E8EFE0CF8CEF100E +:10413000C00701442285B240224441018280130518 +:10414000840093773500C1EFE5671307F5FFE917A2 +:10415000E3EDE7FC97C60100938646369C4229A012 +:10416000984311C7BE86BA87D843E36BA7FE17C72B +:10417000010013072734E38AE7FA98434146138482 +:10418000870098C2D843B306A7406378D602338825 +:10419000A7002322D800C8C38325480097C6010082 +:1041A0009386C63111A0BA8698425043E36DB6FE9D +:1041B0002320E80023A00601D84397C70100938776 +:1041C000A7329C43998F17C70100232FF730EFE0E8 +:1041D0008F8231DC2285B240224441018280719974 +:1041E00011059DB729C9411122C406C62A84EFD002 +:1041F0009FDB930584FF0326C4FF17C70100130745 +:10420000E72B11A03E871C43D443E3EDC6FE232CCD +:10421000F4FE0CC397C701009387072D98438327AB +:10422000C4FF2244B240BA9717C70100232EF72AD1 +:1042300041016FD05FFC828097C701009387C72A36 +:104240008843828082803367B5000D8BAA871DE783 +:104250009308D6FFAA982A87AE866371150303A830 +:10426000060011079106232E07FFE36A17FF934705 +:10427000F5FFC697F19B9107BE95AA972A9663F31F +:10428000C70A9386450013884700B3B6D70033B8F2 +:10429000050133E7F50093C61600134818000D8B8F +:1042A000B3E6060113371700758F330EF640938679 +:1042B000170029CF1337AE0031EB13532E002E8891 +:1042C000BE860147832808000507110823A01601B0 +:1042D0009106E36967FE1377CEFFBA95BA9763023A +:1042E000EE0483C60500138717002380D700637A86 +:1042F000C70283C6150013872700A380D700637207 +:10430000C70203C725002381E7008280B307F64078 +:10431000AE97850503C7F5FF8506238FE6FEE39A72 +:10432000F5FE82808280B367C5008D8BB308C5001F +:10433000E9C36371150FB307A0408D8B1387370056 +:10434000954693F5F50F130815003383A8407D16A5 +:104350006373D70015476363E60CD5CF2300B50020 +:104360000547638DE700A300B5000D47130825003E +:104370006396E700130835002301B5001396850006 +:104380004D8E13970501518F3303F34093968501AA +:10439000D98E13562300AA97014794C305079107A6 +:1043A000E36DC7FE1377C3FFB307E8006307E306B7 +:1043B0002380B70013871700637C1703A380B7001F +:1043C00013872700637617032381B700138737000D +:1043D00063701703A381B70013874700637A17013F +:1043E0002382B7001387570063741701A382B700B5 +:1043F000828093F5F50F13978500D98D13970501EA +:104400004D8FE37715FFAA87910723AEE7FEE3ED13 +:1044100017FF828082802A8895B78280AA8749BF49 +:10442000834705002A8799C7050583470500EDFFE7 +:10443000198D82800145828005058347F5FF85053A +:1044400003C7F5FF91C7E389E7FE3385E740828024 +:104450008147E5BFAA87850503C7F5FF8507A38FB9 +:10446000E7FE75FB82808346050093070002639791 +:10447000F600050583460500E38DF6FE938756FD9D +:1044800093F7D70F85C7AA87814585CE0145850754 +:10449000138606FD1317250083C607003A95060507 +:1044A0003295F5F699C13305A0408280938536FD9B +:1044B0008346150093B5150093071500E1FA0145F1 +:1044C000D5B7014582809C412380A7009C41850788 +:1044D0009CC1828097C601009386C6FE9C421757F6 +:1044E00003001307272213861700BA972380A7001B +:1044F00097C5010023A8C5FCA9476308F5009307E9 +:1045000000046305F6000145828075DE370610F071 +:1045100005078347F7FF2320F6F09C42FD1797C558 +:10452000010023A1F5FAEDF7014582804D712322A8 +:10453000911423202115232A511323248113232688 +:10454000111423248114232E3113232C41132328E7 +:10455000611323267113232291132320A113232EE9 +:10456000B111AA842E8932C6130C5002A54A834782 +:10457000090063808703B9CB804011A0A1CB230041 +:10458000F40080400509050480C083470900E397D3 +:1045900087FF0346190093081900C686130D000211 +:1045A000FD597D5A0148130550059307D6FD93F731 +:1045B000F70F138916006363F52A17C70100130765 +:1045C00067A48A07BA979C43BA9782878320C1144D +:1045D0000324811483244114032901148329C11362 +:1045E000032A8113832A4113032B0113832BC11246 +:1045F000032C8112832C4112032D0112832DC11132 +:1046000071618280A14C02C4854763D50715B2470A +:104610008040938B770093F68BFF03AB060083A952 +:104620004600938786003EC6668681465A85CE85BB +:10463000EF10E03E2AC8814763853723930D41017F +:10464000854B668681465A85CE85EF106007814688 +:1046500066862A8BAE89EF10803CA24723A0AD006E +:1046600093861B00910D63843701B68BD9BFE37E1F +:104670009BFF63DD4601A2872380A70180407D1A4E +:10468000930714009CC03E84E3C846FF93962B001A +:104690001C08BE9631A8938777052300F40080405C +:1046A000FD1B93871B00050480C0F116E351F0EC5D +:1046B0009C42E3E2FAFE93870703C5B79C4093064A +:1046C000000332472380D7009C4093064700C14C2B +:1046D0001386170090C013068007A380C700804090 +:1046E00002C4BA87050480C003AB0700814936C6FF +:1046F00025BFB24783AC0700938B470063840C1C33 +:10470000635F40199307D0026319FD1683C70C003D +:1047100085C363DC09189440850C7D1A2380F6005C +:104720008040050480C083C70C00E5F7635D40014D +:104730008040930700022300F40080407D1A0504A6 +:1047400080C0E31A0AFE5EC61DB502C48547A94CA7 +:10475000E3CF07EBB24780409386470071B703C6AB +:104760001600CA8699B59C40930650022380D70054 +:104770008040050480C0E5BBC14C02C471B5B2479E +:1047800003C61600CA8683A9070091073EC6E35EEA +:104790000AE04E8AFD5911BD03C61600130DD00262 +:1047A000CA8621B59347FAFFFD8703C61600337A00 +:1047B000FA00CA86DDBB03C61600130D0003CA86C5 +:1047C000EDB383C51600930906FDCA86938705FDE0 +:1047D0002E86E3EEFAFA93972900BE99850686099C +:1047E000AE9983C50600938909FD938705FD2E8642 +:1047F000E3F3FAFE69BF32479C40144311073AC6FF +:104800002380D7008040050480C095B3854763D3DB +:10481000070BB24793867700E19A938786003EC6DE +:1048200083A946009C4263DC090A94401306D00227 +:10483000B307F0402380C6008040B336F000B309D0 +:104840003041050480C03E8BB389D940A94C02C4D5 +:10485000E1BB03C616000508CA8681BB9C40468999 +:1048600021B7E37D9BDD8547814B8546E3C547E165 +:1048700031BD97CC0100938CECB4CE856685EF00FA +:10488000102A330AAA40635C400180402300A4013F +:1048900080407D1A050480C0E31A0AFE014A83C7DE +:1048A0000C00E38207EAE3C809E6FD197D57E394AB +:1048B000E9E6ADBDB247938647009C4336C693D91F +:1048C000F74195B7635640019307D002E313FDFA11 +:1048D00097CC0100938C0CAF930780021DBD3E8BDB +:1048E000A94C02C4804089B34D71232E3113B709FE +:1048F00000409387F9FF2324811423229114232C51 +:104900004113232A51132326111423202115232870 +:10491000611323267113232481132322911323204F +:10492000A113232EB1112A8A2EC417C40100130427 +:1049300064B9975A0300938AEADC97C40100938410 +:10494000E4813EC693055002294513080004B706CA +:1049500010F083470A006386B704C1C71840050AF0 +:1049600013061700569797C8010023ADC8B423005B +:10497000F7006382A706E31E06FD1757030013071F +:1049800067D805078347F7FF23A0F6F01C40FD1703 +:1049900017C601002328F6B2EDF783470A00E39E0D +:1049A000B7FA83461A0013051A002A87930B0002F0 +:1049B0007D5B7D5C014893055005A5489387D6FD36 +:1049C00093F7F70F130A170063E7F5348A07A697E2 +:1049D0009C43A6978287175703001307A7D255F267 +:1049E0008DBF8320C114032481148324411403291F +:1049F00001148329C113032A8113832A4113032B32 +:104A00000113832BC112032C8112832C4112032D1D +:104A10000112832DC11171618280A14C014D854726 +:104A200063DB0719A2479D07E19B83AD070003AB3A +:104A30004700138787003AC4668681466E85DA850B +:104A4000EF00F07D2AC863066D35130941018549E1 +:104A5000668681466E85DA85EF00904666868146D9 +:104A6000AA8D2E8BEF00B07B2320A90085091109A8 +:104A7000E3106DFFE3FE9DFD13060004B70610F082 +:104A800063D189031C4013871700D69797C501008F +:104A900023AAE5A223807701630CC7047D1CE3C32E +:104AA00089FFB2476800A548B386F9008A061C084A +:104AB000BE96294813030004370610F0E384A6E8E5 +:104AC0009C429305000363F4F800930570051840B9 +:104AD000AE9793051700569717CE01002324BE9E6C +:104AE0002300F7006380072B638C6526F116F9B766 +:104AF00017570300130707C105078347F7FF23A0D4 +:104B0000F6F01C40FD1797C5010023ADF59AEDF7AF +:104B10007D1C71B71C40130600041387170097C54E +:104B2000010023A1E59AB386FA00930500032380D0 +:104B3000B600630FC73C890797C6010023A4F69807 +:104B40005697930680072300D700175703001307D3 +:104B500067BBB70610F0639EC70005078347F7FFE2 +:104B600023A0F6F01C40FD1717C60100232CF69475 +:104B7000EDF7A247C14C014D91072247014B832D10 +:104B800007003EC455BDA24783AC07001389470008 +:104B9000638E0C3A635480219307D002639EFB2EF0 +:104BA00003C70C00BA856310072025AC8547A94CC4 +:104BB000014DE3C907E7A2479107C1B7A247834662 +:104BC0001700528703AB070091073EC4E3580CDE81 +:104BD0005A8C7D5BE5B383461700930BD002528756 +:104BE000F1BB9347FCFFFD8783461700337CFC0035 +:104BF0005287E9B383461700930B000352877DBBAE +:104C000003461700138B06FD5287930706FDB286F5 +:104C1000E3EEF8FA93172B00DA9705078607B297A9 +:104C200003460700138B07FD930706FDB286E3F3E7 +:104C3000F8FE69BFA2451C4029469841938617009B +:104C4000D69717C50100232FD5862380E700138947 +:104C500045006300C72A930700046387F6204AC40F +:104C6000D5B1854763DE0729A24713877700619B8B +:104C7000930787003EC4032B47001C43635A0B3045 +:104C80001840130600049306170097C5010023ABD4 +:104C9000D58256979305D0022300B7006387C62CB0 +:104CA000B307F0403337F000330B6041BE8D330B58 +:104CB000EB40A94C014D49B38346170005085287C4 +:104CC000F5B9834617005287D5B91C4093060004F6 +:104CD0001387170017B601002326E67ED697130622 +:104CE00050022380C700E31FD7C4175703001307E0 +:104CF00067A1B70610F005078347F7FF23A0F6F07A +:104D00001C40FD1717B60100232EF67AEDF71DB9EA +:104D1000C14C014D29B31C40930600042A8A138715 +:104D2000170017B60100232FE678D6971306500216 +:104D30002380C700E318D7C0175703001307879CC9 +:104D4000B70610F005078347F7FF23A0F6F01C40D5 +:104D5000FD1717B601002327F676EDF72A8ADDB690 +:104D6000175703001307079A05078347F7FF232008 +:104D7000F6F01C40FD1797B5010023A5F574EDF77B +:104D8000F1162DBB175703001307C797F1FDF11656 +:104D900035B3E3FC9DCB8549C5B1014C03C70C007D +:104DA000BA85E30E07EAFD58294513080004B70643 +:104DB00010F063570B0A1C4013861700D69717B3E1 +:104DC00001002321C3702380E7006384A5066304E8 +:104DD0000609850C03C70C007D1CBA8579FBE350DE +:104DE00080E91C409305000213060004B70610F08A +:104DF00021A07D1CE3050CE613871700D6972380BE +:104E0000B70017B50100232FE56ABA87E313C7FE81 +:104E1000175703001307078F05078347F7FF23A0E2 +:104E2000F6F01C40FD1717B50100232DF568EDF7CE +:104E3000C9B7175703001307E78C41DE05078347FF +:104E4000F7FF23A0F6F01C40FD1717B60100232B37 +:104E5000F666EDF7BDBF175703001307A78AF9BF22 +:104E60007D1BE31A1BF5A5BF17570300130787899E +:104E7000B70610F005078347F7FF23A0F6F01C40A4 +:104E8000FD1717B60100232FF662EDF74AC45DBC8B +:104E900097BC0100938C0C53DA8566854921330C4D +:104EA000AC40E35D80EF1C4013060004B70610F031 +:104EB00021A07D1CE3030CEE13871700D6972380F7 +:104EC000770197B5010023AFE55EBA87E313C7FE0C +:104ED000175703001307078305078347F7FF23A02E +:104EE000F6F01C40FD1797B5010023ADF55CEDF71A +:104EF000C9B7175703001307E780BDFA4AC499B42E +:104F0000A247138747009C433AC413DBF741BDB364 +:104F1000174703001307077FB70610F005078347FD +:104F2000F7FF23A0F6F01C40FD1717B60100232B56 +:104F3000F658EDF7854717B701002325F758930773 +:104F4000800717470300230FF77A25B163568001C6 +:104F50009307D002E39EFBF297BC0100938C8C4632 +:104F600013078002930580023DBD97460300938698 +:104F70006679370610F0850603C7F6FF2320E6F0B2 +:104F800018407D1797B5010023AEE5526DF709BBB8 +:104F9000BE8DA94C014D4DB4B70710F023A0A7F268 +:104FA00001A03971130341022ED29A8506CE32D464 +:104FB00036D63AD83EDA42DC46DE1AC63532F24000 +:104FC0000145216182805D711303810322D42AC6C9 +:104FD00032DC2A841A86680006D6BEC236DEBAC023 +:104FE000C2C4C6C61ACEEFF06FD4B2472380070002 +:104FF0003245B250018D225461618280B70710F0B2 +:1050000083A647F403A607F403A747F4E31AD7FEE1 +:105010008566938606FAB29633B6C600B305E600F7 +:1050200023A4D7F423A6B7F48280B70710F003A710 +:1050300047F403A607F483A647F4E31AD7FE856670 +:10504000938606FAB29633B6C60023A4D7F4B30506 +:10505000E60023A6B7F49307000873A047308280C8 +:10506000411122C406C629447D14312C75FCB2407E +:10507000224441018280411122C406C697B7010033 +:105080009387C74417B401001304044498431C4099 +:105090001306F07C930647069387470697B50100F1 +:1050A00023A6D54297B5010023A0F5426346D6005A +:1050B000B2402244410182801307478997B701001B +:1050C00023A6E7401D2485476310F5021840856735 +:1050D0009387F7760145E3DDE7FCEFF0FFEB224431 +:1050E000B24041016FC03FEB17B501001305052E1B +:1050F000EFF03FEB0945EFF03FEA2244B2404101B7 +:105100006FC07FE917B501001305C52D6FF07FE96A +:1051100017B501001305852D6FF0BFE8411122C4BA +:1051200026C206C6856417B401001304A42C938715 +:1051300084380100FD17F5FF2285EFF09FE6C5BF1B +:10514000411106C622C4DD2017070000130787F1AE +:10515000814605469305200317B501001305052A6E +:10516000EFE05FA72A84EFC01FE52A86228522444C +:10517000B24001478146854541016FE05FB2411170 +:1051800006C6A522054781476316E500B2403E8565 +:105190004101828017B5010013054523EFF07FE040 +:1051A000B24089473E85410182807370043001A07E +:1051B00082807370043001A0797122D426D24AD043 +:1051C0004ECE52CC06D6014917B401001304443127 +:1051D00097B901009389C93117BA0100130A0A303F +:1051E000854408408145EFC04FAA65DD81460146F0 +:1051F0006C00EFB0DFC46306950097B7010023A9E8 +:10520000072EB2476382270397B7010023A2072E18 +:1052100083A70900E39797FC83270A00850717B740 +:105220000100232DF72A75BF0509DDB7411101469D +:105230009145294506C622C4EFB08FDB17B40100A3 +:105240001304442A08C02945EFC08F95AA87084057 +:10525000BE8517B701002325F728EFC06F9C2244B5 +:10526000B24081480148814701478146130600400A +:1052700097B501009385C51917050000130505F4BE +:1052800041016FC0CFA897B701009387E7249C43E3 +:105290001307200385076367F70017B70100232D65 +:1052A000F7228280411197B701009387A72306C692 +:1052B00088438146014697B501009385A52197B79C +:1052C000010023AB0720EFB01F9A8547631CF50050 +:1052D00097B70100938707209C43850717B7010004 +:1052E000232AF71EB2404101828097B701009387BD +:1052F000E71E17B701001307A71D9C431843631649 +:10530000F70017B701002325071E17B70100130781 +:10531000271E084317B70100232CF71A8280AA958D +:10532000AA876385B70003C7070001E73385A74055 +:1053300082808507FDB7814863DA05003305A04008 +:10534000B337A000B305B0409D8DFD5863D906006A +:105350003306C040B337C000B306D0409D8E3288BC +:105360003683AA872E87639F061C97B60100938613 +:1053700066F463F1C50C416E6377C60B130EF00F34 +:105380006373CE002143335E6600F29603CE0600BF +:105390001A9E130300023303C341630C0300B39549 +:1053A0006500335EC501331866003367BE00B3176E +:1053B0006500135E0801B355C70313150801418149 +:1053C00093D607013376C703B305B5024206D18EE3 +:1053D00063F8B600C29663E5060163F3B600C296B1 +:1053E0008D8E33F7C603C207C183B3D6C603B30697 +:1053F000D50213150701C98F63F8D700C29763E57B +:10540000070163F3D700C297958F33D567008145B5 +:10541000638A0800B307A0403337F000B305B040FB +:105420003E85998D8280370E00014143E36DC6F5BC +:10543000614391BF01E605483358680241676373D1 +:10544000E8081307F00F637307012143335768001F +:10545000BA9603C606001A96130300023303C3402C +:1054600063190306B3850541935608011315080116 +:10547000418113D6070133F7D502B3D5D5024207D0 +:10548000518FB305B5026378B70042976365070192 +:105490006373B7004297B305B74033F7D502C2072D +:1054A000C183B3D5D502B305B50213150701C98F62 +:1054B00063F8B700C29763E5070163F3B700C297CB +:1054C0008D8FA1B7370700014143E361E8F86143DD +:1054D000B5BF3318680033DEC500935E080133564C +:1054E000C500B31765003355DE03B39565004D8ED7 +:1054F00093150801C181935606013377DE03338586 +:10550000A5024207558F6378A7004297636507019C +:105510006373A7004297B306A74033F7D60342064A +:105520004182B3D6D6034207B386D502B365C7001E +:1055300063F8D500C29563E5050163F3D500C29514 +:10554000958D1DB7E3E6D5EC416863F50605930E2E +:10555000F00F33B8DE000E0833DE060117B301008A +:10556000130343D57293834E0300130E0002C29EB1 +:10557000330EDE4163170E0263E4B6006369C500B3 +:10558000B307C540958D3335F5003387A5403E857B +:10559000BA85BDBD370300014148E3EF66FA6148B3 +:1055A00065BF3357D601B396C601D98EB357D5011F +:1055B00033D7D501B395C501CD8F93D5060133738C +:1055C000B702139F0601135F0F0113D807013316AB +:1055D000C6013315C5013357B702420333680301CF +:1055E000B30FEF023A83637CF80136981303F7FF99 +:1055F0006367D8006375F8011303E7FF3698330833 +:10560000F841B37FB8023358B802C20FB3050F0395 +:10561000139F0701135F0F0133EFEF014287637C94 +:10562000BF00369F1307F8FF6367DF006375BF0095 +:105630001307E8FF369F4203C16F3363E30093878C +:10564000FFFF13580601330FBF40B375F300135328 +:105650000301F18F3387F502B307F302B385050326 +:1056600033030303BE95135807012E986373F800A4 +:105670007E93C167FD17935508013378F8004208FF +:105680007D8F9A9542976366BF00631BBF00637965 +:10569000E5003306C7403337C700958D998D3287B3 +:1056A0003307E5403335E500B305BF40898DB39737 +:1056B000D5013357C70133E5E700B3D5C50189BB31 +:1056C000AE87328836872A836396062097B8010012 +:1056D000938848BE63FEC50A41676374E60A1307F0 +:1056E000F00F3337C7000E07B356E600B69883C6EF +:1056F0000800369793060002998E99CAB397D7008F +:105700003357E5003318D600B365F7003313D500DF +:1057100013550801B3D7A502131608014182935609 +:10572000030133F7A502B305F6024207D98E3E877F +:1057300063FCB600C2961387F7FF63E7060163F5C3 +:10574000B6001387E7FFC2968D8EB3F7A602420319 +:1057500013530301B3D6A602C20733E36700B305B0 +:10576000D6023685637BB30042931385F6FF6366EA +:1057700003016374B3001385E6FF4207498F814537 +:105780004DA8B70600014147E360D6F66147A9BFBF +:1057900001E6854633D8C602C166637ED808930603 +:1057A000F00F63F306012147B356E800B69883C6AD +:1057B0000800BA9613070002158F49E7B38707411F +:1057C0008545135608019318080193D8080193568C +:1057D000030133F7C702B3D7C7024207D98E338517 +:1057E000F8023E8763FCA600C2961387F7FF63E7C3 +:1057F000060163F5A6001387E7FFC296898EB3F70B +:10580000C602420313530301B3D6C602C20733E3F1 +:105810006700B388D8023685637B130142931385F2 +:10582000F6FF63660301637413011385E6FF420705 +:10583000498F3A858280B70600014147E366D8F672 +:1058400061479DB73318E800B3D5D7003313E5009F +:10585000B356D50013550801B397E70033F7A502F7 +:10586000B3E8F60093170801C18313D60801B3D536 +:10587000A5024207518FB386B7022E86637CD700FC +:1058800042971386F5FF636707016375D700138698 +:10589000E5FF4297B306D74033F7A602C20893D874 +:1058A0000801B3D6A6024207B385D702B367170132 +:1058B000368763FCB700C2971387F6FF63E70701DB +:1058C00063F5B7001387E6FFC2978D8F9315060126 +:1058D000D98DC5BD63E2D514416763FEE6021308A6 +:1058E000F00F3337D8000E0733D8E60097B5010024 +:1058F0009385459CC29503C80500930500023A981C +:10590000B385054185E10547E3E5F6F23335C5008A +:105910001347150039BFB70500014147E3E6B6FC60 +:105920006147D9B733570601B396B600D98E93DED7 +:10593000060133D70701B378D703B397B7003358BD +:1059400005013363F80093970601C18313580301DF +:105950003316B6003357D703C20833E80801338F34 +:10596000E7023A8E637CE8013698130EF7FF63670F +:10597000D8006375E801130EE7FF36983308E84155 +:10598000B378D8033358D803C208B38E07039317EC +:105990000301C183B3E7F800428763FCD701B697E0 +:1059A0001307F8FF63E7D70063F5D7011307E8FF94 +:1059B000B697420EB387D741C16E3367EE001388A6 +:1059C000FEFF3373070193580701337806014182C4 +:1059D000330E03033388080393560E013303C302C7 +:1059E00042939A96B388C80263F30601F69813D6D9 +:1059F0000601B29863E01703E39317D9C167FD1757 +:105A0000FD8EC206337EFE003315B500F296814549 +:105A1000E371D5E27D17A5B38145014719BDB28871 +:105A20003687AA872E886398061C97B6010093864E +:105A3000668863F8C50A4163637E66081303F00F46 +:105A40006373C30021473353E6009A9603CE0600E2 +:105A5000130300023A9E3303C341630C0300B39562 +:105A60006500335EC501B31866003368BE00B31726 +:105A7000650013D608013377C802139508014181E8 +:105A800093D607013358C8024207D98E330805035D +:105A900063F80601C69663E5160163F30601C69630 +:105AA000B386064133F7C602C207C183B3D6C60226 +:105AB000B306D50213150701C98F63F8D700C6973F +:105AC00063E5170163F3D700C697958F33D5670059 +:105AD00081458280370300014147E36666F66147EE +:105AE0009DB701E60546B3581603416663F3C8083F +:105AF0001306F00F63731601214733D6E800B29600 +:105B000003CE0600130300023A9E3303C341631918 +:105B10000306B385154113D7080113950801418188 +:105B200013D60701B3F6E502B3D5E502C206D18E5E +:105B3000B305B50263F8B600C69663E5160163F3D4 +:105B4000B600C696B385B640B3F6E502C207C18378 +:105B5000B3D5E502B305B50213950601C98F63F805 +:105B6000B700C69763E5170163F3B700C6978D8F3B +:105B7000B1BF370600014147E3E1C8F86147B5BF4F +:105B8000B398680033D7C501B3176500335EC5010C +:105B900013D50801B376A702B3956500336EBE0036 +:105BA00093950801C18113560E013357A702C2060F +:105BB000D18E3387E50263F8E600C69663E51601E9 +:105BC00063F3E600C6963386E640B376A602420E3D +:105BD000135E0E013356A602C2063386C502B3E534 +:105BE000C60163F8C500C69563E5150163F3C500FA +:105BF000C695918D0DB7E3EED5EC416763F5E604EC +:105C0000930EF00F33B7DE000E0733D3E60097A8EC +:105C100001009388286A9A9883CE0800130E000228 +:105C2000BA9E330EDE4163170E0263E4B600636969 +:105C3000C500B307C540958D3335F5003388A540C1 +:105C40003E85C28579B5B70800014147E3EF16FBF1 +:105C5000614765BF3357D601B396C601D98EB35796 +:105C6000D50133D7D501B395C501CD8F93D50601A5 +:105C70003373B702139F0601135F0F0193D8070117 +:105C80003316C6013315C5013357B7024203B36853 +:105C900013013308EF023A8363FC0801B69813033B +:105CA000F7FF63E7D80063F508011303E7FFB69831 +:105CB000B3880841B3FFB802B3D8B802C20FB30526 +:105CC0001F03139F0701135F0F0133EFEF01468797 +:105CD000637CBF00369F1387F8FF6367DF0063753F +:105CE000BF001387E8FF369F4203C1683363E300B8 +:105CF0009387F8FF13580601330FBF40B375F300C5 +:105D000013530301F18F3387F502B307F302B38511 +:105D1000050333030303BE95135807012E986373DD +:105D2000F8004693C167FD17935508013378F800D2 +:105D300042087D8F9A9542976366BF00631BBF0040 +:105D40006379E5003306C7403337C700958D998DD9 +:105D500032873307E5403335E500B305BF40898D11 +:105D6000B397D5013357C70133E5E700B3D5C50174 +:105D70008DB397A7010093876772944317A701001B +:105D8000130787701843C8C2637BE50017A701009B +:105D90001307477008438C4391056FA06FFA4111B8 +:105DA00022C406C617A701001307076F2A840843F9 +:105DB0008C439105EFA0CFF897A701009387076B5D +:105DC0009C436376F40097A7010023A1876AB24041 +:105DD00022444101828097A701009387276C984352 +:105DE00094437C43FD177CC3FC4299E37360043009 +:105DF0008280011122CC26CA2A84AE844AC84EC6AB +:105E000052C456C206CEEFC00F9A1C4003290401AB +:105E1000B7090001B3E59700930AC4000CC08144A0 +:105E2000FD19370A00021840638C2A030326090073 +:105E30004A85B3654701B376360193175600758FCF +:105E40000329490063C4070001E7F1BFE39DE6FCB5 +:105E50009317760063D30700D58CEFD0AF83E1B7FB +:105E600093C4F4FFF98C04C0EFC0EFB80840F240CF +:105E70006244D2444249B249224A924A0561828030 +:105E8000411106C622C4EFF0AFAB17F7FFFF1307AF +:105E9000C71E814605469305400617A50100130558 +:105EA0006556EFD03FD319C92A84EFC0CF902A8618 +:105EB0000147814685452285EFD07FDEEFB03FE97F +:0A5EC000B2402244014541018280F6 +:02000004800278 +:100000005E47FEFF5C48FEFF6647FEFF5C48FEFF62 +:100010005C48FEFF5C48FEFF5C48FEFF7E47FEFF3B +:100020005C48FEFF5C48FEFF9847FEFFA447FEFFCA +:100030005C48FEFFB647FEFFC247FEFFC247FEFF19 +:10004000C247FEFFC247FEFFC247FEFFC247FEFF98 +:10005000C247FEFFC247FEFFC247FEFF5C48FEFFED +:100060005C48FEFF5C48FEFF5C48FEFF5C48FEFF0C +:100070005C48FEFF5C48FEFF5C48FEFF5C48FEFFFC +:100080005C48FEFF5C48FEFF5C48FEFF5C48FEFFEC +:100090005C48FEFF5C48FEFF5C48FEFF5C48FEFFDC +:1000A0005C48FEFF5C48FEFF5C48FEFF5C48FEFFCC +:1000B0005C48FEFF5C48FEFF5C48FEFF5C48FEFFBC +:1000C0005C48FEFF5C48FEFF5C48FEFF5C48FEFFAC +:1000D0005C48FEFF5C48FEFF5C48FEFF5C48FEFF9C +:1000E0005C48FEFF5C48FEFF5C48FEFF5C48FEFF8C +:1000F0005C48FEFF5C48FEFF5C48FEFF5C48FEFF7C +:10010000F647FEFF0C48FEFF5C48FEFF5C48FEFF22 +:100110005C48FEFF5C48FEFF5C48FEFF5C48FEFF5B +:100120005C48FEFF5248FEFF5C48FEFF5C48FEFF55 +:100130000446FEFFBC46FEFF5C48FEFF5C48FEFF37 +:10014000F246FEFF5C48FEFF4A47FEFF5C48FEFFAA +:100150005C48FEFF7847FEFF6A4BFEFFBE4BFEFF8A +:10016000724BFEFFBE4BFEFFBE4BFEFFBE4BFEFFC3 +:10017000BE4BFEFF644AFEFFBE4BFEFFBE4BFEFFC2 +:100180007E4AFEFF8A4AFEFFBE4BFEFF9C4AFEFFF0 +:10019000A84AFEFFA84AFEFFA84AFEFFA84AFEFFA3 +:1001A000A84AFEFFA84AFEFFA84AFEFFA84AFEFF93 +:1001B000A84AFEFFBE4BFEFFBE4BFEFFBE4BFEFF3E +:1001C000BE4BFEFFBE4BFEFFBE4BFEFFBE4BFEFF17 +:1001D000BE4BFEFFBE4BFEFFBE4BFEFFBE4BFEFF07 +:1001E000BE4BFEFFBE4BFEFFBE4BFEFFBE4BFEFFF7 +:1001F000BE4BFEFFBE4BFEFFBE4BFEFFBE4BFEFFE7 +:10020000BE4BFEFFBE4BFEFFBE4BFEFFBE4BFEFFD6 +:10021000BE4BFEFFBE4BFEFFBE4BFEFFBE4BFEFFC6 +:10022000BE4BFEFFBE4BFEFFBE4BFEFFBE4BFEFFB6 +:10023000BE4BFEFFBE4BFEFFBE4BFEFFBE4BFEFFA6 +:10024000BE4BFEFFBE4BFEFFBE4BFEFFBE4BFEFF96 +:10025000BE4BFEFFBE4BFEFFDC4AFEFF0A4BFEFF1D +:10026000BE4BFEFFBE4BFEFFBE4BFEFFBE4BFEFF76 +:10027000BE4BFEFFBE4BFEFFBE4BFEFF604BFEFFC4 +:10028000BE4BFEFFBE4BFEFFC248FEFFBC49FEFF59 +:10029000BE4BFEFFBE4BFEFF2E4AFEFFBE4BFEFFD7 +:1002A000544AFEFFBE4BFEFFBE4BFEFFB84BFEFFA7 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020787878207461736B73200D0A00000018 +:1003E0006D69616F750A00006D69616F75320A0091 +:1003F00068756E6772790A0054696D657232000023 +:10040000436865636B54696D657200005365745091 +:040410006F6C6C00A1 +:100414001000000000000000017A5200017C01017C +:100424001B0D02001000000018000000064FFEFF24 +:100434008A03000000000000100000002C000000EF +:100444007C52FEFF5E03000000000000100000006C +:1004540040000000C655FEFF5403000000000000E9 +:0C04E400FC8F0100090000000100000076 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/QueueSet_rv32i_O0.hex b/VexRiscv/src/test/resources/freertos/QueueSet_rv32i_O0.hex new file mode 100644 index 0000000..747de15 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/QueueSet_rv32i_O0.hex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diff --git a/VexRiscv/src/test/resources/freertos/QueueSet_rv32i_O3.hex b/VexRiscv/src/test/resources/freertos/QueueSet_rv32i_O3.hex new file mode 100644 index 0000000..cfeb6bc --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/QueueSet_rv32i_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/QueueSet_rv32ic_O0.hex b/VexRiscv/src/test/resources/freertos/QueueSet_rv32ic_O0.hex new file mode 100644 index 0000000..477a983 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/QueueSet_rv32ic_O0.hex @@ -0,0 +1,1605 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900061B5170502001305E536979503009385B2 +:1000A000A56B01461122170502001305E5329705DD +:1000B00002009385E5320146C52817A50300130504 +:1000C000E56997B503009385256937B6ABAB130691 +:1000D000B6BAD92817B1030013014168EF40D01F09 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2421A23A02200F322103464 +:1002400096DEEF006030170102000321E118024141 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E21523A0120023A2BD +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40C06A17010200032185 +:10033000A10A0241F65273901234B7220000938250 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A20783A0C4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A242F823A0220086DEEF105A +:10046000F05D17010200032121F70241F65273905B +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F0961730020309707BF +:1004C0000200938727F29843FD576305F700737086 +:1004D000043001A07370043001A041112AC6B24754 +:1004E000739047300100410182804111F327403071 +:1004F0003EC673F04330B2473E85410182804111D0 +:100500002AC62EC432C2B247F1173EC62247B247AE +:1005100098C3B247938787FA3EC61247B24798C33B +:10052000B247A1173EC68E873E87B24798C3B247EF +:10053000D1173EC6170700001307A7F8B24798C3A4 +:10054000B2473E8541018280411106C6EF40E0403E +:10055000EF101032AA8799C3EF10504E0100B2403D +:100560004101828041112AC6B24713878700B247F2 +:10057000D8C3B2477D5798C7B24713878700B247A1 +:10058000D8C7B24713878700B24798CBB24723A09A +:10059000070001004101828041112AC6B24723A809 +:1005A000070001004101828001112AC62EC4B24712 +:1005B000DC433ECEA2477247D8C3F2479847A247D2 +:1005C00098C7F2479C472247D8C3F247224798C7AB +:1005D000A247324798CBB2479C4313871700B247D4 +:1005E00098C301000561828001112AC62EC4A2476A +:1005F0009C433ECC6247FD576316F700B2479C4BC5 +:100600003ECE31A8B247A1073ECE21A0F247DC433F +:100610003ECEF247DC439C436247E379F7FEF24764 +:10062000D843A247D8C3A247DC43224798C7A24772 +:10063000724798C7F2472247D8C3A247324798CBA0 +:10064000B2479C4313871700B24798C30100056166 +:10065000828001112AC6B2479C4B3ECEB247DC4392 +:100660003247184798C7B2479C4732475843D8C3C8 +:10067000F247DC4332476316F700B2479847F24728 +:10068000D8C3B24723A80700F2479C431387F7FF5C +:10069000F24798C3F2479C433E8505618280797199 +:1006A00006D622D42AC62EC4B2473ECEF24781E7F0 +:1006B0007370043001A0EF206074F2478043F2476A +:1006C000D85FF247BC43BE853A85EF503006AA8713 +:1006D0003307F400F247D8C3F24723AC0702F247CE +:1006E0009843F24798C7F2478043F247DC5F13878D +:1006F000F7FFF247BC43BE853A85EF503003AA8727 +:100700003307F400F247D8C7F2477D57F8C3F247E2 +:100710007D57B8C7A24799EFF2479C4B8DC7F24768 +:10072000C1073E85EF10D05D2A878547631DF7001E +:10073000F13911A8F247C1073E852D35F24793875D +:1007400047023E850535EF20406E85473E85B25015 +:10075000225445618280797106D62AC62EC4B2879A +:10076000A303F10002CCB24781E77370043001A00B +:10077000A24799E302CE01A8A2453245EF50007B83 +:10078000AA8785073ECEF247938787053E85EF30DF +:10079000C0792ACAD2479DCFA24789E7D24752479C +:1007A00098C331A0D24713878705D24798C3D24751 +:1007B0003247D8DFD2472247B8C385455245C535B1 +:1007C000D247034771002388E704D24723AA0704CE +:1007D000D2473ECCE24781E77370043001A0E24784 +:1007E0003E85B25045618280797106D6AA87A307FB +:1007F000F10013058005EF3040732ACEF247B5C3F0 +:10080000F24723A20700F24723A00700F24723A4E0 +:100810000700F24723A60700F24723AC0702F2477E +:100820000547D8DFF24723A00704F2477D57F8C3F6 +:10083000F2477D57B8C7F2470347F1002388E70422 +:10084000F24723AA0704F247C1073E85213BF2473E +:10085000938747023E85393381460146814572457B +:100860003122F2473E85B25045618280797106D6C9 +:1008700022D42AC6B2473ECCE24781E773700430E7 +:1008800001A0E247C043EF208039AA876313F40236 +:10089000E247DC471387F7FFE247D8C7E247DC4768 +:1008A00091E78146014681456245C92085473ECE94 +:1008B00011A002CEF2473E85B2502254456182809B +:1008C000797106D622D42AC62EC4B2473ECCE2475E +:1008D00081E77370043001A0E247C043EF20203469 +:1008E000AA87631BF400E247DC4713871700E2473F +:1008F000D8C785473ECE0DA0814622468145624538 +:10090000592E2ACE724785476318F700E247DC4725 +:1009100013871700E247D8C7F2473E85B2502254EA +:1009200045618280797106D62AC62EC4B24781E716 +:100930007370043001A02247B24763F5E70073707B +:10094000043001A009468145324531352ACEF247AF +:1009500081C7F247224798DFF24781E7737004307E +:1009600001A0F2473E85B25045618280397106DEB2 +:100970002AC62EC432C236C002D6B2473ED4A257CF +:1009800081E77370043001A0A24781E7A257BC43FE +:1009900099E3854711A0814781E77370043001A076 +:1009A000024789476317F700A257D85F854763144A +:1009B000F700854711A0814781E77370043001A0DB +:1009C000EF204027AA8799E3924799E3854711A032 +:1009D000814781E77370043001A0EF202042A257C5 +:1009E000985FA257DC5F6366F70002478947631A86 +:1009F000F7040246A245225519252AD2A257FC4BDC +:100A000099CB82452255EF0090222A8785476316AD +:100A1000F702ED3A1DA0A257DC5389CFA257938766 +:100A200047023E85EF10D02D2A8785476317F700D0 +:100A3000F13A21A0925791C3D13AEF20003F854768 +:100A400071A8924789E7EF20403E814741A8B257FD +:100A500099E77C083E85EF10504285473ED6EF204F +:100A6000C03CEF102035EF206039A257F843FD5706 +:100A70006315F700A25723A20704A257B847FD57F2 +:100A80006315F700A25723A40704EF20003A58008B +:100A90007C08BA853E85EF105041AA879DEB225510 +:100AA000EF004077AA878DC3A257C1071247BA85C6 +:100AB0003E85EF10D00B2255A525EF106031AA8797 +:100AC000E39D07F0A13211BF2255992DEF10403060 +:100AD00029B72255B125EF10A02F81473E85F2504E +:100AE00021618280797106D62AC62EC432C236C0F0 +:100AF000B2473ECCE24781E77370043001A0A247C1 +:100B000081E7E247BC4399E3854711A0814781E72C +:100B10007370043001A0024789476317F700E2476A +:100B2000D85F85476314F700854711A0814781E7A7 +:100B30007370043001A0553AAA873ECAE247985F15 +:100B4000E247DC5F6366F700024789476316F706F2 +:100B50000246A24562456521E247B847FD57631446 +:100B6000F704E247FC4B99CF82456245EF00300C19 +:100B70002A878547631FF702924785CF924705472B +:100B800098C305A8E247DC538DC7E2479387470225 +:100B90003E85EF10F016AA8789CF924799CB9247EE +:100BA000054798C339A0E247BC4713871700E247BF +:100BB000B8C785473ECE11A002CED2473E85313A16 +:100BC000F2473E85B25045618280797106D62AC6C9 +:100BD0002EC4B2473ECCE24781E77370043001A0D7 +:100BE000E247BC4381C77370043001A0E2479C43D5 +:100BF00081E7E247DC4399E3854711A0814781E71C +:100C00007370043001A0D530AA873ECAE247985FCE +:100C1000E247DC5F6378F706E2479C5F13871700C3 +:100C2000E24798DFE247B847FD576314F704E2470D +:100C3000FC4B99CF81456245EF00607F2A8785474D +:100C4000631FF702A24785CFA247054798C305A8AF +:100C5000E247DC538DC7E247938747023E85EF109A +:100C6000300AAA8789CFA24799CBA247054798C3E4 +:100C700039A0E247BC4713871700E247B8C785474A +:100C80003ECE11A002CED2473E858138F2473E8546 +:100C9000B25045618280397106DE2AC62EC432C246 +:100CA00036C002D6B2473ED4A25781E773700430F3 +:100CB00001A0A24781E7A257BC4399E3854711A051 +:100CC000814781E77370043001A0EF10B076AA87E6 +:100CD00099E3924799E3854711A0814781E77370B3 +:100CE000043001A0EF208011A2579C5FB5CBA25722 +:100CF000DC473ED2A2452255F92E824795EFA257F6 +:100D00009C5F1387F7FFA25798DFA2579C4391E798 +:100D1000EF2000192A87A257D8C3A2579C4B8DCF2A +:100D2000A257C1073E85EF10A07D2A87854763152E +:100D3000F702EFF0AFED0DA0A2571257D8C7A25798 +:100D4000DC5399CBA257938747023E85EF10407B37 +:100D5000AA8799C3EFF08FEBEF20200D85475DA8A0 +:100D6000924789E7EF20600C81476DA0B25799E761 +:100D70007C083E85EF10701085473ED6EF20E00AD4 +:100D8000EF104003EF208007A257F843FD5763158B +:100D9000F700A25723A20704A257B847FD576315CF +:100DA000F700A25723A40704EF20200858007C086E +:100DB000BA853E85EF10700FAA87A1EB225531212D +:100DC000AA879DCFA2579C4391EBEF202003A25707 +:100DD000DC433E85EF101069EF202005A257938772 +:100DE00047021247BA853E85EF10605822550D2EF6 +:100DF000EF00107EAA87E39707EEEFF02FE1DDB555 +:100E00002255392EEF00D07CF1BD2255112EEF0076 +:100E1000307C81473E85F25021618280797106D60F +:100E20002AC62EC432C2B2473ECCE24781E7737075 +:100E3000043001A0A24781E7E247BC4399E385471C +:100E400011A0814781E77370043001A0EFF0EFE952 +:100E5000AA873ECAE2479C5FA1CBA2456245A52C6A +:100E6000E2479C5F1387F7FFE24798DFE247F843CA +:100E7000FD576312F702E2479C4B85C7E247C10763 +:100E80003E85EF10E067AA8789CF924799CB9247BA +:100E9000054798C339A0E247FC4313871700E24790 +:100EA000F8C385473ECE11A002CED2473E85EFF073 +:100EB000CFE2F2473E85B25045618280797106D615 +:100EC0002AC62EC4B2473ECCE24781E77370043095 +:100ED00001A0A24781E7E247BC4399E3854711A0FF +:100EE000814781E77370043001A0E247BC4381E78A +:100EF0007370043001A0EFF04FDFAA873ECAE247CB +:100F00009C5F89CFE247DC473EC8A2456245E122AB +:100F1000E2474247D8C785473ECE11A002CED2470E +:100F20003E85EFF08FDBF2473E85B250456182800F +:100F3000797106D62AC6B24781E77370043001A0E2 +:100F4000EF10D06BB2479C5F3ECEEF10106EF247B1 +:100F50003E85B25045618280797106D62AC6B24775 +:100F60003ECEF24781E77370043001A0EF101069A4 +:100F7000F247D85FF2479C5FB307F7403ECCEF10D3 +:100F8000D06AE2473E85B2504561828001112AC68F +:100F9000B24781E77370043001A0B2479C5F3ECE38 +:100FA000F2473E8505618280797106D62AC6B2472E +:100FB0003ECEF24781E77370043001A072450926E6 +:100FC0007245EF3080090100B250456182804111C5 +:100FD0002AC6B247FC473E854101828041112AC69C +:100FE0002EC4B2472247F8C7010041018280411157 +:100FF0002AC6B24783C707053E85410182807971C1 +:1010000006D62AC62EC432C202CEB247BC4391EFE6 +:10101000B2479C43D5E7B247DC433E85EF103052E0 +:101020002ACEB24723A2070061A8924785EFB247B4 +:101030009847B247BC433E86A2453A85EF308010C0 +:10104000B2479847B247BC433E97B24798C7B247AA +:101050009847B247DC436365F706B2479843B24707 +:1010600098C7B9A8B247D847B247BC433E86A24505 +:101070003A85EF30200DB247D847B247BC43B3079B +:10108000F0403E97B247D8C7B247D847B2479C43D3 +:10109000637BF700B247D843B247BC43B307F04085 +:1010A0003E97B247D8C712478947631BF700B2473C +:1010B0009C5F99C7B2479C5F1387F7FFB24798DFE1 +:1010C000B2479C5F13871700B24798DFF2473E850F +:1010D000B25045618280011106CE2AC62EC4B247A5 +:1010E000BC439DCBB247D847B247BC433E97B247BB +:1010F000D8C7B247D847B247DC436366F700B24768 +:101100009843B247D8C7B247D847B247BC433E8698 +:10111000BA852245EF3000030100F240056182806C +:10112000011106CE2AC6EF10704D89A0B247FC4BC4 +:1011300099CB81453245E52C2A8785476312F70212 +:10114000EF10006031A8B247DC5395C7B2479387D0 +:1011500047023E85EF10C03AAA8799C3EF10405E60 +:10116000B247BC471387F7FFB247B8C7B247BC477F +:10117000E34EF0FA11A00100B2477D57B8C7EF1057 +:10118000D04AEF10B0471DA0B2479C4B8DC7B24765 +:10119000C1073E85EF10C036AA8799C3EF10405AA9 +:1011A000B247FC431387F7FFB247F8C3B247FC438B +:1011B000E34CF0FC11A00100B2477D57F8C3EF10DB +:1011C000D0460100F24005618280797106D62AC6B8 +:1011D000EF10D042B2479C5F81E785473ECE11A019 +:1011E00002CEEF109044F2473E85B25045618280B6 +:1011F00001112AC6B24781E77370043001A0B247DB +:101200009C5F81E785473ECE11A002CEF2473E8526 +:1012100005618280797106D62AC6EF10303EB2474A +:10122000985FB247DC5F6315F70085473ECE11A09B +:1012300002CEEF10903FF2473E85B250456182806A +:1012400001112AC6B24781E77370043001A0B2478A +:10125000985FB247DC5F6315F70085473ECE11A06B +:1012600002CEF2473E850561828001112AC62EC456 +:1012700002CE81A0178703001307C749F2478E07E4 +:10128000BA979C4385E7178703001307A748F247DF +:101290008E07BA97224798C317870300130787471B +:1012A000F2478E07BA973247D8C301A8F24785079D +:1012B0003ECE72479D47E3FFE7FA01000561828059 +:1012C00001112AC602CE0DA8178703001307874411 +:1012D000F2478E07BA97DC433247631CF700178743 +:1012E000030013072743F2478E07BA9723A007008E +:1012F00001A8F24785073ECE72479D47E3F6E7FC1B +:10130000010005618280797106D62AC62EC432C2D8 +:10131000B2473ECEEF10902EF247F843FD576315CB +:10132000F700F24723A20704F247B847FD576315B9 +:10133000F700F24723A40704EF10302FF2479C5F19 +:1013400089EBF247938747021246A2453E85EF108C +:1013500080137245F1330100B2504561828079718A +:1013600006D62AC6014691453245EFF0CFBE2ACEB9 +:10137000F2473E85B25045618280797106D62AC611 +:101380002EC4EF10B027B247FC4B99C302CE19A868 +:10139000B2479C5F99C302CE31A0B2472247F8CB37 +:1013A00085473ECEEF107028F2473E85B25045612A +:1013B0008280797106D62AC62EC4B2473ECCE24757 +:1013C000FC4B22476304F70002CE39A8E2479C5F3A +:1013D00099C302CE11A8EF107022E24723AA070496 +:1013E000EF10B02485473ECEF2473E85B2504561AE +:1013F0008280797106D62AC62EC402CE7C08814628 +:101400002246BE8532454138F2473E85B25045619D +:101410008280797106D62AC602CE7C080146BE8536 +:101420003245ED3AF2473E85B2504561828079718E +:1014300006D62AC62EC4B247FC4B3ECC02CEE247AB +:1014400081E77370043001A0E247985FE247DC5FF8 +:101450006365F7007370043001A0E247985FE247CC +:10146000DC5F6371F7047C002246BE856245413E25 +:101470002ACEE247B847FD576310F702E247DC5334 +:1014800095C3E247938747023E85EF106007AA871E +:1014900091CB85473ECE39A0E247BC471387170062 +:1014A000E247B8C7F2473E85B25045618280397144 +:1014B00006DE2ACE2ECC36C83AC63EC442C246C04C +:1014C000B287231BF100F24781E77370043001A05B +:1014D0003247A54763F5E7007370043001A08357D6 +:1014E000610192453E85EF1080512AD4A257638F47 +:1014F0000712A257985B83566101B7070040FD179A +:10150000B6978A07BA973ED29257F19B3ED292572E +:101510008D8B81C77370043001A0835761013E87B2 +:1015200082463246E2452255EF10E0274246F24518 +:101530001255EFE0DFFC2A87A25798C3A24781C764 +:10154000A247225798C3EF10700B97F701009387BB +:10155000A7069C431387170097F701009387C705D9 +:1015600098C397F70100938727E79C4395E397F784 +:101570000100938767E6225798C397F70100938786 +:10158000A70398438547631AF702EF10C02C35A0D4 +:1015900097F70100938707039C4385E397F70100C2 +:1015A000938787E39C43DC5732476368F70097F7DC +:1015B0000100938767E2225798C397F7010093874A +:1015C00067019C431387170097F7010093878700F3 +:1015D00098C397F701009387E7FF9843A257B8C7CE +:1015E000A257D85797F70100938787FD9C4363F96B +:1015F000E700A257D85797F70100938767FC98C375 +:10160000A257D857BA878A07BA978A0717F70100EF +:10161000130707E73E97A2579107BE853A85EFE08B +:10162000BFF885473ED6EF10500019A0FD573ED6B3 +:10163000325785476313F70297F70100938787F8BE +:101640009C4381CF97F70100938707D99C43DC57D0 +:10165000324763F4E700EFE07FDBB2573E85F2509C +:1016600021618280797106D62AC6EF102079B247AF +:1016700099E797F70100938727D69C4311A0B247BB +:101680003ECEF24791073E85EFE0BFFCF2479C5704 +:1016900091C7F247E1073E85EFE0BFFBF2479107B4 +:1016A000BE8517F50100130565EEEFE0FFEF97F734 +:1016B00001009387E7EE9C431387170097F701001B +:1016C000938707EE98C397F701009387A7F09C4391 +:1016D0001387170097F701009387C7EF98C3EF10A0 +:1016E000C07497F701009387E7ED9C439DCB97F774 +:1016F0000100938767CE9C437247631EF70097F7FC +:1017000001009387A7ED9C4381C77370043001A04B +:10171000EFE0DFCF39A0EF10606EEF10A04BEF10BD +:10172000C0700100B25045618280797106D62AC628 +:101730002EC402CEB24781E77370043001A0A247E5 +:1017400081E77370043001A097F70100938707E9E0 +:101750009C4381C77370043001A0A92D97F7010045 +:101760009387C7E59C433ECCB2479C432247BA9738 +:101770003ECAB2479C436247637EF700B2479C4330 +:1017800052476374F7025247E24763F0E702854726 +:101790003ECE21A8B2479C4352476366F7005247AA +:1017A000E24763F4E70085473ECEB247524798C30D +:1017B000F24789CF97F70100938707C29C439107AF +:1017C0003E85EFE01FE95245EF10001B11252AC8A6 +:1017D000C24799E3EFE09FC30100B25045618280A8 +:1017E000797106D62AC602CEB247A9C397F701007F +:1017F0009387C7DE9C4381C77370043001A05D2BC3 +:1018000097F70100938787DB9C433247BA973ECC1A +:1018100097F70100938747BC9C4391073E85EFE013 +:101820005FE36245EF10401565232ACEF24799E346 +:10183000EFE0DFBD0100B25045618280797106D6CC +:101840002AC6B2473ECCE24781E77370043001A05C +:1018500097F70100938747B89C4362476314F700EA +:1018600002CEBDA0EF108059E247DC4B3ECAEF101C +:10187000C05B97F701009387A7CF9C435247630A49 +:10188000F70097F701009387E7CE9C435247631513 +:10189000F70089473ECE2DA8524797F7010093875E +:1018A00067D0631BF700E2479C5781E78D473ECE28 +:1018B00005A089473ECE29A8524797F70100938794 +:1018C000E7CC6315F70091473ECE19A085473ECE81 +:1018D000F2473E85B25045618280797106D62AC6AC +:1018E000EF10C051B24799E797F701009387C7AE51 +:1018F0009C4311A0B2473ECEF247DC573ECCEF10DE +:10190000C052E2473E85B25045618280797106D669 +:101910002AC6EFE09FBDAA873ECEB24799E797F768 +:101920000100938767AB9C4311A0B2473ECCE247CE +:10193000DC573ECAF2473E85EFE03FBAD2473E85CC +:10194000B25045618280797106D62AC62EC402CE75 +:101950002247A54763F5E7007370043001A02247D2 +:10196000A54763F4E700A5473EC4EF102049B247FE +:1019700099E797F70100938727A69C4311A0B247E8 +:101980003ECCE247BC4B3ECA5247A247630AF70E21 +:101990002247D24763F6E70297F701009387C7A370 +:1019A0009C4362476308F70297F701009387C7A239 +:1019B0009C43DC572247636FF70085473ECE19A84A +:1019C00097F70100938747A19C4362476314F70090 +:1019D00085473ECEE247DC573EC8E247B84BE24778 +:1019E000DC576315F700E2472247D8D7E247224782 +:1019F000B8CBE2479C4F63C707002947A2471D8F1A +:101A0000E24798CFE247D44B4247BA878A07BA9752 +:101A10008A0717F701001307A7A6BA976394F60081 +:101A2000854711A08147B9C7E24791073E85EFE09E +:101A30005FC2E247D85797F70100938767B89C4386 +:101A400063F9E700E247D85797F70100938747B754 +:101A500098C3E247D857BA878A07BA978A0717F711 +:101A600001001307E7A13E97E2479107BE853A853B +:101A7000EFE09FB3724785476314F700EFE01F99CB +:101A8000EF10A03A0100B25045618280797106D60C +:101A90002AC6EF10A036B24799E797F7010093875F +:101AA000A7939C4311A0B2473ECEF24791073E85D3 +:101AB000EFE03FBAF2479C5791C7F247E1073E85F6 +:101AC000EFE03FB9F2479107BE8517F50100130516 +:101AD00065ADEFE07FADEF10403597F701009387DC +:101AE000A78F9C4372476319F70497F70100938708 +:101AF00067AD9C4389CF97F70100938727AE9C433E +:101B000081C77370043001A0EFE05F9091A097F758 +:101B10000100938727A9984397F701009387C7A9E6 +:101B20009C436319F70097F701009387E78A23A086 +:101B3000070039A8212729A897F70100938787A8CC +:101B40009C4399C7EF10802BEF10C008EF10E02DD9 +:101B50000100B2504561828001112AC602CEB2470F +:101B60003ECCB24781E77370043001A0E247D84B06 +:101B700097F70100938707A36314F700854711A027 +:101B8000814795C3E247985797F701009387C79E0F +:101B9000630BF700E2479C5799E3854711A0814703 +:101BA00099C385473ECEF2473E85056182807971B3 +:101BB00006D62AC6B2473ECEB24781E773700430DC +:101BC00001A0F247C9C797F701009387E7809C43BC +:101BD0007247630EF706EF1060227245B53F2A8701 +:101BE00085476314F706F24791073E85EFE07FA62D +:101BF000F247D85797F701009387879C9C4363F976 +:101C0000E700F247D85797F701009387679B98C37F +:101C1000F247D857BA878A07BA978A0717F7010099 +:101C2000130707863E97F2479107BE853A85EFE096 +:101C3000BF97F247D85797E701009387E7799C430E +:101C4000DC576364F700EFE06FFCEF10001E01004B +:101C5000B25045618280797106D62AC602CEB2475B +:101C60003ECCB24781E77370043001A0EFE0FF87FC +:101C7000AA873ECA6245CD352A8785476314F7088F +:101C800097F70100938787959C43A5E7E247D857CC +:101C900097E70100938747749C43DC576364F70020 +:101CA00085473ECEE24791073E85EFE09F9AE247A7 +:101CB000D85797F701009387A7909C4363F9E700F3 +:101CC000E247D85797F701009387878F98C3E24779 +:101CD000D857BA878A07BA978A0717E70100130708 +:101CE000277A3E97E2479107BE853A85EFE0DF8B82 +:101CF00011A8E247E107BE8517F501001305C58766 +:101D0000EFE09F8AD2473E85EFE02FFDF2473E8508 +:101D1000B25045618280011106CE81480148814759 +:101D2000014781461306004097E501009385852D04 +:101D300017150000130585A5EFF06FF72AC6324787 +:101D400085476315F700EF10C06D2AC632478547F7 +:101D50006319F7027370043097F701009387C78700 +:101D60007D5798C397F701009387C785054798C3A8 +:101D700097F701009387878423A00700EFE06FD2D5 +:101D800031A0B24781E77370043001A00100F24036 +:101D900005618280411106C67370043097F7010017 +:101DA0009387478223A00700EFE0EFDD0100B240F8 +:101DB0004101828097F70100938747829C431387F4 +:101DC000170097F701009387678198C3010082800D +:101DD000011106CE02C697F70100938727809C4326 +:101DE00081E77370043001A0EF10400197E7010014 +:101DF0009387C77E9C431387F7FF97E7010093877C +:101E0000E77D98C397E701009387477D9C436390E4 +:101E1000071097E701009387277A9C436389070E91 +:101E200059A097E7010093872775DC47DC473EC43C +:101E3000A247E1073E85EFE0DF81A24791073E859B +:101E4000EFE03F81A247D85797E701009387477794 +:101E50009C4363F9E700A247D85797E701009387AF +:101E6000277698C3A247D857BA878A07BA978A07AE +:101E700017E701001307C7603E97A2479107BE8589 +:101E80003A85EFE06FF2A247D85797E701009387B2 +:101E9000A7549C43DC576368F70097E701009387DA +:101EA000E772054798C397E701009387E76C9C4367 +:101EB000ADFB97E70100938727719C438DCF35A039 +:101EC000452AAA8799C797E7010093872770054796 +:101ED00098C397E701009387276F9C431387F7FF09 +:101EE00097E701009387476E98C397E701009387B0 +:101EF000A76D9C43F1F797E701009387276D9843FF +:101F000085476316F70085473EC6EFE02FD0EF0008 +:101F1000D071B2473E85F24005618280011106CE44 +:101F2000EF00D06D97E70100938747699C433EC659 +:101F3000EF00B06FB2473E85F2400561828001112B +:101F400006CEEFE08FDAAA873EC697E701009387B7 +:101F5000E7669C433EC4B2473E85EFE00FD8A247F8 +:101F60003E85F2400561828097E701009387C76450 +:101F70009C433E858280797106D62AC62EC432C221 +:101F800002CEA9473ECC3D3597E701009387C76253 +:101F90009C4322476368F70CE247FD173ECCF247AB +:101FA00096073247B306F7006247BA878A07BA979F +:101FB0008A0717E701001307A74CBA970546BE85A5 +:101FC0003685EF00902A2A87F247BA973ECEE2473D +:101FD000E1F7F247960732473E9797E7010093876C +:101FE00027599C430946BE853A85EF0010282A8769 +:101FF000F247BA973ECEF247960732473E9797E7A9 +:102000000100938727579C430946BE853A85EF0018 +:10201000D0252A87F247BA973ECEF2479607324735 +:10202000BA97114697E50100938545563E85EF0026 +:10203000D0232A87F247BA973ECEF2479607324717 +:10204000BA970D4697E501009385C5553E85EF008B +:10205000D0212A87F247BA973ECE924781C792474E +:1020600023A00700B533F2473E85B2504561828018 +:10207000797106D602CE97E70100938727569C43D5 +:102080006395071897E70100938747539C4313878D +:10209000170097E701009387675298C397E70100FD +:1020A0009387C7519C433ECCE247B9EF97E70100C5 +:1020B0009387074C9C439C4381C77370043001A0F5 +:1020C00097E701009387C74A9C433ECA97E7010000 +:1020D0009387474A984397E701009387674998C3D6 +:1020E00097E7010093870749524798C397E7010094 +:1020F0009387074E9C431387170097E70100938748 +:10210000274D98C3EF00102D97E701009387C74C28 +:102110009C4362476365F70C97E701009387474547 +:102120009C439C4399E3854711A0814781CB97E766 +:1021300001009387674A7D5798C355A097E7010030 +:10214000938707439C43DC47DC473EC8C247DC43D8 +:102150003EC66247B2476379F70097E7010093876D +:10216000A747324798C3A5A8C24791073E85EFE02D +:102170004FCEC2479C5791C7C247E1073E85EFE06B +:102180004FCDC247D85797E70100938767439C43D9 +:1021900063F9E700C247D85797E7010093874742A2 +:1021A00098C3C247D857BA878A07BA978A0717E7EA +:1021B00001001307E72C3E97C2479107BE853A8579 +:1021C000EFE08FBEC247D85797E701009387C7203B +:1021D0009C43DC57E362F7F485473ECE35BF97E773 +:1021E00001009387671F9C43D85797E601009386A9 +:1021F0002629BA878A07BA978A07B69798438547E8 +:1022000063F1E70285473ECE29A897E7010093874F +:10221000A73B9C431387170097E701009387C73AB2 +:1022200098C397E701009387673A9C4399C3854712 +:102230003ECEF2473E85B25045618280011106CE06 +:1022400097E70100938787399C4381CB97E701008B +:102250009387C737054798C339A297E7010093874B +:10226000E73623A0070097E701009387E7169C4312 +:102270009C5B3EC6B7A7A5A59387575A3EC4B247F5 +:102280009C4322476314F702B24791079C432247BD +:10229000631EF700B247A1079C4322476318F7006B +:1022A000B247B1079C4322476308F70497E7010050 +:1022B00093878712984397E701009387E7119C4320 +:1022C00093874703BE853A85EF20F00F35A097E747 +:1022D00001009387E72E9C4381E77370043001A0CF +:1022E00097E701009387C72D9C431387F7FF97E774 +:1022F00001009387E72C98C397E701009387472C49 +:10230000984397E601009386A617BA878A07BA977B +:102310008A07B6979C43C5DF97E701009387472A52 +:102320009843BA878A07BA978A0717E70100130705 +:102330002715BA973EC29247DC43D8439247D8C389 +:102340009247D8439247A1076317F7009247DC43AF +:10235000D8439247D8C39247DC43D84797E7010058 +:102360009387870798C30100F240056182807971E5 +:1023700006D62AC62EC4B24781E77370043001A086 +:1023800097E70100938747059C43E107BE853245E7 +:10239000EFE08FA597E70100938707049C4391071F +:1023A0003E85EFE00FAB2247FD576310F70297E73A +:1023B0000100938767029C439107BE8517E50100E2 +:1023C0001305451EEFE04F9E19A897E7010093877C +:1023D000E71E9C432247BA973ECE7245712B0100FF +:1023E000B25045618280797106D62AC62EC432C2A7 +:1023F000B24781E77370043001A097E7010093872B +:10240000E71D9C4381E77370043001A097E701004A +:10241000938787FC9C43A24637070080558F98CF4F +:1024200097E70100938747FB9C43E107BE85324550 +:10243000EFE08F9797E70100938707FA9C43910796 +:102440003E85EFE00FA11247FD576310F70297E7B3 +:102450000100938767F89C439107BE8517E501004B +:1024600013054514EFE04F9419A897E701009387EF +:10247000E7149C431247BA973ECE7245F5290100F6 +:10248000B25045618280797106D62AC62EC432C206 +:10249000B24781E77370043001A097E7010093878A +:1024A000A7F39C43E107BE853245EFE0EF8F97E746 +:1024B0000100938767F29C4391073E85EFE06F9997 +:1024C000124785476310F70297E701009387C7F02B +:1024D0009C439107BE8517E501001305A50CEFE0AD +:1024E000AF8C19A897E701009387470D9C432247BB +:1024F000BA973ECE724549210100B25045618280B3 +:10250000797106D62AC6B247DC47DC473ECCE247A3 +:1025100081E77370043001A0E247E1073E85EFE0F8 +:102520004F9397E701009387670B9C43A1EBE2472A +:1025300091073E85EFE0EF91E247D85797E701001A +:10254000938707089C4363F9E700E247D85797E76A +:1025500001009387E70698C3E247D857BA878A07EE +:10256000BA978A0717E70100130787F13E97E247FA +:102570009107BE853A85EFE02F8311A8E247E10776 +:10258000BE8517E50100130525FFEFE0EF81E24767 +:10259000D85797E70100938727E49C43DC5763FBF8 +:1025A000E70085473ECE97E701009387270205475E +:1025B00098C311A002CEF2473E85B2504561828099 +:1025C000797106D62AC62EC497E7010093870701C2 +:1025D0009C4381E77370043001A02247B707008055 +:1025E0005D8FB24798C3B247DC473ECCE24781E7F4 +:1025F0007370043001A03245EFE0AF85E2479107E8 +:102600003E85EFE00F85E247D85797E701009387B3 +:1026100027FB9C4363F9E700E247D85797E701009F +:10262000938707FA98C3E247D857BA878A07BA97B9 +:102630008A0717E701001307A7E43E97E2479107CF +:10264000BE853A85EFD05FF6E247D85797E701009D +:10265000938787D89C43DC5763FBE70085473ECED2 +:1026600097E70100938787F6054798C311A002CE2C +:10267000F2473E85B2504561828041112AC6B24779 +:1026800081E77370043001A097E70100938747F456 +:102690009843B24798C397E70100938727F298437E +:1026A000B247D8C3010041018280797106D62AC69B +:1026B0002EC4B24781E77370043001A0A24781E7BE +:1026C0007370043001A01D2F97E70100938707EF77 +:1026D0009C433ECCA2479843FD576314F70002CEBB +:1026E00091A8B247984397E70100938767EE9C4310 +:1026F000630AF700B247DC4362476365F70085472A +:102700003ECE0DA8B247DC4362471D8FA2479C43D3 +:102710006370F702A2479843B247D443E247B387B6 +:10272000F6403E97A24798C33245813F02CE19A09A +:1027300085473ECEDD2DF2473E85B2504561828011 +:1027400097E70100938787E8054798C301008280D7 +:1027500001112AC6B24799C7B2473ECCE247FC47AF +:102760003ECE11A002CEF2473E8505618280011166 +:102770002AC62EC4B24791C7B2473ECEF24722477F +:10278000F8C7010005618280011106CE2AC68522A4 +:1027900097E701009387C7CE98438547E3F9E7FEA3 +:1027A000EFD0DFC6EDB7397106DE2ACE2ECC32CAA5 +:1027B00036C8BA872317F10002D625A06247B25760 +:1027C000BA9703C70700F246B257B697238AE702C3 +:1027D0006247B257BA9783C7070089CBB2578507BC +:1027E0003ED63257BD47E3FBE7FC11A00100F2479C +:1027F000A38107045247A54763F4E700A5473ECAF3 +:10280000F2475247D8D7F2475247B8CBF24723AAEC +:102810000704F24791073E85EFD01FD8F247E10742 +:102820003E85EFD07FD7F247724798CB2947D247F2 +:102830001D8FF24798CFF2477247D8D3F24723A2B1 +:102840000704F24723AC0704F24723AE0704010054 +:10285000F25021618280011106CE02C60DA03247DE +:10286000BA878A07BA978A0717E70100130747C193 +:10287000BA973E85EFD01FCFB24785073EC6324795 +:10288000A547E3FEE7FC17E501001305E5CBEFD014 +:102890007FCD17E50100130565CCEFD0BFCC17E560 +:1028A0000100130565CDEFD0FFCB17E5010013053F +:1028B000E5CDEFD03FCB17E501001305A5CEEFD056 +:1028C0007FCA97E701009387A7CA17E7010013079C +:1028D000A7C798C397E701009387C7C917E7010007 +:1028E0001307C7C798C30100F24005618280011138 +:1028F00006CE8DA8EFF00FCC97E70100938707C9AC +:102900009C4393B7170093F7F70F3EC6EFF04FCCF9 +:10291000B247A9EBE52197E70100938727C7DC477A +:10292000DC473EC4A24791073E85EFD09FD297E790 +:102930000100938767C89C431387F7FF97E701005F +:10294000938787C798C397E70100938767C59C4320 +:102950001387F7FF97E70100938787C498C3F12196 +:102960002245912C97E70100938787C39C43D9F3B5 +:102970000100F24005618280011106CE2AC697E768 +:102980000100938767A59C433247D8C397E70100AE +:102990009387C7C29C4332476372F70297E70100EF +:1029A000938747BD984397E701009387E7A29C432D +:1029B0009107BE853A85EFD03FC335A897E7010060 +:1029C000938707BB984397E701009387E7A09C4351 +:1029D0009107BE853A85EFD03FC197E70100938705 +:1029E000A7BF9C4332476378F70097E701009387BE +:1029F000A7BE324798C30100F24005618280797119 +:102A000006D6AA872EC42317F100A24789EB835765 +:102A1000E1008A073E85EF104051AA8711A0A24726 +:102A20003ECCE24785C313050006EF1000502ACEC6 +:102A3000F24789C7F247624798DB31A06245EF1041 +:102A4000C06111A002CEF24781CFF247985B835755 +:102A5000E1008A073E869305500A3A85EF104075DB +:102A6000F2473E85B25045618280397106DE22DC34 +:102A70002AC62EC432C202D6A2479C43638D070EDB +:102A8000A2473ED4A257DC43D843A257D8C3A2578B +:102A9000D843A257A1076317F700A257DC43D843D6 +:102AA000A257D8C3A257DC43DC473ED2A2473ED050 +:102AB0008257DC43D8438257D8C38257D8438257C2 +:102AC000A1076317F7008257DC43D8438257D8C366 +:102AD0008257DC43DC473ECEB25796073247BA975F +:102AE000724798C3B25796073247BA97724713078F +:102AF0004703D8C3B25796073247BA977247384749 +:102B000098C7B25796073247BA971247D8C7B257F5 +:102B100096073247BA977247585798CB12478D4756 +:102B2000631BF700F2479C5799C7B2579607324785 +:102B3000BA970947D8C7B25796073247BA9772472C +:102B4000384BD8CBB25796073247BA9723AC070019 +:102B5000F247945BB257960732473304F700368545 +:102B60000D20AA87231EF400B25785073ED6724770 +:102B70009257E31DF7F2B2573E85F250625421613D +:102B8000828001112AC602CE39A0B24785073EC60F +:102B9000F24785073ECEB24703C707009307500AA6 +:102BA000E305F7FEF24789833ECEF247C207C183B1 +:102BB0003E8505618280011106CE2AC6B2479C5B24 +:102BC0003E85EF1080493245EF1020490100F24068 +:102BD00005618280411197E70100938767999C43C3 +:102BE0009C4399E3854711A0814781CB97E701007A +:102BF0009387879E7D5798C305A097E70100938729 +:102C000027979C43DC47DC473EC6B247D84397E74B +:102C100001009387679C98C30100410182804111A4 +:102C200097D701009387477B9C433EC6B2473E85BA +:102C300041018280411197E701009387A7989C4347 +:102C400081E785473EC619A897E701009387079952 +:102C50009C4381E789473EC611A002C6B2473E8524 +:102C600041018280797106D62AC6B2473ECEB2476C +:102C7000F9C3F247D85797D701009387E7759C436C +:102C8000DC57637AF70AF2479C4F63CC070097D76B +:102C90000100938767749C43DC5729471D8FF247D7 +:102CA00098CFF247D44BF247D857BA878A07BA97DA +:102CB0008A0717D701001307A77CBA976394F60019 +:102CC000854711A08147A5C3F24791073E85EFD004 +:102CD0005F9897D70100938727709C43D857F24796 +:102CE000D8D7F247D85797E701009387678D9C4361 +:102CF00063F9E700F247D85797E701009387478CBD +:102D000098C3F247D857BA878A07BA978A0717D75E +:102D100001001307E7763E97F2479107BE853A8593 +:102D2000EFD09F8809A897D701009387E76A9C4353 +:102D3000D857F247D8D70100B250456182807971E7 +:102D400006D62AC6B2473ECC02CEB247DDC397D7DD +:102D50000100938767689C4362476305F7007370BF +:102D6000043001A0E247FC4B81E77370043001A0FE +:102D7000E247FC4B1387F7FFE247F8CBE247D8570F +:102D8000E247BC4B6307F706E247FC4BBDE3E24773 +:102D900091073E85EFD0FF8BE247B84BE247D8D78B +:102DA000E247DC5729471D8FE24798CFE247D857C3 +:102DB00097E701009387C7809C4363F9E700E247E8 +:102DC000D85797D701009387A77F98C3E247D85772 +:102DD000BA878A07BA978A0717D701001307476A85 +:102DE0003E97E2479107BE853A85EFD0EFFB8547D6 +:102DF0003ECEF2473E85B25045618280737004300A +:102E000097D701009387077C9C4391CF97D7010008 +:102E10009387875C9C43F8430507F8C397D7010065 +:102E20009387875B9C430100828097D7010093873B +:102E300067799C438DCB97D701009387E7599C43D3 +:102E4000FC4395C397D70100938707599C43F843E8 +:102E50007D17F8C397D70100938707589C43FC431D +:102E600099E37360043001008280411197D701001B +:102E7000938787569C439C4F3EC697D70100938704 +:102E8000A7559C43D85797D701009387E7549C4395 +:102E9000A9463387E64098CFB2473E8541018280FC +:102EA00097D70100938747539C4389CB97D701005D +:102EB000938787529C43F84B0507F8CB97D70100BF +:102EC000938787519C433E858280797106D62AC6B6 +:102ED0002EC42D3797D70100938707509C43BC4FD2 +:102EE000ADE397D701009387274F9C430547F8CF61 +:102EF000A247A1CB97D701009387074E9C43910728 +:102F00003E85EFD00FF52247FD576310F70297D7A4 +:102F100001009387674C9C439107BE8517D501003C +:102F200013054568EFD04FE819A897D7010093879C +:102F3000E7689C432247BA973ECE7245353CEFD0B6 +:102F4000EFCCE535653D97D701009387E7489C4373 +:102F5000BC4F3ECCE2479DC3B24789CB97D7010017 +:102F6000938787479C4323AC070409A897D70100A0 +:102F7000938787469C43B84F7D17B8CF97D70100FA +:102F8000938787459C4323AE07044535E2473E853A +:102F9000B25045618280797106D62AC62EC432C2EB +:102FA00036C0A93D97D70100938707439C43F84F4C +:102FB0008947630DF70697D701009387E7419C4344 +:102FC000B44F32471347F7FF758FB8CF97D701003B +:102FD000938787409C430547F8CF8247A1CB97D77B +:102FE00001009387673F9C4391073E85EFD06FE6D2 +:102FF0000247FD576310F70297D701009387C73D3B +:103000009C439107BE8517D501001305A559EFD044 +:10301000AFD919A897D701009387475A9C43024715 +:10302000BA973ECC6245893AEFD04FBEFD3BF933AB +:10303000924789CB97D701009387073A9C43B84FB3 +:10304000924798C397D70100938707399C43F84F5D +:1030500085476314F70002CE31A897D70100938704 +:10306000A7379C43B44F22471347F7FF758FB8CF5C +:1030700085473ECE97D70100938707369C4323AE02 +:1030800007046533F2473E85B2504561828079710D +:1030900006D62AC62EC432C236C085473ECEB247B7 +:1030A00081E77370043001A0B2473ECC813B824778 +:1030B00089C7E247B84F824798C3E247FC4F3ECAF0 +:1030C000E2470947F8CF1247914763EEE70492477A +:1030D0001397270097D70100938747F3BA97984330 +:1030E00097D70100938787F2BA978287E247B84F54 +:1030F000A2475D8FE247B8CF3DA0E247BC4F1387A0 +:103100001700E247B8CF05A0E2472247B8CF21A871 +:10311000524789476306F700E2472247B8CF21A00C +:1031200002CE11A0010052478547631AF706E24715 +:1031300091073E85EFD0EFD1E247D85797D70100EE +:10314000938707489C4363F9E700E247D85797D72E +:1031500001009387E74698C3E247D857BA878A07A2 +:10316000BA978A0717D70100130787313E97E247BE +:103170009107BE853A85EFD02FC3E2479C5781C7A0 +:103180007370043001A0E247D85797D701009387A6 +:10319000A7249C43DC5763F4E700EFD02FA77131DD +:1031A000F2473E85B25045618280397106DE2ACEF3 +:1031B0002ECC32CA36C83AC685473ED6F24781E79A +:1031C0007370043001A0F2473ED4EFD00FB2AA874B +:1031D0003ED2C24789C7A257B84FC24798C3A25729 +:1031E000FC4F3ED0A2570947F8CF5247914763EEB4 +:1031F000E704D2471397270097D70100938747E248 +:10320000BA97984397D70100938787E1BA97828747 +:10321000A257B84FE2475D8FA257B8CF3DA0A25743 +:10322000BC4F13871700A257B8CF05A0A25762471B +:10323000B8CF21A8025789476306F700A257624713 +:10324000B8CF21A002D611A0010002578547631D07 +:10325000F708A2579C5781C77370043001A097D715 +:1032600001009387A7379C43A1EBA25791073E85A6 +:10327000EFD02FBEA257D85797D701009387473476 +:103280009C4363F9E700A257D85797D7010093876B +:10329000273398C3A257D857BA878A07BA978A079D +:1032A00017D701001307C71D3E97A2579107BE8588 +:1032B0003A85EFD06FAF11A8A257E107BE8517D5A9 +:1032C00001001305652BEFD02FAEA257D85797D723 +:1032D0000100938767109C43DC5763F7E700B24710 +:1032E00081C7B247054798C392573E85EFD0EF9EFE +:1032F000B2573E85F25021618280797106D62AC686 +:103300002EC4B24781E77370043001A0B2473ECEAD +:10331000EFD0AF9DAA873ECCF247FC4F3ECAF247A2 +:103320000947F8CFF247BC4F13871700F247B8CFD1 +:1033300052478547631DF708F2479C5781C7737052 +:10334000043001A097D70100938747299C43A1EB44 +:10335000F24791073E85EFD0CFAFF247D85797D7C6 +:1033600001009387E7259C4363F9E700F247D857AC +:1033700097D701009387C72498C3F247D857BA87D5 +:103380008A07BA978A0717D701001307670F3E9776 +:10339000F2479107BE853A85EFD00FA111A8F247F9 +:1033A000E107BE8517D501001305051DEFD0CF9F9E +:1033B000F247D85797D70100938707029C43DC5701 +:1033C00063F7E700A24781C7A247054798C3E247D2 +:1033D0003E85EFD08F900100B250456182807971B7 +:1033E00006D62AC6B2473ECCE24799E797D70100F6 +:1033F000938787FE9C4311A0E2473ECC0134E2470D +:10340000F84F89476318F700E24723AE0704854762 +:103410003ECE11A002CE113CF2473E85B25045612E +:103420008280011106CE02C61D2B97D7010093871B +:10343000271E9C439DC38148014881470947814617 +:103440001306004097D501009385C5BE17050000FF +:103450001305051BEFE0AF852AC6B24781E77370FD +:10346000043001A0B2473E85F24005618280397187 +:1034700006DE2ACE2ECC32CA36C83AC6E24799E3D7 +:1034800002D62DA81305C002EF00302A2AD6B25763 +:1034900095C7F121B257724798C3B257624798CF88 +:1034A000B2575247D8CFB257424798D3B257324754 +:1034B000D8D3B25791073E85EFD00F8EE24781E710 +:1034C0007370043001A0B2573E85F25021618280B2 +:1034D000397106DE2ACE2ECC32CA36C83AC602D69A +:1034E000F24781E77370043001A097D701009387FA +:1034F00027129C43B5CBE2473ED0D2473ED2F2479B +:103500003ED46247954763C4E704EFF0AFF22A87E1 +:1035100089476310F70297D701009387670F9C4391 +:10352000181081463246BA853E85EFD02FC42AD680 +:1035300025A897D701009387A70D9C4318108146B3 +:103540000146BA853E85EFD06FC22AD631A897D7FB +:1035500001009387E70B9C43181081464246BA85C9 +:103560003E85EFD02FD82AD6B2573E85F250216142 +:10357000828001112AC6B2473ECEB24781E77370FE +:10358000043001A0F2479C433E8505618280797139 +:1035900006D62AC62EC497D701009387E7069C4318 +:1035A000DC47DC473ECEF24791073E85EFD06F8A7D +:1035B000F247D84F8547631BF702F247984FB2474F +:1035C000BA97B2462246BE857245B9222A878547F8 +:1035D000631EF70001478146324681457245CD3D65 +:1035E0002ACCE24781E77370043001A0F247DC5334 +:1035F000724582970100B25045618280797106D68A +:103600002AC63C083E8541282ACEE247BE8572453F +:1036100019206922FDB7797106D62AC62EC4EFE0BB +:103620006FF93C083E8545282ACEE247B9EFA2470C +:1036300099EB3247F24763E8E700EFE06FF9F245B4 +:103640003245B137A9A0A24781CF97D70100938710 +:10365000E7FB9C439C4393B7170093F7F70F3EC4D7 +:1036600097D701009387C7FA94433247F247B307CD +:10367000F7402246BE853685EFD0FFC8EFE04FF514 +:10368000AA8791E7EFC09FD819A0EFE06FF401007F +:10369000B2504561828001112AC697D701009387F5 +:1036A000A7F69C439C4393B7170093F7F70F3E8709 +:1036B000B24798C3B2479C4391EB97D701009387D9 +:1036C000A7F49C43DC479C433ECE11A002CEF247B8 +:1036D0003E8505618280797106D62AC6EFE01F8497 +:1036E0002ACE97D701009387E7F29C43724763770E +:1036F000F700692AB247054798C321A0B24723A023 +:10370000070097D701009387E7F0724798C3F24705 +:103710003E85B25045618280797106D62AC62EC494 +:1037200032C236C002CEB2472247D8C3B247324770 +:1037300098CB2247924763E8E702124782471D8FE2 +:10374000B2479C4F6365F70085473ECE99A097D757 +:1037500001009387A7EB9843B2479107BE853A854E +:10376000EFC09FE83DA0124782476379F7002247E8 +:1037700082476365F70085473ECE21A897D70100B1 +:10378000938787E89843B2479107BE853A85EFC093 +:10379000BFE5F2473E85B25045618280797106D619 +:1037A000D9A0A24763C0070CC2473ECEF247DC4B0C +:1037B00091C7F24791073E85EFC0BFE95C003E85A7 +:1037C000193F2ACCA2472547636FF70813972700B4 +:1037D00097D7010093878786BA97984397D70100B8 +:1037E0009387C785BA9782873247F2479C4FBA972B +:1037F0003247BA866246BE857245393F2A87854779 +:103800006313F706F247DC5372458297F247D84FAD +:103810008547631AF7043247F2479C4FBA9701472E +:1038200081463E868145724565312ACAD24785EF79 +:103830007370043001A03247F24798CFF2479C4F93 +:1038400081E77370043001A0F247984FE247BA97BE +:10385000E2466246BE857245C13531A07245EF0031 +:10386000C07F11A0010097D70100938767DA9C43BE +:10387000380081460146BA853E85EFD0CFC1AA8780 +:10388000E39107F20100B25045618280797106D65A +:1038900071A097D70100938727D79C43DC479C43AF +:1038A0003ECC97D70100938727D69C43DC47DC4763 +:1038B0003ECAD24791073E85EFC0BFD9D247DC53FD +:1038C00052458297D247D84F85476319F704D247AC +:1038D0009C4F6247BA973EC84247E24763F4E7020B +:1038E000D2474247D8C3D247524798CB97D7010017 +:1038F000938787D19843D2479107BE853A85EFC019 +:10390000BFCE29A801478146624681455245C93646 +:103910002AC6B24781E77370043001A097D701002F +:10392000938787CE9C439C43ADF797D7010093873D +:10393000A7CD9C433ECE97D70100938727CD9843D0 +:1039400097D70100938747CC98C397D701009387F7 +:10395000E7CB724798C30100B250456182804111A4 +:1039600006C6EFF0AFC997D70100938767CA9C439B +:10397000C9E717D501001305A5C6EFC0BFBE17D50F +:103980000100130525C7EFC0FFBD97D7010093873E +:10399000A7C717D701001307A7C498C397D701007B +:1039A0009387C7C617D701001307C7C498C301463A +:1039B000B1451145EFC03FDA2A8797D701009387B9 +:1039C00027C598C397D70100938787C49C4381E795 +:1039D0007370043001A097D70100938767C39C439D +:1039E00089CF97D701009387A7C29C4397C5010051 +:1039F000938545673E85EFD05F87EFF00FC30100E9 +:103A0000B24041018280797106D62AC6B2473ECEC5 +:103A1000B24781E77370043001A0EFF02FBEF24788 +:103A2000DC4BB337F00093F7F70F3ECCEFF0EFBF6E +:103A3000E2473E85B25045618280797106D62AC63A +:103A4000B2473ECEB24781E77370043001A0EFF079 +:103A5000EFBAF2479C533ECCEFF02FBDE2473E85D4 +:103A6000B25045618280797106D62AC62EC4B2470B +:103A70003ECEB24781E77370043001A0EFF00FB87B +:103A8000F247224798D3EFF04FBA0100B250456198 +:103A90008280011106CE714549292AC6B24789CBD9 +:103AA000B24723A00700B24791073E85EFC09FAB06 +:103AB000B2473E85F24005618280397106DE2AC632 +:103AC0002EC432C236C0B2473ED402D21247B70724 +:103AD00000FFF98F81C77370043001A0924781E71E +:103AE0007370043001A0EFF0EF94AA8799E3824746 +:103AF00099E3854711A0814781E77370043001A0E5 +:103B0000EFE04FABA2579C433ED0A24532458524FF +:103B10000257A2475D8F9247F98F12476310F70251 +:103B20000257A247D98F3ED6A2579843924793C7D0 +:103B3000F7FF7D8FA25798C302C025A0824799CF77 +:103B4000A257938647001247B7070005D98F024650 +:103B5000BE853685EFE03F8902D621A0A2579C435F +:103B60003ED6EFE0EFA62ACE8247B1CBF24799E3EB +:103B7000EFC0DF89EFF06FAF2AD63257B7070002E8 +:103B8000F98F85EBEFF08FA7A2579C433ED63257B3 +:103B90009247F98F1247631AF700A257984392474A +:103BA00093C7F7FF7D8FA25798C3EFF00FA8854703 +:103BB0003ED23257B7070001FD17F98F3ED6B257F4 +:103BC0003E85F250216182805D7186C62ACE2ECC60 +:103BD00032CA36C83AC6F2473EDA02DC02D8F247A9 +:103BE00081E77370043001A06247B70700FFF98FC7 +:103BF00081C77370043001A0E24781E7737004301D +:103C000001A0EFF02F83AA8799E3B24799E3854794 +:103C100011A0814781E77370043001A0EFE08F9914 +:103C2000D2579C433ED64246E245325575242AD4AB +:103C3000A25799CFB2573EDE02C6D247A9CBD25780 +:103C40009843E24793C7F7FF7D8FD25798C381A06F +:103C5000B24781E7B2573EDE1DA8D24791C76257EF +:103C6000B7070001D98F3EDCC24791C76257B7073B +:103C70000004D98F3EDCD257938647006247E25753 +:103C8000D98F3246BE853685EFE0EFF502DEEFE0F4 +:103C90002F942AD2B247B9CB925799E3EFC00FF7CE +:103CA000EFF0AF9C2ADE7257B7070002F98F8DEB59 +:103CB000EFF0CF94D2579C433EDE4246E245725528 +:103CC000212CAA8799CBD24789CBD2579843E24778 +:103CD00093C7F7FF7D8FD25798C3EFF00F9502D8A7 +:103CE0007257B7070001FD17F98F3EDEF2573E8588 +:103CF000B64061618280797106D62AC62EC4B24769 +:103D00003ECEB24781E77370043001A02247B70767 +:103D100000FFF98F81C77370043001A0EFF00F8EA0 +:103D2000F2479C433ECCF2479843A24793C7F7FF24 +:103D30007D8FF24798C3EFF04F8FE2473E85B25038 +:103D400045618280797106D62AC6B2473ECEEFC061 +:103D5000CFF9AA873ECCF2479C433ECAE2473E8554 +:103D6000EFC0AFF7D2473E85B250456182805D71AA +:103D700086C62AC62EC402DCB2473ED802DAB24753 +:103D800081E77370043001A02247B70700FFF98F65 +:103D900081C77370043001A0C25791073ED6B25755 +:103DA000A1073ED4EFE00F81B257DC473EDEC25799 +:103DB0009843A2475D8FC25798C3BDA8F257DC4312 +:103DC0003ED2F2579C433ED002DA0257B70700FFBB +:103DD000F98F3ECE0257B7070001FD17F98F3ED08D +:103DE0007247B7070004F98F89EBC257984382578F +:103DF000F98F89CF85473EDA11A8C2579843825779 +:103E0000F98F02576314F70085473EDAD2579DC3F6 +:103E10007247B7070001F98F89C762578257D98F58 +:103E20003EDCC2579843B7070002D98FBE85725552 +:103E3000EFE00FF992573EDE7257A257E310F7F802 +:103E4000C2579843E25793C7F7FF7D8FC25798C375 +:103E5000EFD01FF8C2579C433E85B6406161828017 +:103E6000797106D62AC6B2473ECEF24791073ECCBC +:103E7000EFD05FF40DA0E247D847E247A1076315F2 +:103E8000F7007370043001A0E247DC47B705000279 +:103E90003E85EFE0EFF2E2479C43F1FF7245752A61 +:103EA000EFD01FF30100B25045618280011106CEB0 +:103EB0002AC62EC4A24532455D3D0100F24005618F +:103EC0008280011106CE2AC62EC4A2453245253570 +:103ED0000100F2400561828001112AC62EC432C25F +:103EE00002CE924781EB3247A247F98F81CF8547B7 +:103EF0003ECE09A83247A247F98F22476314F70044 +:103F000085473ECEF2473E850561828001112AC673 +:103F1000B2473ECCB24799E302CE21A0E2479C4F84 +:103F20003ECEF2473E8505618280397106DE2AC6A3 +:103F300002D2EFD03FE8975703009387E76E9C4388 +:103F400081EB5522975703009387076E054798C367 +:103F5000B24789CFA1473E87B247BA973EC6B2471C +:103F60008D8B89C7B247F19B91073EC6B247F9CB0B +:103F70003247E567ED1763E7E70C97570300938730 +:103F8000A7693ED497570300938707699C433ED6A1 +:103F900031A0B2573ED4B2579C433ED6B257DC4311 +:103FA000324763F5E700B2579C43E5F7325797571E +:103FB00003009387E7666307F708A2579C432147EE +:103FC000BA973ED2B2579843A25798C3B257D84334 +:103FD000B247B307F740214706076378F704325723 +:103FE000B247BA973ECEB257D843B2471D8FF24779 +:103FF000D8C3B2573247D8C3F247DC433ECC9757B9 +:104000000300938767613ED021A082579C433ED036 +:1040100082579C43DC436247E3E9E7FE82579843BB +:10402000F24798C38257724798C397C70100938796 +:10403000E73B9843B257DC431D8F97C70100938736 +:10404000E73A98C3EFD0DFD8925799E3EF001037E3 +:1040500092573E85F25021618280797106D62AC638 +:10406000B2473ECCB247BDC3A147B307F040624759 +:10407000BA973ECCE2473ECAEFD0DFD3D247DC430B +:104080003EC897570300938727593ECE21A0F24799 +:104090009C433ECEF2479C43DC434247E3E9E7FEC4 +:1040A000F2479843D24798C3F247524798C3D24742 +:1040B000D84397C70100938767339C433E9797C7C0 +:1040C00001009387A73298C3EFD09FD00100B25070 +:1040D0004561828097C70100938747319C433E85A5 +:1040E000828001008280411197C7010093870753A6 +:1040F000F19B3EC69757030093870752324798C3F8 +:10410000975703009387475123A20700975703004F +:104110009387075165677117D8C397570300938733 +:10412000275023A00700B2473EC4A2476567711716 +:10413000D8C3A247175703001307874E98C301003F +:104140004101828001112AC62EC432C2A2473ECE4E +:10415000B2473ECC3247A247D98F8D8BA1E319A835 +:10416000F2479843E24798C3E24791073ECCF247B3 +:1041700091073ECE9247F5173247BA976247E361FF +:10418000F7FE29A87247930717003ECEE2479386B1 +:10419000170036CC034707002380E70032479247D9 +:1041A000BA976247E360F7FEB2473E8505618280B9 +:1041B00001112AC62EC432C232479247D98F8D8B45 +:1041C0009DEFA24793F7F70F3ECAD247A207524787 +:1041D000D98F3ECAD247C2075247D98F3ECAB2478B +:1041E0003ECE39A0F247138747003ACE524798C3D4 +:1041F00032479247BA977247E366F7FE1DA0B2476F +:104200003ECC11A8E247138717003ACC2247137718 +:10421000F70F2380E70032479247BA976247E3637C +:10422000F7FEB2473E850561828001112AC6B2477A +:104230003ECE21A0F24785073ECEF24783C7070056 +:10424000F5FB7247B247B307F7403E8505618280B0 +:1042500001112AC62EC4B247138717003AC683C776 +:104260000700A30FF100A247138717003AC483C7C2 +:104270000700230FF1008347F10199C70347F101BC +:104280008347E101E309F7FC0347F1018347E101BB +:10429000B307F7403E850561828001112AC62EC40E +:1042A000B2473ECE01002247930717003EC4F247B3 +:1042B0009386170036CE034707002380E70083C7A5 +:1042C0000700F5F3B2473E850561828001112AC6D9 +:1042D00002CE02CC21A0B24785073EC6B24703C733 +:1042E000070093070002E308F7FEB24703C7070081 +:1042F0009307D0026309F700B24703C7070093078B +:10430000B0026311F704B24783C70700938737FDF4 +:1043100093B7170093F7F70F3ECCB24785073EC619 +:1043200015A07247BA878A07BA9786073ECEB2476A +:10433000138717003AC683C70700938707FD7247A4 +:10434000BA973ECEB24783C70700E1FFE24789C76D +:10435000F247B307F04011A0F2473E850561828025 +:1043600001112AC6975703009387C72D9C43938654 +:104370001700175703001307E72C14C332471377AE +:10438000F70F975603009386E62FB6972380E70032 +:104390003247A947630BF700975703009387872A93 +:1043A0009843930700046315F70497570300938716 +:1043B000672D3ECE05A8F247138717003ACE03C7F4 +:1043C0000700B70710F0938707F098C397570300CB +:1043D000938747279C431387F7FF975703009387DB +:1043E000672698C3975703009387C7259C43E1F737 +:1043F00081473E85056182804D7123261114232457 +:1044000081142322911423202115232E3113232CD0 +:104410004113232A51132ACE2ECC32C836CA3AC6AB +:104420003EC442C223260112B2473E8A814AC24795 +:1044300052485286D6863E85C285EF10205FAA87F5 +:104440002E883E86C2868327C11213871700232633 +:10445000E11232878A07141AB69723AEE7EEB24705 +:104460003E848144D247268763E8E702D247268705 +:104470006396E700C247228763E0E702B2473E89BE +:1044800081494A86CE864245D245EF00707CAA8794 +:104490002E883EC842CA49BF010029A0F247E24522 +:1044A00012458297A2471387F7FF3AC40327C11228 +:1044B000E346F7FE25A88327C1128A07181ABA9780 +:1044C00003A7C7EF8327C1128A07141AB69783A6DA +:1044D000C7EFA54763F5D7009307700519A09307A9 +:1044E0000003BA977247E2453E8502978327C112BF +:1044F0001387F7FF2326E112E34FF0FA0100832030 +:10450000C114032481148324411403290114832931 +:10451000C113032A8113832A4113716182804111DF +:104520002AC62EC4A2460547635ED700B2479C4305 +:104530009D07E19B93868700324714C303A8470079 +:104540009C4335A0224719CB324718431306470036 +:10455000B24690C21843BA87014811A8324718439F +:1045600013064700B24690C21843BA8701483E85F9 +:10457000C2854101828041112AC62EC4A246054748 +:10458000635ED700B2479C439D07E19B93868700FB +:10459000324714C303A847009C4305A8224701CF14 +:1045A0003247184313064700B24690C21843BA87F1 +:1045B0007D873A8819A83247184313064700B24648 +:1045C00090C21843BA877D873A883E85C2854101EB +:1045D0008280397106DE22DC26DA2AC62EC432C277 +:1045E00036C011A863070424924785073EC2B2472C +:1045F000A24522858297924783C707003E8493078E +:104600005002E311F4FE924785073EC292473EC82E +:1046100093070002A30BF100FD573ECEFD573ECCA1 +:1046200002D09247138717003AC283C707003E841F +:104630009307D4FD130750056364F71E13972700F3 +:1046400097C70100938707A3BA97984397C70100BC +:10465000938747A2BA9782879307D002A30BF100F2 +:10466000C9B793070003A30BF10065BF02CC6247F3 +:10467000BA878A07BA978607A297938707FD3ECC29 +:10468000924783C707003E849307F00263D68702F0 +:104690009307900363C28702924785073EC2C1BF5A +:1046A0008247138747003AC09C433ECC39A0F2476B +:1046B000E3D907F602CEB5B70100F247E3D307F618 +:1046C000E2473ECEFD573ECCA9BF825785073ED07C +:1046D00089BF8247138747003AC09C433247A245AF +:1046E0003E850297B1A28247138747003AC08443B0 +:1046F00089E497C4010093846497F2476357F004F8 +:10470000034771019307D0026301F704E247BE85B6 +:104710002685EF00B0512A87F247998F3ECE11A827 +:10472000834771013247A2453E850297F247FD1744 +:104730003ECEF247E346F0FE09A8B247A2452285E5 +:1047400082978504F247FD173ECE83C704003E845E +:104750001DC0E247E3C307FEE247FD173ECCE24738 +:10476000E3DD07FC09A8B247A245130500028297C2 +:10477000F247FD173ECEF247E347F0FE55A88A8781 +:1047800082553E85CD3BAA872E883ED442D6A2577D +:104790003258C28763D90702B247A2451305D00237 +:1047A0008297A255325681470148B386B740368575 +:1047B00033B5A7003307C840B307A7403E87B68785 +:1047C0003A883ED442D6A9473ED21DA8A9473ED238 +:1047D00015A0A1473ED239A885473ED0B247A24591 +:1047E000130500038297B247A245130580078297FD +:1047F000C1473ED28A8782553E8515332AD42ED6AC +:104800001257834771013E88F2472256B256A2459D +:104810003245DD3631A8B247A2452285829709A8E4 +:10482000B247A245130550028297C2473EC201001B +:10483000D9B30100F2506254D254216182804111F7 +:104840002AC6B70710F0938707F2324798C301A032 +:104850005D7106D62AC62EDA32DC36DEBAC0BEC29A +:10486000C2C4C6C69C0891173ECEF247BE863246E9 +:104870008145170500001305E5AEA13B81473E8544 +:10488000B2506161828001112AC62EC41EC2A247A5 +:104890003ECEF2479C4332471377F70F2380E70061 +:1048A000F2479C4313871700F24798C30100056144 +:1048B00082801D7106DE2AC62EC4B2C4B6C6BAC82E +:1048C000BECAC2CCC6CE9C103ED25C084C081706AD +:1048D0000000130686FB7D779346F7FF05651305F9 +:1048E00005803388A5003378E8001368783B23A05F +:1048F00007013295698F13677733D8C333F7D50033 +:104900009315470137870300130737394D8F98C731 +:104910003377D6009316470137070300130777064E +:10492000558FD8C70F100000B2473ED69C10A11774 +:104930003ED422575C083E857C00BA862246BE855E +:104940004939B24723800700B2473E87B257B307C1 +:10495000F7403E85F250256182804111370510F005 +:10496000130545F408412AC6370510F0130505F470 +:1049700008412AC4370510F0130545F40841B24830 +:10498000E39EA8FC32452A83814313170300814626 +:104990002245AA850146B3E7B6003368C70037074A +:1049A00010F0130587F48565938505FA0146B386F3 +:1049B000B700B688B3B8F8003307C800B387E8007B +:1049C0003E87B6873A881CC12322050101004101B8 +:1049D0008280411106C651379307000873A0473003 +:1049E0000100B24041018280011106CE2AC617B5EE +:1049F00001001305A57DEFF0BFE50100F240056160 +:104A00008280011106CE2AC617B501001305857CE8 +:104A1000EFF01FE40100F24005618280797106D653 +:104A20002AC602CE29A00100F24785073ECE724772 +:104A3000856793877738E3D8E7FE17B5010013053C +:104A4000E579EFF0FFE0F1BF797106D62AC602CE14 +:104A500029A0F121F24785073ECE7247CD47E3DA20 +:104A6000E7FE0100B25045618280011106CE952219 +:104A700017070000130787FD8146054693052003AD +:104A800017B5010013050576EFE07F9E2AC6EFD02B +:104A9000EFC8AA87014781463E8685453245EFE04B +:104AA0003FA30100F24005618280011106CE02C6DB +:104AB000592A2A878547630CF70017B501001305AB +:104AC000E572EFF0FFD8B24793E727003EC6B24742 +:104AD0003E85F24005618280011106CE02C6713723 +:104AE0001707000013076704814605469305400633 +:104AF00017B5010013050571EFE07F972AC6B2478D +:104B000099CBEFD0AFC1AA87014781463E86854544 +:104B10003245EFE0FF9B02C4EFD0EF9F81473E8517 +:104B2000F24005618280797106D62AC697C70100D6 +:104B30009387C78A9C431387470697C701009387CB +:104B4000E78998C397C70100938787899C43138798 +:104B5000470697C701009387A78898C397C70100A6 +:104B60009387C78798439307F07C63D3E70497C77D +:104B700001009387A7869C431387078397C701008B +:104B80009387C78598C315372ACEF24799EB97C705 +:104B900001009387E784984385679387F77663DB03 +:104BA000E700F2473E85EFF09FC9EFD0AF9E21A00E +:104BB000010011A00100B250456182807370043081 +:104BC00001A00100828041112AC62EC473700430F6 +:104BD00001A0411106C681480148975703009387F9 +:104BE00067B7054781461306004097B501009385D6 +:104BF0002562170500001305A512EFC05F8B9757BC +:104C00000300938727B594438148014897570300D1 +:104C1000938787B405471306004097B50100938535 +:104C2000A55F170500001305E525EFC05F889757BE +:104C30000300938727B29C433E85EFC03FE5010008 +:104C4000B24041018280411185473EC6975703001B +:104C50009387C7A9984397570300938767A89C43F6 +:104C60006313F70002C697570300938767A798431B +:104C700097570300938787A798C302C4B9A0175713 +:104C800003001307E7A6A2478A07BA9798439756E7 +:104C900003009386E6A3A2478A07B6979C43631353 +:104CA000F70002C617570300130787A2A2478A0717 +:104CB000BA97984397560300938686A3A2478A071C +:104CC000B69798C3A24785073EC422478947E3D8D1 +:104CD000E7FA97B701009387E77198438547630325 +:104CE000F70002C697B7010093870771984397575B +:104CF00003009387A7A09C436314F70002C619A87A +:104D000097B701009387476F98439757030093879E +:104D1000E79E98C3B2473E8541018280797106D6ED +:104D20002AC602CA5C083E85F525712DAA878D45E5 +:104D30003E85EF10E026AA873ECE175703001307E3 +:104D40006798F2478A07BA979C433ECC17570300EF +:104D500013070798F2478A07BA979C4313871700EF +:104D6000975603009386C696F2478A07B69798C36C +:104D70005C0881467D56BE856245EFB03FBF2A87FD +:104D800085476308F70097B701009387A76623A0BC +:104D90000700D24785073ECA5247C167FD17631314 +:104DA000F70002CA112051B7411106C697570300F8 +:104DB000938707959C4313871700975703009387A2 +:104DC000279498C397570300938787939843C547C1 +:104DD00063F4E70A975703009387879223A007009D +:104DE00097570300938707929C4305476389E7021F +:104DF000054763E6E7000947638CE704B5A89757C2 +:104E00000300938767959C4381453E85EFC0BFB300 +:104E1000975703009387078F054798C3B1A8975703 +:104E20000300938767939C4385453E85EFC0BFB1E0 +:104E300097570300938707929C4381453E85EFC0B7 +:104E40009FB0975703009387E78B094798C32DA01E +:104E500097570300938707909C4385453E85EFC095 +:104E60009FAE975703009387E78923A00700130598 +:104E7000800CEFC0FF9601000100B240410182802A +:104E8000797106D62AC6892E0145EFC01FA5AA87CB +:104E900099E302CE19A0FD573ECE975703009387A2 +:104EA000E7839C43FD553E85EFC0AFD42ACCE24753 +:104EB00091EBF247F1DB97B701009387A75323A04B +:104EC0000700D9B75C0881460146BE856245EFB050 +:104ED0009FDC2A8785476308F70097B7010093870F +:104EE000675123A00700EFD07FF1D2473E855920BC +:104EF000EFD0BFF397B701009387C74F984385471B +:104F0000E314F7F8974703009387877D9C43138743 +:104F10001700974703009387A77C98C3B5B7411143 +:104F200006C6974703009387E77B98438547631F2F +:104F3000F702974703009387277D9C431387170049 +:104F4000974703009387477C98C39747030093874D +:104F5000A77B98439307400663FAE700974703004F +:104F60009387877A23A007005122E9220100B240EB +:104F700041018280011106CE2AC63247C167F91766 +:104F800063F2E7083247C17785073E97954763F894 +:104F9000E7023247E15763E4E70297B701009387DE +:104FA000E7459C43BE853245E5202A87854763084F +:104FB000F70097B701009387E74323A0070097B74F +:104FC0000100938727439C4381E77370043001A05D +:104FD00097B70100938787429C431387170097B7C1 +:104FE00001009387A74198C397B7010093870741B2 +:104FF0009C43D9EB97B701009387474041677D17E2 +:1050000098C359A03247954763FCE702C1671387ED +:10501000E7FFB2471D8F994763F4E7029747030004 +:105020009387C76E9C43BE8532459D202A8785475E +:105030006308F70097B701009387C73B23A00700D9 +:1050400097B701009387073B9C4381E77370043057 +:1050500001A0974703009387676B9C431387170052 +:10506000974703009387876A98C3974703009387FE +:10507000E7699843C167F91763F8E70097470300AA +:105080009387C76823A007000100F2400561828072 +:1050900001112AC62EC485473ECE3247A24763F38C +:1050A000E7023247A2471D8F8D4763F5E700737013 +:1050B000043001A03247A2471D8F8D4763F4E702F9 +:1050C00002CE0DA02247B2471D8F8D4763F5E70042 +:1050D0007370043001A02247B2471D8F8D4763F3E0 +:1050E000E70002CEF2473E8505618280011106CEBF +:1050F000974703009387875E9C433E85EFC06FB15F +:105100002AC6B24785C73C000146BE853245EFB08E +:10511000FFD02A8785476308F70097B70100938778 +:10512000672D23A00700A2473E85A9350100F24064 +:1051300005618280411106C6974703009387475D4A +:105140009C43174703001307E7578A07BA979C4306 +:105150008146014697B501009385052A3E85EFB04B +:105160007F982A8785476315F70697B701009387CD +:10517000A7289C431387170097B701009387C72779 +:1051800098C397B70100938727279C4381EB97B774 +:1051900001009387672641677D1798C397470300EF +:1051A000938707579C4313871700974703009387FC +:1051B000275698C397470300938787559843894795 +:1051C00063D8E700974703009387875423A007001D +:1051D0000100B24041018280011106CE02C4254582 +:1051E000EFC0EF972A87974703009387274F98C30D +:1051F00002C65DA0014691450D45EFB0CFD5AA8608 +:10520000174703001307074CB2478A07BA9794C39E +:10521000174703001307074BB2478A07BA979C4307 +:1052200081E77370043001A0174703001307874913 +:10523000B2478A07BA979843974703009387074A6C +:105240009C43BE853A85EFC04F932A878547630903 +:10525000F70097B701009387E71923A0070015A867 +:105260001747030013070746B2478A07BA979843C0 +:1052700097470300938787469C43BE853A85EFC0D6 +:10528000CF8FAA8799C797B701009387A71623A046 +:105290000700B24785073EC632478947E3DCE7F49B +:1052A00097470300938707429C4381453E85EFC0A3 +:1052B0004F90AA8799C797B701009387A71323A098 +:1052C0000700974703009387E73F984397470300FA +:1052D0009387C7409C43BE853A85EFC08F8D2A8750 +:1052E00085476308F70097B701009387A71023A0AD +:1052F0000700974703009387E73C9C4338008146AB +:105300000146BA853E85EFB06FE697470300938765 +:10531000673B9843974703009387473C9C43BE8570 +:105320003A85EFC08F85AA8799C797B70100938701 +:10533000670C23A00700974703009387A7389C4377 +:10534000380081460146BA853E85EFB0DF94974725 +:1053500003009387273798439747030093870738BD +:105360009C43BE853A85EFC04F812A8785476308F5 +:10537000F70097B701009387E70723A00700974737 +:1053800003009387A7359C439305800C3E85EFC0AF +:105390004F86AA8799C797B701009387A70523A0CF +:1053A0000700974703009387E73A9C433E85EFC089 +:1053B0001F80974703009387E732054798C3010092 +:1053C000F24005618280411106C69747030093872A +:1053D000A7319843B757C6419385D7E63A85EF0082 +:1053E000F034AA873E878D67938797033E97974748 +:1053F00003009387672F98C3974703009387C72EAF +:105400009C4313D70701A167FD17F98F3E85B24072 +:105410004101828041112AC6974703009387C72C18 +:10542000324798C30100410182800000B305B500F6 +:10543000930705006386B70003C7070063160700DC +:105440003385A74067800000938717006FF09FFEA9 +:10545000130101FD23229102232A51012326110267 +:105460002324810223202103232E3101232C4101F7 +:105470002328610123267101232481012322910124 +:105480002320A101930A050093840500639E06383A +:10549000130406009309050017B90100130949D840 +:1054A00063F8C512B7070100138B05006378F61087 +:1054B0001307F00F3337C70013173700B357E60051 +:1054C0003309F900834609003387E600930600029A +:1054D000B386E640638C0600B394D40033D7EA0069 +:1054E0003314D600336B9700B399DA00935A040152 +:1054F00093850A0013050B00EF00902A130905009D +:1055000093850A00931B040113050B00EF00D024C0 +:1055100093DB0B01930405009305050013850B0035 +:10552000EF00D0201319090193D70901B367F900DF +:10553000138A040063FEA700B3878700138AF4FF71 +:1055400063E8870063F6A700138AE4FFB387870048 +:10555000B384A74093850A0013850400EF0050240C +:105560001309050093850A0013850400EF00D01E7F +:105570009399090193040500930505001319090186 +:1055800013850B0093D90901EF00501AB369390153 +:105590001386040063FCA900B30934011386F4FFE9 +:1055A00063E6890063F4A9001386E4FF13140A017B +:1055B0003364C400130A00006F000013B707000132 +:1055C00013070001E36CF6EE130780016FF01FEF85 +:1055D000138A0600631A06009305000013051000E5 +:1055E000EF00901713040500B7070100637EF41263 +:1055F0009307F00F63F48700130A8000B357440148 +:105600003309F900034709009306000233074701F5 +:10561000B386E64063940612B3848440130A1000F4 +:10562000135B040193050B0013850400EF00501772 +:105630001309050093050B0013850400931B040157 +:10564000EF00901193DB0B01930405009305050017 +:1056500013850B00EF00900D1319090193D7090171 +:10566000B367F900938A040063FEA700B38787003D +:10567000938AF4FF63E8870063F6A700938AE4FF48 +:10568000B3878700B384A74093050B0013850400FC +:10569000EF0010111309050093050B00138504009A +:1056A000EF00900B93990901930405009305050001 +:1056B0001319090113850B0093D90901EF00100795 +:1056C000B36939011386040063FCA900B3093401EE +:1056D0001386F4FF63E6890063F4A9001386E4FFF0 +:1056E00013940A013364C4001305040093050A00EF +:1056F0008320C10203248102832441020329010281 +:105700008329C101032A8101832A4101032B01015D +:10571000832BC100032C8100832C4100032D010049 +:105720001301010367800000B7070001130A00019D +:10573000E366F4EC130A80016FF05FEC3314D400DD +:1057400033DAE400B399DA0033D7EA00935A04015C +:10575000B394D40093850A0013050A00336B9700B5 +:10576000EF0010041309050093850A0013050A00D1 +:10577000931B0401EF00407E93DB0B0193040500B3 +:105780009305050013850B00EF00407A13190901FA +:1057900013570B013367E900138A0400637EA700E7 +:1057A00033078700138AF4FF636887006376A700D6 +:1057B000138AE4FF33078700B304A74093850A00E8 +:1057C00013850400EF00C07D1309050093850A00CE +:1057D00013850400EF00407893040500930505004D +:1057E00013850B00EF00807413170B01135707018B +:1057F00013190901B367E9001387040063FEA700CA +:10580000B38787001387F4FF63E8870063F6A70078 +:105810001387E4FFB3878700131A0A01B384A740F4 +:10582000336AEA006FF0DFDF63ECD51EB7070100D3 +:1058300063F4F6041307F00FB335D70093953500E2 +:1058400033D7B60097B701009387879DB387E700EA +:1058500003C70700130A00023307B700330AEA4000 +:1058600063160A0213041000E3E096E833B6CA0098 +:10587000134416006FF05FE7B707000193050001BE +:10588000E3E0F6FC930580016FF09FFBB35CE6005C +:10589000B3964601B3ECDC0033D4E40093DB0C0197 +:1058A000B397440133D7EA0093850B001305040036 +:1058B000336BF700B3194601EF00806E1309050042 +:1058C00093850B0013050400139C0C01EF00C068C6 +:1058D000135C0C01930405009305050013050C00EF +:1058E000EF00C0641319090113570B013367E90076 +:1058F00013840400637EA700330797011384F4FF29 +:10590000636897016376A7001384E4FF3307970168 +:10591000B304A74093850B0013850400EF00406893 +:105920001309050093850B0013850400EF00C06286 +:10593000930405009305050013050C00EF00005FBC +:1059400093170B011319090193D70701B367F900E6 +:105950001386040063FEA700B38797011386F4FF44 +:1059600063E8970163F6A7001386E4FFB387970106 +:1059700013140401B70B01003364C4001389FBFF47 +:10598000337D240133F92901B384A740930509002D +:1059900013050D00EF008059935C04019305090085 +:1059A000130B050013850C00EF00405893D9090133 +:1059B000130C05009385090013850C00EF000057B8 +:1059C000130905009385090013050D00EF0000562B +:1059D0003305850193570B013385A7006374850157 +:1059E0003309790193570501B387270163E6F40270 +:1059F000E392F4BCB70701009387F7FF3375F50016 +:105A000013150501337BFB0033964A01330565010D +:105A1000130A0000E37AA6CC1304F4FF6FF09FB9D9 +:105A2000130A0000130400006FF01FCC130101FBE8 +:105A30002324810423229104232E31032322910362 +:105A40002326110423202105232C4103232A51035B +:105A50002328610323267103232481032320A10328 +:105A6000232EB101930C0500938905001304050052 +:105A700093840500639E062613090600138A060018 +:105A800097AA0100938ACA7963F4C514B707010085 +:105A90006376F6129307F00F63F4C700130A8000D1 +:105AA000B3574601B38AFA0003C70A001305000280 +:105AB00033074701330AE540630C0A00B3954901F7 +:105AC00033D7EC0033194601B364B70033944C016B +:105AD000935A090193850A0013850400EF00404C96 +:105AE0009309050093850A00131B0901138504001F +:105AF000EF008046135B0B019305050013050B00B7 +:105B0000EF00C0429399090193570401B3E7F900EC +:105B100063FAA700B387270163E6270163F4A700B0 +:105B2000B3872701B384A74093850A001385040037 +:105B3000EF0000479309050093850A0013850400D0 +:105B4000EF008041131404019305050093990901A6 +:105B500013050B0013540401EF00403D33E48900AA +:105B6000637AA40033042401636624016374A400EF +:105B7000330424013304A440335544019305000049 +:105B80008320C104032481048324410403290104E4 +:105B90008329C103032A8103832A4103032B0103C1 +:105BA000832BC102032C8102832C4102032D0102AD +:105BB000832DC1011301010567800000B7070001B3 +:105BC000130A0001E36EF6EC130A80016FF05FED3B +:105BD000631A06009305000013051000EF00C0379C +:105BE00013090500B7070100637AF90E9307F00F58 +:105BF00063F42701130A8000B3574901B38AFA00FE +:105C000003C70A0013050002B38429413307470183 +:105C1000330AE540E30E0AEA33194901B3DAE90031 +:105C2000B395490133D7EC0093540901336BB700A6 +:105C300013850A0093850400EF0080369309050060 +:105C400093850400931B090113850A00EF00C030FF +:105C500093DB0B019305050013850B00EF00002D6E +:105C60009399090193570B01B3E7F90033944C0161 +:105C700063FAA700B387270163E6270163F4A7004F +:105C8000B3872701B38AA7409385040013850A00D0 +:105C9000EF000031930905009385040013850A0085 +:105CA000EF00802B9305050013850B00EF00002803 +:105CB00093150B019399090193D50501B3E5B9003B +:105CC00063FAA500B385250163E6250163F4A50009 +:105CD000B3852501B384A5406FF09FDFB7070001AE +:105CE000130A0001E36AF9F0130A80016FF0DFF094 +:105CF000E3E8D5E8B707010063FCF604930BF00F67 +:105D000033B5DB001315350033D7A60097A7010084 +:105D100093870751B387E70083CB07009305000201 +:105D2000B38BAB00338B7541631E0B0263E436010A +:105D300063EACC003384CC40B386D94033B58C00C1 +:105D4000B384A64013050400938504006FF05FE35D +:105D5000B707000113050001E3E8F6FA1305800117 +:105D60006FF09FFAB3966601335D7601336DDD0007 +:105D700033D47901B395690133DC7C0193540D016F +:105D8000336CBC001305040093850400B31A66014C +:105D9000EF000021130A0500938504001305040099 +:105DA00033996C01931C0D01EF00001B93DC0C0177 +:105DB000130405009305050013850C00EF00001780 +:105DC000131A0A0113570C013367EA00130A04007F +:105DD000637EA7003307A701130AF4FF6368A701D6 +:105DE0006376A700130AE4FF3307A701B309A740AE +:105DF0009385040013850900EF00801A9385040041 +:105E00001304050013850900EF0000159305050034 +:105E10009304050013850C00EF00401193150C014D +:105E20001314040193D50501B365B400138704006E +:105E300063FEA500B385A5011387F4FF63E8A50100 +:105E400063F6A5001387E4FFB385A501131A0A01C1 +:105E5000B70C0100336AEA001384FCFFB3778A00B1 +:105E600033F48A00B384A54013850700930504002A +:105E70002326F100135A0A01EF00400B9309050095 +:105E80009305040013050A00EF00400A13DC0A0121 +:105E9000930D050093050C0013050A00EF0000099F +:105EA0008327C100130A050093050C001385070022 +:105EB000EF00C0073305B50113D709013307A70069 +:105EC0006374B701330A9A01B70701009387F7FF9C +:105ED000935507013377F70013170701B3F7F9005C +:105EE000B3854501B307F70063E6B400639EB400D1 +:105EF000637CF90033865741B3B7C700B385A5412A +:105F0000B385F54093070600B307F9403339F9002C +:105F1000B385B440B385254133947501B3D7670188 +:105F20003365F400B3D565016FF09FC51306050016 +:105F30001305000093F61500638406003305C500C1 +:105F400093D5150013161600E39605FE6780000032 +:105F50006340050663C60506138605009305050024 +:105F60001305F0FF630C060293061000637AB60077 +:105F70006358C0001316160093961600E36AB6FE27 +:105F80001305000063E6C500B385C5403365D50041 +:105F900093D6160013561600E39606FE678000009F +:105FA00093820000EFF05FFB13850500678002001D +:105FB0003305A04063D80500B305B0406FF0DFF9AA +:105FC000B305B04093820000EFF01FF93305A04005 +:105FD000678002009382000063CA0500634C0500DD +:105FE000EFF09FF71385050067800200B305B0400E +:105FF000E35805FE3305A040EFF01FF63305B0402F +:0460000067800200B3 +:02000004800278 +:1000000049444C45000000001C31FEFFE430FEFF77 +:10001000F230FEFF0031FEFF0831FEFF2C32FEFF02 +:10002000F431FEFF0232FEFF1032FEFF1832FEFFF7 +:10003000546D722053766300B037FEFFB037FEFF79 +:10004000B037FEFF2E38FEFFFE37FEFF2438FEFFDE +:10005000B037FEFFB037FEFF2E38FEFFFE37FEFF43 +:10006000546D725100000000286E756C6C29000000 +:10007000B245FEFFB047FEFFA647FEFFB047FEFFBA +:10008000B047FEFFB047FEFFB047FEFF3046FEFF21 +:10009000B047FEFFB047FEFFE845FEFF3E46FEFFCD +:1000A000B047FEFFF245FEFFFC45FEFFFC45FEFFAC +:1000B000FC45FEFFFC45FEFFFC45FEFFFC45FEFF48 +:1000C000FC45FEFFFC45FEFFFC45FEFFB047FEFF82 +:1000D000B047FEFFB047FEFFB047FEFFB047FEFF50 +:1000E000B047FEFFB047FEFFB047FEFFB047FEFF40 +:1000F000B047FEFFB047FEFFB047FEFFB047FEFF30 +:10010000B047FEFFB047FEFFB047FEFFB047FEFF1F +:10011000B047FEFFB047FEFFB047FEFFB047FEFF0F +:10012000B047FEFFB047FEFFB047FEFFB047FEFFFF +:10013000B047FEFFB047FEFFB047FEFFB047FEFFEF +:10014000B047FEFFB047FEFFB047FEFFB047FEFFDF +:10015000B047FEFFB047FEFFB047FEFFB047FEFFCF +:10016000B047FEFFB047FEFFB047FEFFB047FEFFBF +:100170006246FEFF0E47FEFFB047FEFFB047FEFFA0 +:10018000B047FEFFB047FEFFB047FEFFB047FEFF9F +:10019000B047FEFF5A46FEFFB047FEFFB047FEFFE6 +:1001A0006247FEFF6847FEFFB047FEFFB047FEFF15 +:1001B0007646FEFFB047FEFF5C47FEFFB047FEFFFE +:1001C000B047FEFF8047FEFF6D69616F750A000052 +:1001D0006D69616F75320A0068756E6772790A0021 +:1001E00054696D65723200004572726F7220696EDB +:1001F00020787878207461736B73200D0A000000FA +:10020000436865636B54696D65720000536574548F +:10021000780000005365745278000000000102026B +:10022000030303030404040404040404050505058E +:10023000050505050505050505050505060606066A +:10024000060606060606060606060606060606064E +:10025000060606060606060606060606070707073A +:10026000070707070707070707070707070707071E +:10027000070707070707070707070707070707070E +:1002800007070707070707070707070707070707FE +:1002900007070707070707070707070708080808EA +:1002A00008080808080808080808080808080808CE +:1002B00008080808080808080808080808080808BE +:1002C00008080808080808080808080808080808AE +:1002D000080808080808080808080808080808089E +:1002E000080808080808080808080808080808088E +:1002F000080808080808080808080808080808087E +:10030000080808080808080808080808080808086D +:0C03100008080808080808080808080881 +:10031C001000000000000000017A5200017C010175 +:10032C001B0D02004C000000180000001851FEFFCD +:10033C00DC05000000440E307089039507810188AC +:10034C000292049305940696089709980A990B9AB9 +:10035C000C0370020AC144C844C944D244D344D4E7 +:10036C0044D544D644D744D844D944DA440E004446 +:10037C000B0000005000000068000000A456FEFFB7 +:10038C000005000000440E507488028903930599FF +:10039C000B810192049406950796089709980A9A7E +:1003AC000C9B0D0320010AC144C844C944D244D358 +:1003BC0044D444D544D644D744D844D944DA44DB55 +:0803CC00440E00440B00000088 +:1003E000AAAAAAAA08000000FC8F010009000000C8 +:0C03F00001000000FFFF0000FFFF000004 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/QueueSet_rv32ic_O3.hex b/VexRiscv/src/test/resources/freertos/QueueSet_rv32ic_O3.hex new file mode 100644 index 0000000..1cc1a3e --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/QueueSet_rv32ic_O3.hex @@ -0,0 +1,1804 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900021D3170502001305E55697A503009385A4 +:1000A000E580014611221705020013052548970532 +:1000B00002009385A5500146C52817A50300130526 +:1000C000257F97B503009385657E37B6ABAB1306E6 +:1000D000B6BAD92817B103001301817DEF60B020B3 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2C23223A02200F3221034CC +:1002400096DEEF00002B170102000321613102410D +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E23523A0120023A29D +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF4030531701020003212C +:1003300021230241F65273901234B72200009382B7 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A22783A0A4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2C21023A0220086DEEF20B2 +:10046000205A170102000321A10F0241F652739096 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF405033EF10503601E5BF +:10050000B24041018280B24041016F20604F9307A9 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C38280411122C406C626C23C412A84F7 +:1005A00081EF1C41A5CF01451C5C85071CDCB240D6 +:1005B0002244924441018280B2841DE608453E8671 +:1005C000EF30304B1C4434405840B6971CC4E3EC29 +:1005D000E7FC1C4001451CC41C5C85071CDCB240C8 +:1005E000224492444101828048453E86EF30704863 +:1005F00038405C4414403307E040BA975CC463F56C +:10060000D7005C403E9758C48947E39EF4F81C5CD1 +:10061000D9DB1C5C0145FD171CDC79B74841EF2094 +:10062000302F2322040049B7011106CE22CC26CA5E +:1006300060492AC615C0185C5C5C6365F70073707E +:10064000043001A0185C8144636BF700F2406244FF +:100650002685D244056182807370043001A02E8605 +:1006600022856C00053F3844FD57AA846306F700D5 +:100670003C4485073CC4D9BF5C50E9DB1305440208 +:10068000EF20207A61D58544D1B73041AE8701CEC5 +:100690004C455841B2954CC563E4E5000C414CC54E +:1006A0003E856F30103D8280797122D406D62A842F +:1006B00026D24AD04ECE52CC56CAEF20102E3C4401 +:1006C000635CF002130A4402FD590549644889C875 +:1006D000985CDC5C22C66367F7067370043001A087 +:1006E0005C5099CB5285EF20C0732DED3C44FD1733 +:1006F0003CC43C44E34CF0FCFD573CC4EF20302CA0 +:10070000EF20B0297C406352F0021C4899CF93043B +:1007100004012685EF20E07029E97C40FD177CC0AC +:100720007C406354F0001C48EDF7FD577CC0EF207F +:100730001029B250225492540259F249624AD24AC4 +:1007400045618280985CE373F7FA01466C00268568 +:100750009135BC44AA8A638D3701BC448507BCC46B +:10076000E3962AF9EF20300C51B7EF20D00B75B784 +:10077000DC50FDD713854402EF20A06A75D1DDB7A8 +:1007800029CD011122CC2A8406CE26CA4AC84EC6DB +:100790002E89EF20902024404C5C8329040026857C +:1007A000EF6000167D57232C0402B3079540CE97C7 +:1007B0004E9578C048C0232434015CC438C463110A +:1007C00009021C488DE7EF20901FF2406244D2449A +:1007D0004249B2490545056182807370043001A029 +:1007E000130504012D33130544021533E9BF130526 +:1007F0000401EF2000638547E317F5FC0139E1B7F9 +:1008000001E57370043001A0011126CA4AC84EC622 +:1008100006CE22CCAA842E89B289B5C9EF60400EDB +:1008200013059505EF30B00C2A8425C9930785057B +:100830001CC144DC23202405EF20301624404C5CEE +:10084000032904002685EF60A00BFD56232C04022B +:10085000B30795403307A900CA9774C058C05CC459 +:1008600034C4130504012324240155311305440223 +:100870007939EF20D01423083405232A0404228573 +:10088000F2406244D2444249B24905618280130574 +:100890008005EF30D0052A8401E57370043001A093 +:1008A00000C041BF797126D2AA841305800522D4E5 +:1008B00006D64AD04ECE52CCEF3070032A8421C9DE +:1008C000232C0502FD577CC10547130A05013CC4D2 +:1008D000528558DC23220400232004002324040032 +:1008E000232604002320040423089404232A040458 +:1008F0003939130944024A8519393C4002C28DC373 +:100900007370043001A022854533EF101011228549 +:10091000B250225492540259F249624A456182808F +:10092000EF20E07201E59247639C070AEF20F00692 +:10093000185C5C5C8144FD596362F7069247C1C351 +:1009400081E42800EF200061EF207007EF10E067DE +:10095000EF20B0047C4063943701232204043C441C +:100960006394370123240404EF2070054C00280011 +:10097000EF20606049F9EF205002185C5C5C630373 +:10098000F704EF20D00322850533EF1010098544CA +:10099000EF20B000185C5C5CE372F7FA304021E6AF +:1009A0001C40ADC701451C5C784885071CDC39CB71 +:1009B00081452285953985476313F500813CEF20F9 +:1009C0001000B1B7EF20A07F92455285EF2020277D +:1009D0002285D939EF1070045DF90D3C85444DBF77 +:1009E0007370043001A008448145EF3090081C4426 +:1009F00034405840B6971CC4E3E6E7FA1C40014572 +:100A00001CC455B75C5089EB5DD94DBF4840EF2001 +:100A100020702322040041BF4A85EF208040854793 +:100A2000E31FF5F861BF01E57370043001A0637541 +:100A3000B5007370043001A0411122C409462E8410 +:100A4000814506C6753B11C500DDB2402244410117 +:100A500082807370043001A0397106DE22DC26DA50 +:100A60004AD84ED652D456D25AD032C66300051058 +:100A70006388050E89476399F600585D85476305CD +:100A8000F7007370043001A0B6842E892A84EF2009 +:100A9000005C11E5B24781C77370043001A0EF20FC +:100AA000C06F185C5C5C8149094B7D5A930A040154 +:100AB0006367F706638F640BB24763890712639518 +:100AC00009002808EF200049EF20606FEF10E04F89 +:100AD000EF20A06C7C4063944701232204043C4433 +:100AE0006394470123240404EF20606D6C00280800 +:100AF000EF2060486316050EEF20206A185C5C5CEE +:100B00006302F704EF20A06B2285793EEF10E070BE +:100B10008549EF208068185C5C5CE37DF7F8304025 +:100B200039CA6399040C0844CA85EF3080741C44A8 +:100B300034405840B6971CC463E1E7041C400145AB +:100B40001CC42DA8EF20A067B2455685EF20200FCA +:100B50002285993EEF10606C45FD4D38854955BFA3 +:100B60003C41E38907F07370043001A073700430D6 +:100B700001A0304005EE1C40D5C301451C5C7848FF +:100B800085071CDC51C7A6852285793C854763040F +:100B9000F508EF20C0620545F2506254D254425924 +:100BA000B259225A925A025B216182804844CA8516 +:100BB000EF30206C3C4058441440B307F0403E975F +:100BC00058C46378D7005840BA975CC48947E39605 +:100BD000F4FA1C5CDDD31C5C0145FD171CDC79BFFD +:100BE0002285D934EF10606301457DB7EF20205D89 +:100BF00001455DB74844CA85EF30A0673C40584482 +:100C00001440B307F0403E9758C4E371D7FC65BF6A +:100C10005C5099EB3DDDEFF06FFFA5BF4840EF2042 +:100C2000204F2322040099BF13054402EF20601FC8 +:100C30008547E310F5F6C5B70DC5411122C426C29C +:100C400006C64AC0032945002A84EF20803F81441C +:100C5000630CA900B2402244268502499244410116 +:100C600082807370043001A05C448544FD175CC42D +:100C7000F5F38146014681452285F93BE1BF39CD37 +:100C8000A9C9011122CC26CA4AC852C406CE4EC6F2 +:100C90008947B68432892E8A2A846399F600585D82 +:100CA00085476300F7067370043001A03938185C7B +:100CB0005C5CAA896367F70281444E85EFF09F80F0 +:100CC000F240624426854249D244B249224A056133 +:100CD00082803C41DDD77370043001A07370043012 +:100CE00001A0304049E21C40DDCB1C5C7D578507EC +:100CF0001CDC3C446388E7083C44854485073CC4CD +:100D00006DBFEFF08FFC185C5C5CAA89636DF70225 +:100D1000304071DA4844D285EF30A0553C40584409 +:100D20001440B307F0403E9758C46375D70058404D +:100D3000BA975CC48947E39AF4FA1C5CDDD71C5C63 +:100D4000FD171CDC5DB7304059DE4844D285EF30DA +:100D500040523C4058441440B307F0403E9758C4BA +:100D6000E367D7FCD9BFDDF40844D285EF3060508B +:100D70001C4434405840B6971CC4E3E8E7F61C40D6 +:100D80001CC4A5B77C4895C3A685228571388544C7 +:100D9000E31595F2E30309F22320990039BF484097 +:100DA000EF2000372322040089B75C508544E38696 +:100DB00007F013054402EF20C00669FDFDBD31CDEB +:100DC0003C4181C77370043001A01C41B1CB0111BB +:100DD00022CC26CA2A844AC84EC606CE2E89EFF0F7 +:100DE000CFEE185C5C5CAA898144637DF7001C5CD3 +:100DF0007D5785071CDC3C446389E7023C448544FD +:100E000085073CC44E85EFF0EFEBF2406244268547 +:100E10004249D244B249056182807370043001A016 +:100E20005C41D5D77370043001A07C4891CB8145DB +:100E30002285EFF06FFF8547630BF5008544D9B736 +:100E40005C50EDDF13054402EF10B07D65D9E30778 +:100E500009FE85472320F900D5B7397106DE22DC6B +:100E600026DA4AD84ED652D432C6630A050E6382B9 +:100E7000050EB6892E892A84EF20601D11E5B24740 +:100E800081C77370043001A0EF2020311C5CD1E7D2 +:100E9000B247638E07102808EF20C00BFD54130AD9 +:100EA000440239A8EF20A0312285EFF0EFFFEF10C8 +:100EB000C036EF20802E1C5CADE3B2476389070E7D +:100EC000EF20E02FEF106010EF20202D7C40639486 +:100ED0009700232204043C446394970023240404D1 +:100EE000EF20E02D6C002808EF20E00855E9EF2006 +:100EF000C02A1C5CC5FBEF20802C1C40BDC7B2453E +:100F00005285EF10D0532285EFF00FFAEF10E0304A +:100F100031C9EF2080281C5CCDD3CA85228544448A +:100F2000EFF0AFF6639B09041C5C1840FD171CDC56 +:100F300001E7EF20402D48C01C48B9EBEF202028E6 +:100F40000545F2506254D2544259B259225A216195 +:100F500082803C41E38F07F07370043001A073700E +:100F6000043001A0EFF08FCA6DB7EF200023484096 +:100F7000EF20E00FEF20A02459B75C5044C4DDDF20 +:100F800013054402EF10F06955D9EFF02FC87DB773 +:100F900013050401EF10F0688547E311F5FAF5B782 +:100FA0002285EFF06FF0EF104027014559BFEF2089 +:100FB0000021014579B71DC9411122C44AC006C6A6 +:100FC00026C244412E892A84EF20A0076383A4020D +:100FD00081464A8681452285493585476300F50269 +:100FE000B24022449244024941018280737004302D +:100FF00001A05C44054585075CC4DDB75C448507FA +:101000005CC4F9BF15CDADC9011122CC26CA4AC8AE +:101010004EC652C42A8406CE3289AE89EFF0EFCA9A +:101020001C5C2A8A814485E35285EFF0AFC9F24007 +:10103000624426854249D244B249224A05618280EF +:101040007370043001A0304001CE4C445C40B29536 +:101050004CC463E4F5000C404CC44E85EF30602175 +:101060001C5C7D57FD171CDC7C40638CE7007C40DA +:10107000854485077CC04DBF3C41D9D7737004308F +:1010800001A01C4899E3854445B713050401EF10FE +:10109000505975D9E30909FE85472320F900E5B7C2 +:1010A00021C93C41A1CDA1CB011122CC26CA4AC8FD +:1010B00052C42A8406CE4EC62E8AEFF00FC11C5CA5 +:1010C0002A89814491CB8329C400D2852285EFF0FF +:1010D000CFDB8544232634014A85EFF0AFBEF240D2 +:1010E000624426854249D244B249224A056182803F +:1010F0007370043001A07370043001A0EDDF7370D1 +:10110000043001A001E57370043001A0411122C434 +:101110002A8406C6EF206008005CEF20400AB24037 +:10112000228522444101828001E57370043001A0D0 +:10113000411106C622C42A84EF2020061C5C405CB4 +:101140001D8CEF20C0072285B240224441018280DD +:1011500019C1085D82807370043001A01DCD97878E +:10116000030093876770D843630CE502D847630C8C +:10117000E504D84B6307E504D84F6302E504D85370 +:10118000630DE502D8576308E502D85B6303E50207 +:10119000D85F630EE5006F30A0077370043001A0C4 +:1011A00001470E07BA9723A007006F3060061D475E +:1011B000CDBF1947FDB71547EDB71147DDB70D474F +:1011C000CDB70947F9BF0547E9BF684582806CC5BF +:1011D000828003450505828009C5085D1335150029 +:1011E00082807370043001A019C51C5D485D1D8D9F +:1011F0001335150082807370043001A097870300B7 +:1012000093878766984305C3984705C7984B05C7DA +:10121000984F05C7985305C7985705C7985B15C7DA +:10122000985F15C3828001470E07BA978CC3C8C365 +:1012300082800547D5BF0947C5BF0D47F5B71147A0 +:10124000E5B71547D5B71D47C5B71947F1BF97870C +:10125000030093876761D8436308E502D847630BAF +:10126000E502D84B630AE502D84F6309E502D8537B +:101270006308E502D8576307E502D85B6308E50217 +:10128000D85F6303A702828001470E07BA9723A0A5 +:10129000070082800547D5BF0947C5BF0D47F5B791 +:1012A0001147E5B71547D5B71D47C5B71947F1BF72 +:1012B000797122D426D24AD02A8406D64ECE52CC78 +:1012C00056CAAE843289EF10506D7840FD576314D2 +:1012D000F700232204043844FD576314F700232445 +:1012E0000404EF10D06D1C5C99E74A86A6851305AF +:1012F0004402EF10D027EF10506A3C44635CF002C8 +:10130000130A4402FD590549644889C8985CDC5CAD +:1013100022C66367F7067370043001A05C5099CB56 +:101320005285EF10103035ED3C44FD173CC43C4471 +:10133000E34CF0FCFD573CC4EF107068EF10F06513 +:101340007C406352F0021C4899CF93040401268527 +:10135000EF10302D31E97C40FD177CC07C40635498 +:10136000F0001C48EDF7FD577CC0EF105065B250FF +:10137000225492540259F249624AD24A456182800B +:10138000985CE373F7FA01466C002685EFF08FA0B6 +:10139000BC44AA8A638D3701BC448507BCC4E3956D +:1013A0002AF9EF10504849B7EF10F0476DB7DC50FD +:1013B000FDD713854402EF10D02675D1DDB701E5C6 +:1013C0007370043001A0411126C2AA840A051305D6 +:1013D000950522C406C64AC0EF2070512A843DC13B +:1013E000930785051CC191473CC144DDEF10F05ABD +:1013F00024404C5C032904002685EF506050FD56C4 +:10140000232C0402B30795403307A900CA9774C080 +:1014100058C05CC434C41305040123242401EFF034 +:101420000F8F13054402EFF08F8EEF1050592308F1 +:101430000404232A04042285B2402244924402492F +:10144000410182807370043001A0411122C426C280 +:101450004AC02A8406C62E89EF1030547C48814445 +:1014600091CBEF10D055B2402244268502499244D8 +:10147000410182801C5C8144EDF7232A24058544C8 +:10148000CDB77C496385B70081473E858280185D72 +:10149000814765FF411122C42A8406C6EF10F04F30 +:1014A000232A0404EF10B051B240224485473E8500 +:1014B0004101828001112E8681466C0006CE02C653 +:1014C000693AF240324505618280011106CE22CC94 +:1014D00026CA02C605C12A84EFE03FFF1C5CAA842D +:1014E00089EF2685EFE01FFEF24062443245D24488 +:1014F000056182807370043001A0304001CE4C44FD +:101500005C40B2954CC463E4F5000C404CC46800E8 +:10151000EF2030561C5C7D57FD171CDC7C40638639 +:10152000E7007C4085077CC06DBF1C48DDDB1305F0 +:101530000401EF10100F75B71DC179714ECE52CC5A +:1015400006D63E8A22D426D24AD056CA5AC85EC689 +:10155000A547BA8963F8E7007370043001A073707F +:10156000043001A02A8BAE843289B68BC28A63070D +:10157000082213050006EF2090372A84630B05200C +:101580000A09232855034A869305500A5685EF20F9 +:10159000505C03C704001C587119230AE40203C7F6 +:1015A00004003E991379C9FF79C783C71400A30AC1 +:1015B000F40283C71400E1C383C72400230BF402A1 +:1015C00083C72400CDCB83C73400A30BF40283C7A9 +:1015D0003400D5C383C74400230CF40283C74400FE +:1015E000D9CB83C75400A30CF40283C75400C1C7EE +:1015F00083C76400230DF40283C76400ADCF83C7A3 +:101600007400A30DF40283C77400B5C783C78400B8 +:10161000230EF40283C78400B9CF83C79400A30EBE +:10162000F40283C79400A1CB83C7A400230FF40264 +:1016300083C7A400A9C383C7B400A30FF40283C760 +:10164000B40095CB83C7C4002300F40483C7C4004F +:101650009DC383C7D400A300F40483C7D40081CF03 +:1016600083C7E4002301F40483C7E40089C783C768 +:10167000F400A301F404930A44005685A301040472 +:101680002326340323283405232A0404EFE07FE9CA +:1016900013058401EFE0FFE8A947B3873741232C06 +:1016A000040400C81CCC40D023220404232E0404CC +:1016B0005E86DA854A85EFE0FFE108C063040A0030 +:1016C00023208A007370043017F90100130989E799 +:1016D0008327090097F40100938484E891C7984018 +:1016E0009C407C4385077CC317F70100130747E63E +:1016F0001C43850797F6010023ACF6E49C40C5CB5C +:101700008327090017FB0100130B8BF789EB9C4023 +:10171000DC5763E6F90097F7010023A387E497F706 +:101720000100938727E19C4317F701001307C7E1E6 +:1017300048541843850797F6010023ADF6DE3CC4F4 +:101740006376A70097F7010023A0A7E0D145EF50EB +:10175000201BD6855A95EFE03FDD8327090081C71E +:101760009C40FC43B5E383270900054499C79C408E +:10177000DC5763F43701EFE07FC92285B250225471 +:1017800092540259F249624AD24A424BB24B4561E5 +:1017900082805685EF20D0277D54C5B713152600CB +:1017A000EF20F014AA8AE31605DC7D54F9B797F709 +:1017B000010023A787DA184385476301F70217FB67 +:1017C0000100130BEBEBA1BF984094407C43FD1745 +:1017D0007CC3FC42C9FB7360043071B7014A17FB3C +:1017E0000100130BEBE9A94B5285D145EF50401195 +:1017F000050A5A95EFE0BFD1E3187AFF97FB010085 +:10180000938B8BF45E85EFE09FD017FA0100130AEB +:10181000EAF45285EFE0BFCF17F50100130545F557 +:10182000EFE0FFCE17F501001305C5F5EFE03FCE61 +:1018300017F50100130545F6EFE07FCD97F701009E +:1018400023AE77D197F7010023A847D1C9BD411135 +:1018500022C406C626C24AC02A847370043097F494 +:101860000100938424CE9C40F9E311E497F7010032 +:10187000938707CF8043130944004A85EFE05FCF89 +:101880001C5489C713058401EFE09FCECA8517F564 +:101890000100130525EFEFE03FC997F7010093879B +:1018A00067CB984397F701009387C7C89C43050708 +:1018B00097F6010023A0E6CA9840850797F6010035 +:1018C00023AAF6C601CB97F70100938767C998430F +:1018D000784349EF9C40B1C797F70100938747C809 +:1018E0009843630F870873700430984039EB17F7FB +:1018F00001001307A7C614439442A5E27D5797F64B +:10190000010023A7E6C2984009CF9843784311CB42 +:10191000984394437C43FD177CC3FC4299E3736076 +:101920000430B2402244924402494101828097F738 +:1019300001009387E7C298439C437C4385077CC39F +:101940002DB794439843F8420507F8C217F70100F2 +:101950001307C7C014439442D5D218435847584779 +:10196000584397F6010023A5E6BC71BF9843944302 +:101970007C43FD177CC3FC42B1FF7360043099BF08 +:1019800097F70100938787BA9C4381C7737004302F +:1019900001A02244B2409244024941016FE01FA7D6 +:1019A00041C517F701001307A7BB14438147638B99 +:1019B000A606411106C622C426C27370043097F6EB +:1019C0000100938626B89C4291C710431C437C4279 +:1019D00085077CC29C42AA84404981C71C43FC43C2 +:1019E000B9E797F70100938767B79C43638B870438 +:1019F00097F70100938747B69C436384870497F762 +:101A00000100938767D96309F40297F70100938770 +:101A100067D76301F4028547B240224492443E8571 +:101A2000410182803E8582807370043001A0EF50B6 +:101A3000E00045BF9147CDB79C5493B71700890785 +:101A4000E1BF8947D1BF7370043097F701009387D6 +:101A500067AF984309EF15C59C43485581CB97F76D +:101A600001009387E7AF9843784315E3828017F727 +:101A700001001307E7AE14431843F8420507F8C204 +:101A800061FD17F701001307A7AD0843F1B79843AD +:101A900094437C43FD177CC3FC42E9FB7360043034 +:101AA0008280411122C42A8406C6EFE01FA211E4FD +:101AB00097F701009387C7AA80434054EFE09FA0A7 +:101AC000B2402285224441018280A54763F5B700D8 +:101AD0007370043001A0011122CC06CE26CA4AC878 +:101AE0004EC652C42A847370043017F901001309DA +:101AF00069A583270900CDEF71C43C486388F508C8 +:101B000017F701001307C7A563FCB7001443638CE4 +:101B1000860C18434457B3B4950093C4140029A00D +:101B20000443818C93B4140058546383E70A1C4C1B +:101B30002CC863C60700A947B385B7400CCC9317E0 +:101B40002700BA97584897F90100938969B38A0723 +:101B5000CE97631AF702130A44005285EFE05FA1A3 +:101B600097F701009387479E48549C4363F6A7006C +:101B700097F7010023AAA79CD145EF407058D28562 +:101B80004E95EFE07F9A99C0EFE05F8883270900C8 +:101B900081CB97F701009387A79C9C43FC439DEB67 +:101BA000F2406244D2444249B249224A05618280ED +:101BB00097F701009387C79A98439C437C43850716 +:101BC0007CC305FC97F7010093878799804335B75D +:101BD0004CD4B1BF6244F240D2444249B249224A95 +:101BE00005616F40B065814481B725C1011126CAE6 +:101BF00097F401009384C4969C4006CE22CC4AC838 +:101C00004EC6638DA7027370043017F901001309E3 +:101C100069938327090091C798409C407C438507BE +:101C20007CC3584997F70100938707B76302F7020F +:101C30008327090081C79C40FC43A5EBF240624426 +:101C4000D2444249B249056182807370043001A0D8 +:101C50001C5517F701001307A7B1E38BE7FCE9FB5D +:101C6000930945002A844E85EFE09F9097F7010085 +:101C70009387878D48549C4363F6A70097F701002C +:101C800023A4A78CD145EF40B04797F70100938775 +:101C9000279F3E95CE85EFE03F899C405854DC5706 +:101CA000E368F7F8EFE08FF661B76244F240D244A0 +:101CB0004249B24905616F40705815CD011122CCDF +:101CC00026CA4EC62A8406CE4AC8EFE01F8058486E +:101CD00097F70100938747ACAA8981446300F70214 +:101CE0004E85EFE02FFEF240624426854249D24401 +:101CF000B249056182807370043001A01C5417F54D +:101D000001001305E5A6E38DA7FCF9FB97F7010099 +:101D10009387C7819C43A9EB97F70100938747847A +:101D20009C43445413094400DC574A85B3B4F4007F +:101D3000EFE01F8497F701009387078148549C4385 +:101D400093C4140063F6A70097E7010023AEA77EB3 +:101D5000D145EF40F03A97F7010093876792CA8523 +:101D60003E95EFE06FFCADBF93058401EFE0CFFB44 +:101D700085BF0111056506CE22CC26CA4AC84EC6CB +:101D800052C456C25AC0EF208036630E051AAA8488 +:101D900013050006EF20A0352A84630A051C05669A +:101DA0009305500A04D92685EF20A05A0458856768 +:101DB000F117BE94B7474C4593879744130A4400E4 +:101DC0005CD85285230C0402A301040423260402D8 +:101DD00023280404232A0404EFE0AFF4130584014C +:101DE000EFE02FF4A947232C0404F19800C81CCC81 +:101DF00040D023220404232E04040146971500003A +:101E00009385E5B12685EFE0EFEC08C073700430F0 +:101E100017E90100130909738327090097E40100FA +:101E20009384047491C798409C407C4385077CC38D +:101E300017E701001307C7711C43850797E60100E8 +:101E400023A8F6709C40C9C38327090097F90100B5 +:101E50009389098381EB9C40DC5789E797E7010070 +:101E600023A0877097E701009387C76C984397E694 +:101E700001009386666D5C549442050717E60100E5 +:101E8000232AE66A38C463F6F60017E70100232D1B +:101E9000F76A139527003E950A05D2854E95EFE027 +:101EA000AFE88327090081C79C40FC43DDE7832717 +:101EB000090091C39C40EF10F04985476305F50C7C +:101EC00059E17370043001A097E7010023AA8768E5 +:101ED0001843854797E901009389897AE314F7F855 +:101EE000CE8A17FB0100130B2B865685D10AEFE033 +:101EF0000FE2E31C5BFF17F501001305E584EFE03B +:101F00000FE197FA0100938A6A855685EFE02FE08A +:101F100017F501001305C585EFE06FDF17F5010028 +:101F200013054586EFE0AFDE17F501001305C58602 +:101F3000EFE0EFDD97E7010023A2676397E7010079 +:101F400023AC576105B7F2406244D2444249B249DA +:101F5000224A924A024B05618280984094407C4319 +:101F6000FD177CC3FC42A1F77360043089B762445B +:101F7000F2404249B249224A924A024B2685D24453 +:101F800005616F20E02873700430FD5717E70100EA +:101F90002320F75A624497E7010023A5A75AF2408D +:101FA000D2444249B249224A924A024B97E7010081 +:101FB00023AE075805616FE0CFAE7370043097E72A +:101FC000010023A107586FE00FBC17E701001307BA +:101FD000E7551C4385071CC382807370043097E764 +:101FE000010093872756984305E317E7010013077D +:101FF000E75508439C4381CB97E7010093874756F9 +:102000009843784301EF828017E701001307475593 +:1020100014431843F8420507F8C2C1BF98439443DC +:102020007C43FD177CC3FC42F9FF7360043082805F +:10203000411106C622C4EFE04FC997E7010093871C +:10204000E7508043EFE00FC8B240228522444101AF +:10205000828097E701009387A74F88438280797138 +:1020600006D622D426D24AD04ECE52CC56CA5AC810 +:102070005EC697E701009387674B9C43B1EF17E774 +:1020800001001307A74C1C43850797E6010023AF07 +:10209000F64A832A0700638F0A0697E701009387B1 +:1020A00027499C43814463F1FA0897E90100938929 +:1020B000295D17EA0100130AAA4A83270A00854608 +:1020C000D85793172700BA978A07BE9983A70900A4 +:1020D00063FFF600854421A897E7010093874746F0 +:1020E0009C438144850717E70100232BF74497E7BA +:1020F00001009387A7449C4391C38544B250225466 +:10210000268502599254F249624AD24A424BB24B56 +:102110004561828017E40100130444441C409C4341 +:10212000DDC37370043001A017E4010013040443FD +:1021300097E901009389C95417EA0100130A4A423A +:1021400017EB0100130B4B401C409C43B5C31C40D4 +:10215000DC4703A9C70083274900930B49005E852C +:1021600063EEFA04EFE0CFC08327890213058901EB +:1021700099C3EFE0EFBF8327C90203270B00DE8579 +:10218000139527003E950A054E956376F70017E7ED +:102190000100232BF73AEFE02FB983270A0003272A +:1021A000C902DC57E362F7FA1C4085449C43C5F33F +:1021B000FD5717E70100232DF73601B717E7010098 +:1021C0002328F736DDBD17E701001307E7381C4069 +:1021D000184397E6010023A3E63817E70100232DF3 +:1021E000F73697E70100938727359C43850717E764 +:1021F00001002323F7341C409C4399E7FD5717E760 +:1022000001002327F73251BD1C40DC47DC47DC438B +:1022100017E70100232EF73049B597E701009387B0 +:10222000E730984301E77370043001A0011106CE36 +:1022300022CC26CA4AC84EC652C456C25AC073706F +:10224000043097E401009384E42F984061EB9843B5 +:102250007D1797E6010023ABE62C9C43D9EB97E76B +:1022600001009387E72E9C43C9C797EA0100938A30 +:102270002A4117EA0100130A2A2D17E90100130960 +:10228000292E854919A83385EA00EFE0EFA98327B5 +:1022900009005854DC576379F70483A70A0FC1CFAC +:1022A00083A7CA0FC04713058401EFE06FAC130B7F +:1022B00044005A85EFE0CFAB5C5483260A00DA85F0 +:1022C000139527003E950A052A875695E3FDF6FAF1 +:1022D00017E70100232AF726EFE00FA5832709005F +:1022E0005854DC57E36BF7FA97E7010023A837252A +:1022F0006DB701449C4081CB97E701009387472647 +:102300009C43FC4395E72285F2406244D244424913 +:10231000B249224A924A024B0561828017E70100C6 +:102320001307072414431843F8420507F8C205B7FA +:10233000EF40C070C9BF17E40100130464201C40C3 +:1023400095C31C40054999CF193B09C597E7010082 +:1023500023A6271F1C40FD1717E701002322F71EA5 +:102360001C40FDF397E701009387471D984385477D +:10237000E311F7F8EFE08F890544ADBF11CD81E59A +:102380007370043001A017E701001307271A1C43DC +:1023900099C77370043001A07370043001A041111B +:1023A00022C406C614431841850617E60100232FF0 +:1023B000D61697E601009386661994423304B70057 +:1023C00000C163F7E602637FE40063FD860097E7E0 +:1023D00001009387E71888431105EFE06F99228584 +:1023E000EF40805F1D3D01C9B2402244410182801F +:1023F000E36FE4FCD9BF2244B24041016FE00F819A +:1024000011C917E70100130767121C4391C77370C6 +:10241000043001A06FD09FFF411106C622C41843AB +:10242000AA87050797E6010023A2E61097E60100B8 +:102430009386061317E701001307471118438842D4 +:102440003304F7001105EFE0AF922285EF40C0584A +:10245000E93311E52244B24041016FD03FFBB24065 +:10246000224441018280411122C406C697E701003F +:102470009387C70B9C430144850717E70100232777 +:10248000F70A97E701009387A70C9C4363E0F512D6 +:1024900017EE0100130E8E2A97E201009382821D2F +:1024A00001440147854F1303500A21A0311E638D5B +:1024B000C20B83278EFFBA86F5DB8327CEFFDC4372 +:1024C000232EFEFE638AC70F03AFC700DC432A97A3 +:1024D000814E232EFEFE6385C70783A8C70093871E +:1024E0004803232017015CC383A788042326F70130 +:1024F0001CC783A7C8021CCB83A70805232C070091 +:102500005CCB03A808038347080063906704C28775 +:10251000850783C60700B3850741E38B66FE898183 +:10252000C205C181231EB700850E1307070263068B +:102530001F038327CEFFDC43232EFEFEE39FC7F954 +:1025400083274E00232EFEFE49BF8145231EB70080 +:10255000850E13070702E31E1FFD76941317540020 +:10256000311EBA86E397C2F597E70100938707FF0C +:1025700003AE070083270E00639D070E97E7010057 +:10258000938787FD03AE070083270E006392071829 +:1025900017EE0100130ECE0E83274E109DE3832706 +:1025A0008E116395072019C223200600BD312285B4 +:1025B000B24022444101828083274E00232EFEFE3A +:1025C00021B783278E1017EF0100130F2F1CDC4358 +:1025D00017E70100232AF71A6380E73383A2C700B5 +:1025E000DC43AA96814E17E70100232FF718914F7D +:1025F0001303500A6388E70783A8C700938748033B +:1026000023A01601DCC283A7880423A6F6019CC67A +:1026100083A7C8029CCA83A7080523AC0600DCCAAE +:1026200003A80803834708006391672AC2878507C8 +:1026300003C70700B3850741E30B67FE93D7250067 +:10264000C207C183239EF600850E93860602638629 +:10265000122983278E10DC4317E701002326F71287 +:10266000E39CE7F983270E1117E70100232EF710EB +:1026700061B783274E00130F8E00D4432322DE0060 +:102680006388E629B307E500D84283A2C600814EDD +:102690002322EE00894F1303500A6306EF068328B6 +:1026A000C7001387480323A01701D8C303A78804D2 +:1026B00023A6F70198C703A7C80298CB03A708056C +:1026C00023AC0700D8CB03A808030347080063180E +:1026D000671C4287050783460700B3050741E38B64 +:1026E00066FE13D7250042074183239EE700850E2F +:1026F00093870702638D121B83264E00D842232244 +:10270000EE00E31EEFF80327CE002322EE0041BFC8 +:1027100083274E00130F8E00DC432322FE006384C8 +:10272000E71F83A2C700DC43AA96814E2322FE0046 +:10273000894F1303500A6306FF0683A8C7009387D7 +:10274000480323A01601DCC283A7880423A6F60150 +:102750009CC683A7C8029CCA83A7080523AC0600B1 +:10276000DCCA03A808038347080063966710C28782 +:10277000850703C70700B3850741E30B67FE93D7BF +:102780002500C207C183239EF600850E93860602AC +:10279000638B120F83274E00DC432322FE00E31ECF +:1027A000FFF88327CE002322FE0041BF8327CE11EE +:1027B00097EE0100938ECEFEDC4317E70100232F36 +:1027C000F7FC6384D71383AFC700DC433307D5001E +:1027D000014397E6010023A3F6FC0D4F89429308BD +:1027E000500A6387D707C8479307450308C35CC3EC +:1027F0003C452326E7011CC75C551CCB1C5599C3DF +:10280000232657003C49232C07005CCB03280503F3 +:102810008347080063951705C287850783C60700AD +:10282000B3850741E38B16FF93D72500C207C18309 +:10283000231EF700050313070702638AAF028327ED +:10284000CE11DC4397E6010023AAF6F4E39DD7F905 +:1028500083274E1297E6010023A2F6F469B7814759 +:10286000231EF700050313070702E39AAFFC1A942F +:10287000E31C06D225BB8147239EF600850E938676 +:102880000602E39912F117EE0100130E6EDF8327A3 +:102890004E10769493165400E38307D01DB301477E +:1028A000239EE700850E93870702E39712E597E7DB +:1028B0000100938767CA03AE07007694931654000D +:1028C00083270E00E38607CCA1B58147239EF6003F +:1028D000850E93860602E39E12D783278E11769487 +:1028E00093165400E38107CCD1B583274E1217E726 +:1028F00001002325F7EAC1BD83270E1117E7010068 +:102900002324F7E8E1B98327CE002322FE0011BD7E +:102910008326CE002322DE00B5B3797126D24AD0B9 +:102920004ECE52CC56CA5AC85EC606D622D497E9B5 +:1029300001009389A9BF17E90100130969D417EAB7 +:102940000100130A2AC097EA0100938A6AC197EB33 +:102950000100938BEBBF97E401009384A4BF054B68 +:1029600021A883A7090003244910850717E7010060 +:10297000232EF7BA5D3011E89C40E5F78327090064 +:10298000E37CFBFEEFD09FA8C5BF737004308327A4 +:102990000A00B9E383270911C04713054400EFD0AB +:1029A0003FBD83A70B00FD1717E701002322F7BAED +:1029B0009C40FD1717E70100232EF7B883270A0074 +:1029C00089C783A70A00FC4385E30858EF10500429 +:1029D0002285EF10F0034DB703A70A0083A70A0072 +:1029E0007C4385077CC37DB703A70A0083A60A0042 +:1029F0007C43FD177CC3FC42E9FB73600430F1B7F4 +:102A000097E70100938787B29C43DDE7411106C633 +:102A100022C426C297E7010023A207B217E70100EC +:102A2000130707B41C43B7A6A5A59386565A9C5B0B +:102A30008C43639BD500D0436318B60094476395DD +:102A4000C600DC476388D70008430C4393854503E1 +:102A5000EF20007917E70100130707AF144317E4CD +:102A600001001304E4C111A81C43A9CF1C43FD17A6 +:102A700097E6010023AAF6AC144393972600B69775 +:102A80008A07A2979C43EDD3084393172500AA9782 +:102A90008A073307F4005443A107A297C44244C3F2 +:102AA0006385F402DC44B240224417E70100232985 +:102AB000F7AA924441018280854717E70100232F3E +:102AC000F7A682807370043001A0C440D145EF3076 +:102AD0003063229544C1F9B701114AC806CE22CC11 +:102AE00026CA4EC62A897370043097E40100938485 +:102AF00064A59C4017E40100130484A6D9E763147D +:102B0000090003290400930949004E85EFD05FA610 +:102B10008327890289C713058901EFD07FA5CE8558 +:102B200017E50100130545C7EFD01FA09C4081C7E2 +:102B30001C40FC43ADE31C40638B27079C409DCFAA +:102B4000737004309C40C1EB97E70100938707A1A5 +:102B50009843184325EBFD5717E70100232AF79CFC +:102B60009C4089CF1C40FC4391CB184014407C43CF +:102B7000FD177CC3FC4299E373600430F240624469 +:102B8000D2444249B2490561828018401C407C43CE +:102B900085077CC3ADB7184014407C43FD177CC348 +:102BA000FC42D1FB736004301C40E39927F99C4040 +:102BB000A1C397E70100938767979C43ADCB7370E0 +:102BC000043001A09C43DC47DC47DC4317E70100ED +:102BD0002320F79671B718401C407C4385077CC3BF +:102BE00097E7010093878797984318432DD7D9BF5C +:102BF00097E701009387C79517E70100130747A8D8 +:102C00009C4303278711631DF700F240624497E756 +:102C1000010023A70794D2444249B249056182804A +:102C20006244F240D2444249B2490561D1BB624498 +:102C3000F240D2444249B24905616FD02FFD3DC9EF +:102C4000411126C297E40100938484914AC02E89E1 +:102C50008C4006C622C4E105EFD09F8E8840110546 +:102C6000EFD01F91FD57630AF90497E7010093879E +:102C7000E78D804398409C434A9440C3636CF404BE +:102C800097E701009387878D88438C409105EFD0AB +:102C90003F8B97E701009387A7899C436376F400F5 +:102CA00097E7010023A68788B24022449244024954 +:102CB000410182807370043001A08C402244B240F4 +:102CC0009244024917E50100130505AD9105410144 +:102CD0006FD09F8597E70100938707888843224438 +:102CE0008C40B24092440249910541016FD05F850A +:102CF00011C997E70100938767839C4399E7737035 +:102D0000043001A07370043001A0411126C297E481 +:102D100001009384E4849840AE878C40B70600801D +:102D2000D58F06C622C41CCFE1053284EFD0CFFF79 +:102D300088401105EFD0DF83FD57630EF40097E75D +:102D400001009387A7808843B24092442295224491 +:102D500041016F3070488C402244B240924417E5E4 +:102D60000100130565A3910541016FD0EFFB25CD4F +:102D7000411126C297D401009384847E4AC02E89D3 +:102D80008C4006C622C4E1053284EFD0EFF98840BA +:102D90001105EFD0EFFD8547630AF40497D70100D2 +:102DA0009387C77A804398409C434A9440C3636C9E +:102DB000F40497D701009387677A88438C40910584 +:102DC000EFD00FF897D70100938787769C436376FF +:102DD000F40097D7010023AD8774B2402244924497 +:102DE0000249410182807370043001A08C4022446A +:102DF000B2409244024917E501001305E599910597 +:102E000041016FD06FF297D701009387E774884331 +:102E100022448C40B24092440249910541016FD056 +:102E20002FF2411106C622C426C25C45C04735CCEC +:102E3000930484012685EFD0AFF397D701009387E1 +:102E4000E76E9C43B5E7930444002685EFD04FF22C +:102E500097D701009387476F58549C4363F6E70068 +:102E600097D7010023A2E76E93172700BA978A0726 +:102E700017E501001305C580A6853E95EFD0CFEA82 +:102E800097D701009387C76D9C4358540145DC5781 +:102E900063F8E700854717D701002321F76A054546 +:102EA000B24022449244410182807370043001A0F8 +:102EB000A68517E501001305A58BEFD0EFE6C9B78E +:102EC00097D70100938787669C4381E7737004302E +:102ED00001A0411106C622C426C2B70700804045A2 +:102EE000CD8F1CC12DC4EFD0AFE8930444002685DC +:102EF000EFD00FE897D701009387076558549C439C +:102F000063F6E70097D7010023A0E7649317270033 +:102F1000BA978A0717D50100130585763E95A685D1 +:102F2000EFD08FE097D70100938787639C43585475 +:102F30000145DC5763F8E700854717D70100232FC9 +:102F4000F75E0545B240224492444101828073708D +:102F5000043001A011CD97D701009387E75D984316 +:102F600097D701009387875E9C4318C15CC182801C +:102F70007370043001A055C1D1CD411106C622C4E1 +:102F80002A877370043097D801009388A85B83A7C1 +:102F9000080091CB97D701009387875C94439C43AB +:102FA000FC428507FCC217D301001303235A9C413E +:102FB00003260300FD560144638FD70217DE01008C +:102FC000130E8E5703250E00032807005443630594 +:102FD000A80005446371D6023308D6400544637CDB +:102FE000F80003250E00918F03260300B6979CC1BD +:102FF00008C350C3014483A7080081CB97D70100C1 +:10300000938707569C43FC4381EF2285B2402244BC +:10301000410182807370043001A07370043001A0FC +:10302000EF30D021DDB7854717D701002328F750AF +:10303000828019C1684582800145828011C16CC5BA +:10304000828097D701009387A7518843828097D7C2 +:1030500001009387274F9C43054589CB97D70100F3 +:103060009387C74C8843133515000605828031CD00 +:10307000011126CA97D401009384844E9C4006CE49 +:1030800022CC4AC84EC65855DC576379F7021C4D0E +:1030900063C707009C40D457A947958F1CCD931751 +:1030A0002700BA97584917D901001309695D8A07A3 +:1030B000CA97630DF7009C40DC575CD5F240624430 +:1030C000D2444249B2490561828082809309450019 +:1030D0002A844E85EFD0CFC99C4017D70100130733 +:1030E000A7461843DC575CD46376F70017D7010076 +:1030F000232CF744139527003E9562440A05F240BD +:10310000D244CE854A95B249424905616FD0CFC1BC +:1031100019CD411106C622C426C297D70100938754 +:10312000274480436307A4007370043001A0014565 +:1031300082807C4881E77370043001A05454384881 +:10314000FD177CC86383E60099C70145B24022445D +:10315000924441018280930444002685EFD04FC100 +:10316000284897D701009387273E9843A947898F1E +:1031700048D41CCC6376A70097D7010023A6A73CB0 +:10318000D145EF30E07797D701009387674F3E95A1 +:10319000A685EFD06FB9054555BF7370043097D73A +:1031A00001009387273A9C4391CB97D701009387DF +:1031B000273B98439C437C4385077CC3828097D7F9 +:1031C0000100938727389C438DC397D701009387CD +:1031D00027399843784311CB984394437C43FD1798 +:1031E0007CC3FC4299E373600430828097D701006E +:1031F00093870737904394439843A947D456084E82 +:10320000958F1CCF828097D70100938767359843AD +:1032100009C79443F84A0507F8CA88438280797140 +:103220004AD006D622D426D24ECE2A8973700430D4 +:1032300097D40100938404319C4017D401001304F7 +:103240002432BDE31C40BC4F89E71C400547F8CF42 +:10325000D1E19C4081C71C40FC43F1EB737004300A +:103260009C408DEF1C40A84F11C5630409021C400F +:1032700023AC07041C4023AE07049C4081C71C40BC +:10328000FC438DEBB250225492540259F2494561ED +:10329000828018403C4FFD173CCFE9BF18401C40CE +:1032A0007C4385077CC37DBF18401C407C43850759 +:1032B0007CC349BF184014407C43FD177CC3FC42CB +:1032C000F1F373600430B250225492540259F2491F +:1032D0004561828008402EC61105EFD06FA9B24526 +:1032E000FD576380F50697D701009387272683A9AA +:1032F000070018409C43AE992322370163ECF90480 +:1033000097D701009387872588430C409105EFD01C +:103310002FA397D701009387A7219C4363F6F90059 +:1033200097D7010023A63721EFD04F8E1DB7184045 +:1033300014407C43FD177CC3FC428DF37360043062 +:1033400031BF0C4017D50100130505459105EFD09D +:10335000AF9DD9BF97D701009387072088430C40C2 +:103360009105EFD0EF9DC9B779714AD04ECE06D600 +:1033700022D426D252CC2E89B2897370043097D4CD +:1033800001009384241C9C4017D401001304441DA5 +:10339000F9EF18408947784F630CF7001C4013453C +:1033A000F5FFB84F798DA8CF1C400547F8CFADE6A3 +:1033B0009C4081C71C40FC43F5E3737004309C4083 +:1033C000B1E7638609001C40BC4F23A0F9001840F8 +:1033D00085470145784F630BF7001C401349F9FFFF +:1033E0000545B84F3379E90023AC27051C4023AECF +:1033F00007049C4081C71C40FC43C1E3B2502254E7 +:1034000092540259F249624A4561828018401C4038 +:103410007C4385077CC375B7084036C61105EFD0DD +:103420002F95B246FD576385F60897D7010093871D +:10343000E71103AA070018409C43369A232247014C +:103440006363FA0897D701009387471188430C40BC +:103450009105EFD0EF8E97D701009387670D9C43BE +:103460006370FA0697D7010023A4470D91A818406E +:103470001C407C4385077CC329BF184014407C4313 +:10348000FD177CC3FC42BDFB73600430B250225474 +:1034900092540259F249624A4561828018401440B0 +:1034A0007C43FD177CC3FC4289FB7360043031B759 +:1034B0000C4017D501001305252E9105EFD0CF86BE +:1034C000EFC0DFF4F5B597D701009387E70888438D +:1034D0000C409105EFD0CF86E5B751C1411106C62A +:1034E00022C426C24AC07370043097D4010093846A +:1034F00064059C40B9EB99C23C4D9CC2B287894699 +:10350000704D74CD2E872A846386D70663FCF6043B +:103510008D456387B70091456395B7006300D60C6E +:1035200038CC8547630FF60405449C4081CB97D780 +:1035300001009387E7029C43FC43A9E32285B24044 +:103540002244924402494101828097D70100938727 +:10355000270198439C437C4385077CC369BF7370F4 +:10356000043001A08546E39ED7FA3C4D33E7B7000F +:1035700038CD45BF3C4D85073CCD65B7EF30004C9D +:1035800075BF130944004A85EFC09FFE97D701001D +:10359000938787FB48549C4363F6A70097D70100A5 +:1035A00023A4A7FAD145EF30A03597D70100938720 +:1035B000270D3E95CA85EFC03FF71C5481C7737035 +:1035C000043001A097D70100938787F99C43585492 +:1035D000DC57E3FBE7F4EFC07FE3B9B70144B1B7D1 +:1035E00039CD011122CC26CA4AC84EC652C456C291 +:1035F00006CEBA89368AB2842E892A84EFC0FFECBF +:10360000AA8A63050A003C4C2320FA00784C8947BB +:103610007CCC6389F40263F4970C8D466387D400F5 +:1036200091466396D400630AF70A232C2405854744 +:10363000631EF7061C5499CB7370043001A073709D +:10364000043001A03C4C85073CCCD5B797D701008E +:103650009387C7ED9C43ADEB930444002685EFC0F0 +:103660003FF197D70100938727EE48549C4363F6B8 +:10367000A70097D7010023A9A7ECD145EF30402838 +:1036800097D701009387C7FFA6853E95EFC0DFE976 +:1036900097D701009387C7EC9C435854DC5763F7D6 +:1036A000E70063850900854723A0F9000544568596 +:1036B000EFC05FE12285F2406244D2444249B24900 +:1036C000224A924A056182809305840117D5010040 +:1036D0001305050AEFC05FE565BF0144C9BF854713 +:1036E000E397F4F43C4C33E92701232C240581B7FC +:1036F0004DC1011122CC26CA2A844EC606CE4AC824 +:10370000AE84EFC09FDC8947744C7CCC3C4C0547B1 +:10371000AA8985073CCC6395E6061C5481C7737063 +:10372000043001A097D70100938747E09C43ADE7A1 +:10373000130944004A85EFC0BFE397D70100938780 +:10374000A7E048549C4363F6A70097D7010023AD38 +:10375000A7DED145EF30C01A97D70100938747F213 +:10376000CA853E95EFC05FDC97D70100938747DF9E +:103770009C435854DC5763F5E70099C085479CC0CB +:103780006244F240D24442494E85B24905616FC05D +:103790007FD37370043001A09305840117D5010015 +:1037A000130505FDEFC05FD8C1B7AA8729C573709F +:1037B000043017D701001307E7D814439DE2F04FF8 +:1037C000894601456315D60023AE070405451C4311 +:1037D00081CB97D701009387A7D89843784315E307 +:1037E000828097D601009386A6D790429442744275 +:1037F000850674C2E9B797D70100938767D69C43C3 +:103800007DB7984394437C43FD177CC3FC42E9FB9E +:103810007360043082805D71A6C2CAC052DC56DA81 +:103820005AD85ED686C6A2C44EDE62D466D26AD0AC +:1038300017D901001309C9D317DB0100130B8BD271 +:1038400097D40100938444D297DA0100938A0AD274 +:10385000054AA54B83270900984363010712DC47FB +:1038600083A90700EFE06FF6EFE02FF783270B0047 +:103870002A8C6362F50A97D7010023A5A7CE0146DB +:103880006370351B8840B3858941EFD07FA2EFE09C +:10389000DF98630105128840814601462C00EFD075 +:1038A000CFDB4DD9A247E3C807FEC24983A7490130 +:1038B00089C713854900EFC0BFCBEFE00FF2832724 +:1038C0000B002A8C636DF508A24717D70100232B44 +:1038D00087C9E3E2FBFCB317FA0013F70721631A69 +:1038E000071613F7770C6318071E93F70702C5D75F +:1038F0004E85EF00F01145B7DC47C04783AC0700A9 +:10390000930944004E85EFC0BFC65C502285829764 +:103910005C4C6384470B83270900984371FF03A71E +:103920000A0097D6010023AF86C397D6010023AFC4 +:10393000F6C297D7010023ADE7C2EFE01F8EA1BF0B +:10394000DC47C04703AD0700930C44006685EFC019 +:103950003FC25C50228582975C4C6386470983276F +:103960000900984371FF03A70A0097D6010023AF0F +:10397000F6BE97D7010023ADE7BEB9B7EFE0EFE49D +:10398000EFE0AFE583270B002A8CE366F5F883A709 +:103990000A00884081499043B385894117D70100C7 +:1039A000232287BD13361600EFD09F90EFE0FF86ED +:1039B000E31305EEEFC09FA5F9BD1C4CCE85E6973D +:1039C00063E9FC049C4089CF02CA66CC22CEEFF0AA +:1039D0000FE88840814601464C08EFD0EF8705FD8F +:1039E0007370043001A01C4CE685EA97636CFD04FB +:1039F0009C4089CF02CA6ACC22CEEFF04FE58840C6 +:103A0000814601464C08EFD02F8531F973700430A0 +:103A100001A0032509005CC000C8EFC07FB2E5BD6E +:103A2000EFE0AFFF83270900DC47C047930C440059 +:103A30006685EFC0FFB35C4C638F47035C50228503 +:103A4000829791BD032509005CC000C8EFC05FAF3D +:103A500039B7B24723ACF900A5CFE29723A2F9000A +:103A600023A8390193854900636FFC0403A50A006C +:103A7000EFC01FAD0DB51C4C00C83387F90058C00E +:103A8000636DEC02330C3C416363FC029C4089CFC4 +:103A900002CA4ECC22CEEFF08FDB88408146014631 +:103AA0004C08EFC07FFB59F97370043001A003A5E7 +:103AB0000A00E685EFC0DFA851B703250900E685B7 +:103AC000EFC01FA8A5BF03250900EFC07FA7E1B382 +:103AD0007370043001A0B24783A6890123A839017D +:103AE0003387D70023A2E9006364EC04B307FC40EA +:103AF00063EBD70483A749024E85829783A7C90148 +:103B0000E39B47D983A68901B2479840B69711CF66 +:103B100002CA3ECC4ECEEFF08FD38840814601469C +:103B20004C08EFC07FF3E31805D67370043001A092 +:103B30006374FC00E370F7FC0325090093854900DA +:103B4000EFC01FA089BB03A50A0093854900EFC001 +:103B50003F9F91B3411122C406C626C24AC017D462 +:103B60000100130464A0EFF04FE31C4095CBEFF08D +:103B70000FE51C409DC381480148814709478146A4 +:103B80001306004097D50100938545831705000073 +:103B90001305A5C8EFD05F9A39E97370043001A00E +:103BA00017D90100130989C04A85EFC05F9697D4E1 +:103BB00001009384E4C02685EFC07F950146B1459E +:103BC000114597D7010023A5279B97D7010023AF65 +:103BD0009798EFC0FFC217D701002327A79811CDF0 +:103BE00097C501009385057DEFD04FE149B7B240FD +:103BF000224492440249410182807370043001A042 +:103C0000A5C9797156CAAA8A1305C00222D426D240 +:103C10004AD04ECE52CC06D65AC85EC63A89B6892C +:103C2000328AAE84E9212A8405C9EFF00FD797D7ED +:103C30000100938767939C439DCFEFF04FD8130506 +:103C400044002320540104CC232E440123203403B8 +:103C500023222403EFC0FF8C2285B25022549254B9 +:103C60000259F249624AD24A424BB24B45618280C4 +:103C70007370043001A097DB0100938B2BB35E853A +:103C8000EFC0FF8817DB0100130B8BB35A85EFC021 +:103C90001F880146B145114597D7010023AA778DAA +:103CA00097D7010023A4678DEFC09FB517D70100F8 +:103CB000232CA78A01C997C501009385A56FEFD072 +:103CC000EFD3A5BF7370043001A039C5011122CC18 +:103CD00006CE26CA17D401001304048983280400E1 +:103CE0002A88014563850802BA842EC2368732C409 +:103CF00042C6954663C5B602EFF06FB58947630BC0 +:103D0000F5020840814601464C00EFC0FFD4F24066 +:103D10006244D244056182807370043001A04C007B +:103D200081463A864685EFC09FF5F2406244D24410 +:103D3000056182800840814626864C00EFC0DFD1B5 +:103D4000F9B719C1084182807370043001A001E500 +:103D50007370043001A0411106C622C42A84EFF01A +:103D6000CFC348483334A000EFF06FC52285B2407E +:103D700022444101828001E57370043001A04111A9 +:103D800022C42A8406C6EFF04FC10050EFF02FC3C3 +:103D9000B240228522444101828001E573700430E3 +:103DA00001A0411122C426C22E84AA8406C6EFF0C7 +:103DB000CFBE80D02244B240924441016FF02FC068 +:103DC0004111714522C406C61D262A8411C523202F +:103DD00005001105EFC0AFF32285B2402244410136 +:103DE000828001CDB70700FFED8F81C7737004306B +:103DF00001A099E57370043001A07370043001A034 +:103E0000011122CC4AC84EC652C456C206CE26CA9A +:103E1000BA893689B28A2E842A8AEFF04FA311E537 +:103E2000638509007370043001A0EFE00F9A8324CA +:103E30000A00B37794006316090295C763870A00E6 +:103E40009347F4FFE58F2320FA00EFE00FBDF24027 +:103E5000624426854249D244B249224A924A0561C7 +:103E60008280E30DF4FCE38209FEB3355001E205E4 +:103E700063050900B7070004DD8D4E86C18D13056B +:103E80004A00EFE0FFE6EFE04FB919E1EFC00FD8CD +:103E9000EFF0CFB593176500AA8963D80700B70480 +:103EA0000001FD14B3F499005DB7EFF00FAF832963 +:103EB0000A00B3773401631E090089CB63880A00C6 +:103EC0009347F4FFB3F737012320FA00EFF02FAF49 +:103ED000F9B7E31DF4FEDDB701C9B70700FFED8FA9 +:103EE00099C77370043001A07370043001A04111B0 +:103EF00022C42A8406C626C24AC02E89EFF0EFA942 +:103F000004409345F9FFB3F795001CC0EFF02FABC9 +:103F1000B2402244268502499244410182804111E7 +:103F200022C42A8406C6EFC04FDA0040EFC08FD902 +:103F3000B240228522444101828009C9370700FF2F +:103F4000B3F7E50099C77370043001A073700430B3 +:103F500001A06F207030B70700FFF18F81C7737029 +:103F6000043001A001E67370043001A0011122CCDD +:103F70004AC84EC652C406CE26CA3689AE892A8A97 +:103F80003284EFF0CF8C21E16305090073700430B7 +:103F900001A0EFE08F8383240A00CE855285B3E42D +:103FA0009900613FB37794006307F40683240A0005 +:103FB000EFE0AFA6F240624426854249D244B249BE +:103FC000224A05618280EFE04F8083240A00CE857B +:103FD0005285B3E434019537B3F78400638D8702CB +:103FE000E30609FCB70500054A86C18D13054A00A2 +:103FF000EFE01FD0EFE06FA219E1EFC02FC1EFF0AB +:10400000EF9E93176500AA8463DF0700B7070001DE +:10401000FD17FD8C45B783270A001344F4FFE18F99 +:104020002320FA0071B7EFF04F9783240A00B3778B +:104030009400639787009347F4FFE58F2320FA00ED +:10404000EFF0EF97E1B7411122C406C62A8426C2D9 +:10405000EFD0BFF75C4085C308489304C4006316E3 +:10406000950015A0084863009502B7050002EFE02F +:104070003FE55C40E5FB2285612A2244B240924440 +:1040800041016FE08F997370043001A009C93707AF +:1040900000FFB3F7E50099C77370043001A0737097 +:1040A000043001A06F20501B01C9B70700FFED8F3E +:1040B00099C77370043001A07370043001A04111DE +:1040C00022C426C206C62A84AE84EFF00F8D1840A3 +:1040D00093C7F4FFF98F1CC02244B24092444101BF +:1040E0006FF0EF8D19C1084D82800145828041112A +:1040F00022C406C62A84EFD05FED97C701009387DC +:1041000067479C43A1EBE56717C701001307C76C1E +:10411000F11797C6010023A5E64617C70100232F14 +:10412000F74417C70100232BF76A97C7010093874D +:10413000A74417C701002321F76A854717C7010065 +:104140002322074417C701002328074217C701008D +:104150002322F74219E8EFE04F8CEF10E00701440B +:104160002285B24022444101828013058400937766 +:104170003500C1EFE5671307F5FFE917E3EDE7FC4D +:1041800097C601009386C63F9C4229A0984311C759 +:10419000BE86BA87D843E36BA7FE17C70100130793 +:1041A000A73DE38AE7FA984341461384870098C203 +:1041B000D843B306A7406378D6023388A7002322EA +:1041C000D800C8C38325480097C601009386463BA4 +:1041D00011A0BA8698425043E36DB6FE2320E80052 +:1041E00023A00601D84397C701009387673D9C43EE +:1041F000998F17C701002325F73CEFE00F8231DCD0 +:104200002285B240224441018280719911059DB7F7 +:1042100029C9411122C406C62A84EFD01FDB9305A9 +:1042200084FF0326C4FF17C701001307673511A0D9 +:104230003E871C43D443E3EDC6FE232CF4FE0CC39F +:1042400097C701009387C73798438327C4FF224449 +:10425000B240BA9717C701002324F73641016FD047 +:10426000DFFB828097C70100938787358843828070 +:1042700082803367B5000D8BAA871DE79308D6FFB0 +:10428000AA982A87AE866371150303A80600110752 +:104290009106232E07FFE36A17FF9347F5FFC697A2 +:1042A000F19B9107BE95AA972A9663F3C70A938656 +:1042B000450013884700B3B6D70033B8050133E78C +:1042C000F50093C61600134818000D8BB3E60601DF +:1042D00013371700758F330EF6409386170029CFDA +:1042E0001337AE0031EB13532E002E88BE860147E4 +:1042F000832808000507110823A016019106E36929 +:1043000067FE1377CEFFBA95BA976302EE0483C6B1 +:104310000500138717002380D700637AC70283C67E +:10432000150013872700A380D7006372C70203C755 +:1043300025002381E7008280B307F640AE9785050C +:1043400003C7F5FF8506238FE6FEE39AF5FE82801C +:104350008280B367C5008D8BB308C500E9C3637164 +:10436000150FB307A0408D8B13873700954693F543 +:10437000F50F130815003383A8407D166373D7002B +:1043800015476363E60CD5CF2300B5000547638D61 +:10439000E700A300B5000D47130825006396E7006A +:1043A000130835002301B500139685004D8E139731 +:1043B0000501518F3303F34093968501D98E13562F +:1043C0002300AA97014794C305079107E36DC7FE31 +:1043D0001377C3FFB307E8006307E3062380B70042 +:1043E00013871700637C1703A380B7001387270088 +:1043F000637617032381B7001387370063701703B1 +:10440000A381B70013874700637A17012382B7009F +:104410001387570063741701A382B700828093F556 +:10442000F50F13978500D98D139705014D8FE3770D +:1044300015FFAA87910723AEE7FEE3ED17FF828001 +:1044400082802A8895B78280AA8749BF8347050062 +:104450002A8799C7050583470500EDFF198D8280DE +:104460000145828005058347F5FF850503C7F5FFF4 +:1044700091C7E389E7FE3385E74082808147E5BF46 +:10448000AA87850503C7F5FF8507A38FE7FE75FBA0 +:10449000828083460500930700026397F6000505B6 +:1044A00083460500E38DF6FE938756FD93F7D70FFD +:1044B00085C7AA87814585CE01458507138606FDF8 +:1044C0001317250083C607003A9506053295F5F6C1 +:1044D00099C13305A0408280938536FD834615003F +:1044E00093B5150093071500E1FA0145D5B70145CD +:1044F00082809C412380A7009C4185079CC18280CB +:1045000097C60100938646089C421757030013077D +:10451000672F13861700BA972380A70097C501005D +:1045200023A4C506A9476308F500930700046305A3 +:10453000F6000145828075DE370610F005078347D7 +:10454000F7FF2320F6F09C42FD1797C5010023AD2D +:10455000F502EDF7014582804D712322911423204D +:104560002115232A511323248113232611142324D4 +:104570008114232E3113232C411323286113232666 +:104580007113232291132320A113232EB111AA8486 +:104590002E8932C6130C5002A54A83470900638056 +:1045A0008703B9CB804011A0A1CB2300F400804049 +:1045B0000509050480C083470900E39787FF034688 +:1045C000190093081900C686130D0002FD597D5A83 +:1045D0000148130550059307D6FD93F7F70F13898C +:1045E00016006363F52A17C701001307A7A18A07FE +:1045F000BA979C43BA9782878320C11403248114FD +:1046000083244114032901148329C113032A81132C +:10461000832A4113032B0113832BC112032C811214 +:10462000832C4112032D0112832DC11171618280EF +:10463000A14C02C4854763D50715B2478040938BD0 +:10464000770093F68BFF03AB060083A946009387A0 +:1046500086003EC6668681465A85CE85EF10505A42 +:104660002AC8814763853723930D4101854B6686B0 +:1046700081465A85CE85EF10E07A814666862A8B80 +:10468000AE89EF10F057A24723A0AD0093861B0020 +:10469000910D63843701B68BD9BFE37E9BFF63DD49 +:1046A0004601A2872380A70180407D1A930714004A +:1046B0009CC03E84E3C846FF93962B001C08BE9620 +:1046C00031A8938777052300F4008040FD1B938772 +:1046D0001B00050480C0F116E351F0EC9C42E3E2BC +:1046E000FAFE93870703C5B79C4093060003324741 +:1046F0002380D7009C4093064700C14C13861700C7 +:1047000090C013068007A380C700804002C4BA8708 +:10471000050480C003AB0700814936C625BFB247F8 +:1047200083AC0700938B470063840C1C635F4019C4 +:104730009307D0026319FD1683C70C0085C363DCA1 +:1047400009189440850C7D1A2380F60080400504EA +:1047500080C083C70C00E5F7635D4001804093078C +:1047600000022300F40080407D1A050480C0E31A93 +:104770000AFE5EC61DB502C48547A94CE3CF07EB10 +:10478000B24780409386470071B703C61600CA86B9 +:1047900099B59C40930650022380D70080400504C1 +:1047A00080C0E5BBC14C02C471B5B24703C6160058 +:1047B000CA8683A9070091073EC6E35E0AE04E8AD7 +:1047C000FD5911BD03C61600130DD002CA8621B5CE +:1047D0009347FAFFFD8703C61600337AFA00CA86AC +:1047E000DDBB03C61600130D0003CA86EDB383C5F7 +:1047F0001600930906FDCA86938705FD2E86E3EE13 +:10480000FAFA93972900BE9985068609AE9983C561 +:104810000600938909FD938705FD2E86E3F3FAFED2 +:1048200069BF32479C40144311073AC62380D70022 +:104830008040050480C095B3854763D3070BB2471A +:1048400093867700E19A938786003EC683A9460047 +:104850009C4263DC090A94401306D002B307F0407F +:104860002380C6008040B336F000B3093041050410 +:1048700080C03E8BB389D940A94C02C4E1BB03C6BA +:1048800016000508CA8681BB9C40468921B7E37D96 +:104890009BDD8547814B8546E3C547E131BD97CC1C +:1048A0000100938C2CB2CE856685EF00F07F330A31 +:1048B000AA40635C400180402300A40180407D1A2F +:1048C000050480C0E31A0AFE014A83C70C00E38294 +:1048D00007EAE3C809E6FD197D57E394E9E6ADBDB3 +:1048E000B247938647009C4336C693D9F74195B7A4 +:1048F000635640019307D002E313FDFA97CC010001 +:10490000938C4CAC930780021DBD3E8BA94C02C416 +:10491000804089B34D71232E3113B709004093872E +:10492000F9FF2324811423229114232C4113232AD9 +:104930005113232611142320211523286113232624 +:10494000711323248113232291132320A113232ED7 +:10495000B1112A8A2EC417C401001304E4C2975A65 +:104960000300938A2AEA97B401009384247F3EC609 +:1049700093055002294513080004B70610F0834739 +:104980000A006386B704C1C71840050A130617005A +:10499000569797C8010023A9C8BE2300F700638279 +:1049A000A706E31E06FD175703001307A7E5050733 +:1049B0008347F7FF23A0F6F01C40FD1717C6010040 +:1049C0002324F6BCEDF783470A00E39EB7FA83463B +:1049D0001A0013051A002A87930B00027D5B7D5C89 +:1049E000014893055005A5489387D6FD93F7F70F27 +:1049F000130A170063E7F5348A07A6979C43A69726 +:104A00008287175703001307E7DF55F28DBF832016 +:104A1000C11403248114832441140329011483291C +:104A2000C113032A8113832A4113032B0113832B00 +:104A3000C112032C8112832C4112032D0112832DEC +:104A4000C11171618280A14C014D854763DB07195B +:104A5000A2479D07E19B83AD070003AB4700138787 +:104A600087003AC4668681466E85DA85EF10501954 +:104A70002AC863066D35130941018549668681465A +:104A80006E85DA85EF10003A66868146AA8D2E8BF8 +:104A9000EF1010172320A90085091109E3106DFFFD +:104AA000E3FE9DFD13060004B70610F063D18903F1 +:104AB0001C4013871700D69797C5010023A6E5ACC5 +:104AC00023807701630CC7047D1CE3C389FFB247D1 +:104AD0006800A548B386F9008A061C08BE962948D6 +:104AE00013030004370610F0E384A6E89C42930504 +:104AF000000363F4F800930570051840AE97930522 +:104B00001700569717CE01002320BEA82300F700F8 +:104B10006380072B638C6526F116F9B717570300DE +:104B2000130747CE05078347F7FF23A0F6F01C4085 +:104B3000FD1797C5010023A9F5A4EDF77D1C71B7FA +:104B40001C40130600041387170097C5010023AD0E +:104B5000E5A2B386FA00930500032380B600630044 +:104B6000C73E890797C6010023A0F6A25697930671 +:104B700080072300D700175703001307A7C8B706FD +:104B800010F0639EC70005078347F7FF23A0F6F0E8 +:104B90001C40FD1717C601002328F69EEDF7A2471B +:104BA000C14C014D91072247014B832D07003EC4A4 +:104BB00055BDA24783AC070013894700638F0C3AA9 +:104BC000635480219307D002639EFB2E03C70C0021 +:104BD000BA856310072025AC8547A94C014DE3C970 +:104BE00007E7A2479107C1B7A2478346170052873C +:104BF00003AB070091073EC4E3580CDE5A8C7D5B83 +:104C0000E5B383461700930BD0025287F1BB93475D +:104C1000FCFFFD8783461700337CFC005287E9B315 +:104C200083461700930B000352877DBB0346170092 +:104C3000138B06FD5287930706FDB286E3EEF8FA62 +:104C400093172B00DA9705078607B29703460700EC +:104C5000138B07FD930706FDB286E3F3F8FE69BFE9 +:104C6000A2451C402946984193861700D69717C540 +:104C70000100232BD5902380E700138945006301B1 +:104C8000C72A930700046387F6204AC4D5B1854735 +:104C900063DF0729A24713877700619B930787008B +:104CA0003EC4032B47001C43635B0B3018401306C4 +:104CB00000049306170097C5010023A7D58C5697CB +:104CC0009305D0022300B7006388C62CB307F040D9 +:104CD0003337F000330B6041BE8D330BEB40A94CF2 +:104CE000014D49B38346170005085287F5B983463D +:104CF00017005287D5B91C4093060004138717008C +:104D000017C601002322E688D69713065002238097 +:104D1000C700E31FD7C4175703001307A7AEB70692 +:104D200010F005078347F7FF23A0F6F01C40FD179E +:104D300017C60100232AF684EDF71DB9C14C014DB9 +:104D400029B31C40930600042A8A1387170017C64C +:104D50000100232BE682D697130650022380C7005A +:104D6000E318D7C0175703001307C7A9B70610F0F9 +:104D700005078347F7FF23A0F6F01C40FD1717C671 +:104D800001002323F680EDF72A8ADDB617570300CA +:104D9000130747A705078347F7FF2320F6F01C40BA +:104DA000FD1797B5010023A1F57EEDF7F1162DBB98 +:104DB00017570300130707A5F1FDF11635B3E3FC00 +:104DC0009DCB8549C5B1014C03C70C00BA85E30EE4 +:104DD00007EAFD58294513080004B70610F0635789 +:104DE0000B0A1C4013861700D69717B30100232D1A +:104DF000C3782380E7006384A50663040609850C55 +:104E000003C70C007D1CBA8579FBE35080E91C4088 +:104E10009305000213060004B70610F021A07D1CC4 +:104E2000E3050CE613871700D6972380B70017B564 +:104E30000100232BE574BA87E313C7FE175703005D +:104E40001307479C05078347F7FF23A0F6F01C4094 +:104E5000FD1717B501002329F572EDF7C9B71757EC +:104E600003001307279A41DE05078347F7FF23A0B6 +:104E7000F6F01C40FD1717B601002327F670EDF77A +:104E8000BDBF175703001307E797F9BF7D1BE31A50 +:104E90001BF5A5BF175703001307C796B70610F0F9 +:104EA00005078347F7FF23A0F6F01C40FD1717B650 +:104EB0000100232BF66CEDF74AC45DBC97BC0100E2 +:104EC000938C4C50DA856685EF00101E330CAC4095 +:104ED000E35C80EF1C4013060004B70610F021A02D +:104EE0007D1CE3020CEE13871700D6972380770111 +:104EF00097B5010023AAE568BA87E313C7FE1757E1 +:104F000003001307279005078347F7FF23A0F6F058 +:104F10001C40FD1797B5010023A8F566EDF7C9B74A +:104F2000175703001307078EB5FA4AC491B4A24776 +:104F3000138747009C433AC413DBF741B5B31757B7 +:104F400003001307278CB70610F005078347F7FF08 +:104F500023A0F6F01C40FD1717B601002326F662C9 +:104F6000EDF7854717B701002320F7629307800705 +:104F7000175703002308F7881DB163568001930774 +:104F8000D002E39DFBF297BC0100938CAC43130766 +:104F900080029305800235BD97560300938686866E +:104FA000370610F0850603C7F6FF2320E6F0184009 +:104FB0007D1797B5010023A9E55C6DF701BBBE8D98 +:104FC000A94C014D45B4B70710F023A0A7F201A0EA +:104FD0003971130341022ED29A8506CE32D436D6C9 +:104FE0003AD83EDA42DC46DE1AC62D32F24001459E +:104FF000216182805D711303810322D42AC632DCD1 +:105000002A841A86680006D6BEC236DEBAC0C2C47A +:10501000C6C61ACEEFF04FD4B24723800700324500 +:10502000B250018D225461618280B70710F083A6CF +:1050300047F403A607F403A747F4E31AD7FE8566EF +:10504000938606FAB29633B6C600B305E60023A4EB +:10505000D7F423A6B7F48280B70710F003A747F46C +:1050600003A607F483A647F4E31AD7FE8566938662 +:1050700006FAB29633B6C60023A4D7F4B305E60009 +:1050800023A6B7F49307000873A04730828041112C +:1050900022C406C651447D143D2D75FCB240224405 +:1050A00041018280411122C406C697B7010093874F +:1050B000274E17B401001304644D98431C40130697 +:1050C000F07C930647069387470697B5010023A116 +:1050D000D54C97B5010023ABF54A6346D600B240E4 +:1050E0002244410182801307478997B7010023A119 +:1050F000E74A052385476310F502184085679387C3 +:10510000F7760145E3DDE7FCEFF0FFEB2244B24028 +:1051100041016FC09FEA17B501001305252BEFF081 +:105120003FEB0945EFF03FEA2244B24041016FC036 +:10513000DFE817B501001305E52A6FF07FE917B521 +:1051400001001305A52A6FF0BFE8411122C426C251 +:1051500006C6856417B401001304C42993878438F4 +:105160000100FD17F5FF2285EFF09FE6C5BF411155 +:1051700006C622C4152917070000130787F18146C8 +:1051800005469305200317B5010013052527EFE019 +:105190003FA72A84EFC07FE42A8622852244B240BA +:1051A00001478146854541016FE03FB2411106C686 +:1051B0008D21054781476316E500B2403E854101D8 +:1051C000828017B5010013056520EFF07FE0B24043 +:1051D00089473E85410182807370043001A082803E +:1051E0007370043001A05D71A6C2CAC04EDE52DCED +:1051F0008D69375AC6412169C164A2C456DA5AD8AA +:105200005ED662D46AD06ECE86C666D202C668000A +:1052100017BC0100130CCC39974B0300938B8B62A6 +:1052200017BB0100130B4B3817BD0100130D8D3751 +:10523000974D0300938D8D67130ADAE693899903DE +:105240007D190544FD14C54A894CD285EF10406B89 +:10525000B307350113D5070117B70100232AF73427 +:105260008D4533752501EF10E0700A05B387AB005B +:10527000D8478843814605077D566C00D8C7EFB0F4 +:10528000AFFD6306850097B7010023A30734B2473B +:105290008507638B970403270B003EC6930717000F +:1052A00017B701002322F73063FAFA0483270D00B1 +:1052B00017B70100232A072E63818706B9C3639FAE +:1052C0009703974703009387275E88438545EFC080 +:1052D000CFFF1305800C97B7010023A5072CEFD053 +:1052E0002F9203250C008DB783270B0002C685077C +:1052F00017B70100232AF72AE3EAFAFA03250C007C +:10530000A9B703A50D008145EFC02FFC03250C00B4 +:1053100097B7010023A8872805BF03A50D00854581 +:10532000EFC0AFFA9747030093870758884381453A +:10533000EFC0AFF9894717B701002325F7260325EA +:105340000C0019B73971254522DC26DA4AD84ED629 +:1053500052D406DE56D25AD05ECE62CC66CA6AC835 +:1053600002C6974403009384E44DEFC04F8597B77E +:10537000010023A5A7242689174A0300130A4A4DD2 +:1053800017B40100130484238549014691450D4556 +:10539000EFB00FC72320A900630A051C0C40EFC023 +:1053A000CF8A630D351B97B7010023A3072211098C +:1053B000E31D49FD88408145EFC0AF8C09C597B713 +:1053C000010023A707200C408840EFC08F8B854742 +:1053D0006306F50097B7010023AC071E88406C00F8 +:1053E00081460146EFB04FE70C408840EFC0EF85A3 +:1053F00009C597B7010023AD071C884081460146C7 +:105400006C00EFB09FA50C408840EFC00F8485472B +:105410006306F50097B7010023AC071A084093050F +:10542000800CEFC02F8909C597B7010023A2071A86 +:1054300097470300938747478843C1644179EFC08A +:10544000CFFA85479389E4FF17BC0100130CCC17F2 +:1054500017BA0100130ACA1717B70100232CF71453 +:1054600097BB0100938B8B1417BD0100130DCD1456 +:10547000054B954A994C0509FD140145EFC0AFDC79 +:1054800039C90840FD55EFC0EF8221CD8146014664 +:105490006C00EFB09F9C6306650197B7010023A9DC +:1054A0000712EFD09FCFB24763F2F9043387270189 +:1054B00063FDEA006157636AF70003270C00637D10 +:1054C000F70A998F0D476366F70A83270A00B5EB41 +:1054D0007370043001A00840FD55EFB0BFFD5DF5CD +:1054E00069BF97B7010023A5070E41BF63FEFA000D +:1054F0003387F94063FAEC0003A70B006376F708E3 +:10550000998F0D47636FF70883270A0081E773704F +:10551000043001A083A70B00850763FFF90697B746 +:10552000010023A50708EFD09FC983270A00E3964F +:1055300067F583270D00850717B70100232EF706AF +:105540002DBF83270C00850717B70100232EF70610 +:10555000F9FB97B7010023A99706F1B703250900C6 +:105560000C40EFB09FEEE30405E435BD73700430EA +:1055700001A07370043001A0B307F7400D47E37634 +:10558000F7F47370043001A0B307F7400D47E37DD3 +:10559000F7F67370043001A017B701002328F70055 +:1055A00059B77370043001A04111814801489747F1 +:1055B00003009387672F054781461306004097B580 +:1055C00001009385E5E417050000130505C206C632 +:1055D00022C4EFB07FF6174403001304E42C1440F8 +:1055E00081480148974703009387472C05471306D6 +:1055F000004097B50100938525E2170500001305CB +:10560000A5D4EFB07FF308402244B24041016FD0EF +:10561000AFCC974703009387E72217B3010013032A +:10562000A3F983A8C700904F17B70100130747F7E6 +:10563000032E0300084303A80701D44FCC4B985313 +:105640003386C84097B70100938787F8832E0300FD +:105650003305C5419C433336C0003306C040B38692 +:1056600006413335A000718DB336D0000D8F17B3CE +:1056700001001303A3F517B60100130626F2B306C3 +:10568000D0403337E000FD17032E030010423307EC +:10569000E040758D93B71700798DB307F04017B7C9 +:1056A0000100232FD7EF174703002329171B1747A4 +:1056B00003002327071B174703002325B71A7D8DF7 +:1056C0006309CE008327030017B701002328F7ECF6 +:1056D000828001458280011197B70100938787ED91 +:1056E00006CE22CC804385476306F400F240624434 +:1056F0000561828097B70100938707EA9C431307EF +:1057000040068507636AF700F240624417B701005C +:105710002324F7E80561828097B70100938707EAA1 +:10572000884397B7010023A907E6EFB01FDA25C524 +:1057300001466C00EFB01F8D6306850097B701002E +:1057400023A807E8B24741677917637AF70A4177D8 +:1057500005073E97954663F6E610615797B6010038 +:10576000938686E66363F71098426379F710998F02 +:105770000D47637CF70E7370043001A09C428507CF +:1057800017B701002322F7E499E7C167FD1717B7A0 +:105790000100232BF7E217B401001304A4DF1C401F +:1057A000814601461397270097470300938787098F +:1057B000BA97884397B50100938545E1EFB02FCCA8 +:1057C0008547E315F5F217B70100130727E01C43DF +:1057D000850797B6010023ABF6DE1C4399E7C16746 +:1057E000FD1717B701002323F7DE1C400947850783 +:1057F0006354F70697B7010023AE07D8C5BD954699 +:1058000063F4F6021D8F994663F0E60297B6010035 +:105810009386C6D99842637BF706998F0D476379C3 +:10582000F7007370043001A097B60100938606D884 +:1058300097B701009387C7D99C4381CF9C424167AA +:1058400079178507637CF70497B7010023A007D673 +:1058500099B77370043001A017B70100232CF7D259 +:1058600071B597B60100938626D697B70100938746 +:1058700027D69C4381F77370043001A0B307F7402B +:105880000D47E374F7FE7370043001A0B307F740CF +:105890000D47E37FF7F87370043001A017B70100DC +:1058A0002326F7D0CDBD0000B305B5009307050052 +:1058B0006386B70003C70700631607003385A74058 +:1058C00067800000938717006FF09FFE130101FBB4 +:1058D00023229104232C4103232291032326110424 +:1058E0002324810423202105232E3103232A51035D +:1058F0002328610323267103232481032320A1038A +:10590000232EB101930C0500138A050093040000B7 +:1059100063DE05003305A0403337A000B305B04077 +:10592000930C0500338AE5409304F0FF63DA060028 +:105930003306C040B337C000B306D040B386F6404C +:10594000930A06009389060013840C0013090A00C9 +:105950006396062817BB0100130BCB956370CA161C +:10596000B70701006372F6149307F00F63F4C700E2 +:1059700093098000B3573601330BFB0083470B00BC +:1059800013050002B3873701B309F540638C0900A2 +:10599000B3153A01B3D7FC00B31A360133E9B700A7 +:1059A00033943C0113DB0A0193050B001305090036 +:1059B000EF00507C130A050093050B00939B0A012E +:1059C00013050900EF00907693DB0B0193050500AA +:1059D00013850B00EF00D072131A0A0193570401CC +:1059E000B367FA0063FAA700B387570163E657016C +:1059F00063F4A700B38757013389A74093050B00D1 +:105A000013050900EF001077130A050093050B003A +:105A100013050900EF0090711314040193050500AC +:105A2000131A0A0113850B0013540401EF00506D83 +:105A300033648A00637AA40033045401636654011A +:105A40006374A400330454013304A4403354340178 +:105A500093050000638A040033048040B33780005C +:105A6000B305B040B385F540130504008320C1049D +:105A70000324810483244104032901048329C103ED +:105A8000032A8103832A4103032B0103832BC102D1 +:105A9000032C8102832C4102032D0102832DC101BD +:105AA0001301010567800000B70700019309000199 +:105AB000E362F6EC930980016FF0DFEB631A0600F6 +:105AC0009305000013051000EF005066930A0500CF +:105AD000B707010063FAFA0E9307F00F63F457015A +:105AE00093098000B3D73A01330BFB0083470B00C7 +:105AF0001305000233095A41B3873701B309F54052 +:105B0000E38209EAB39A3A01335BFA00B3153A012A +:105B1000B3D7FC0093DB0A0133E9B70013050B0090 +:105B200093850B00EF001065130A050093850B00A9 +:105B3000139C0A0113050B00EF00505F135C0C016E +:105B40009305050013050C00EF00905B131A0A0182 +:105B500093570901B367FA0033943C0163FAA70035 +:105B6000B387570163E6570163F4A700B387570172 +:105B7000338BA74093850B0013050B00EF00905F5C +:105B8000130A050093850B0013050B00EF00105A54 +:105B90009305050013050C00EF00905693160901BC +:105BA000131A0A0193D60601B366DA0063FAA60057 +:105BB000B386560163E6560163F4A600B386560128 +:105BC0003389A6406FF01FDEB7070001930900017B +:105BD000E3EAFAF0930980016FF0DFF06376DA0010 +:105BE00093050A006FF01FE7B707010063FAF60498 +:105BF000930BF00F33B5DB001315350033D7A60038 +:105C000097A701009387076BB387E70083CB070053 +:105C100093050002B38BAB00338B7541631C0B0201 +:105C200063E4460163EACC003384CC40B306DA4037 +:105C300033B98C0033892641930509006FF09FE149 +:105C4000B707000113050001E3EAF6FA1305800126 +:105C50006FF0DFFAB3966601335D7601336DDD00D8 +:105C6000B35D7A01B3156A0133DC7C0113540D0175 +:105C7000336CBC0013850D0093050400B319660155 +:105C8000EF00504F930A05009305040013850D00A3 +:105C900033996C01931C0D01EF00504993DC0C010A +:105CA000130A05009305050013850C00EF0050450D +:105CB000939A0A0113570C0133E7EA00930D0A0087 +:105CC000637EA7003307A701930DFAFF6368A7015E +:105CD0006376A700930DEAFF3307A701330AA740B5 +:105CE0009305040013050A00EF00D0489305040053 +:105CF000930A050013050A00EF00504393050500C1 +:105D00001304050013850C00EF00903F93150C0160 +:105D1000939A0A0193D50501B3E5BA00130704006D +:105D200063FEA500B385A5011307F4FF63E8A50191 +:105D300063F6A5001307E4FFB385A501939D0D014C +:105D4000B70C0100B3EDED001384FCFFB3F78D0039 +:105D500033F48900338AA5401385070093050400B6 +:105D60002326F10093DD0D01EF0090399305040027 +:105D7000930A050013850D00EF00903813DC09012C +:105D800093050C002324A10013850D00EF0050376C +:105D90008327C10013040C0093050400130C0500B5 +:105DA00013850700EF00D0358326810013D70A0141 +:105DB0003305D5003307A7006374D700330C9C016B +:105DC000B70701009387F7FF935507013377F70073 +:105DD00013170701B3F7FA00B3858501B307F7007E +:105DE0006366BA00631EBA00637CF90033863741EC +:105DF000B3B7C700B385A541B385F5409307060047 +:105E0000B307F9403339F900B305BA40B3852541EA +:105E100033947501B3D767013364F400B3D56501DA +:105E20006FF05FC3130101FD23229102232A510168 +:105E3000232611022324810223202103232E310152 +:105E4000232C410123286101232671012324810190 +:105E5000232291012320A101930A050093840500C8 +:105E6000639E0638130406009309050017A9010074 +:105E70001309494463F8C512B7070100138B0500E5 +:105E80006378F6101307F00F3337C7001317370086 +:105E9000B357E6003309F900834609003387E6006B +:105EA00093060002B386E640638C0600B394D400E8 +:105EB00033D7EA003314D600336B9700B399DA0076 +:105EC000935A040193850A0013050B00EF00902AF2 +:105ED0001309050093850A00931B040113050B00A9 +:105EE000EF00D02493DB0B0193040500930505001C +:105EF00013850B00EF00D0201319090193D7090176 +:105F0000B367F900138A040063FEA700B387870014 +:105F1000138AF4FF63E8870063F6A700138AE4FF9F +:105F2000B3878700B384A74093850A0013850400D4 +:105F3000EF0050241309050093850A00138504001F +:105F4000EF00D01E93990901930405009305050005 +:105F50001319090113850B0093D90901EF00501A99 +:105F6000B36939011386040063FCA900B309340145 +:105F70001386F4FF63E6890063F4A9001386E4FF47 +:105F800013140A013364C400130A00006F000013E5 +:105F9000B707000113070001E36CF6EE1307800159 +:105FA0006FF01FEF138A0600631A060093050000C6 +:105FB00013051000EF00901713040500B707010048 +:105FC000637EF4129307F00F63F48700130A8000D6 +:105FD000B35744013309F90003470900930600024F +:105FE00033074701B386E64063940612B3848440C6 +:105FF000130A1000135B040193050B0013850400C2 +:10600000EF0050171309050093050B0013850400DA +:10601000931B0401EF00901193DB0B019304050027 +:106020009305050013850B00EF00900D131909016E +:1060300093D70901B367F900938A040063FEA700B0 +:10604000B3878700938AF4FF63E8870063F6A700AD +:10605000938AE4FFB3878700B384A74093050B00BE +:1060600013850400EF0010111309050093050B00C0 +:1060700013850400EF00900B939909019304050028 +:10608000930505001319090113850B0093D9090124 +:10609000EF001007B36939011386040063FCA900FF +:1060A000B30934011386F4FF63E6890063F4A900A1 +:1060B0001386E4FF13940A013364C400130504003B +:1060C00093050A008320C102032481028324410234 +:1060D000032901028329C101032A8101832A410185 +:1060E000032B0101832BC100032C8100832C410071 +:1060F000032D01001301010367800000B7070001B1 +:10610000130A0001E366F4EC130A80016FF05FEC00 +:106110003314D40033DAE400B399DA0033D7EA0059 +:10612000935A0401B394D40093850A0013050A001E +:10613000336B9700EF0010041309050093850A00E4 +:1061400013050A00931B0401EF00407E93DB0B0153 +:10615000930405009305050013850B00EF00407ABA +:106160001319090113570B013367E900138A04005F +:10617000637EA70033078700138AF4FF63688700F4 +:106180006376A700138AE4FF33078700B304A740B0 +:1061900093850A0013850400EF00C07D13090500F4 +:1061A00093850A0013850400EF00407893040500EE +:1061B0009305050013850B00EF00807413170B0186 +:1061C0001357070113190901B367E9001387040086 +:1061D00063FEA700B38787001387F4FF63E8870097 +:1061E00063F6A7001387E4FFB3878700131A0A0139 +:1061F000B384A740336AEA006FF0DFDF63ECD51E9B +:10620000B707010063F4F6041307F00FB335D700A6 +:106210009395350033D7B60097A701009387870978 +:10622000B387E70003C70700130A00023307B7006C +:10623000330AEA4063160A0213041000E3E096E80A +:1062400033B6CA00134416006FF05FE7B7070001CA +:1062500093050001E3E0F6FC930580016FF09FFBDE +:10626000B35CE600B3964601B3ECDC0033D4E40043 +:1062700093DB0C01B397440133D7EA0093850B00FD +:1062800013050400336BF700B3194601EF00806E6D +:106290001309050093850B0013050400139C0C01E2 +:1062A000EF00C068135C0C01930405009305050022 +:1062B00013050C00EF00C0641319090113570B01FB +:1062C0003367E90013840400637EA7003307970156 +:1062D0001384F4FF636897016376A7001384E4FFD7 +:1062E00033079701B304A74093850B00138504007F +:1062F000EF0040681309050093850B001385040027 +:10630000EF00C062930405009305050013050C001F +:10631000EF00005F93170B011319090193D70701D1 +:10632000B367F9001386040063FEA700B3879701E3 +:106330001386F4FF63E8970163F6A7001386E4FF72 +:10634000B387970113140401B70B01003364C40031 +:106350001389FBFF337D240133F92901B384A7405E +:106360009305090013050D00EF008059935C0401AB +:1063700093050900130B050013850C00EF0040582E +:1063800093D90901130C05009385090013850C00AE +:10639000EF000057130905009385090013050D0050 +:1063A000EF0000563305850193570B013385A70095 +:1063B000637485013309790193570501B387270178 +:1063C00063E6F402E392F4BCB70701009387F7FF9A +:1063D0003375F50013150501337BFB0033964A0135 +:1063E00033056501130A0000E37AA6CC1304F4FF19 +:1063F0006FF09FB9130A0000130400006FF01FCC68 +:10640000130101FB2324810423229104232E310351 +:10641000232291032326110423202105232C410349 +:10642000232A510323286103232671032324810394 +:106430002320A103232EB101930C050093890500AD +:106440001304050093840500639E062613090600C5 +:10645000138A060097AA0100938ACAE563F4C5145B +:10646000B70701006376F6129307F00F63F4C700D5 +:10647000130A8000B3574601B38AFA0003C70A0023 +:106480001305000233074701330AE540630C0A0095 +:10649000B395490133D7EC0033194601B364B70013 +:1064A00033944C01935A090193850A001385040023 +:1064B000EF00404C9309050093850A00131B090166 +:1064C00013850400EF008046135B0B019305050064 +:1064D00013050B00EF00C042939909019357040183 +:1064E000B3E7F90063FAA700B387270163E6270142 +:1064F00063F4A700B3872701B384A74093850A00FC +:1065000013850400EF0000479309050093850A00F6 +:1065100013850400EF008041131404019305050066 +:106520009399090113050B0013540401EF00403D3A +:1065300033E48900637AA4003304240163662401F0 +:106540006374A400330424013304A440335544018C +:10655000930500008320C1040324810483244104A3 +:10656000032901048329C103032A8103832A4103E8 +:10657000032B0103832BC102032C8102832C4102D4 +:10658000032D0102832DC101130101056780000065 +:10659000B7070001130A0001E36EF6EC130A80014D +:1065A0006FF05FED631A06009305000013051000FD +:1065B000EF00C03713090500B7070100637AF90E31 +:1065C0009307F00F63F42701130A8000B3574901C2 +:1065D000B38AFA0003C70A0013050002B3842941F5 +:1065E00033074701330AE540E30E0AEA331949014C +:1065F000B3DAE900B395490133D7EC0093540901AC +:10660000336BB70013850A0093850400EF008036D2 +:106610009309050093850400931B090113850A0063 +:10662000EF00C03093DB0B019305050013850B00D1 +:10663000EF00002D9399090193570B01B3E7F9007F +:1066400033944C0163FAA700B387270163E627015F +:1066500063F4A700B3872701B38AA740938504009A +:1066600013850A00EF0000319309050093850400AB +:1066700013850A00EF00802B9305050013850B009E +:10668000EF00002893150B019399090193D505019B +:10669000B3E5B90063FAA500B385250163E62501DA +:1066A00063F4A500B3852501B384A5406FF09FDF97 +:1066B000B7070001130A0001E36AF9F0130A800129 +:1066C0006FF0DFF0E3E8D5E8B707010063FCF604FC +:1066D000930BF00F33B5DB001315350033D7A6004D +:1066E00097A70100938707BDB387E70083CB070017 +:1066F00093050002B38BAB00338B7541631E0B0215 +:1067000063E4360163EACC003384CC40B386D940DD +:1067100033B58C00B384A6401305040093850400B0 +:106720006FF05FE3B707000113050001E3E8F6FA35 +:10673000130580016FF09FFAB3966601335D760111 +:10674000336DDD0033D47901B395690133DC7C010D +:1067500093540D01336CBC001305040093850400B1 +:10676000B31A6601EF000021130A050093850400A7 +:106770001305040033996C01931C0D01EF00001BFD +:1067800093DC0C01130405009305050013850C0030 +:10679000EF000017131A0A0113570C013367EA00C0 +:1067A000130A0400637EA7003307A701130AF4FF4E +:1067B0006368A7016376A700130AE4FF3307A70104 +:1067C000B309A7409385040013850900EF00801AE0 +:1067D000938504001304050013850900EF000015DC +:1067E000930505009304050013850C00EF0040118C +:1067F00093150C011314040193D50501B365B4007E +:106800001387040063FEA500B385A5011387F4FF79 +:1068100063E8A50163F6A5001387E4FFB385A5012E +:10682000131A0A01B70C0100336AEA001384FCFF53 +:10683000B3778A0033F48A00B384A5401385070038 +:10684000930504002326F100135A0A01EF00400BC0 +:10685000930905009305040013050A00EF00400AA0 +:1068600013DC0A01930D050093050C0013050A00C3 +:10687000EF0000098327C100130A050093050C00EF +:1068800013850700EF00C0073305B50113D70901D1 +:106890003307A7006374B701330A9A01B7070100F1 +:1068A0009387F7FF935507013377F7001317070115 +:1068B000B3F7F900B3854501B307F70063E6B40009 +:1068C000639EB400637CF90033865741B3B7C700B9 +:1068D000B385A541B385F54093070600B307F9409A +:1068E0003339F900B385B440B3852541339475013C +:1068F000B3D767013365F400B3D565016FF09FC569 +:10690000130605001305000093F6150063840600C6 +:106910003305C50093D5150013161600E39605FE42 +:10692000678000006340050663C605061386050000 +:10693000930505001305F0FF630C06029306100093 +:10694000637AB6006358C0001316160093961600BB +:10695000E36AB6FE1305000063E6C500B385C540D3 +:106960003365D50093D6160013561600E39606FE3F +:106970006780000093820000EFF05FFB1385050045 +:10698000678002003305A04063D80500B305B0401E +:106990006FF0DFF9B305B04093820000EFF01FF90C +:1069A0003305A040678002009382000063CA05009F +:1069B000634C0500EFF09FF7138505006780020028 +:1069C000B305B040E35805FE3305A040EFF01FF6D5 +:1069D0003305B0406780020097A70100938747B84E +:1069E000944317A70100130767B61843C8C2637B17 +:1069F000E50017A70100130727B608438C4391054C +:106A00006F901FB4411122C406C617A701001307D7 +:106A1000E7B42A8408438C439105EF907FB297A78F +:106A200001009387E7B09C436376F40097A70100C9 +:106A300023A087B0B24022444101828097A7010081 +:106A4000938707B2984394437C43FD177CC3FC4271 +:106A500099E3736004308280011122CC26CA2A8413 +:106A6000AE844AC84EC652C456C206CEEFB0EFD569 +:106A70001C4003290401B7090001B3E59700930AFC +:106A8000C4000CC08144FD19370A00021840638C11 +:106A90002A03032609004A85B3654701B376360108 +:106AA00093175600758F0329490063C4070001E757 +:106AB000F1BFE39DE6FC9317760063D30700D58C06 +:106AC000EFC00FC0E1B793C4F4FFF98C04C0EFB07E +:106AD000CFF40840F2406244D2444249B249224ACB +:106AE000924A05618280411106C622C4EFE02FE878 +:106AF00017E7FFFF1307475B8146054693054006EE +:106B000017A5010013050590EFD08F8F19C92A84AE +:106B1000EFB0AFCC2A860147814685452285EFD06C +:106B2000CF9AEFB00FA5B2402244014541018280C7 +:02000004800278 +:100000008A47FEFF8848FEFF9247FEFF8848FEFFB2 +:100010008848FEFF8848FEFF8848FEFFAA47FEFF8B +:100020008848FEFF8848FEFFC447FEFFD047FEFF1A +:100030008848FEFFE247FEFFEE47FEFFEE47FEFF69 +:10004000EE47FEFFEE47FEFFEE47FEFFEE47FEFFE8 +:10005000EE47FEFFEE47FEFFEE47FEFF8848FEFF3D +:100060008848FEFF8848FEFF8848FEFF8848FEFF5C +:100070008848FEFF8848FEFF8848FEFF8848FEFF4C +:100080008848FEFF8848FEFF8848FEFF8848FEFF3C +:100090008848FEFF8848FEFF8848FEFF8848FEFF2C +:1000A0008848FEFF8848FEFF8848FEFF8848FEFF1C +:1000B0008848FEFF8848FEFF8848FEFF8848FEFF0C +:1000C0008848FEFF8848FEFF8848FEFF8848FEFFFC +:1000D0008848FEFF8848FEFF8848FEFF8848FEFFEC +:1000E0008848FEFF8848FEFF8848FEFF8848FEFFDC +:1000F0008848FEFF8848FEFF8848FEFF8848FEFFCC +:100100002248FEFF3848FEFF8848FEFF8848FEFF71 +:100110008848FEFF8848FEFF8848FEFF8848FEFFAB +:100120008848FEFF7E48FEFF8848FEFF8848FEFFA5 +:100130003046FEFFE846FEFF8848FEFF8848FEFF87 +:100140001E47FEFF8848FEFF7647FEFF8848FEFFF9 +:100150008848FEFFA447FEFF964BFEFFEA4BFEFFDA +:100160009E4BFEFFEA4BFEFFEA4BFEFFEA4BFEFF13 +:10017000EA4BFEFF904AFEFFEA4BFEFFEA4BFEFF12 +:10018000AA4AFEFFB64AFEFFEA4BFEFFC84AFEFF40 +:10019000D44AFEFFD44AFEFFD44AFEFFD44AFEFFF3 +:1001A000D44AFEFFD44AFEFFD44AFEFFD44AFEFFE3 +:1001B000D44AFEFFEA4BFEFFEA4BFEFFEA4BFEFF8E +:1001C000EA4BFEFFEA4BFEFFEA4BFEFFEA4BFEFF67 +:1001D000EA4BFEFFEA4BFEFFEA4BFEFFEA4BFEFF57 +:1001E000EA4BFEFFEA4BFEFFEA4BFEFFEA4BFEFF47 +:1001F000EA4BFEFFEA4BFEFFEA4BFEFFEA4BFEFF37 +:10020000EA4BFEFFEA4BFEFFEA4BFEFFEA4BFEFF26 +:10021000EA4BFEFFEA4BFEFFEA4BFEFFEA4BFEFF16 +:10022000EA4BFEFFEA4BFEFFEA4BFEFFEA4BFEFF06 +:10023000EA4BFEFFEA4BFEFFEA4BFEFFEA4BFEFFF6 +:10024000EA4BFEFFEA4BFEFFEA4BFEFFEA4BFEFFE6 +:10025000EA4BFEFFEA4BFEFF084BFEFF364BFEFF6C +:10026000EA4BFEFFEA4BFEFFEA4BFEFFEA4BFEFFC6 +:10027000EA4BFEFFEA4BFEFFEA4BFEFF8C4BFEFF14 +:10028000EA4BFEFFEA4BFEFFEE48FEFFE849FEFFA9 +:10029000EA4BFEFFEA4BFEFF5A4AFEFFEA4BFEFF27 +:1002A000804AFEFFEA4BFEFFEA4BFEFFE44BFEFFF7 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020787878207461736B73200D0A00000018 +:1003E0006D69616F750A00006D69616F75320A0091 +:1003F00068756E6772790A0054696D657232000023 +:10040000436865636B54696D65720000536574548D +:0C04100078000000536574527800000072 +:10041C001000000000000000017A5200017C010174 +:10042C001B0D020050000000180000009454FEFF49 +:10043C005805000000440E507489039406990B81F2 +:10044C0001880292049305950796089709980A9AD1 +:10045C000C9B0D036C010AC144C844C944D244D35B +:10046C0044D444D544D644D744D844D944DA44DBA4 +:10047C00440E00440B0000004C0000006C00000017 +:10048C009859FEFFDC05000000440E30708903957E +:10049C00078101880292049305940696089709989F +:1004AC000A990B9A0C0370020AC144C844C944D27D +:1004BC0044D344D444D544D644D744D844D944DA5C +:1004CC00440E00440B00000050000000BC00000073 +:1004DC00245FFEFF0005000000440E507488028962 +:1004EC00039305990B81019204940695079608973E +:1004FC0009980A9A0C9B0D0320010AC144C844C9EF +:10050C0044D244D344D444D544D644D744D844D913 +:0C051C0044DA44DB440E00440B000000F5 +:1005BC00FC8F010009000000FFFF0000FFFF00009E +:0405CC00010000002A +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/QueueSet_rv32im_O3.hex b/VexRiscv/src/test/resources/freertos/QueueSet_rv32im_O3.hex new file mode 100644 index 0000000..c45931e --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/QueueSet_rv32im_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/QueueSet_rv32imac_O3.hex b/VexRiscv/src/test/resources/freertos/QueueSet_rv32imac_O3.hex new file mode 100644 index 0000000..e06c7b4 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/QueueSet_rv32imac_O3.hex @@ -0,0 +1,1679 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900061C7170502001305E54A9795030093858C +:1000A000E57401461122170502001305653C97050A +:1000B00002009385E5440146C52817A503001305F2 +:1000C000257397B503009385657237B6ABAB1306FE +:1000D000B6BAD92817B1030013018171EF60A02FC0 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2022723A02200F322103497 +:1002400096DEEF00002B170102000321A1250241D9 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E22923A0120023A2A9 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF407050170102000321EF +:1003300061170241F65273901234B7220000938283 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A21B83A0B0 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2020523A0220086DEEF207D +:10046000E057170102000321E1030241F6527390A5 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF409030EF10103401E5C4 +:10050000B24041018280B24041016F20204D9307EB +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C38280411122C406C626C23C412A84F7 +:1005A00081EF1C41A5CF01451C5C85071CDCB240D6 +:1005B0002244924441018280B2841DE608453E8671 +:1005C000EF3070481C4434405840B6971CC4E3ECEC +:1005D000E7FC1C4001451CC41C5C85071CDCB240C8 +:1005E000224492444101828048453E86EF30B04526 +:1005F00038405C4414403307E040BA975CC463F56C +:10060000D7005C403E9758C48947E39EF4F81C5CD1 +:10061000D9DB1C5C0145FD171CDC79B74841EF2094 +:10062000702C2322040049B7011106CE22CC26CA21 +:1006300060492AC615C0185C5C5C6365F70073707E +:10064000043001A0185C8144636BF700F2406244FF +:100650002685D244056182807370043001A02E8605 +:1006600022856C00053F3844FD57AA846306F700D5 +:100670003C4485073CC4D9BF5C50E9DB1305440208 +:10068000EF20607761D58544D1B73041AE8701CE88 +:100690004C455841B2954CC563E4E5000C414CC54E +:1006A0003E856F30503A8280797122D406D62A84F2 +:1006B00026D24AD04ECE52CC56CAEF20502B3C44C4 +:1006C000635CF002130A4402FD590549644889C875 +:1006D000985CDC5C22C66367F7067370043001A087 +:1006E0005C5099CB5285EF2000712DED3C44FD17F5 +:1006F0003CC43C44E34CF0FCFD573CC4EF20702963 +:10070000EF20F0267C406352F0021C4899CF9304FE +:1007100004012685EF20206E29E97C40FD177CC06E +:100720007C406354F0001C48EDF7FD577CC0EF207F +:100730005026B250225492540259F249624AD24A87 +:1007400045618280985CE373F7FA01466C00268568 +:100750009135BC44AA8A638D3701BC448507BCC46B +:10076000E3962AF9EF20700951B7EF20100975B709 +:10077000DC50FDD713854402EF20E06775D1DDB76B +:1007800029C5411122C426C22A8406C6AE84EF20A0 +:10079000101E34405C5C1840232C0402B387F60220 +:1007A0007D5670C018C430C4B386D740BA97369708 +:1007B0005CC058C491EC1C489DE3EF20901DB240F2 +:1007C000224492440545410182807370043001A0A7 +:1007D000130504012D3B13054402153BF9BF130516 +:1007E0000401EF2040618547E319F5FC0531F1B7BD +:1007F00001E57370043001A0011126CA4AC84EC633 +:1008000006CE22CCB2892E89AA84B5C53305B5029D +:1008100013059505EF30F00A2A8425C59307850551 +:100820001CC144DC23202405EF20701434405C5CA0 +:1008300018407D56B387F602232C040270C018C4FA +:1008400030C413050401B386D740BA9736975CC00D +:1008500058C47539130544025D39EF2090132308FD +:100860003405232A04042285F2406244D2444249DA +:10087000B2490561828013058005EF3090042A8417 +:1008800001E57370043001A000C061BF797126D208 +:10089000AA841305800522D406D64AD04ECE52CC67 +:1008A000EF3030022A8421C9232C0502FD577CC178 +:1008B0000547130A05013CC4528558DC2322040075 +:1008C00023200400232404002326040023200404FE +:1008D00023089404232A04041D39130944024A8579 +:1008E0003D313C4002C28DC37370043001A02285AB +:1008F000653BEF1050102285B25022549254025999 +:10090000F249624A45618280EF20A07101E5924779 +:10091000639C070AEF20B005185C5C5C8144FD59BC +:100920006362F7069247C1C381E42800EF20C05FED +:10093000EF203006EF102067EF2070037C406394B7 +:100940003701232204043C44639437012324040424 +:10095000EF2030044C002800EF20205F49F9EF2001 +:100960001001185C5C5C6303F704EF2090022285A1 +:10097000253BEF1050088544EF20607F185C5C5CDD +:10098000E372F7FA304021E61C40ADC701451C5C1C +:10099000784885071CDC39CB814522857131854734 +:1009A0006313F500A534EF20C07EB1B7EF20607E61 +:1009B00092455285EF20E0252285FD31EF10B003EE +:1009C0005DF9A93485444DBF7370043001A008441B +:1009D0008145EF3050071C4434405840B6971CC442 +:1009E000E3E6E7FA1C4001451CC455B75C5089EBAF +:1009F0005DD94DBF4840EF20E06E2322040041BF87 +:100A00004A85EF20403F8547E31FF5F861BF01E5C8 +:100A10007370043001A06375B5007370043001A0D9 +:100A2000411122C409462E84814506C6D13311C521 +:100A300000DDB2402244410182807370043001A085 +:100A4000397106DE22DC26DA4AD84ED652D456D286 +:100A50005AD032C6630005106388050E8947639932 +:100A6000F600585D85476305F7007370043001A0F8 +:100A7000B6842E892A84EF20C05A11E5B24781C777 +:100A80007370043001A0EF20806E185C5C5C8149BB +:100A9000094B7D5A930A04016367F706638F640B61 +:100AA000B24763890712639509002808EF20C04701 +:100AB000EF20206EEF10204FEF20606B7C4063949E +:100AC0004701232204043C44639447012324040483 +:100AD000EF20206C6C002808EF2020476316050EDD +:100AE000EF20E068185C5C5C6302F704EF20606A4A +:100AF00022855D3EEF1020708549EF204067185C2D +:100B00005C5CE37DF7F8304039CA6399040C084413 +:100B1000CA85EF3040731C4434405840B6971CC41B +:100B200063E1E7041C4001451CC42DA8EF2060666A +:100B3000B2455685EF20E00D2285BD36EF10A06B43 +:100B400045FDE930854955BF3C41E38907F07370A5 +:100B5000043001A07370043001A0304005EE1C4049 +:100B6000D5C301451C5C784885071CDC51C7A685A8 +:100B700022855D3C85476304F508EF2080610545CB +:100B8000F2506254D2544259B259225A925A025BDC +:100B9000216182804844CA85EF30E06A3C40584475 +:100BA0001440B307F0403E9758C46378D7005840CC +:100BB000BA975CC48947E396F4FA1C5CDDD31C5CED +:100BC0000145FD171CDC79BF2285F93CEF10A062BE +:100BD00001457DB7EF20E05B01455DB74844CA851C +:100BE000EF3060663C4058441440B307F0403E97F5 +:100BF00058C4E371D7FC65BF5C5099EB3DDDEFF065 +:100C0000FF80A5BF4840EF20E04D2322040099BF9C +:100C100013054402EF20201E8547E310F5F6C5B703 +:100C20000DC5411122C426C206C64AC0032945008B +:100C30002A84EF20403E8144630CA900B240224444 +:100C4000268502499244410182807370043001A0DC +:100C50005C448544FD175CC4F5F38146014681453B +:100C60002285F93BE1BF31CDA1C9011122CC26CAB1 +:100C70004AC852C406CE4EC68947B68432892E8AE7 +:100C80002A846399F600585D8547630FF7047370F3 +:100C9000043001A01D38185C5C5CAA896366F70209 +:100CA00081444E850530F240624426854249D24453 +:100CB000B249224A056182803C41C5DB7370043031 +:100CC00001A07370043001A0304049E21C40DDCB2C +:100CD0001C5C7D5785071CDC3C446388E7083C446A +:100CE000854485073CC475BFEFF02FFE185C5C5C43 +:100CF000AA89636DF702304071DA4844D285EF303B +:100D000080543C4058441440B307F0403E9758C4C8 +:100D10006375D7005840BA975CC48947E39AF4FAE0 +:100D20001C5CDDD71C5CFD171CDC5DB7304059DE58 +:100D30004844D285EF3020513C4058441440B3071A +:100D4000F0403E9758C4E367D7FCD9BFDDF40844B0 +:100D5000D285EF30404F1C4434405840B6971CC4F5 +:100D6000E3E8E7F61C401CC4A5B77C4895C3A685FC +:100D700022855D388544E31695F2E30409F22320C9 +:100D8000990005B74840EF20E0352322040089B7D9 +:100D90005C508544E38707F013054402EF20A0056B +:100DA00069FD01B731CD3C4181C77370043001A0AA +:100DB0001C41B1CB011122CC26CA2A844AC84EC696 +:100DC00006CE2E89EFF06FF0185C5C5CAA89814436 +:100DD000637DF7001C5C7D5785071CDC3C44638900 +:100DE000E7023C44854485073CC44E85EFF08FED17 +:100DF000F240624426854249D244B249056182806C +:100E00007370043001A05C41D5D77370043001A029 +:100E10007C4889CB8145228501388547630BF500E5 +:100E20008544E1B75C50EDDF13054402EF10B07C60 +:100E300065D9E30709FE85472320F900D5B7397145 +:100E400006DE22DC26DA4AD84ED652D432C66309F0 +:100E5000050E6381050EB6892E892A84EF20601C59 +:100E600011E5B24781C77370043001A0EF20203034 +:100E70001C5CC9E7B247638D07102808EF20C00A41 +:100E8000FD54130A440231A8EF20A03022852938EE +:100E9000EF106036EF20A02D1C5CADE3B2476389F4 +:100EA000070EEF20002FEF100010EF20402C7C40A9 +:100EB00063949700232204043C4463949700232402 +:100EC0000404EF20002D6C002808EF20000855E9ED +:100ED000EF20E0291C5CCDFBEF20A02B1C40BDC700 +:100EE000B2455285EF10F0522285EFF0EFFBEF1084 +:100EF000803031C9EF20A0271C5CCDD3CA85228564 +:100F00004444EFF08FF8639B09041C5C1840FD1704 +:100F10001CDC01E7EF20602C48C01C48B9EBEF2037 +:100F200040270545F2506254D2544259B259225AD0 +:100F3000216182803C41E38007F27370043001A09C +:100F40007370043001A0EFF06FCC6DB7EF2020225A +:100F50004840EF20000FEF20C02359B75C5044C435 +:100F6000DDDF13054402EF10106955D9EFF00FCA09 +:100F70007DB713050401EF1010688547E311F5FAFA +:100F8000F5B72285EFF04FF2EF10E026014559BF8B +:100F9000EF202020014579B71DC9411122C44AC064 +:100FA00006C626C244412E892A84EF20C0066383E8 +:100FB000A40281464A8681452285513585476300D2 +:100FC000F502B2402244924402494101828073708A +:100FD000043001A05C44054585075CC4DDB75C4472 +:100FE00085075CC4F9BF15CDADC9011122CC26CA55 +:100FF0004AC84EC652C42A8406CE3289AE89EFF062 +:10100000CFCC1C5C2A8A814485E35285EFF08FCBDC +:10101000F240624426854249D244B249224A0561DF +:1010200082807370043001A0304001CE4C445C409B +:10103000B2954CC463E4F5000C404CC44E85EF30CF +:1010400080201C5C7D57FD171CDC7C40638CE70016 +:101050007C40854485077CC04DBF3C41D9D7737027 +:10106000043001A01C4899E3854445B713050401E9 +:10107000EF10705875D9E30909FE85472320F90060 +:10108000E5B721C93C41A1CDA1CB011122CC26CA93 +:101090004AC852C42A8406CE4EC62E8AEFF0EFC24A +:1010A0001C5C2A89814491CB8329C400D285228586 +:1010B000EFF0AFDD8544232634014A85EFF08FC081 +:1010C000F240624426854249D244B249224A05612F +:1010D00082807370043001A07370043001A0EDDFD2 +:1010E0007370043001A001E57370043001A0411158 +:1010F00022C42A8406C6EF208007005CEF20600926 +:10110000B240228522444101828001E5737004309F +:1011100001A0411106C622C42A84EF2040051C5CB0 +:10112000405C1D8CEF20E0062285B2402244410144 +:10113000828019C1085D82807370043001A01DCDCA +:101140009787030093874766D843630CE502D84727 +:10115000630CE504D84B6307E504D84F6302E5044C +:10116000D853630DE502D8576308E502D85B6303E3 +:10117000E502D85F630EE5006F30C006737004307F +:1011800001A001470E07BA9723A007006F30800522 +:101190001D47CDBF1947FDB71547EDB71147DDB75F +:1011A0000D47CDB70947F9BF0547E9BF68458280BC +:1011B0006CC5828003450505828009C5085D13352D +:1011C000150082807370043001A019C51C5D485D54 +:1011D0001D8D1335150082807370043001A0978730 +:1011E00003009387675C984305C3984705C7984BEE +:1011F00005C7984F05C7985305C7985705C7985B0B +:1012000015C7985F15C3828001470E07BA978CC334 +:10121000C8C382800547D5BF0947C5BF0D47F5B78D +:101220001147E5B71547D5B71D47C5B71947F1BFF2 +:101230009787030093874757D8436308E502D84749 +:10124000630BE502D84B630AE502D84F6309E50258 +:10125000D8536308E502D8576307E502D85B6308F3 +:10126000E502D85F6303A702828001470E07BA97A1 +:1012700023A0070082800547D5BF0947C5BF0D479A +:10128000F5B71147E5B71547D5B71D47C5B7194796 +:10129000F1BF797122D426D24AD02A8406D64ECE06 +:1012A00052CC56CAAE843289EF10706C7840FD572C +:1012B0006314F700232204043844FD576314F70035 +:1012C00023240404EF10F06C1C5C99E74A86A68581 +:1012D00013054402EF10F026EF1070693C44635C84 +:1012E000F002130A4402FD590549644889C8985C14 +:1012F000DC5C22C66367F7067370043001A05C50A3 +:1013000099CB5285EF10302F35ED3C44FD173CC48E +:101310003C44E34CF0FCFD573CC4EF109067EF10E9 +:1013200010657C406352F0021C4899CF930404017D +:101330002685EF10502C31E97C40FD177CC07C40A5 +:101340006354F0001C48EDF7FD577CC0EF1070644B +:10135000B250225492540259F249624AD24A45612B +:101360008280985CE373F7FA01466C002685EFF003 +:101370006FA2BC44AA8A638D3701BC448507BCC4F4 +:10138000E3952AF9EF10704749B7EF1010476DB792 +:10139000DC50FDD713854402EF10F02575D1DDB781 +:1013A00001E57370043001A0411126C2AA840A0528 +:1013B0001305950522C406C6EF20B0502A8431CD0E +:1013C000930785051CC1914744DD3CC1EF10305A9D +:1013D00034405C5C18407D56B387F602232C04022F +:1013E00070C018C430C413050401B386D740BA973F +:1013F00036975CC058C4EFF08F9113054402EFF0AC +:101400000F91EF10105923080404232A04042285A5 +:10141000B24022449244410182807370043001A0A2 +:10142000411122C426C24AC02A8406C62E89EF1062 +:1014300010547C48814491CBEF10B055B240224407 +:10144000268502499244410182801C5C8144EDF76B +:10145000232A24058544CDB77C496385B70081479D +:101460003E858280185D814765FF411122C42A8430 +:1014700006C6EF10D04F232A0404EF109051B2405B +:10148000224485473E854101828001112E86814696 +:101490006C0006CE02C66532F2403245056182809C +:1014A000011106CE22CC26CA02C605C12A84EFF05D +:1014B000CF811C5CAA8489EF2685EFF0AF80F240D3 +:1014C00062443245D244056182807370043001A0C9 +:1014D000304001CE4C445C40B2954CC463E4F5000E +:1014E0000C404CC46800EF2010561C5C7D57FD1763 +:1014F0001CDC7C406386E7007C4085077CC06DBFB8 +:101500001C48DDDB13050401EF10F00E75B705C5AF +:1015100079714ECE52CC06D63E8A22D426D24AD0FB +:1015200056CA5AC85EC662C4A547BA8963F8E700BE +:101530007370043001A07370043001A0AA8AAE84D5 +:101540003289B68B428B6309082213050006EF200F +:1015500050372A84630D05200A09232865034A862B +:101560009305500A5A85EF20105C03C704001C58ED +:101570007119230AE40203C704003E991379C9FFD5 +:1015800079C783C71400A30AF40283C71400E1C318 +:1015900083C72400230BF40283C72400CDCB83C769 +:1015A0003400A30BF40283C73400D5C383C74400BF +:1015B000230CF40283C74400D9CB83C75400A30C87 +:1015C000F40283C75400C1C783C76400230DF4022B +:1015D00083C76400ADCF83C77400A30DF40283C733 +:1015E0007400B5C783C78400230EF40283C7840048 +:1015F000B9CF83C79400A30EF40283C79400A1CB94 +:1016000083C7A400230FF40283C7A400A9C383C720 +:10161000B400A30FF40283C7B40095CB83C7C40002 +:101620002300F40483C7C4009DC383C7D400A30070 +:10163000F40483C7D40081CF83C7E4002301F404FA +:1016400083C7E40089C783C7F400A301F404130B24 +:1016500044005A85A30104042326340323283405B7 +:10166000232A0404EFE0FFEB13058401EFE07FEB96 +:10167000A947B3873741232C040400C81CCC40D0B1 +:1016800023220404232E04045E86D6854A85EFE0D7 +:101690007FE408C063040A0023208A0073700430CA +:1016A00017F90100130949DE8327090097F40100A7 +:1016B000938444DF91C798409C407C4385077CC35A +:1016C00017F70100130707DD1C43850797F6010094 +:1016D00023A2F6DC9C40D5CB8327090017FA010032 +:1016E000130A0AEE89EB9C40DC5763E6F90097F792 +:1016F000010023A987DA97F701009387E7D798437A +:1017000097F60100938686D85C549442050717F635 +:1017100001002323E6D638C463F6F60017F701006C +:101720002326F7D65147B387E702DA853305FA0057 +:10173000EFE09FDF8327090081C79C40FC43BDE3A6 +:1017400083270900054499C79C40DC5763F437019F +:10175000EFE0DFCB2285B250225492540259F24975 +:10176000624AD24A424BB24B224C456182805A8532 +:10177000EF2050277D54F9BF13152600EF20701479 +:101780002A8BE31405DC7D54F1B797F7010023ABF6 +:1017900087D0184385476301F70217FA0100130A3F +:1017A0002AE291BF984094407C43FD177CC3FC42E1 +:1017B000C1FB7360043069B7814A17FA0100130A4C +:1017C0002AE0514CA94B33858A03850A5295EFE0F4 +:1017D0001FD4E39A7AFF97FB0100938BEBEA5E85B7 +:1017E000EFE0FFD297FA0100938A4AEB5685EFE0CB +:1017F0001FD217F501001305A5EBEFE05FD117F538 +:101800000100130525ECEFE09FD017F5010013054B +:10181000A5ECEFE0DFCF97F7010023A377C997F797 +:10182000010023AD57C7C1BD411122C406C626C25F +:101830004AC02A847370043097F401009384C4C4AE +:101840009C40F9E311E497F701009387A7C5804313 +:10185000130944004A85EFE0BFD11C5489C7130522 +:101860008401EFE0FFD0CA8517F50100130585E577 +:10187000EFE09FCB97F70100938707C2984397F754 +:101880000100938767BF9C43050797F6010023A5D6 +:10189000E6C09840850797F6010023AFF6BC01CB60 +:1018A00097F70100938707C09843784349EF9C401E +:1018B000B1C797F701009387E7BE9843630F870886 +:1018C00073700430984039EB17F70100130747BDD8 +:1018D00014439442A5E27D5797F6010023ACE6B885 +:1018E000984009CF9843784311CB984394437C4365 +:1018F000FD177CC3FC4299E373600430B24022447C +:10190000924402494101828097F70100938787B989 +:1019100098439C437C4385077CC32DB794439843ED +:10192000F8420507F8C217F70100130767B7144319 +:101930009442D5D2184358475847584397F6010068 +:1019400023AAE6B271BF984394437C43FD177CC33E +:10195000FC42B1FF7360043099BF97F70100938791 +:1019600027B19C4381C77370043001A02244B24068 +:101970009244024941016FE07FA941C517F7010078 +:10198000130747B214438147638BA606411106C66D +:1019900022C426C27370043097F601009386C6AE47 +:1019A0009C4291C710431C437C4285077CC29C42E9 +:1019B000AA84404981C71C43FC43B9E797F701005B +:1019C000938707AE9C43638B870497F70100938747 +:1019D000E7AC9C436384870497F701009387C7CFE4 +:1019E0006309F40297F701009387C7CD6301F402FE +:1019F0008547B240224492443E85410182803E8523 +:101A000082807370043001A0EF40501245BF9147AF +:101A1000CDB79C5493B717008907E1BF8947D1BF61 +:101A20007370043097F70100938707A6984309EF76 +:101A300015C59C43485581CB97F70100938787A62E +:101A40009843784315E3828017F70100130787A5B1 +:101A500014431843F8420507F8C261FD17F7010067 +:101A6000130747A40843F1B7984394437C43FD17F9 +:101A70007CC3FC42E9FB736004308280411122C4C4 +:101A80002A8406C6EFE07FA411E497F7010093874C +:101A900067A180434054EFE0FFA2B2402285224478 +:101AA00041018280A54763F5B7007370043001A03F +:101AB000011122CC06CE26CA4AC84EC652C42A8478 +:101AC0007370043017F901001309099C832709007A +:101AD000D5EF79C43C486389F50817F7010013076F +:101AE000679C63FCB7001443638D860C184344570E +:101AF000B3B4950093C4140029A00443818C93B41B +:101B0000140058546384E70A1C4C2CC863C60700B1 +:101B1000A947B385B7400CCC93172700BA9758480C +:101B200097F901009389C9A98A07CE97631BF70229 +:101B3000130A44005285EFE0BFA317F70100130713 +:101B4000E7945C5418436376F70017F70100232FDE +:101B5000F7925147B387E702D2853385F900EFE06A +:101B6000BF9C99C0EFE09F8A8327090081CB97F73C +:101B70000100938727939C43FC439DEBF240624412 +:101B8000D2444249B249224A0561828097F7010056 +:101B90009387479198439C437C4385077CC31DF8FA +:101BA00097F701009387079080432DB74CD4A9BFC6 +:101BB0006244F240D2444249B249224A05616F4030 +:101BC000E07681443DBF25C1011126CA97F401008A +:101BD0009384448D9C4006CE22CC4AC84EC6638D69 +:101BE000A7027370043017F901001309E9898327EC +:101BF000090091C798409C407C4385077CC35849A5 +:101C000097F70100938747AD6302F7028327090026 +:101C100081C79C40FC43A5EBF2406244D244424958 +:101C2000B249056182807370043001A01C5517F71A +:101C300001001307E7A7E38BE7FCE9FB93094500E5 +:101C40002A844E85EFE0DF9217F70100130707841F +:101C50005C5418436376F70017F701002328F782D6 +:101C60005147B387E70217F5010013056595CE8547 +:101C70003E95EFE07F8B9C405854DC57E368F7F8C3 +:101C8000EFE0CFF861B76244F240D2444249B24932 +:101C900005616F40A06915CD011122CC26CA4AC842 +:101CA0002A8406CE4EC6EFE05F82584897F70100BF +:101CB000938787A22A8981446300F7024A85EFE06F +:101CC0007F80F240624426854249D244B249056190 +:101CD00082807370043001A01C5417F501001305B5 +:101CE000259DE38DA7FCF9FB97E7010093874778D3 +:101CF0009C43A9EB97E701009387C77A9C43445420 +:101D000093094400DC574E85B3B4F400EFE05F86DE +:101D100017E70100130787775C54184393C4140036 +:101D20006376F70017E701002322F7765147B38760 +:101D3000E70217F501001305A588CE853E95EFE073 +:101D4000AFFEADBF93058401EFE00FFE85BF01112B +:101D5000056506CE22CC26CA4AC84EC652C456C213 +:101D60005AC0EF200036630E051AAA841305000638 +:101D7000EF2020352A84630A051C05669305500A66 +:101D800004D92685EF20205A04588567F117BE94A0 +:101D9000B7474C4593879744130A44005CD8528553 +:101DA000230C0402A30104042326040223280404B0 +:101DB000232A0404EFE0EFF613058401EFE06FF649 +:101DC000A947232C0404F19800C81CCC40D023223E +:101DD0000404232E04040146971500009385E5B101 +:101DE0002685EFE02FEF08C07370043017E901007B +:101DF000130989698327090097E401009384846AA1 +:101E000091C798409C407C4385077CC317E701003D +:101E1000130747681C43850797E6010023ACF66665 +:101E20009C40C9C38327090097E901009389497938 +:101E300081EB9C40DC5789E797E7010023A4876684 +:101E400097E7010093874763984397E601009386DD +:101E5000E6635C549442050717E60100232EE66012 +:101E600038C463F6F60017E701002321F7621395E3 +:101E700027003E950A05D2854E95EFE0EFEA8327CD +:101E8000090081C79C40FC43DDE78327090091C31B +:101E90009C40EF10704985476305F50C59E173705C +:101EA000043001A097E7010023AE875E1843854701 +:101EB00097E901009389C970E314F7F8CE8A17EB0C +:101EC0000100130B6B7C5685D10AEFE04FE4E31C55 +:101ED0005BFF17E501001305257BEFE04FE397EA71 +:101EE0000100938AAA7B5685EFE06FE217E50100B7 +:101EF0001305057CEFE0AFE117E501001305857CD4 +:101F0000EFE0EFE017E501001305057DEFE02FE0BE +:101F100097E7010023A6675997E7010023A05759C7 +:101F200005B7F2406244D2444249B249224A924A39 +:101F3000024B05618280984094407C43FD177CC32E +:101F4000FC42A1F77360043089B76244F240424911 +:101F5000B249224A924A024B2685D24405616F203B +:101F6000602873700430FD5717E701002324F750F1 +:101F7000624497E7010023A9A750F240D2444249A6 +:101F8000B249224A924A024B97E7010023A2075026 +:101F900005616FE00FB17370043097E7010023A56E +:101FA000074E6FE04FBE17E701001307674C1C4355 +:101FB00085071CC382807370043097E70100938704 +:101FC000A74C984305E317E701001307674C084344 +:101FD0009C4381CB97E701009387C74C9843784394 +:101FE00001EF828017E701001307C74B1443184322 +:101FF000F8420507F8C2C1BF984394437C43FD17DC +:102000007CC3FC42F9FF736004308280411106C634 +:1020100022C4EFE08FCB97E70100938767478043A7 +:10202000EFE04FCAB240228522444101828097E707 +:1020300001009387274688438280797106D622D48F +:1020400026D24AD04ECE52CC56CA5AC85EC697E760 +:1020500001009387E7419C43B1EF17E701001307A5 +:1020600027431C43850797E6010023A3F642832AF2 +:102070000700638F0A0697E701009387A73F9C43F9 +:10208000814463F1FA0897E901009389695317EADB +:102090000100130A2A4183270A008546D85793175F +:1020A0002700BA978A07BE9983A7090063FFF60045 +:1020B000854421A897E701009387C73C9C4381444E +:1020C000850717E70100232FF73A97E70100938769 +:1020D000273B9C4391C38544B25022542685025924 +:1020E0009254F249624AD24A424BB24B45618280D5 +:1020F00017E401001304C43A1C409C43DDC3737011 +:10210000043001A017E401001304843997E90100A9 +:102110009389094B17EA0100130ACA3817EB01002B +:10212000130BCB361C409C43B5C31C40DC4703A9B2 +:10213000C70083274900930B49005E8563EEFA04CC +:10214000EFE00FC3832789021305890199C3EFE0EC +:102150002FC28327C90203270B00DE8513952700B2 +:102160003E950A054E956376F70017E70100232F89 +:10217000F730EFE06FBB83270A000327C902DC5763 +:10218000E362F7FA1C4085449C43C5F3FD5717E70B +:1021900001002321F72E01B717E70100232CF72CAC +:1021A000DDBD17E701001307672F1C40184397E6B2 +:1021B000010023A7E62E17E701002321F72E97E75A +:1021C00001009387A72B9C43850717E7010023276E +:1021D000F72A1C409C4399E7FD5717E70100232B82 +:1021E000F72851BD1C40DC47DC47DC4317E7010002 +:1021F0002322F72849B597E701009387672798437B +:1022000001E77370043001A0011106CE22CC26CA6A +:102210004AC84EC652C456C25AC07370043097E4BE +:10222000010093846426984061EB98437D1797E6FC +:10223000010023AFE6229C43D9EB97E70100938787 +:1022400067259C43C9C797EA0100938A6A3717EA52 +:102250000100130AAA2317E901001309A9248549DB +:1022600019A83385EA00EFE02FAC83270900585402 +:10227000DC576379F70483A70A0FC1CF83A7CA0F7E +:10228000C04713058401EFE0AFAE130B44005A853D +:10229000EFE00FAE5C5483260A00DA851395270021 +:1022A0003E950A052A875695E3FDF6FA17E70100E1 +:1022B000232EF71CEFE04FA7832709005854DC5763 +:1022C000E36BF7FA97E7010023AC371B6DB70144C6 +:1022D0009C4081CB97E701009387C71C9C43FC433C +:1022E00095E72285F2406244D2444249B249224AEB +:1022F000924A024B0561828017E701001307871A93 +:1023000014431843F8420507F8C205B7EF4000022E +:10231000C9BF17E401001304E4161C4095C31C4018 +:10232000054999CF193B09C597E7010023AA27154D +:102330001C40FD1717E701002326F7141C40FDF38E +:1023400097E701009387C71398438547E311F7F890 +:10235000EFE0CF8B0544ADBF11CD81E57370043044 +:1023600001A017E701001307A7101C4399C773705A +:10237000043001A07370043001A0411122C406C6CC +:1023800014431841850617E601002323D60E97E66D +:1023900001009386E60F94423304B70000C163F74F +:1023A000E602637FE40063FD860097E70100938700 +:1023B000670F88431105EFE0AF9B2285EF30D070A7 +:1023C0001D3D01C9B240224441018280E36FE4FC1B +:1023D000D9BF2244B24041016FE04F8311C917E7D2 +:1023E00001001307E7081C4391C77370043001A074 +:1023F0006FE0CF81411106C622C41843AA870507A2 +:1024000097E6010023A6E60697E601009386860973 +:1024100017E701001307C707184388423304F70082 +:102420001105EFE0EF942285EF30106AE93311E5F2 +:102430002244B24041016FD07FFDB24022444101AD +:102440008280411122C406C697E7010093874702A4 +:102450009C430144850717E70100232BF70097E70A +:102460000100938727039C4363E0F51217EE0100F8 +:10247000130ECE2097E201009382C213014401475C +:10248000854F1303500A21A0311E638DC20B832791 +:102490008EFFBA86F5DB8327CEFFDC43232EFEFEBC +:1024A000638AC70F03AFC700DC432A97814E232EF0 +:1024B000FEFE6385C70783A8C700938748032320D0 +:1024C00017015CC383A788042326F7011CC783A7D1 +:1024D000C8021CCB83A70805232C07005CCB03A8EC +:1024E00008038347080063906704C287850783C693 +:1024F0000700B3850741E38B66FE8981C205C18170 +:10250000231EB700850E1307070263061F038327E8 +:10251000CEFFDC43232EFEFEE39FC7F983274E0048 +:10252000232EFEFE49BF8145231EB700850E1307EB +:102530000702E31E1FFD769413175400311EBA865E +:10254000E397C2F597E70100938787F503AE07008D +:1025500083270E00639D070E97E70100938707F41A +:1025600003AE070083270E006392071817EE0100E1 +:10257000130E0E0583274E109DE383278E1163955E +:10258000072019C223200600BD312285B240224413 +:102590004101828083274E00232EFEFE21B7832730 +:1025A0008E1017EF0100130F6F12DC4317E70100C5 +:1025B000232CF7106380E73383A2C700DC43AA967D +:1025C000814E17E701002321F710914F1303500AA2 +:1025D0006388E70783A8C7009387480323A01601F1 +:1025E000DCC283A7880423A6F6019CC683A7C80281 +:1025F0009CCA83A7080523AC0600DCCA03A808030D +:10260000834708006391672AC287850703C70700CD +:10261000B3850741E30B67FE93D72500C207C1834B +:10262000239EF600850E9386060263861229832771 +:102630008E10DC4317E701002328F708E39CE7F935 +:1026400083270E1117E701002320F70861B78327BE +:102650004E00130F8E00D4432322DE006388E62948 +:10266000B307E500D84283A2C600814E2322EE00C4 +:10267000894F1303500A6306EF068328C7001387A8 +:10268000480323A01701D8C303A7880423A6F70192 +:1026900098C703A7C80298CB03A7080523AC070077 +:1026A000D8CB03A80803034708006318671C4287B8 +:1026B000050783460700B3050741E38B66FE13D782 +:1026C000250042074183239EE700850E938707027A +:1026D000638D121B83264E00D8422322EE00E31E98 +:1026E000EFF80327CE002322EE0041BF83274E00E0 +:1026F000130F8E00DC432322FE006384E71F83A2B6 +:10270000C700DC43AA96814E2322FE00894F1303A3 +:10271000500A6306FF0683A8C7009387480323A0D7 +:102720001601DCC283A7880423A6F6019CC683A7F2 +:10273000C8029CCA83A7080523AC0600DCCA03A80C +:1027400008038347080063966710C287850703C79D +:102750000700B3850741E30B67FE93D72500C20747 +:10276000C183239EF600850E93860602638B120FAB +:1027700083274E00DC432322FE00E31EFFF883275D +:10278000CE002322FE0041BF8327CE1197EE010029 +:10279000938E0EF5DC4317E701002321F7F46384E1 +:1027A000D71383AFC700DC433307D500014397E657 +:1027B000010023A5F6F20D4F89429308500A638762 +:1027C000D707C8479307450308C35CC33C45232686 +:1027D000E7011CC75C551CCB1C5599C32326570029 +:1027E0003C49232C07005CCB0328050383470800E2 +:1027F00063951705C287850783C60700B385074120 +:10280000E38B16FF93D72500C207C183231EF70071 +:10281000050313070702638AAF028327CE11DC4347 +:1028200097E6010023ACF6EAE39DD7F983274E1221 +:1028300097E6010023A4F6EA69B78147231EF70053 +:10284000050313070702E39AAFFC1A94E31C06D2B0 +:1028500025BB8147239EF600850E93860602E399E9 +:1028600012F117EE0100130EAED583274E107694A9 +:1028700093165400E38307D01DB30147239EE7005E +:10288000850E93870702E39712E597E70100938788 +:10289000E7C003AE070076949316540083270E001A +:1028A000E38607CCA1B58147239EF600850E93866B +:1028B0000602E39E12D783278E1176949316540056 +:1028C000E38107CCD1B583274E1217E701002327F8 +:1028D000F7E0C1BD83270E1117E701002326F7DEBD +:1028E000E1B98327CE002322FE0011BD8326CE004E +:1028F0002322DE00B5B3797126D24AD04ECE52CC17 +:1029000056CA5AC85EC606D622D497E901009389F2 +:1029100029B617E901001309A9CA17EA0100130A29 +:10292000AAB697EA0100938AEAB797EB0100938B66 +:102930006BB697E40100938424B6054B21A883A7C6 +:10294000090003244910850717E701002322F7B285 +:102950005D3011E89C40E5F783270900E37CFBFE2E +:10296000EFD0DFAAC5BF7370043083270A00B9E334 +:1029700083270911C04713054400EFD07FBF83A709 +:102980000B00FD1717E701002326F7B09C40FD1749 +:1029900017E701002322F7B083270A0089C783A71E +:1029A0000A00FC4385E30858EF10D0032285EF109E +:1029B00070034DB703A70A0083A70A007C4385076D +:1029C0007CC37DB703A70A0083A60A007C43FD17DA +:1029D0007CC3FC42E9FB73600430F1B797E7010068 +:1029E000938707A99C43DDE3411106C697E70100E1 +:1029F00023A807A817E701001307C7AA1C43B7A617 +:102A0000A5A59386565A9C5B8C43639BD500D04307 +:102A10006318B60094476395C600DC476388D70007 +:102A200008430C4393854503EF20C07897E60100E7 +:102A30009386C6A5904217E70100130767B811A84F +:102A40009C42B9CB9C42FD1717E601002320F6A457 +:102A5000904293172600B2978A07BA979C43EDD30A +:102A6000904293172600B2978A07B305F700D44126 +:102A7000A107BA97D442D4C16383F602DC46B240C0 +:102A800017E701002320F7A241018280854717E75D +:102A900001002327F79E82807370043001A0D14784 +:102AA0003306F602D442329754C3C9BF01114AC853 +:102AB00006CE22CC26CA4EC62A897370043097E40B +:102AC00001009384649C9C4017E401001304849DDE +:102AD000D9E76314090003290400930949004E85CE +:102AE000EFD01FA98327890289C713058901EFD079 +:102AF0003FA8CE8517E50100130505BEEFD0DFA284 +:102B00009C4081C71C40FC43ADE31C40638B2707FE +:102B10009C409DCF737004309C40C1EB97E701004F +:102B2000938707989843184325EBFD5717E7010053 +:102B30002322F7949C4089CF1C40FC4391CB184042 +:102B400014407C43FD177CC3FC4299E3736004305E +:102B5000F2406244D2444249B24905618280184041 +:102B60001C407C4385077CC3ADB7184014407C43B0 +:102B7000FD177CC3FC42D1FB736004301C40E39919 +:102B800027F99C40A1C397E701009387678E9C4378 +:102B9000ADCB7370043001A09C43DC47DC47DC43C1 +:102BA00017E701002328F78C71B718401C407C43BD +:102BB00085077CC397E701009387878E9843184366 +:102BC0002DD7D9BF97E701009387C78C17E701007E +:102BD0001307079F9C4303278711631DF700F240EB +:102BE000624497E7010023AF078AD2444249B249C1 +:102BF000056182806244F240D2444249B249056193 +:102C0000F1BB6244F240D2444249B24905616FD0FF +:102C1000EFFF3DC9411126C297E4010093848488E7 +:102C20004AC02E898C4006C622C4E105EFD05F91D0 +:102C300088401105EFD0DF93FD57630AF90497E749 +:102C400001009387E784804398409C434A9440C3A3 +:102C5000636CF40497E701009387878488438C4072 +:102C60009105EFD0FF8D97E701009387A7809C43E4 +:102C70006376F40097D7010023AE877EB2402244EA +:102C800092440249410182807370043001A08C405B +:102C90002244B2409244024917E501001305C5A33E +:102CA000910541016FD05F8897D701009387077F17 +:102CB000884322448C40B24092440249910541012C +:102CC0006FD01F8811C997D701009387677A9C43FB +:102CD00099E77370043001A07370043001A04111B2 +:102CE00026C297D401009384E47B9840AE878C4041 +:102CF000B7060080D58F06C622C41CCFE1053284FA +:102D0000EFD09F8288401105EFD09F86FD57630E5C +:102D1000F40097D701009387A7778843B240924485 +:102D20002295224441016F30205A8C402244B24007 +:102D3000924417E501001305259A910541016FD0D2 +:102D4000AFFE25CD411126C297D40100938484752E +:102D50004AC02E898C4006C622C4E1053284EFD0D9 +:102D6000AFFC88401105EFD0BF808547630AF404AB +:102D700097D701009387C771804398409C434A943A +:102D800040C3636CF40497D701009387677188434D +:102D90008C409105EFD0CFFA97D701009387876DCC +:102DA0009C436376F40097D7010023A5876CB2405B +:102DB000224492440249410182807370043001A090 +:102DC0008C402244B2409244024917E501001305A9 +:102DD000A590910541016FD02FF597D701009387FA +:102DE000E76B884322448C40B240924402499105EB +:102DF00041016FD0EFF4411106C622C426C25C45E2 +:102E0000C04735CC930484012685EFD06FF697D761 +:102E100001009387E7659C43B5E79304440026854A +:102E2000EFD00FF597D701009387476658549C431E +:102E300063F6E70097D7010023AAE76493172700FA +:102E4000BA978A0717D5010013058577A6853E95A1 +:102E5000EFD08FED97D701009387C7649C435854F8 +:102E60000145DC5763F8E700854717D701002329A0 +:102E7000F7600545B240224492444101828073705C +:102E8000043001A0A68517E5010013056582EFD087 +:102E9000AFE9C9B797D701009387875D9C4381E766 +:102EA0007370043001A0411106C622C426C2B707C0 +:102EB00000804045CD8F1CC12DC4EFD06FEB930433 +:102EC00044002685EFD0CFEA97D701009387075CAF +:102ED00058549C4363F6E70097D7010023A8E75AAC +:102EE00093172700BA978A0717D501001305456D78 +:102EF0003E95A685EFD04FE397D701009387875A79 +:102F00009C4358540145DC5763F8E700854717D7C1 +:102F100001002327F7560545B2402244924441015F +:102F200082807370043001A011CD97D70100938780 +:102F3000E754984397D70100938787559C4318C15E +:102F40005CC182807370043001A055C1D1CD4111A4 +:102F500006C622C42A877370043097D8010093886C +:102F6000A85283A7080091CB97D701009387875376 +:102F700094439C43FC428507FCC217D30100130312 +:102F800023519C4103260300FD560144638FD70261 +:102F900017DE0100130E8E4E03250E0003280700D6 +:102FA00054436305A80005446371D6023308D64034 +:102FB0000544637CF80003250E00918F032603006F +:102FC000B6979CC108C350C3014483A7080081CBB6 +:102FD00097D701009387074D9C43FC4381EF2285DF +:102FE000B2402244410182807370043001A07370AA +:102FF000043001A0EF308033DDB7854717D70100DB +:103000002320F748828019C168458280014582806B +:1030100011C16CC5828097D701009387A748884368 +:10302000828097D70100938727469C43054589CB2B +:1030300097D701009387C7438843133515000605CA +:10304000828031CD011126CA97D401009384844532 +:103050009C4006CE22CC4AC84EC65855DC576379F0 +:10306000F7021C4D63C707009C40D457A947958FB2 +:103070001CCD93172700BA97584917D90100130997 +:1030800029548A07CA97630DF7009C40DC575CD52A +:10309000F2406244D2444249B24905618280828052 +:1030A000930945002A844E85EFD08FCC9C4017D7DA +:1030B00001001307A73D1843DC575CD46376F70083 +:1030C00017D701002324F73C139527003E9562444F +:1030D0000A05F240D244CE854A95B249424905617B +:1030E0006FD08FC419CD411106C622C426C297D70E +:1030F00001009387273B80436307A400737004306B +:1031000001A0014582807C4881E77370043001A0F2 +:1031100054543848FD177CC86383E60099C70145BD +:10312000B2402244924441018280930444002685A7 +:10313000EFD00FC43C4817D70100130727351443BD +:1031400029471D8F5CD418CC63F6F60017D7010011 +:10315000232EF7325147B387E70217D50100130535 +:103160002546A6853E95EFD02FBC054555BF73700B +:10317000043097D70100938727319C4391CB97D791 +:1031800001009387273298439C437C4385077CC387 +:10319000828097D701009387272F9C438DC397D7B1 +:1031A0000100938727309843784311CB9843944389 +:1031B0007C43FD177CC3FC4299E37360043082803A +:1031C00097D701009387072E904394439843A947CC +:1031D000D456084E958F1CCF828097D701009387D5 +:1031E000672C984309C79443F84A0507F8CA8843EF +:1031F000828079714AD006D622D426D24ECE2A8930 +:103200007370043097D40100938404289C4017D431 +:10321000010013042429BDE31C40BC4F89E71C4076 +:103220000547F8CFD1E19C4081C71C40FC43F1EB3E +:10323000737004309C408DEF1C40A84F11C563048F +:1032400009021C4023AC07041C4023AE07049C4029 +:1032500081C71C40FC438DEBB2502254925402595A +:10326000F2494561828018403C4FFD173CCFE9BFD1 +:1032700018401C407C4385077CC37DBF18401C4020 +:103280007C4385077CC349BF184014407C43FD172D +:103290007CC3FC42F1F373600430B2502254925468 +:1032A0000259F2494561828008402EC61105EFD0CF +:1032B0002FACB245FD576380F50697D70100938781 +:1032C000271D83A9070018409C43AE99232237018C +:1032D00063ECF90497D701009387871C88430C405F +:1032E0009105EFD0EFA597D701009387A7189C43CE +:1032F00063F6F90097D7010023AE3717EFD00F918F +:103300001DB7184014407C43FD177CC3FC428DF36D +:103310007360043031BF0C4017D501001305C53B65 +:103320009105EFD06FA0D9BF97D7010093870717FA +:1033300088430C409105EFD0AFA0C9B779714AD04E +:103340004ECE06D622D426D252CC2E89B2897370A4 +:10335000043097D40100938424139C4017D40100B7 +:1033600013044414F9EF18408947784F630CF700B1 +:103370001C401345F5FFB84F798DA8CF1C40054779 +:10338000F8CFADE69C4081C71C40FC43F5E3737069 +:1033900004309C40B1E7638609001C40BC4F23A069 +:1033A000F900184085470145784F630BF7001C4032 +:1033B0001349F9FF0545B84F3379E90023AC2705D8 +:1033C0001C4023AE07049C4081C71C40FC43C1E362 +:1033D000B250225492540259F249624A45618280A5 +:1033E00018401C407C4385077CC375B7084036C62F +:1033F0001105EFD0EF97B246FD576385F60897D7D2 +:1034000001009387E70803AA070018409C43369AF7 +:10341000232247016363FA0897D70100938747087F +:1034200088430C409105EFD0AF9197D70100938767 +:1034300067049C436370FA0697D7010023AC4703E7 +:1034400091A818401C407C4385077CC329BF1840C5 +:1034500014407C43FD177CC3FC42BDFB7360043009 +:10346000B250225492540259F249624A4561828014 +:10347000184014407C43FD177CC3FC4289FB7360F9 +:10348000043031B70C4017D501001305E524910530 +:10349000EFD08F89EFC09FF7F5B597D701009387DD +:1034A000E7FF88430C409105EFD08F89E5B751C104 +:1034B000411106C622C426C24AC07370043097D494 +:1034C0000100938464FC9C40B9EB99C23C4D9CC2C2 +:1034D000B2878946704D74CD2E872A846386D706BD +:1034E00063FCF6048D456387B70091456395B7008B +:1034F0006300D60C38CC8547630FF60405449C4026 +:1035000081CB97D701009387E7F99C43FC43A9E35C +:103510002285B2402244924402494101828097D7D9 +:103520000100938727F898439C437C4385077CC31D +:1035300069BF7370043001A08546E39ED7FA3C4D05 +:1035400033E7B70038CD45BF3C4D85073CCD65B767 +:10355000EF20D05D75BF130944004A85EFD04F813D +:1035600017D70100130787F25C5418436376F700FE +:1035700017D70100232CF7F05147B387E70217D57F +:1035800001001305E503CA853E95EFC0FFF91C5401 +:1035900081C77370043001A097D70100938787F02B +:1035A0009C435854DC57E3FBE7F4EFC03FE6B9B760 +:1035B0000144B1B739CD011122CC26CA4AC84EC642 +:1035C00052C456C206CEBA89368AB2842E892A845B +:1035D000EFC0BFEFAA8A63050A003C4C2320FA0023 +:1035E000784C89477CCC6389F40263F4970C8D4650 +:1035F0006387D40091466396D400630AF70A232CAC +:1036000024058547631EF7061C5499CB737004305C +:1036100001A07370043001A03C4C85073CCCD5B7A9 +:1036200097D701009387C7E49C43ADEB9304440014 +:103630002685EFC0FFF317D70100130727E55C5479 +:1036400018436376F70017D701002321F7E45147A9 +:10365000B387E70217D50100130585F6A6853E95C9 +:10366000EFC09FEC97D701009387C7E39C43585462 +:10367000DC5763F7E70063850900854723A0F9005D +:1036800005445685EFC01FE42285F2406244D244CF +:103690004249B249224A924A0561828093058401D7 +:1036A00017D501001305C500EFC01FE865BF014431 +:1036B000C9BF8547E397F4F43C4C33E92701232C39 +:1036C000240581B74DC1011122CC26CA2A844AC8DB +:1036D00006CE4EC6AE84EFC05FDF8947744C7CCC0B +:1036E0003C4C05472A8985073CCC6395E6061C546B +:1036F00081C77370043001A097D70100938747D723 +:103700009C43ADE7930944004E85EFC07FE617D791 +:1037100001001307A7D75C5418436376F70017D747 +:1037200001002325F7D65147B387E70217D50100DB +:10373000130505E9CE853E95EFC01FDF97D7010041 +:10374000938747D69C435854DC5763F5E70099C0EC +:1037500085479CC06244F240D244B2494A854249FE +:1037600005616FC03FD67370043001A093058401DA +:1037700017D501001305C5F3EFC01FDBC1B7AA873A +:1037800029C57370043017D701001307E7CF14431E +:103790009DE2F04F894601456315D60023AE07042C +:1037A00005451C4381CB97D701009387A7CF98434A +:1037B000784315E3828097D601009386A6CE904287 +:1037C00094427442850674C2E9B797D70100938783 +:1037D00067CD9C437DB7984394437C43FD177CC3DE +:1037E000FC42E9FB7360043082805D71A6C2CAC0EE +:1037F00052DC56DA5AD85ED686C6A2C44EDE62D4F1 +:1038000066D26AD017D901001309C9CA17DB0100B3 +:10381000130B8BC997D40100938444C997DA010034 +:10382000938A0AC9054AA54B832709009843630177 +:103830000712DC4783A90700EFE0EFF6EFE0AFF7F0 +:1038400083270B002A8C6362F50A97D7010023AD0A +:10385000A7C401466370351B8840B3858941EFD00A +:103860005FA3EFE05F99630105128840814601463E +:103870002C00EFD0CFDC4DD9A247E3C807FEC249E8 +:1038800083A7490189C713854900EFC07FCEEFE0C8 +:103890008FF283270B002A8C636DF508A24717D798 +:1038A0000100232387C1E3E2FBFCB317FA0013F7FF +:1038B0000721631A071613F7770C6318071E93F78F +:1038C0000702C5D74E85EF00F01145B7DC47C0476A +:1038D00083AC0700930944004E85EFC07FC95C505C +:1038E000228582975C4C6384470B832709009843A9 +:1038F00071FF03A70A0097D6010023A786BB97D6BE +:10390000010023A7F6BA97D7010023A5E7BAEFE095 +:103910009F8EA1BFDC47C04703AD0700930C440056 +:103920006685EFC0FFC45C50228582975C4C63863D +:10393000470983270900984371FF03A70A0097D618 +:10394000010023A7F6B697D7010023A5E7B6B9B7BC +:10395000EFE06FE5EFE02FE683270B002A8CE366AC +:10396000F5F883A70A00884081499043B3858941CF +:1039700017D70100232A87B313361600EFD07F91A3 +:10398000EFE07F87E31305EEEFC05FA8F9BD1C4CA5 +:10399000CE85E69763E9FC049C4089CF02CA66CCD9 +:1039A00022CEEFF00FE88840814601464C08EFD068 +:1039B0002F8905FD7370043001A01C4CE685EA9741 +:1039C000636CFD049C4089CF02CA6ACC22CEEFF022 +:1039D0004FE58840814601464C08EFD06F8631F9AB +:1039E0007370043001A0032509005CC000C8EFC05B +:1039F0003FB5E5BDEFE03F8083270900DC47C047C6 +:103A0000930C44006685EFC0BFB65C4C638F4703E0 +:103A10005C502285829791BD032509005CC000C8D7 +:103A2000EFC01FB239B7B24723ACF900A5CFE29778 +:103A300023A2F90023A8390193854900636FFC0490 +:103A400003A50A00EFC0DFAF0DB51C4C00C83387DB +:103A5000F90058C0636DEC02330C3C416363FC0217 +:103A60009C4089CF02CA4ECC22CEEFF08FDB88403B +:103A7000814601464C08EFC0BFFC59F97370043011 +:103A800001A003A50A00E685EFC09FAB51B703254F +:103A90000900E685EFC0DFAAA5BF03250900EFC036 +:103AA0003FAAE1B37370043001A0B24783A6890135 +:103AB00023A839013387D70023A2E9006364EC040B +:103AC000B307FC4063EBD70483A749024E85829776 +:103AD00083A7C901E39B47D983A68901B2479840D0 +:103AE000B69711CF02CA3ECC4ECEEFF08FD38840AE +:103AF000814601464C08EFC0BFF4E31805D6737049 +:103B0000043001A06374FC00E370F7FC0325090096 +:103B100093854900EFC0DFA289BB03A50A00938506 +:103B20004900EFC0FFA191B3411122C406C626C2CD +:103B30004AC017D4010013046497EFF04FE31C4010 +:103B400095CBEFF00FE51C409DC3814801488147AC +:103B5000094781461306004097D5010093850586E5 +:103B6000170500001305A5C8EFD07F9A39E97370D7 +:103B7000043001A017D90100130949B74A85EFC0E5 +:103B80001F9997D401009384A4B72685EFC03F986E +:103B90000146B145114597D7010023AD279197D72D +:103BA000010023A79790EFC0BFC417D70100232FB0 +:103BB000A78E11CD97C501009385C57FEFD02FE269 +:103BC00049B7B24022449244024941018280737055 +:103BD000043001A0A5C9797156CAAA8A1305C0028A +:103BE00022D426D24AD04ECE52CC06D65AC85EC671 +:103BF0003A89B689328AAE84E9212A8405C9EFF070 +:103C00000FD797D701009387678A9C439DCFEFF02A +:103C10004FD8130544002320540104CC232E440123 +:103C20002320340323222403EFC0BF8F2285B25008 +:103C3000225492540259F249624AD24A424BB24B40 +:103C4000456182807370043001A097DB0100938B83 +:103C5000EBA95E85EFC0BF8B17DB0100130B4BAAEE +:103C60005A85EFC0DF8A0146B145114597D701005B +:103C700023A2778597D7010023AC6783EFC05FB796 +:103C800017D701002324A78201C997C50100938596 +:103C90006572EFD0CFD4A5BF7370043001A039C5D1 +:103CA000011122CC06CE26CA17D4010013040480C9 +:103CB000832804002A88014563850802BA842EC23D +:103CC000368732C442C6954663C5B602EFF06FB57B +:103CD0008947630BF5020840814601464C00EFC05E +:103CE0003FD6F2406244D244056182807370043052 +:103CF00001A04C0081463A864685EFC0DFF6F240CF +:103D00006244D244056182800840814626864C0088 +:103D1000EFC01FD3F9B719C1084182807370043016 +:103D200001A001E57370043001A0411106C622C450 +:103D30002A84EFF0CFC348483334A000EFF06FC5BA +:103D40002285B24022444101828001E57370043033 +:103D500001A0411122C42A8406C6EFF04FC10050D1 +:103D6000EFF02FC3B240228522444101828001E559 +:103D70007370043001A0411122C426C22E84AA848B +:103D800006C6EFF0CFBE80D02244B240924441013B +:103D90006FF02FC04111714522C406C61D262A842A +:103DA00011C5232005001105EFC06FF62285B24032 +:103DB00022444101828001CDB70700FFED8F81C70A +:103DC0007370043001A099E57370043001A0737022 +:103DD000043001A0011122CC4AC84EC652C456C2BA +:103DE00006CE26CABA893689B28A2E842A8AEFF08C +:103DF0004FA311E5638509007370043001A0EFE063 +:103E00008F9A83240A00B37794006316090295C73A +:103E100063870A009347F4FFE58F2320FA00EFE061 +:103E20008FBDF240624426854249D244B249224ABB +:103E3000924A05618280E30DF4FCE38209FEB3350A +:103E40005001E20563050900B7070004DD8D4E86C9 +:103E5000C18D13054A00EFE0FFE6EFE0CFB919E1AD +:103E6000EFC0CFDAEFF0CFB593176500AA8963D81A +:103E70000700B7040001FD14B3F499005DB7EFF03B +:103E80000FAF83290A00B3773401631E090089CB81 +:103E900063880A009347F4FFB3F737012320FA0041 +:103EA000EFF02FAFF9B7E31DF4FEDDB701C9B70797 +:103EB00000FFED8F99C77370043001A07370043058 +:103EC00001A0411122C42A8406C626C24AC02E89F6 +:103ED000EFF0EFA904409345F9FFB3F795001CC03C +:103EE000EFF02FABB24022442685024992444101B3 +:103EF0008280411122C42A8406C6EFC00FDD004033 +:103F0000EFC04FDCB240228522444101828009C9C2 +:103F1000370700FFB3F7E50099C77370043001A0BD +:103F20007370043001A06F202042B70700FFF18FAB +:103F300081C77370043001A001E67370043001A0E2 +:103F4000011122CC4AC84EC652C406CE26CA3689B2 +:103F5000AE892A8A3284EFF0CF8C21E16305090013 +:103F60007370043001A0EFE00F8483240A00CE8533 +:103F70005285B3E49900613FB37794006307F40678 +:103F800083240A00EFE02FA7F240624426854249CD +:103F9000D244B249224A05618280EFE0CF80832477 +:103FA0000A00CE855285B3E434019537B3F7840017 +:103FB000638D8702E30609FCB70500054A86C18DBB +:103FC00013054A00EFE01FD0EFE0EFA219E1EFC0C8 +:103FD000EFC3EFF0EF9E93176500AA8463DF07003D +:103FE000B7070001FD17FD8C45B783270A0013446E +:103FF000F4FFE18F2320FA0071B7EFF04F9783248D +:104000000A00B3779400639787009347F4FFE58F26 +:104010002320FA00EFF0EF97E1B7411122C406C662 +:104020002A8426C2EFD03FF85C4085C30848930439 +:10403000C4006316950015A0084863009502B705F3 +:104040000002EFE03FE55C40E5FB2285612A224467 +:10405000B240924441016FE00F9A7370043001A0A6 +:1040600009C9370700FFB3F7E50099C7737004303B +:1040700001A07370043001A06F20002D01C9B707A3 +:1040800000FFED8F99C77370043001A07370043086 +:1040900001A0411122C426C206C62A84AE84EFF0D4 +:1040A0000F8D184093C7F4FFF98F1CC02244B24013 +:1040B000924441016FF0EF8D19C1084D8280014596 +:1040C0008280411122C406C62A84EFD0DFED97C753 +:1040D00001009387673E9C43A1EBE56717C701008A +:1040E00013078763F11797C6010023ADE63C17C796 +:1040F00001002327F73C17C701002321F76297C768 +:1041000001009387A73B17C701002327F760854766 +:1041100017C70100232A073A17C701002320073ACF +:1041200017C70100232AF73819E8EFE0CF8CEF100A +:10413000E00701442285B2402244410182801305F8 +:10414000840093773500C1EFE5671307F5FFE917A2 +:10415000E3EDE7FC97C601009386C6369C4229A092 +:10416000984311C7BE86BA87D843E36BA7FE17C72B +:1041700001001307A734E38AE7FA98434146138402 +:10418000870098C2D843B306A7406378D602338825 +:10419000A7002322D800C8C38325480097C6010082 +:1041A0009386463211A0BA8698425043E36DB6FE1C +:1041B0002320E80023A00601D84397C70100938776 +:1041C00067349C43998F17C70100232DF732EFE026 +:1041D0008F8231DC2285B240224441018280719974 +:1041E00011059DB729C9411122C406C62A84EFD002 +:1041F0009FDB930584FF0326C4FF17C70100130745 +:10420000672C11A03E871C43D443E3EDC6FE232C4C +:10421000F4FE0CC397C701009387C72E98438327EA +:10422000C4FF2244B240BA9717C70100232CF72CD1 +:1042300041016FD05FFC828097C701009387872C74 +:104240008843828082803367B5000D8BAA871DE783 +:104250009308D6FFAA982A87AE866371150303A830 +:10426000060011079106232E07FFE36A17FF934705 +:10427000F5FFC697F19B9107BE95AA972A9663F31F +:10428000C70A9386450013884700B3B6D70033B8F2 +:10429000050133E7F50093C61600134818000D8B8F +:1042A000B3E6060113371700758F330EF640938679 +:1042B000170029CF1337AE0031EB13532E002E8891 +:1042C000BE860147832808000507110823A01601B0 +:1042D0009106E36967FE1377CEFFBA95BA9763023A +:1042E000EE0483C60500138717002380D700637A86 +:1042F000C70283C6150013872700A380D700637207 +:10430000C70203C725002381E7008280B307F64078 +:10431000AE97850503C7F5FF8506238FE6FEE39A72 +:10432000F5FE82808280B367C5008D8BB308C5001F +:10433000E9C36371150FB307A0408D8B1387370056 +:10434000954693F5F50F130815003383A8407D16A5 +:104350006373D70015476363E60CD5CF2300B50020 +:104360000547638DE700A300B5000D47130825003E +:104370006396E700130835002301B5001396850006 +:104380004D8E13970501518F3303F34093968501AA +:10439000D98E13562300AA97014794C305079107A6 +:1043A000E36DC7FE1377C3FFB307E8006307E306B7 +:1043B0002380B70013871700637C1703A380B7001F +:1043C00013872700637617032381B700138737000D +:1043D00063701703A381B70013874700637A17013F +:1043E0002382B7001387570063741701A382B700B5 +:1043F000828093F5F50F13978500D98D13970501EA +:104400004D8FE37715FFAA87910723AEE7FEE3ED13 +:1044100017FF828082802A8895B78280AA8749BF49 +:10442000834705002A8799C7050583470500EDFFE7 +:10443000198D82800145828005058347F5FF85053A +:1044400003C7F5FF91C7E389E7FE3385E740828024 +:104450008147E5BFAA87850503C7F5FF8507A38FB9 +:10446000E7FE75FB82808346050093070002639791 +:10447000F600050583460500E38DF6FE938756FD9D +:1044800093F7D70F85C7AA87814585CE0145850754 +:10449000138606FD1317250083C607003A95060507 +:1044A0003295F5F699C13305A0408280938536FD9B +:1044B0008346150093B5150093071500E1FA0145F1 +:1044C000D5B7014582809C412380A7009C41850788 +:1044D0009CC1828097C60100938646FF9C42175775 +:1044E00003001307272613861700BA972380A70017 +:1044F00097C5010023ACC5FCA9476308F5009307E5 +:1045000000046305F6000145828075DE370610F071 +:1045100005078347F7FF2320F6F09C42FD1797C558 +:10452000010023A5F5FAEDF7014582804D712322A4 +:10453000911423202115232A511323248113232688 +:10454000111423248114232E3113232C41132328E7 +:10455000611323267113232291132320A113232EE9 +:10456000B111AA842E8932C6130C5002A54A834782 +:10457000090063808703B9CB804011A0A1CB230041 +:10458000F40080400509050480C083470900E397D3 +:1045900087FF0346190093081900C686130D000211 +:1045A000FD597D5A0148130550059307D6FD93F731 +:1045B000F70F138916006363F52A17C70100130765 +:1045C00067A48A07BA979C43BA9782878320C1144D +:1045D0000324811483244114032901148329C11362 +:1045E000032A8113832A4113032B0113832BC11246 +:1045F000032C8112832C4112032D0112832DC11132 +:1046000071618280A14C02C4854763D50715B2470A +:104610008040938B770093F68BFF03AB060083A952 +:104620004600938786003EC6668681465A85CE85BB +:10463000EF1050142AC8814763853723930D410139 +:10464000854B668681465A85CE85EF10C05C8146D3 +:1046500066862A8BAE89EF10F011A24723A0AD0029 +:1046600093861B00910D63843701B68BD9BFE37E1F +:104670009BFF63DD4601A2872380A70180407D1A4E +:10468000930714009CC03E84E3C846FF93962B001A +:104690001C08BE9631A8938777052300F40080405C +:1046A000FD1B93871B00050480C0F116E351F0EC5D +:1046B0009C42E3E2FAFE93870703C5B79C4093064A +:1046C000000332472380D7009C4093064700C14C2B +:1046D0001386170090C013068007A380C700804090 +:1046E00002C4BA87050480C003AB0700814936C6FF +:1046F00025BFB24783AC0700938B470063840C1C33 +:10470000635F40199307D0026319FD1683C70C003D +:1047100085C363DC09189440850C7D1A2380F6005C +:104720008040050480C083C70C00E5F7635D40014D +:104730008040930700022300F40080407D1A0504A6 +:1047400080C0E31A0AFE5EC61DB502C48547A94CA7 +:10475000E3CF07EBB24780409386470071B703C6AB +:104760001600CA8699B59C40930650022380D70054 +:104770008040050480C0E5BBC14C02C471B5B2479E +:1047800003C61600CA8683A9070091073EC6E35EEA +:104790000AE04E8AFD5911BD03C61600130DD00262 +:1047A000CA8621B59347FAFFFD8703C61600337A00 +:1047B000FA00CA86DDBB03C61600130D0003CA86C5 +:1047C000EDB383C51600930906FDCA86938705FDE0 +:1047D0002E86E3EEFAFA93972900BE99850686099C +:1047E000AE9983C50600938909FD938705FD2E8642 +:1047F000E3F3FAFE69BF32479C40144311073AC6FF +:104800002380D7008040050480C095B3854763D3DB +:10481000070BB24793867700E19A938786003EC6DE +:1048200083A946009C4263DC090A94401306D00227 +:10483000B307F0402380C6008040B336F000B309D0 +:104840003041050480C03E8BB389D940A94C02C4D5 +:10485000E1BB03C616000508CA8681BB9C40468999 +:1048600021B7E37D9BDD8547814B8546E3C547E165 +:1048700031BD97CC0100938CECB4CE856685EF00FA +:10488000707F330AAA40635C400180402300A4018A +:1048900080407D1A050480C0E31A0AFE014A83C7DE +:1048A0000C00E38207EAE3C809E6FD197D57E394AB +:1048B000E9E6ADBDB247938647009C4336C693D91F +:1048C000F74195B7635640019307D002E313FDFA11 +:1048D00097CC0100938C0CAF930780021DBD3E8BDB +:1048E000A94C02C4804089B34D71232E3113B709FE +:1048F00000409387F9FF2324811423229114232C51 +:104900004113232A51132326111423202115232870 +:10491000611323267113232481132322911323204F +:10492000A113232EB1112A8A2EC417C40100130427 +:10493000E4B9975A0300938AEAE097C4010093848C +:10494000E4813EC693055002294513080004B706CA +:1049500010F083470A006386B704C1C71840050AF0 +:1049600013061700569797C8010023A1C8B6230065 +:10497000F7006382A706E31E06FD1757030013071F +:1049800067DC05078347F7FF23A0F6F01C40FD17FF +:1049900017C60100232CF6B2EDF783470A00E39E09 +:1049A000B7FA83461A0013051A002A87930B0002F0 +:1049B0007D5B7D5C014893055005A5489387D6FD36 +:1049C00093F7F70F130A170063E7F5348A07A697E2 +:1049D0009C43A6978287175703001307A7D655F263 +:1049E0008DBF8320C114032481148324411403291F +:1049F00001148329C113032A8113832A4113032B32 +:104A00000113832BC112032C8112832C4112032D1D +:104A10000112832DC11171618280A14C014D854726 +:104A200063DB0719A2479D07E19B83AD070003AB3A +:104A30004700138787003AC4668681466E85DA850B +:104A4000EF1040532AC863066D35130941018549AB +:104A5000668681466E85DA85EF10E01B66868146A4 +:104A6000AA8D2E8BEF1000512320A9008509110972 +:104A7000E3106DFFE3FE9DFD13060004B70610F082 +:104A800063D189031C4013871700D69797C501008F +:104A900023AEE5A223807701630CC7047D1CE3C32A +:104AA00089FFB2476800A548B386F9008A061C084A +:104AB000BE96294813030004370610F0E384A6E8E5 +:104AC0009C429305000363F4F800930570051840B9 +:104AD000AE9793051700569717CE01002328BE9E68 +:104AE0002300F7006380072B638C6526F116F9B766 +:104AF00017570300130707C505078347F7FF23A0D0 +:104B0000F6F01C40FD1797C5010023A1F59CEDF7B9 +:104B10007D1C71B71C40130600041387170097C54E +:104B2000010023A5E59AB386FA00930500032380CC +:104B3000B6006300C73E890797C6010023A8F69810 +:104B40005697930680072300D700175703001307D3 +:104B500067BFB70610F0639EC70005078347F7FFDE +:104B600023A0F6F01C40FD1717C601002320F6967F +:104B7000EDF7A247C14C014D91072247014B832D10 +:104B800007003EC455BDA24783AC07001389470008 +:104B9000638F0C3A635480219307D002639EFB2EEF +:104BA00003C70C00BA856310072025AC8547A94CC4 +:104BB000014DE3C907E7A2479107C1B7A247834662 +:104BC0001700528703AB070091073EC4E3580CDE81 +:104BD0005A8C7D5BE5B383461700930BD002528756 +:104BE000F1BB9347FCFFFD8783461700337CFC0035 +:104BF0005287E9B383461700930B000352877DBBAE +:104C000003461700138B06FD5287930706FDB286F5 +:104C1000E3EEF8FA93172B00DA9705078607B297A9 +:104C200003460700138B07FD930706FDB286E3F3E7 +:104C3000F8FE69BFA2451C4029469841938617009B +:104C4000D69717C501002323D5882380E700138951 +:104C500045006301C72A930700046387F6204AC40E +:104C6000D5B1854763DF0729A24713877700619B8A +:104C7000930787003EC4032B47001C43635B0B3044 +:104C80001840130600049306170097C5010023AFD0 +:104C9000D58256979305D0022300B7006388C62CAF +:104CA000B307F0403337F000330B6041BE8D330B58 +:104CB000EB40A94C014D49B38346170005085287C4 +:104CC000F5B9834617005287D5B91C4093060004F6 +:104CD0001387170017B60100232AE67ED69713061E +:104CE00050022380C700E31FD7C4175703001307E0 +:104CF00067A5B70610F005078347F7FF23A0F6F076 +:104D00001C40FD1717B601002322F67CEDF71DB9F4 +:104D1000C14C014D29B31C40930600042A8A138715 +:104D2000170017B601002323E67AD6971306500220 +:104D30002380C700E318D7C017570300130787A0C5 +:104D4000B70610F005078347F7FF23A0F6F01C40D5 +:104D5000FD1717B60100232BF676EDF72A8ADDB68C +:104D6000175703001307079E05078347F7FF232004 +:104D7000F6F01C40FD1797B5010023A9F574EDF777 +:104D8000F1162DBB175703001307C79BF1FDF11652 +:104D900035B3E3FC9DCB8549C5B1014C03C70C007D +:104DA000BA85E30E07EAFD58294513080004B70643 +:104DB00010F063570B0A1C4013861700D69717B3E1 +:104DC00001002325C3702380E7006384A5066304E4 +:104DD0000609850C03C70C007D1CBA8579FBE350DE +:104DE00080E91C409305000213060004B70610F08A +:104DF00021A07D1CE3050CE613871700D6972380BE +:104E0000B70017B501002323E56CBA87E313C7FE8B +:104E1000175703001307079305078347F7FF23A0DE +:104E2000F6F01C40FD1717B501002321F56AEDF7D8 +:104E3000C9B7175703001307E79041DE05078347FB +:104E4000F7FF23A0F6F01C40FD1717B60100232F33 +:104E5000F666EDF7BDBF175703001307A78EF9BF1E +:104E60007D1BE31A1BF5A5BF175703001307878D9A +:104E7000B70610F005078347F7FF23A0F6F01C40A4 +:104E8000FD1717B601002323F664EDF74AC45DBC95 +:104E900097BC0100938C0C53DA856685EF00901D5A +:104EA000330CAC40E35C80EF1C4013060004B706F3 +:104EB00010F021A07D1CE3020CEE13871700D6979B +:104EC0002380770197B5010023A2E560BA87E31339 +:104ED000C7FE175703001307E78605078347F7FF49 +:104EE00023A0F6F01C40FD1797B5010023A0F55E46 +:104EF000EDF7C9B7175703001307C784B5FA4AC4BB +:104F000091B4A247138747009C433AC413DBF7418F +:104F1000B5B3175703001307E782B70610F005076C +:104F20008347F7FF23A0F6F01C40FD1717B60100DA +:104F3000232EF658EDF7854717B701002328F758B9 +:104F40009307800717470300230EF77E1DB16356B2 +:104F500080019307D002E39DFBF297BC0100938C84 +:104F60006C46130780029305800235BD9746030007 +:104F70009386467D370610F0850603C7F6FF23208B +:104F8000E6F018407D1797B5010023A1E5546DF7B1 +:104F900001BBBE8DA94C014D45B4B70710F023A04D +:104FA000A7F201A03971130341022ED29A8506CED1 +:104FB00032D436D63AD83EDA42DC46DE1AC62D3234 +:104FC000F2400145216182805D711303810322D487 +:104FD0002AC632DC2A841A86680006D6BEC236DEAD +:104FE000BAC0C2C4C6C61ACEEFF04FD4B2472380AF +:104FF00007003245B250018D225461618280B707AB +:1050000010F083A647F403A607F403A747F4E31AB6 +:10501000D7FE8566938606FAB29633B6C600B30508 +:10502000E60023A4D7F423A6B7F48280B70710F0D4 +:1050300003A747F403A607F483A647F4E31AD7FEB1 +:105040008566938606FAB29633B6C60023A4D7F4D3 +:10505000B305E60023A6B7F49307000873A0473012 +:105060008280411122C406C651447D14252D75FC51 +:10507000B240224441018280411122C406C697B742 +:1050800001009387274517B4010013046444984333 +:105090001C401306F07C930647069387470697B596 +:1050A000010023A9D54297B5010023A3F542634629 +:1050B000D600B2402244410182801307478997B746 +:1050C000010023A9E740292B85476310F50218400A +:1050D00085679387F7760145E3DDE7FCEFF0FFEBAB +:1050E0002244B24041016FC01FEB17B50100130508 +:1050F000E52DEFF03FEB0945EFF03FEA2244B240E7 +:1051000041016FC05FE917B501001305A52D6FF0D0 +:105110007FE917B501001305652D6FF0BFE8411158 +:1051200022C426C206C6856417B401001304842C69 +:10513000938784380100FD17F5FF2285EFF09FE685 +:10514000C5BF411106C622C43D2117070000130741 +:1051500087F1814605469305200317B50100130525 +:10516000E529EFE03FA72A84EFC0FFE42A862285E5 +:105170002244B24001478146854541016FE03FB27C +:10518000411106C6B129054781476316E500B240C3 +:105190003E854101828017B5010013052523EFF0FC +:1051A0007FE0B24089473E854101828073700430C0 +:1051B00001A082807370043001A05D71A6C2CAC0D4 +:1051C0004EDE52DC8D69375AC6412169C16456DA18 +:1051D0005AD85ED662D466D26AD06ECE86C6A2C4D3 +:1051E00002C6780097BC0100938CCC30174C0300AA +:1051F000130C4C5997BB0100938B4B2F17BD01002B +:10520000130D8D2E974D0300938D4D5E130ADAE634 +:10521000938999037D190D4BFD14C54A0544330745 +:10522000470381467D566C004E9793570701B3F7AD +:105230002701B3F7670317B50100232DE52A8A0775 +:10524000E297D84788430507D8C7EFB06FFF6306DA +:10525000850097B7010023AF072AB2478507638C03 +:10526000970403A70B003EC69307170017B701006A +:10527000232EF72663FBFA0483270D0017B70100DE +:105280002326072663828706A1C70947639FE70293 +:10529000974703009387475588438545EFC09F8014 +:1052A0001305800C97B7010023A00724EFD00F93BC +:1052B00003A70C00A5B783A70B0002C6850717B785 +:1052C00001002325F722E3E9FAFA03A70C0081BFC6 +:1052D00003A50D008145EFC0EFFC03A70C0097B7B5 +:1052E000010023A387201DBF03A50D008545EFC046 +:1052F0006FFB974703009387274F88438145EFC093 +:105300006FFA894717B701002320F71E03A70C0087 +:1053100031B73971254522DC26DA4AD84ED652D427 +:1053200006DE56D25AD05ECE62CC66CA6AC802C6C3 +:105330009744030093840445EFC08F8697B701001C +:1053400023A0A71C2689174A0300130A6A4417B42E +:1053500001001304E41A8549014691450D45EFB05B +:105360002FC92320A900630A051C0C40EFC04F8BF6 +:10537000630D351B97B7010023AE07181109E31D14 +:1053800049FD88408145EFC02F8D09C597B70100C1 +:1053900023A207180C408840EFC00F8C8547630696 +:1053A000F50097B7010023A7071688406C008146D7 +:1053B0000146EFB0EFE80C408840EFC06F8609C5AA +:1053C00097B7010023A807148840814601466C0066 +:1053D000EFB0FFA60C408840EFC08F84854763067E +:1053E000F50097B7010023A7071208409305800C2A +:1053F000EFC0AF8909C597B7010023AD07109747E4 +:1054000003009387673E8843C1644179EFC0AFFBD7 +:1054100085479389E4FF17BC0100130C2C0F17BAC2 +:105420000100130A2A0F17B701002327F70C97BBB7 +:105430000100938BEB0B17BD0100130D2D0C054BD9 +:10544000954A994C0509FD140145EFC06FDD39C936 +:105450000840FD55EFC06F8321CD814601466C00A9 +:10546000EFB0FF9D6306650197B7010023A4070A0B +:10547000EFD0FFCFB24763F2F9043387270163FD12 +:10548000EA006157636AF70003270C00637DF70A9F +:10549000998F0D476366F70A83270A00B5EB73708F +:1054A000043001A00840FD55EFB03FFE5DF569BF37 +:1054B00097B7010023A0070641BF63FEFA003387B8 +:1054C000F94063FAEC0003A70B006376F708998FA5 +:1054D0000D47636FF70883270A0081E77370043074 +:1054E00001A083A70B00850763FFF90697B70100AA +:1054F00023A00700EFD0FFC983270A00E39667F5D2 +:1055000083270D00850717B701002329F7FE2DBF5C +:1055100083270C00850717B701002329F7FEF9FB45 +:1055200097B7010023A497FEF1B7032509000C40AB +:10553000EFB01FEFE30405E435BD7370043001A044 +:105540007370043001A0B307F7400D47E376F7F41A +:105550007370043001A0B307F7400D47E37DF7F601 +:105560007370043001A017B701002323F7F859B76F +:105570007370043001A0411181480148974703002E +:1055800093878726054781461306004097B501009B +:10559000938505E817050000130565C206C622C4F9 +:1055A000EFB0FFF6174403001304042414408148AD +:1055B0000148974703009387672305471306004078 +:1055C00097B50100938545E5170500001305A5D49F +:1055D000EFB0FFF308402244B24041016FD00FCD3D +:1055E000974703009387071A17B30100130303F1CA +:1055F00083A8C700904F17B701001307A7EE032E2B +:105600000300084303A80701D44FCC4B98533386BB +:10561000C84097B701009387E7EF832E0300330557 +:10562000C5419C433336C0003306C040B3860641B3 +:105630003335A000718DB336D0000D8F17B3010044 +:10564000130303ED17B60100130686E9B306D04035 +:105650003337E000FD17032E030010423307E0400C +:10566000758D93B71700798DB307F04017B7010018 +:10567000232AD7E7174703002322171317470300EE +:105680002320071317470300232EB7107D8D6309CE +:10569000CE008327030017B701002323F7E482809D +:1056A00001458280011197B701009387E7E406CE98 +:1056B00022CC804385476306F400F24062440561D2 +:1056C000828097B70100938767E19C4313074006E8 +:1056D0008507636AF700F240624417B70100232F81 +:1056E000F7DE0561828097B70100938767E1884301 +:1056F00097B7010023A407DEEFB09FDA25C5014666 +:105700006C00EFB05F8E6306850097B7010023A39E +:1057100007E0B24741677917637AF70A41770507CF +:105720003E97954663F6E610615797B6010093865B +:10573000E6DD6363F71098426379F710998F0D47A0 +:10574000637CF70E7370043001A09C42850717B785 +:105750000100232DF7DA99E7C167FD1717B701009C +:105760002326F7DA17B40100130404D71C4081463E +:10577000014613972700974703009387A700BA971E +:10578000884397B501009385A5D8EFB0CFCD854765 +:10579000E315F5F217B70100130787D71C438507F8 +:1057A00097B6010023A6F6D61C4399E7C167FD17FB +:1057B00017B70100232EF7D41C400947850763540F +:1057C000F70697B7010023A907D0C5BD954663F436 +:1057D000F6021D8F994663F0E60297B601009386A4 +:1057E00026D19842637BF706998F0D476379F700BE +:1057F0007370043001A097B60100938666CF97B707 +:105800000100938727D19C4381CF9C424167791740 +:105810008507637CF70497B7010023AB07CC99B7E2 +:105820007370043001A017B701002327F7CA71B5C0 +:1058300097B60100938686CD97B70100938787CDF1 +:105840009C4381F77370043001A0B307F7400D4704 +:10585000E374F7FE7370043001A0B307F7400D47FF +:10586000E37FF7F87370043001A017B7010023211C +:10587000F7C8CDBDAA95AA876385B70003C70700FF +:1058800001E73385A74082808507FDB7814863DA49 +:1058900005003305A040B337A000B305B0409D8D8F +:1058A000FD5863D906003306C040B337C000B306C5 +:1058B000D0409D8E32883683AA872E87639F061C30 +:1058C00097B601009386069F63F1C50C416E63771E +:1058D000C60B130EF00F6373CE002143335E6600D8 +:1058E000F29603CE06001A9E130300023303C3414F +:1058F000630C0300B3956500335EC5013318660081 +:105900003367BE00B3176500135E0801B355C703C4 +:1059100013150801418193D607013376C703B305F8 +:10592000B5024206D18E63F8B600C29663E5060161 +:1059300063F3B600C2968D8E33F7C603C207C183E8 +:10594000B3D6C603B306D50213150701C98F63F892 +:10595000D700C29763E5070163F3D700C297958F1D +:1059600033D567008145638A0800B307A040333709 +:10597000F000B305B0403E85998D8280370E00015E +:105980004143E36DC6F5614391BF01E605483358D5 +:10599000680241676373E8081307F00F6373070138 +:1059A000214333576800BA9603C606001A961303BC +:1059B00000023303C34063190306B38505419356C0 +:1059C000080113150801418113D6070133F7D502E9 +:1059D000B3D5D5024207518FB305B5026378B7003E +:1059E0004297636507016373B7004297B305B740F9 +:1059F00033F7D502C207C183B3D5D502B305B502CB +:105A000013150701C98F63F8B700C29763E5070153 +:105A100063F3B700C2978D8FA1B7370700014143E9 +:105A2000E361E8F86143B5BF3318680033DEC500B1 +:105A3000935E08013356C500B31765003355DE0386 +:105A4000B39565004D8E93150801C18193560601EB +:105A50003377DE033385A5024207558F6378A700AD +:105A60004297636507016373A7004297B306A74097 +:105A700033F7D60342064182B3D6D6034207B38634 +:105A8000D502B365C70063F8D500C29563E505018B +:105A900063F3D500C295958D1DB7E3E6D5EC41685B +:105AA00063F50605930EF00F33B8DE000E0833DE03 +:105AB000060117A301001303E37F7293834E0300D3 +:105AC000130E0002C29E330EDE4163170E0263E422 +:105AD000B6006369C500B307C540958D3335F50041 +:105AE0003387A5403E85BA85BDBD370300014148D7 +:105AF000E3EF66FA614865BF3357D601B396C60136 +:105B0000D98EB357D50133D7D501B395C501CD8F04 +:105B100093D506013373B702139F0601135F0F017C +:105B200013D807013316C6013315C5013357B70221 +:105B3000420333680301B30FEF023A83637CF80139 +:105B400036981303F7FF6367D8006375F8011303F2 +:105B5000E7FF36983308F841B37FB8023358B802EC +:105B6000C20FB3050F03139F0701135F0F0133EF3C +:105B7000EF014287637CBF00369F1307F8FF63671E +:105B8000DF006375BF001307E8FF369F4203C16F54 +:105B90003363E3009387FFFF13580601330FBF40C1 +:105BA000B375F30013530301F18F3387F502B30785 +:105BB000F302B385050333030303BE9513580701AE +:105BC0002E986373F8007E93C167FD179355080103 +:105BD0003378F80042087D8F9A9542976366BF003C +:105BE000631BBF006379E5003306C7403337C70046 +:105BF000958D998D32873307E5403335E500B30540 +:105C0000BF40898DB397D5013357C70133E5E7000E +:105C1000B3D5C50189BBAE87328836872A836396A0 +:105C2000062097A801009388E86863FEC50A4167CB +:105C30006374E60A1307F00F3337C7000E07B35635 +:105C4000E600B69883C60800369793060002998E40 +:105C500099CAB397D7003357E5003318D600B36518 +:105C6000F7003313D50013550801B3D7A502131657 +:105C7000080141829356030133F7A502B305F602EA +:105C80004207D98E3E8763FCB600C2961387F7FFA2 +:105C900063E7060163F5B6001387E7FFC2968D8EB2 +:105CA000B3F7A602420313530301B3D6A602C207F9 +:105CB00033E36700B305D6023685637BB3004293B6 +:105CC0001385F6FF636603016374B3001385E6FF73 +:105CD0004207498F81454DA8B70600014147E3605F +:105CE000D6F66147A9BF01E6854633D8C602C1662C +:105CF000637ED8089306F00F63F306012147B3567D +:105D0000E800B69883C60800BA9613070002158FFC +:105D100049E7B387074185451356080193180801E1 +:105D200093D808019356030133F7C702B3D7C702CC +:105D30004207D98E3385F8023E8763FCA600C296DF +:105D40001387F7FF63E7060163F5A6001387E7FFF4 +:105D5000C296898EB3F7C602420313530301B3D62A +:105D6000C602C20733E36700B388D8023685637B77 +:105D7000130142931385F6FF6366030163741301F5 +:105D80001385E6FF4207498F3A858280B7060001F6 +:105D90004147E366D8F661479DB73318E800B3D5AD +:105DA000D7003313E500B356D50013550801B39758 +:105DB000E70033F7A502B3E8F60093170801C183A3 +:105DC00013D60801B3D5A5024207518FB386B70297 +:105DD0002E86637CD70042971386F5FF6367070121 +:105DE0006375D7001386E5FF4297B306D74033F7B4 +:105DF000A602C20893D80801B3D6A6024207B3850B +:105E0000D702B3671701368763FCB700C2971387C1 +:105E1000F6FF63E7070163F5B7001387E6FFC29754 +:105E20008D8F93150601D98DC5BD63E2D5144167E9 +:105E300063FEE6021308F00F3337D8000E0733D89D +:105E4000E60097A501009385E546C29503C80500C5 +:105E5000930500023A98B385054185E10547E3E5DE +:105E6000F6F23335C5001347150039BFB7050001F9 +:105E70004147E3E6B6FC6147D9B733570601B3960D +:105E8000B600D98E93DE060133D70701B378D70366 +:105E9000B397B700335805013363F80093970601B1 +:105EA000C183135803013316B6003357D703C20812 +:105EB00033E80801338FE7023A8E637CE8013698B5 +:105EC000130EF7FF6367D8006375E801130EE7FF51 +:105ED00036983308E841B378D8033358D803C2085A +:105EE000B38E070393170301C183B3E7F80042871A +:105EF00063FCD701B6971307F8FF63E7D70063F594 +:105F0000D7011307E8FFB697420EB387D741C16E9A +:105F10003367EE001388FEFF3373070193580701C0 +:105F2000337806014182330E030333880803935606 +:105F30000E013303C30242939A96B388C80263F3F7 +:105F40000601F69813D60601B29863E01703E393AF +:105F500017D9C167FD17FD8EC206337EFE003315CB +:105F6000B500F2968145E371D5E27D17A5B3814571 +:105F7000014719BDB2883687AA872E886398061C08 +:105F800097A601009386063363F8C50A4163637ED2 +:105F900066081303F00F6373C30021473353E60011 +:105FA0009A9603CE0600130300023A9E3303C341C0 +:105FB000630C0300B3956500335EC501B31866003A +:105FC0003368BE00B317650013D608013377C802E3 +:105FD00013950801418193D607013358C80242073F +:105FE000D98E3308050363F80601C69663E51601EA +:105FF00063F30601C696B386064133F7C602C207AD +:10600000C183B3D6C602B306D50213150701C98FE3 +:1060100063F8D700C69763E5170163F3D700C69707 +:10602000958F33D567008145828037030001414752 +:10603000E36666F661479DB701E60546B358160369 +:10604000416663F3C8081306F00F63731601214716 +:1060500033D6E800B29603CE0600130300023A9E40 +:106060003303C34163190306B385154113D70801F0 +:1060700013950801418113D60701B3F6E502B3D5A4 +:10608000E502C206D18EB305B50263F8B600C69626 +:1060900063E5160163F3B600C696B385B640B3F662 +:1060A000E502C207C183B3D5E502B305B502139576 +:1060B0000601C98F63F8B700C69763E5170163F35C +:1060C000B700C6978D8FB1BF370600014147E3E1A6 +:1060D000C8F86147B5BFB398680033D7C501B31797 +:1060E0006500335EC50113D50801B376A702B395E9 +:1060F0006500336EBE0093950801C18113560E01F1 +:106100003357A702C206D18E3387E50263F8E60053 +:10611000C69663E5160163F3E600C6963386E6404D +:10612000B376A602420E135E0E013356A602C206D5 +:106130003386C502B3E5C60163F8C500C69563E5BD +:10614000150163F3C500C695918D0DB7E3EED5EC4F +:10615000416763F5E604930EF00F33B7DE000E07D8 +:1061600033D3E60097A801009388C8149A9883CE89 +:106170000800130E0002BA9E330EDE4163170E02B2 +:1061800063E4B6006369C500B307C540958D333538 +:10619000F5003388A5403E85C28579B5B708000172 +:1061A0004147E3EF16FB614765BF3357D601B3960E +:1061B000C601D98EB357D50133D7D501B395C501E3 +:1061C000CD8F93D506013373B702139F0601135F7A +:1061D0000F0193D807013316C6013315C501335794 +:1061E000B7024203B36813013308EF023A8363FC3A +:1061F0000801B6981303F7FF63E7D80063F50801B9 +:106200001303E7FFB698B3880841B3FFB802B3D8C9 +:10621000B802C20FB3051F03139F0701135F0F01DD +:1062200033EFEF014687637CBF00369F1387F8FF8B +:106230006367DF006375BF001387E8FF369F420383 +:10624000C1683363E3009387F8FF13580601330FE7 +:10625000BF40B375F30013530301F18F3387F50289 +:10626000B307F302B385050333030303BE95135845 +:1062700007012E986373F8004693C167FD17935585 +:1062800008013378F80042087D8F9A95429763663B +:10629000BF00631BBF006379E5003306C740333797 +:1062A000C700958D998D32873307E5403335E5007A +:1062B000B305BF40898DB397D5013357C70133E587 +:1062C000E700B3D5C5018DB397A701009387871D5C +:1062D000944317A701001307A71B1843C8C2637B89 +:1062E000E50017A701001307671B08438C439105BE +:1062F0006FA00FA5411122C406C617A701001307FE +:10630000271A2A8408438C439105EFA06FA397A70F +:106310000100938727169C436376F40097A701003A +:1063200023AA8714B24022444101828097A701002A +:1063300093874717984394437C43FD177CC3FC42E3 +:1063400099E3736004308280011122CC26CA2A842A +:10635000AE844AC84EC652C456C206CEEFB0BFC4C1 +:106360001C4003290401B7090001B3E59700930A13 +:10637000C4000CC08144FD19370A00021840638C28 +:106380002A03032609004A85B3654701B37636011F +:1063900093175600758F0329490063C4070001E76E +:1063A000F1BFE39DE6FC9317760063D30700D58C1D +:1063B000EFC05FAEE1B793C4F4FFF98C04C0EFB057 +:1063C0009FE30840F2406244D2444249B249224A23 +:1063D000924A05618280411106C622C4EFE07FD651 +:1063E00017F7FFFF130787C9814605469305400647 +:1063F00017A5010013050501EFD0CFFD19C92A84A7 +:10640000EFB07FBB2A860147814685452285EFD0C4 +:106410001F89EFB0DF93B2402244014541018280E1 +:02000004800278 +:100000005E47FEFF5C48FEFF6647FEFF5C48FEFF62 +:100010005C48FEFF5C48FEFF5C48FEFF7E47FEFF3B +:100020005C48FEFF5C48FEFF9847FEFFA447FEFFCA +:100030005C48FEFFB647FEFFC247FEFFC247FEFF19 +:10004000C247FEFFC247FEFFC247FEFFC247FEFF98 +:10005000C247FEFFC247FEFFC247FEFF5C48FEFFED +:100060005C48FEFF5C48FEFF5C48FEFF5C48FEFF0C +:100070005C48FEFF5C48FEFF5C48FEFF5C48FEFFFC +:100080005C48FEFF5C48FEFF5C48FEFF5C48FEFFEC +:100090005C48FEFF5C48FEFF5C48FEFF5C48FEFFDC +:1000A0005C48FEFF5C48FEFF5C48FEFF5C48FEFFCC +:1000B0005C48FEFF5C48FEFF5C48FEFF5C48FEFFBC +:1000C0005C48FEFF5C48FEFF5C48FEFF5C48FEFFAC +:1000D0005C48FEFF5C48FEFF5C48FEFF5C48FEFF9C +:1000E0005C48FEFF5C48FEFF5C48FEFF5C48FEFF8C +:1000F0005C48FEFF5C48FEFF5C48FEFF5C48FEFF7C +:10010000F647FEFF0C48FEFF5C48FEFF5C48FEFF22 +:100110005C48FEFF5C48FEFF5C48FEFF5C48FEFF5B +:100120005C48FEFF5248FEFF5C48FEFF5C48FEFF55 +:100130000446FEFFBC46FEFF5C48FEFF5C48FEFF37 +:10014000F246FEFF5C48FEFF4A47FEFF5C48FEFFAA +:100150005C48FEFF7847FEFF6A4BFEFFBE4BFEFF8A +:10016000724BFEFFBE4BFEFFBE4BFEFFBE4BFEFFC3 +:10017000BE4BFEFF644AFEFFBE4BFEFFBE4BFEFFC2 +:100180007E4AFEFF8A4AFEFFBE4BFEFF9C4AFEFFF0 +:10019000A84AFEFFA84AFEFFA84AFEFFA84AFEFFA3 +:1001A000A84AFEFFA84AFEFFA84AFEFFA84AFEFF93 +:1001B000A84AFEFFBE4BFEFFBE4BFEFFBE4BFEFF3E +:1001C000BE4BFEFFBE4BFEFFBE4BFEFFBE4BFEFF17 +:1001D000BE4BFEFFBE4BFEFFBE4BFEFFBE4BFEFF07 +:1001E000BE4BFEFFBE4BFEFFBE4BFEFFBE4BFEFFF7 +:1001F000BE4BFEFFBE4BFEFFBE4BFEFFBE4BFEFFE7 +:10020000BE4BFEFFBE4BFEFFBE4BFEFFBE4BFEFFD6 +:10021000BE4BFEFFBE4BFEFFBE4BFEFFBE4BFEFFC6 +:10022000BE4BFEFFBE4BFEFFBE4BFEFFBE4BFEFFB6 +:10023000BE4BFEFFBE4BFEFFBE4BFEFFBE4BFEFFA6 +:10024000BE4BFEFFBE4BFEFFBE4BFEFFBE4BFEFF96 +:10025000BE4BFEFFBE4BFEFFDC4AFEFF0A4BFEFF1D +:10026000BE4BFEFFBE4BFEFFBE4BFEFFBE4BFEFF76 +:10027000BE4BFEFFBE4BFEFFBE4BFEFF604BFEFFC4 +:10028000BE4BFEFFBE4BFEFFC248FEFFBC49FEFF59 +:10029000BE4BFEFFBE4BFEFF2E4AFEFFBE4BFEFFD7 +:1002A000544AFEFFBE4BFEFFBE4BFEFFB84BFEFFA7 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020787878207461736B73200D0A00000018 +:1003E0006D69616F750A00006D69616F75320A0091 +:1003F00068756E6772790A0054696D657232000023 +:10040000436865636B54696D65720000536574548D +:0C04100078000000536574527800000072 +:10041C001000000000000000017A5200017C010174 +:10042C001B0D020010000000180000005454FEFFC9 +:10043C008A03000000000000100000002C000000E7 +:10044C00CA57FEFF5E030000000000001000000011 +:10045C0040000000145BFEFF54030000000000008D +:10050000FC8F010009000000FFFF0000FFFF00005A +:0405100001000000E6 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/TaskNotify_rv32i_O0.hex b/VexRiscv/src/test/resources/freertos/TaskNotify_rv32i_O0.hex new file mode 100644 index 0000000..fbc71a9 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/TaskNotify_rv32i_O0.hex @@ -0,0 +1,2362 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F306F00400365 +:100010001300000013000000130000001300000094 +:100020001300000013000000130000001300000084 +:100030001300000013000000130000001300000074 +:100040009702000093824211739052309300000097 +:10005000130100009301000013020000930200004E +:100060001303000093030000130400009304000036 +:10007000130500009305000013060000930600001E +:100080001307000093070000130800009308000006 +:100090001309000093090000130A0000930A0000EE +:1000A000130B0000930B0000130C0000930C0000D6 +:1000B000130D0000930D0000130E0000930E0000BE +:1000C000130F0000930F000097110200938181B27B +:1000D000170502001305053797950300938585687A +:1000E00013060000EF00001A170502001305C52FC4 +:1000F000970502009385C52F13060000EF008018B6 +:1001000017A503001305456697B50300938585651C +:1001100037B6ABAB1306B6BAEF00C01617B1030083 +:1001200013018164EF7040419392120093D2120048 +:100130009382D2FF638A02008322010013014100EF +:100140006F0000177300203083220100130141006B +:10015000730020301301C1FF23205100F32220340B +:10016000E3C402FC8322010013014100130141F8A2 +:1001700023221100232421002326310023284100BB +:10018000232A5100232C6100232E71002320810299 +:10019000232291022324A1022326B1022328C10293 +:1001A000232AD102232CE102232EF1022320010570 +:1001B0002322110523242105232631052328410567 +:1001C000232A5105232C6105232E71052320810745 +:1001D000232291072324A1072326B1072328C1073F +:1001E000232AD107232CE107232EF107732520347E +:1001F000F3251034130601006F000000731015344E +:1002000083204100032181008321C10003220101D9 +:1002100083224101032381018323C10103240102BD +:1002200083244102032581028325C10203260103A1 +:1002300083264103032781038327C1030328010485 +:1002400083284104032981048329C104032A010569 +:10025000832A4105032B8105832BC105032C01064D +:10026000832C4106032D8106832DC106032E010731 +:10027000832E4107032F8107832FC1071301C10775 +:10028000730020302320C5006376B50013054500B8 +:100290006FF05FFF678000000000000000000000BA +:1002A000000000000000000000000000000000004E +:1002B000130101F8232011002322210023243100FF +:1002C0002326410023285100232A6100232C71009A +:1002D000232E8100232091022322A1022324B10294 +:1002E0002326C1022328D102232AE102232CF10272 +:1002F000232E010323201105232221052324310568 +:100300002326410523285105232A6105232C710545 +:10031000232E8105232091072322A1072324B1073F +:100320002326C1072328D107232AE107232CF1071D +:100330009702020083A2420B23A02200F322103472 +:10034000232E5106EF008046170102000321C10948 +:10035000032101008322C10773901234B7220000E9 +:100360009382028873A00230832001000322C1001F +:100370008322010103234101832381010324C1015D +:100380008324010203254102832581020326C10241 +:100390008326010303274103832781030328C10325 +:1003A000832801040329410483298104032AC10409 +:1003B000832A0105032B4105832B8105032CC105ED +:1003C000832C0106032D4106832D8106032EC106D1 +:1003D000832E0107032F4107832F81071301010893 +:1003E00073002030970202009382C20523A01200FE +:1003F00023A2220023A4320023A6420023A85200F5 +:1004000023AA620023AC720023AE820023A09202D2 +:1004100023A2A20223A4B20223A6C20223A8D202CC +:1004200023AAE20223ACF20223AE020323A01205A8 +:1004300023A2220523A4320523A6420523A85205A0 +:1004400023AA620523AC720523AE820523A092077E +:1004500023A2A20723A4B20723A6C20723A8D20778 +:1004600023AAE20723ACF207EF6010731701020022 +:10047000032181F7032101008322C1077390123405 +:10048000B72200009382028873A00230832001000B +:100490000322C1008322010103234101832381013F +:1004A0000324C10183240102032541028325810223 +:1004B0000326C10283260103032741038327810307 +:1004C0000328C103832801040329410483298104EB +:1004D000032AC104832A0105032B4105832B8105CF +:1004E000032CC105832C0106032D4106832D8106B3 +:1004F000032EC106832E0107032F4107832F810797 +:10050000130101087300203097020200938282F3E6 +:1005100083A0020003A1420083A1820003A2C200C3 +:1005200083A2020103A3420183A3820103A4C201A7 +:1005300083A4020203A5420283A5820203A6C2028B +:1005400083A6020303A7420383A7820303A8C2036F +:1005500083A8020403A9420483A9820403AAC20453 +:1005600083AA020503AB420583AB820503ACC20537 +:1005700083AC020603AD420683AD820603AEC2061B +:1005800083AE020703AFC20983AF82076780000012 +:1005900073700430130101F823201100232221007D +:1005A000232431002326410023285100232A6100FF +:1005B000232C7100232E8100232091022322A102EB +:1005C0002324B1022326C1022328D102232AE102D7 +:1005D000232CF102232E01032320110523222105C0 +:1005E000232431052326410523285105232A6105AB +:1005F000232C7105232E8105232091072322A10797 +:100600002324B1072326C1072328D107232AE10782 +:10061000232CF1079702020083A202DD23A022000F +:10062000232E1106EF20D058170102000321C1DB51 +:10063000032101008322C10773901234B722000006 +:100640009382028873A00230832001000322C1003C +:100650008322010103234101832381010324C1017A +:100660008324010203254102832581020326C1025E +:100670008326010303274103832781030328C10342 +:10068000832801040329410483298104032AC10426 +:10069000832A0105032B4105832B8105032CC1050A +:1006A000832C0106032D4106832D8106032EC106EE +:1006B000832E0107032F4107832F810713010108B0 +:1006C00073002030970702009387C7D203A7070063 +:1006D0009307F0FF6306F700737004306F000000AB +:1006E000737004306F000000130101FF2326A10086 +:1006F0008327C100739047301300000013010101EC +:1007000067800000130101FFF32740302326F1002A +:1007100073F043308327C1001385070013010101E3 +:1007200067800000130101FF2326A1002324B100EC +:100730002322C1008327C1009387C7FF2326F1002E +:10074000032781008327C10023A0E7008327C1007E +:10075000938787FA2326F100032741008327C100EE +:1007600023A0E7008327C100938787FE2326F1009B +:1007700093870100138707008327C10023A0E700A8 +:100780008327C100938747FF2326F1001707000046 +:10079000130787F38327C10023A0E7008327C10045 +:1007A000138507001301010167800000130101FF99 +:1007B00023261100EF60D033EF20101693070500B9 +:1007C00063840700EF20D03E130000008320C100A7 +:1007D0001301010167800000130101FF2326A1001E +:1007E0008327C100138787008327C10023A2E70066 +:1007F0008327C1001307F0FF23A4E7008327C1006C +:10080000138787008327C10023A6E7008327C10041 +:10081000138787008327C10023A8E7008327C1002F +:1008200023A00700130000001301010167800000EE +:10083000130101FF2326A1008327C10023A807007D +:10084000130000001301010167800000130101FE85 +:100850002326A1002324B1008327C10083A74700DA +:10086000232EF100832781000327C10123A2E70083 +:100870008327C10103A787008327810023A4E70002 +:100880008327C10183A787000327810023A2E700F4 +:100890008327C1010327810023A4E7008327810068 +:1008A0000327C10023A8E7008327C10083A707000F +:1008B000138717008327C10023A0E700130000005F +:1008C0001301010267800000130101FE2326A1002D +:1008D0002324B1008327810083A70700232CF10084 +:1008E000032781019307F0FF631AF7008327C100F4 +:1008F00083A70701232EF1006F0040038327C10067 +:1009000093878700232EF1006F0000018327C10128 +:1009100083A74700232EF1008327C10183A7470047 +:1009200083A7070003278101E372F7FE8327C10134 +:1009300003A747008327810023A2E70083278100C4 +:1009400083A747000327810023A4E70083278100B2 +:100950000327C10123A4E7008327C10103278100E6 +:1009600023A2E700832781000327C10023A8E70013 +:100970008327C10083A70700138717008327C100BF +:1009800023A0E700130000001301010267800000AC +:10099000130101FE2326A1008327C10083A70701BD +:1009A000232EF1008327C10083A747000327C1003E +:1009B0000327870023A4E7008327C10083A78700BC +:1009C0000327C1000327470023A2E7008327C101B3 +:1009D00083A747000327C100631AF7008327C100DC +:1009E00003A787008327C10123A2E7008327C10053 +:1009F00023A807008327C10183A707001387F7FFF8 +:100A00008327C10123A0E7008327C10183A7070033 +:100A1000138507001301010267800000130101FD27 +:100A200023261102232481022326A1002324B100BE +:100A30008327C100232EF1008327C101639607009D +:100A4000737004306F000000EF3010418327C10144 +:100A500003A407008327C10103A7C7038327C1019C +:100A600083A707049385070013050700EF80C040A4 +:100A7000930705003307F4008327C10123A2E70091 +:100A80008327C10123AC07028327C10103A7070005 +:100A90008327C10123A4E7008327C10103A4070022 +:100AA0008327C10183A7C7031387F7FF8327C101EA +:100AB00083A707049385070013050700EF80C03B59 +:100AC000930705003307F4008327C10123A6E7003D +:100AD0008327C1011307F0FF23A2E7048327C10185 +:100AE0001307F0FF23A4E70483278100639A07021A +:100AF0008327C10183A70701638407048327C101FA +:100B00009387070113850700EF20504C130705005A +:100B1000930710006316F702EFF09FA76F004002E3 +:100B20008327C1019387070113850700EFF0DFCA10 +:100B30008327C1019387470213850700EFF0DFC9C0 +:100B4000EF30903593071000138507008320C10212 +:100B5000032481021301010367800000130101FDDA +:100B6000232611022326A1002324B10093070600A7 +:100B7000A303F100232C01008327C1006396070023 +:100B8000737004306F0000008327810063960700B4 +:100B9000232E01006F00C001832581000325C100C1 +:100BA000EF80802D9307050093871700232EF10017 +:100BB0008327C1019387470513850700EF50006E17 +:100BC000232AA1008327410163820706832781002E +:100BD000639A0700832741010327410123A0E7000F +:100BE0006F00400183274101138747058327410197 +:100BF00023A0E700832741010327C10023AEE702BA +:100C0000832741010327810023A0E70493051000F7 +:100C100003254101EFF09FE0832741010347710065 +:100C20002388E70483274101232CF10083278101D6 +:100C300063960700737004306F0000008327810102 +:100C4000138507008320C1021301010367800000A0 +:100C5000130101FD2326110293070500A307F100EC +:100C600013054005EF508063232EA1008327C101A7 +:100C7000638C07088327C10123A207008327C101D2 +:100C800023A007008327C10123A407008327C101F4 +:100C900023A607008327C10123AC07028327C101D4 +:100CA0001307100023AEE7028327C10123A0070426 +:100CB0008327C1011307F0FF23A2E7048327C101A3 +:100CC0001307F0FF23A4E7048327C1010347F100C2 +:100CD0002388E7048327C101938707011385070051 +:100CE000EFF09FAF8327C101938747021385070069 +:100CF000EFF09FAE9306000013060000930500007E +:100D00000325C101EF00001E8327C10113850700E1 +:100D10008320C1021301010367800000130101FD5C +:100D200023261102232481022326A1008327C10048 +:100D3000232CF10083278101639607007370043030 +:100D40006F0000008327810103A44700EF3000609B +:100D5000930705006312F4048327810183A7C7006A +:100D60001387F7FF8327810123A6E70083278101EB +:100D700083A7C700639C07009306000013060000CA +:100D80009305000003258101EF00C01593071000B3 +:100D9000232EF1006F008000232E01008327C10164 +:100DA000138507008320C10203248102130101037C +:100DB00067800000130101FD232611022324810214 +:100DC0002326A1002324B1008327C100232CF10096 +:100DD0008327810163960700737004306F00000061 +:100DE0008327810103A44700EF3040569307050095 +:100DF0006312F4028327810183A7C70013871700BA +:100E00008327810123A6E70093071000232EF1001A +:100E10006F00C003930600000326810093050000C5 +:100E200003258101EF00C058232EA1000327C10133 +:100E300093071000631CF7008327810183A7C70075 +:100E4000138717008327810123A6E7008327C101A9 +:100E5000138507008320C1020324810213010103CB +:100E600067800000130101FD232611022326A10043 +:100E70002324B1008327C1006396070073700430F8 +:100E80006F000000032781008327C10063F6E7009D +:100E9000737004306F0000001306200093050000FB +:100EA0000325C100EFF09FCB232EA1008327C101B2 +:100EB000638807008327C1010327810023ACE70271 +:100EC0008327C10163960700737004306F00000030 +:100ED0008327C101138507008320C1021301010389 +:100EE00067800000130101FC232E11022326A100BC +:100EF0002324B1002322C1002320D1002326010294 +:100F00008327C1002324F10283278102639607000F +:100F1000737004306F00000083278100639807001E +:100F20008327810283A707046396070093071000B5 +:100F30006F00800093070000639607007370043011 +:100F40006F0000000327010093072000631AF700D9 +:100F50008327810203A7C703930710006316F700D6 +:100F6000930710006F00800093070000639607004E +:100F7000737004306F000000EF30803F930705006E +:100F800063960700832741006396070093071000CC +:100F90006F008000930700006396070073700430B1 +:100FA0006F000000EF30406B8327810203A78703A7 +:100FB0008327810283A7C7036368F7000327010023 +:100FC000930720006310F7060326010083258100A4 +:100FD00003258102EF00101C2322A1028327810236 +:100FE00083A747026384070283278102938747020E +:100FF00013850700EF20807D13070500930710007D +:10100000631CF700EFF0CFD86F0000018327410287 +:1010100063840700EFF0CFD7EF300068930710002C +:101020006F00400F8327410063980700EF30C066D0 +:10103000930700006F00000E8327C102639C070026 +:101040009307C10113850700EF20501D930710007F +:101050002326F102EF304064EF10D049EF30C05F3B +:101060008327810203A747049307F0FF6316F70065 +:101070008327810223A207048327810203A7870411 +:101080009307F0FF6316F7008327810223A4070468 +:10109000EF308060130741009307C10193050700FB +:1010A00013850700EF20501C93070500639C07047D +:1010B00003258102EF00504693070500638C070269 +:1010C00083278102938707010327410093050700C7 +:1010D00013850700EF20004A03258102EF00902CC2 +:1010E000EF10904393070500E39E07EAEFF04FCA25 +:1010F0006FF05FEB03258102EF00D02AEF10D041A3 +:101100006FF05FEA03258102EF00D029EF10D04095 +:1011100093070000138507008320C1031301010416 +:1011200067800000130101FD232611022326A10080 +:101130002324B1002322C1002320D1008327C10032 +:10114000232CF1008327810163960700737004301C +:101150006F000000832781006398070083278101C7 +:1011600083A7070463960700930710006F008000B1 +:101170009307000063960700737004306F0000004F +:101180000327010093072000631AF70083278101DA +:1011900003A7C703930710006316F7009307100017 +:1011A0006F0080009307000063960700737004309F +:1011B0006F000000EFF00FD593070500232AF10020 +:1011C0008327810103A787038327810183A7C7039F +:1011D0006368F70003270100930720006310F708F6 +:1011E000032601008325810003258101EF00807A19 +:1011F0008327810103A787049307F0FF6310F70497 +:101200008327810183A74702638407048327810121 +:101210009387470213850700EF20405B9307050083 +:101220006388070283274100638407028327410004 +:101230001307100023A0E7006F00800183278101BE +:1012400083A78704138717008327810123A4E7045A +:1012500093071000232EF1006F008000232E010061 +:101260008327410113850700EFF00FC88327C101D1 +:10127000138507008320C10213010103678000006A +:10128000130101FD232611022326A1002324B1000E +:101290008327C100232CF100832781016396070077 +:1012A000737004306F0000008327810183A7070457 +:1012B00063860700737004306F000000832781018C +:1012C00083A70700639807008327810183A747004E +:1012D00063960700930710006F00800093070000DB +:1012E00063960700737004306F000000EFF08FC149 +:1012F00093070500232AF1008327810103A78703B1 +:101300008327810183A7C7036372F70883278101BD +:1013100083A78703138717008327810123ACE70284 +:101320008327810103A787049307F0FF6310F70465 +:101330008327810183A747026384070483278101F0 +:101340009387470213850700EF2040489307050065 +:101350006388070283278100638407028327810053 +:101360001307100023A0E7006F008001832781018D +:1013700083A78704138717008327810123A4E70429 +:1013800093071000232EF1006F008000232E010030 +:101390008327410113850700EFF00FB58327C101B3 +:1013A000138507008320C102130101036780000039 +:1013B000130101FC232E11022326A1002324B100D6 +:1013C0002322C1002320D100232601028327C1004C +:1013D0002324F1028327810263960700737004308F +:1013E0006F00000083278100639807008327810234 +:1013F00083A7070463960700930710006F0080001F +:101400009307000063960700737004306F000000BC +:10141000EF201076930705006396070083274100AD +:1014200063960700930710006F0080009307000089 +:1014300063960700737004306F000000EF30C02126 +:101440008327810283A787036382070C8327810296 +:1014500083A7C7002322F10283258100032581028F +:10146000EF00806B832701006392070683278102C8 +:1014700083A787031387F7FF8327810223ACE70243 +:101480008327810283A70700639A0700EF30802B30 +:10149000130705008327810223A2E7008327810227 +:1014A00083A70701638E07048327810293870701BF +:1014B00013850700EF208031130705009307100004 +:1014C0006310F704EFF0CF8C6F0080038327810255 +:1014D0000327410223A6E7008327810283A747024F +:1014E00063800702832781029387470213850700E1 +:1014F000EF20C02D9307050063840700EFF04F89AC +:10150000EF308019930710006F008011832741008E +:1015100063980700EF304018930700006F004010F9 +:101520008327C102639C07009307C101138507004D +:10153000EF20C04E930710002326F102EF30C015B4 +:10154000EF10407BEF3040118327810203A747044F +:101550009307F0FF6316F7008327810223A2070495 +:101560008327810203A787049307F0FF6316F70020 +:101570008327810223A40704EF30001213074100E0 +:101580009307C1019305070013850700EF20C04DA5 +:1015900093070500639E070603258102EF00406F55 +:1015A00093070500638E07048327810283A7070042 +:1015B000639E0700EF30400A8327810283A747001C +:1015C00013850700EF20D05FEF30000D83278102E5 +:1015D00093874702032741009305070013850700FF +:1015E000EF10507903258102EF00C05BEF10C0724D +:1015F00093070500E39407E4EFE09FF96FF01FE421 +:1016000003258102EF00005AEF1000716FF01FE315 +:1016100003258102EF000059EF10007093070000CE +:10162000138507008320C1031301010467800000B4 +:10163000130101FD232611022326A1002324B1005A +:101640002322C1008327C100232CF10083278101BD +:1016500063960700737004306F00000083278100D9 +:10166000639807008327810183A707046396070017 +:10167000930710006F008000930700006396070037 +:10168000737004306F000000EFF0CF879307050000 +:10169000232AF1008327810183A787036388070832 +:1016A0008325810003258101EF0000478327810105 +:1016B00083A787031387F7FF8327810123ACE70202 +:1016C0008327810103A747049307F0FF6310F70402 +:1016D0008327810183A7070163840704832781018E +:1016E0009387070113850700EF20400E930705003D +:1016F0006388070283274100638407028327410030 +:101700001307100023A0E7006F00800183278101E9 +:1017100083A74704138717008327810123A2E704C7 +:1017200093071000232EF1006F008000232E01008C +:101730008327410113850700EFE01FFB8327C101C9 +:10174000138507008320C102130101036780000095 +:10175000130101FD232611022326A1002324B10039 +:101760008327C100232CF1008327810163960700A2 +:10177000737004306F0000008327810063980700B6 +:101780008327810183A7070463960700930710004E +:101790006F008000930700006396070073700430A9 +:1017A0006F0000008327810183A707046396070069 +:1017B000737004306F000000EFE0DFF49307050062 +:1017C000232AF1008327810183A78703638A070205 +:1017D0008327810183A7C7002328F1008325810087 +:1017E00003258101EF004033832781010327010195 +:1017F00023A6E70093071000232EF1006F0080005E +:10180000232E01008327410113850700EFE0DFED60 +:101810008327C101138507008320C102130101033F +:1018200067800000130101FD232611022326A10079 +:101830008327C10063960700737004306F000000B7 +:10184000EF2090618327C10083A78703232EF10037 +:10185000EF2090648327C101138507008320C10214 +:101860001301010367800000130101FD232611020B +:101870002326A1008327C100232EF1008327C10165 +:1018800063960700737004306F000000EF20D05C97 +:101890008327C10103A7C7038327C10183A7870348 +:1018A000B307F740232CF100EF20105F832781015D +:1018B000138507008320C102130101036780000024 +:1018C000130101FE2326A1008327C10063960700B0 +:1018D000737004306F0000008327C10083A7870363 +:1018E000232EF1008327C101138507001301010294 +:1018F00067800000130101FD232611022326A100A9 +:101900008327C100232EF1008327C10163960700BE +:10191000737004306F0000000325C101EF004052D6 +:101920000325C101EF405037130000008320C1029E +:101930001301010367800000130101FF2326A100AA +:101940008327C10083A7C704138507001301010182 +:1019500067800000130101FF2326A1002324B100AA +:101960008327C1000327810023A6E704130000009A +:101970001301010167800000130101FF2326A1006C +:101980008327C10083C707051385070013010101E1 +:1019900067800000130101FD232611022326A10008 +:1019A0002324B1002322C100232E01008327C1007C +:1019B00083A70704639807028327C10083A7070052 +:1019C000639807128327C10083A747001385070088 +:1019D000EF20D034232EA1008327C10023A20700CB +:1019E0006F00001183274100639407068327C1001D +:1019F00003A787008327C10083A707041386070076 +:101A00008325810013050700EF40D03F8327C100E5 +:101A100003A787008327C10083A707043307F700C4 +:101A20008327C10023A4E7008327C10003A7870001 +:101A30008327C10083A74700636CF70A8327C1008F +:101A400003A707008327C10023A4E7006F00400A13 +:101A50008327C10003A7C7008327C10083A707040A +:101A6000138607008325810013050700EF40903996 +:101A70008327C10003A7C7008327C10083A70704EA +:101A8000B307F0403307F7008327C10023A6E70020 +:101A90008327C10003A7C7008327C10083A70700CE +:101AA0006372F7028327C10003A747008327C100A1 +:101AB00083A70704B307F0403307F7008327C1006B +:101AC00023A6E70003274100930720006312F702D3 +:101AD0008327C10083A78703638C07008327C10086 +:101AE00083A787031387F7FF8327C10023ACE7028F +:101AF0008327C10083A78703138717008327C100AB +:101B000023ACE7028327C101138507008320C102AC +:101B10001301010367800000130101FE232E110051 +:101B20002326A1002324B1008327C10083A7070433 +:101B3000638207068327C10003A7C7008327C1006C +:101B400083A707043307F7008327C10023A6E70014 +:101B50008327C10003A7C7008327C10083A74700CD +:101B6000636AF7008327C10003A707008327C1002A +:101B700023A6E7008327C10003A7C7008327C1006E +:101B800083A7070413860700930507000325810038 +:101B9000EF405027130000008320C1011301010210 +:101BA00067800000130101FE232E11002326A100EF +:101BB000EF20902A6F0000048327C10083A747020B +:101BC000638207048327C1009387470213850700B8 +:101BD000EF10D03F9307050063840700EF10D07823 +:101BE0008327C10083A787041387F7FF8327C100DA +:101BF00023A4E7048327C10083A78704E34EF0FAF8 +:101C00006F008000130000008327C1001307F0FF5E +:101C100023A4E704EF205028EF2010246F000004D5 +:101C20008327C10083A70701638207048327C100BC +:101C30009387070113850700EF10503993070500BC +:101C400063840700EF1050728327C10083A7470405 +:101C50001387F7FF8327C10023A2E7048327C1006E +:101C600083A74704E34EF0FA6F00800013000000E2 +:101C70008327C1001307F0FF23A2E704EF20D02140 +:101C8000130000008320C1011301010267800000DE +:101C9000130101FD232611022326A100EF20D01BF2 +:101CA0008327C10083A78703639807009307100069 +:101CB000232EF1006F008000232E0100EF20D01DA5 +:101CC0008327C101138507008320C102130101038B +:101CD00067800000130101FE2326A1008327C100B5 +:101CE00063960700737004306F0000008327C10003 +:101CF00083A787036398070093071000232EF10042 +:101D00006F008000232E01008327C1011385070087 +:101D10001301010267800000130101FD2326110257 +:101D20002326A100EF2050138327C10003A78703B8 +:101D30008327C10083A7C7036318F7009307100028 +:101D4000232EF1006F008000232E0100EF20D0141D +:101D50008327C101138507008320C10213010103FA +:101D600067800000130101FE2326A1008327C10024 +:101D700063960700737004306F0000008327C10072 +:101D800003A787038327C10083A7C7036318F7004E +:101D900093071000232EF1006F008000232E010016 +:101DA0008327C1011385070013010102678000002A +:101DB000130101FE2326A1002324B100232E0100DC +:101DC0006F00800617870300130707968327C1015A +:101DD00093973700B307F70083A7070063900704C2 +:101DE00017870300130747948327C1019397370090 +:101DF000B307F7000327810023A0E700178703003C +:101E0000130787928327C10193973700B307F70021 +:101E10000327C10023A2E7006F00C0018327C1018F +:101E200093871700232EF1000327C1019307700049 +:101E3000E3FAE7F8130000001301010267800000D5 +:101E4000130101FE2326A100232E01006F00C00410 +:101E5000178703001307478D8327C1019397370026 +:101E6000B307F70083A747000327C1006310F702F9 +:101E7000178703001307478B8327C1019397370008 +:101E8000B307F70023A007006F00C0018327C1013B +:101E900093871700232EF1000327C10193077000D9 +:101EA000E3F8E7FA13000000130101026780000065 +:101EB000130101FD232611022326A1002324B100D2 +:101EC0002322C1008327C100232EF100EF20C07818 +:101ED0008327C10103A747049307F0FF6316F700A8 +:101EE0008327C10123A207048327C10103A7870415 +:101EF0009307F0FF6316F7008327C10123A40704AB +:101F0000EF2080798327C10183A78703639E0700A1 +:101F10008327C1019387470203264100832581005F +:101F200013850700EF10007F0325C101EFF09FC765 +:101F3000130000008320C102130101036780000029 +:101F4000130101FC232E1102232EA100232CB1002A +:101F50002328D1002326E1002324F10023220101BC +:101F60002320110193070600231BF1008327C101E1 +:101F700063960700737004306F0000000327C100F0 +:101F80009307900063F6E700737004306F00000061 +:101F9000835761018325410013850700EF10D07E30 +:101FA0002324A102832781026386071C83278102E1 +:101FB00003A7070383566101B70700409387F7FF24 +:101FC000B387F60093972700B307F7002322F102A7 +:101FD0008327410293F7C7FF2322F102832741029F +:101FE00093F7370063860700737004306F000000BA +:101FF0008357610113870700832601000326C10070 +:102000008325810103258102EF1050410326010140 +:102010008325C10103254102EFE0CFF0130705003E +:102020008327810223A0E7008327810063880700BC +:10203000832781000327810223A0E700EF20C061EE +:1020400097E701009387475B83A707001387170073 +:1020500097E701009387475A23A0E70097E701001D +:102060009387873883A707006398070297E70100E3 +:10207000938787370327810223A0E70097E70100B2 +:102080009387875703A70700930710006312F7048D +:10209000EF10904B6F00C00397E7010093878756BE +:1020A00083A707006396070297E701009387C7336A +:1020B00083A7070083A7C7020327C100636AF7004D +:1020C00097E70100938747320327810223A0E700A7 +:1020D00097E701009387075483A70700138717002A +:1020E00097E701009387075323A0E70097E70100D4 +:1020F0009387475203A707008327810223A4E7049D +:102100008327810203A7C70297E701009387474F00 +:1021100083A7070063FCE7008327810203A7C702A8 +:1021200097E701009387C74D23A0E700832781022B +:1021300003A7C7029307070093972700B387E70019 +:102140009397270017E70100130787373387E700C6 +:1021500083278102938747009385070013050700B3 +:10216000EFE0CFEE930710002326F102EF20C052DC +:102170006F00C0009307F0FF2326F1020327C1027E +:10218000930710006318F70297E7010093878747CA +:1021900083A707006380070297E701009387C7249E +:1021A00083A7070083A7C7020327C10063F4E700E2 +:1021B000EFE00FBE8327C102138507008320C10310 +:1021C0001301010467800000130101FD23261102A1 +:1021D0002326A100EF2040488327C100639A07000F +:1021E00097E701009387472083A707006F008000CF +:1021F0008327C100232EF1008327C1019387470065 +:1022000013850700EFE0CFF88327C10183A787027A +:10221000638A07008327C10193878701138507001D +:10222000EFE00FF78327C1019387470093850700ED +:1022300017E5010013058539EFE04FE197E701004D +:102240009387073A83A707001387170097E70100D2 +:102250009387073923A0E70097E701009387873B1F +:1022600083A707001387170097E701009387873A32 +:1022700023A0E700EF20404297E7010093878738CB +:1022800083A707006382070497E701009387C715B8 +:1022900083A707000327C1016312F70297E7010034 +:1022A0009387C73783A707006386070073700430DE +:1022B0006F000000EFE0CFAD6F000001EF20C039EC +:1022C000EF208001EF20403D130000008320C10279 +:1022D0001301010367800000130101FD2326110291 +:1022E0002326A1002324B100232E01008327C1004F +:1022F00063960700737004306F000000832781002D +:1023000063960700737004306F00000097E70100C8 +:102310009387C73083A70700638607007370043074 +:102320006F000000EF00101D97E701009387072D55 +:1023300083A70700232CF1008327C10083A7070090 +:1023400003278100B307F700232AF1008327C10088 +:1023500083A70700032781016376F7028327C10063 +:1023600083A70700032741016370F7040327410196 +:102370008327810163FAE70293071000232EF100FF +:102380006F0080028327C10083A707000327410154 +:102390006368F700032741018327810163F6E700A3 +:1023A00093071000232EF1008327C100032741016A +:1023B00023A0E7008327C1016382070297E701009A +:1023C0009387870283A70700938747001385070039 +:1023D000EFE00FDC03254101EF10502FEF00D01389 +:1023E0002328A1008327010163940700EFE04F9A9F +:1023F000130000008320C102130101036780000065 +:10240000130101FD232611022326A100232E010022 +:102410008327C1006380070697E7010093870720A1 +:1024200083A7070063860700737004306F00000005 +:10243000EF00500C97E701009387471C83A7070024 +:102440000327C100B307F700232CF10097E7010031 +:10245000938787F983A707009387470013850700B1 +:10246000EFE00FD303258101EF105026EF00D00AD3 +:10247000232EA1008327C10163940700EFE04F9151 +:10248000130000008320C1021301010367800000D4 +:10249000130101FD232611022326A1008327C10079 +:1024A000232CF100832781016396070073700430A9 +:1024B0006F00000097E70100938707F383A70700E9 +:1024C000032781016316F700232E01006F00400AE5 +:1024D000EF2080188327810183A74701232AF10079 +:1024E000EF20801B97E701009387870C83A70700E5 +:1024F00003274101630CF70097E701009387870BDF +:1025000083A70700032741016318F7009307200002 +:10251000232EF1006F00C0050327410197E701005A +:102520009387470C6314F7028327810183A78702EF +:102530006398070093073000232EF1006F004003DB +:1025400093072000232EF1006F0080020327410132 +:1025500097E70100938787076318F7009307400008 +:10256000232EF1006F00C00093071000232EF1000E +:102570008327C101138507008320C10213010103D2 +:1025800067800000130101FD232611022326A1000C +:10259000EF20800C8327C100639A070097E70100B2 +:1025A000938787E483A707006F0080008327C1001B +:1025B000232EF1008327C10183A7C702232CF1003A +:1025C000EF20800D83278101138507008320C1023E +:1025D0001301010367800000130101FD232611028E +:1025E0002326A100EFE00F9293070500232EF100B0 +:1025F0008327C100639A070097E701009387C7DE2E +:1026000083A707006F0080008327C100232CF100FF +:102610008327810183A7C702232AF1008327C101F1 +:1026200013850700EFE04F8C8327410113850700D6 +:102630008320C1021301010367800000130101FD23 +:10264000232611022326A1002324B100232E0100FA +:10265000032781009307900063F6E700737004304E +:102660006F000000032781009307900063F6E700E6 +:10267000930790002324F100EF10107E8327C10000 +:10268000639A070097E70100938707D683A707009F +:102690006F0080008327C100232CF1008327810174 +:1026A00083A70705232AF10003274101832781001F +:1026B000630EF716032781008327410163FEE702BB +:1026C00097E70100938747D283A70700032781017B +:1026D0006302F70497E70100938707D183A70700F8 +:1026E00083A7C702032781006366F70293071000E0 +:1026F000232EF1006F00000297E701009387C7CEF9 +:1027000083A70700032781016316F70093071000D2 +:10271000232EF1008327810183A7C7022328F1001C +:102720008327810103A707058327810183A7C702A8 +:102730006318F700832781010327810023A6E7029E +:10274000832781010327810023A8E70483278101D0 +:1027500083A7870163CC07001307A00083278100AC +:102760003307F7408327810123ACE70083278101EA +:1027700083A64701032701019307070093972700CA +:10278000B387E7009397270017E70100130747D3A4 +:10279000B387E7006396F600930710006F00800090 +:1027A00093070000638C0706832781019387470006 +:1027B00013850700EFE0CF9D8327810103A7C702A0 +:1027C00097E701009387C7E383A7070063FCE7004F +:1027D0008327810103A7C70297E70100938747E298 +:1027E00023A0E7008327810103A7C70293070700FF +:1027F00093972700B387E7009397270017E7010017 +:10280000130707CC3387E7008327810193874700AD +:102810009385070013050700EFE04F830327C101ED +:10282000930710006314F700EFD09FD6EF10D06627 +:10283000130000008320C102130101036780000020 +:10284000130101FD232611022326A100EF10D06001 +:102850008327C100639A070097E701009387C7B8F1 +:1028600083A707006F0080008327C100232EF1009B +:102870008327C1019387470013850700EFE04F913D +:102880008327C10183A78702638A07008327C101C9 +:102890009387870113850700EFE08F8F8327C1019E +:1028A000938747009385070017E50100130585D33B +:1028B000EFD0DFF9EF10505E97E701009387C7B2C2 +:1028C00083A707000327C1016314F70697E70100F8 +:1028D000938747D383A707006382070297E7010026 +:1028E0009387C7D383A707006386070073700430FC +:1028F0006F000000EFD0DFC96F00400597E70100CF +:10290000938747CE03A7070097E701009387C7CEB9 +:1029100083A70700631AF70097E701009387C7AC06 +:1029200023A007006F008002EF0090286F000002D4 +:1029300097E70100938707CD83A707006388070007 +:10294000EF109051EF105019EF10105513000000C8 +:102950008320C1021301010367800000130101FEFF +:102960002326A100232E01008327C100232CF10080 +:102970008327C10063960700737004306F00000066 +:102980008327810103A7470197E70100938787C544 +:102990006316F700930710006F0080009307000094 +:1029A000638E07028327810103A7870297E701004F +:1029B000938787C06304F7028327810183A7870277 +:1029C00063960700930710006F00800093070000D4 +:1029D0006386070093071000232EF1008327C101AF +:1029E000138507001301010267800000130101FD38 +:1029F000232611022326A1008327C100232EF100E4 +:102A00008327C10063960700737004306F000000D5 +:102A10008327C1016384070C97E701009387C79C54 +:102A200083A707000327C101630AF70AEF10D0420A +:102A30000325C101EFF09FF2130705009307100073 +:102A4000631CF7088327C10193874700138507009C +:102A5000EFD01FF48327C10103A7C70297E7010046 +:102A6000938707BA83A7070063FCE7008327C101A8 +:102A700003A7C70297E70100938787B823A0E70061 +:102A80008327C10103A7C702930707009397270075 +:102A9000B387E7009397270017E70100130747A2C2 +:102AA0003387E7008327C101938747009385070099 +:102AB00013050700EFD09FD98327C10103A7C702E1 +:102AC00097E701009387479283A7070083A7C70270 +:102AD0006364F700EFD0DFABEF10103C1300000091 +:102AE0008320C1021301010367800000130101FD6F +:102AF000232611022326A100232E01008327C100D3 +:102B0000232CF1008327C100639607007370043003 +:102B10006F000000EFD01FBF93070500232AF100CC +:102B200003258101EFF09FE31307050093071000D1 +:102B30006314F70C97E70100938747AE83A707005C +:102B40006390070A8327810103A7C70297E7010063 +:102B50009387878983A7070083A7C7026366F70067 +:102B600093071000232EF1008327810193874700EC +:102B700013850700EFD0DFE18327810103A7C70298 +:102B800097E701009387C7A783A7070063FCE700C7 +:102B90008327810103A7C70297E70100938747A610 +:102BA00023A0E7008327810103A7C702930707003B +:102BB00093972700B387E7009397270017E7010053 +:102BC000130707903387E700832781019387470026 +:102BD0009385070013050700EFD05FC76F00C001A2 +:102BE00083278101938787019385070017E50100FB +:102BF0001305859CEFD09FC58327410113850700EE +:102C0000EFD09FAE8327C101138507008320C10247 +:102C10001301010367800000130101FE232E110040 +:102C2000930800001308000093070000130700003A +:102C3000930600001306004097D501009385853C5C +:102C400017150000130505FBEFF08FAF2326A10039 +:102C50000327C100930710006316F700EF20C03A66 +:102C60002326A1000327C10093071000631EF7026B +:102C70007370043097E701009387079A1307F0FFFA +:102C800023A0E70097E701009387C7971307100079 +:102C900023A0E70097E701009387479623A007004A +:102CA000EFD04FF46F0040018327C1006396070007 +:102CB000737004306F000000130000008320C10116 +:102CC0001301010267800000130101FF2326110098 +:102CD0007370043097E701009387C79223A0070021 +:102CE000EFD09F82130000008320C1001301010177 +:102CF0006780000097E701009387479283A707004A +:102D00001387170097E701009387479123A0E700F7 +:102D10001300000067800000130101FE232E110044 +:102D20002326010097E701009387478F83A70700B9 +:102D300063960700737004306F000000EF10D0112D +:102D400097E701009387878D83A707001387F7FF15 +:102D500097E701009387878C23A0E70097E701009E +:102D60009387C78B83A707006394071697E7010033 +:102D70009387878883A70700638C07146F00800CF4 +:102D800097E701009387478383A7C70083A7C700FE +:102D90002324F1008327810093878701138507008F +:102DA000EFD01FBF8327810093874700138507005B +:102DB000EFD01FBE8327810003A7C70297E701005A +:102DC0009387078483A7070063FCE70083278100BC +:102DD00003A7C70297E701009387878223A0E70034 +:102DE0008327810003A7C702930707009397270053 +:102DF000B387E7009397270017D701001307476CA5 +:102E00003387E70083278100938747009385070076 +:102E100013050700EFD09FA38327810003A7C702F4 +:102E200097D701009387475C83A7070083A7C70252 +:102E3000636AF70097D701009387477D1307100057 +:102E400023A0E70097D701009387077783A70700A0 +:102E5000E39807F297D701009387077B83A70700C2 +:102E6000638807046F00C003EF00002B9307050081 +:102E7000638A070097D701009387477913071000EB +:102E800023A0E70097D701009387077883A707005F +:102E90001387F7FF97D701009387077723A0E700F1 +:102EA00097D701009387477683A70700E39E07FA29 +:102EB00097D701009387877503A707009307100032 +:102EC0006318F700930710002326F100EFD04FECB2 +:102ED000EF10807C8327C100138507008320C10188 +:102EE0001301010267800000130101FE232E11006F +:102EF000EF10807697D701009387477083A707006C +:102F00002326F100EF1040798327C10013850700C5 +:102F10008320C1011301010267800000130101FE3B +:102F2000232E1100EFD00FFE930705002326F1009A +:102F300097D701009387876C83A707002324F100AC +:102F40008327C10013850700EFD00FFA8327810084 +:102F5000138507008320C10113010102678000006F +:102F600097D701009387476983A707001385070058 +:102F700067800000130101FD232611022326A10012 +:102F80002324B1002322C100232E01009307A000B7 +:102F9000232CF100EFF01FD697D701009387C76568 +:102FA00083A7070003278100636CF714832781013F +:102FB0009387F7FF232CF1008327C10193975700D4 +:102FC0000327C100B306F700032781019307070019 +:102FD00093972700B387E7009397270017D701003F +:102FE0001307074EB387E700130610009385070009 +:102FF00013850600EF108004130705008327C10125 +:10300000B387E700232EF10083278101E39007FABD +:103010008327C101939757000327C1003307F700A7 +:1030200097D701009387C75883A70700130620008E +:103030009385070013050700EF10400013070500F4 +:103040008327C101B387E700232EF1008327C10145 +:10305000939757000327C1003307F70097D7010064 +:103060009387475583A70700130620009385070021 +:1030700013050700EF00907C130705008327C101AB +:10308000B387E700232EF1008327C10193975700F0 +:103090000327C100B307F7001306400097D50100CE +:1030A0009385C55213850700EF005079130705007B +:1030B0008327C101B387E700232EF1008327C101D5 +:1030C000939757000327C100B307F700130630009A +:1030D00097D501009385055113850700EF00107601 +:1030E000130705008327C101B387E700232EF100F2 +:1030F00083274100638607008327410023A0070040 +:10310000EFF09FC18327C101138507008320C1020F +:103110001301010367800000130101FD2326110242 +:10312000232E010097D701009387474F83A70700FD +:10313000639C072297D701009387474C83A707001A +:103140001387170097D701009387474B23A0E70009 +:1031500097D701009387874A83A70700232CF100A4 +:1031600083278101639C070697D701009387474413 +:1031700083A7070083A707006386070073700430E6 +:103180006F00000097D701009387874283A707004D +:10319000232AF10097D701009387C74103A70700AF +:1031A00097D701009387C74023A0E70097D7010076 +:1031B000938747400327410123A0E70097D70100E9 +:1031C0009387074583A707001387170097D7010048 +:1031D0009387074423A0E700EF10001097D7010062 +:1031E0009387874383A7070003278101636CF71246 +:1031F00097D701009387C73B83A7070083A70700E2 +:1032000063960700930710006F008000930700008B +:10321000638C070097D70100938707401307F0FFDF +:1032200023A0E7006F00001097D70100938747386D +:1032300083A7070083A7C70083A7C7002328F1003F +:103240008327010183A747002326F100032781017B +:103250008327C100637CF70097D701009387C73BA2 +:103260000327C10023A0E7006F00C00B83270101E3 +:103270009387470013850700EFD08FF18327010163 +:1032800083A78702638A0700832701019387870149 +:1032900013850700EFD0CFEF8327010103A7C702F3 +:1032A00097D701009387C73583A7070063FCE70022 +:1032B0008327010103A7C70297D7010093874734EB +:1032C00023A0E7008327010103A7C7029307070094 +:1032D00093972700B387E7009397270017D701003C +:1032E0001307071E3387E7008327010193874700F1 +:1032F0009385070013050700EFD04FD58327010101 +:1033000003A7C70297D701009387070E83A707007B +:1033100083A7C702E36EF7EC93071000232EF1009A +:103320006FF01FED97D701009387070C83A7070065 +:1033300003A7C70297D60100938686189307070054 +:1033400093972700B387E70093972700B387F6008A +:1033500003A707009307100063F6E7029307100026 +:10336000232EF1006F00000297D701009387C72931 +:1033700083A707001387170097D701009387C728F3 +:1033800023A0E70097D701009387472883A707006A +:103390006386070093071000232EF1008327C101E5 +:1033A000138507008320C102130101036780000019 +:1033B000130101FE232E110097D7010093870726E2 +:1033C00083A70700638C070097D701009387072422 +:1033D0001307100023A0E7006F00C01897D7010063 +:1033E0009387C72223A0070097D701009387C7FFC1 +:1033F00083A7070083A707032326F100B7A7A5A586 +:103400009387575A2324F1008327C10083A707001D +:10341000032781006310F7048327C10093874700C7 +:1034200083A70700032781006316F7028327C100E3 +:103430009387870083A7070003278100631CF70099 +:103440008327C1009387C70083A707000327810054 +:103450006302F70697D70100938707F903A70700D0 +:1034600097D70100938747F883A7070093874703FF +:103470009385070013050700EF4000216F008003CC +:1034800097D701009387C71783A7070063960700A4 +:10349000737004306F00000097D7010093874716C0 +:1034A00083A707001387F7FF97D701009387471576 +:1034B00023A0E70097D701009387871403A707008D +:1034C00097D601009386C6FF9307070093972700BE +:1034D000B387E70093972700B387F60083A7070019 +:1034E000E38007FA97D701009387871103A70700A6 +:1034F0009307070093972700B387E7009397270068 +:1035000017D701001307C7FBB387E7002322F10099 +:103510008327410083A7470003A747008327410073 +:1035200023A2E7008327410003A747008327410028 +:1035300093878700631CF7008327410083A7470018 +:1035400003A747008327410023A2E7008327410008 +:1035500083A7470003A7C70097D701009387C7E851 +:1035600023A0E700130000008320C1011301010222 +:1035700067800000130101FD232611022326A1000C +:103580002324B1008327C1006396070073700430C1 +:103590006F00000097D70100938707E583A7070016 +:1035A00093878701938507000325C100EFD0CFB132 +:1035B00097D70100938747E383A7070093874700C6 +:1035C00013850700EFD0CFBC032781009307F0FFDE +:1035D0006314F70297D70100938707E183A70700D9 +:1035E000938747009385070017D50100130585FFD2 +:1035F000EFD0CFA56F00400297D701009387070057 +:1036000083A7070003278100B307F700232EF100EB +:103610000325C101EF00900B130000008320C102BD +:103620001301010367800000130101FD232611022D +:103630002326A1002324B1002322C1008327C10037 +:1036400063960700737004306F00000097D7010085 +:103650009387C7FC83A70700639607007370043045 +:103660006F00000097D70100938707D883A7070052 +:10367000832681003707008033E7E60023ACE700AC +:1036800097D70100938747D683A7070093878701C1 +:10369000938507000325C100EFD04F9B97D701000A +:1036A000938787D483A70700938747001385070074 +:1036B000EFD00FAE032741009307F0FF6314F7022A +:1036C00097D70100938747D283A7070093874700C6 +:1036D0009385070017D501001305C5F0EFD00F97AC +:1036E0006F00400297D70100938747F183A7070037 +:1036F00003274100B307F700232EF1000325C10182 +:10370000EF00C07C130000008320C10213010103FD +:1037100067800000130101FD232611022326A1006A +:103720002324B1002322C1008327C1006396070030 +:10373000737004306F00000097D701009387C7CAE9 +:1037400083A7070093878701938507000325C1009E +:10375000EFD0CF8F97D70100938707C983A70700C2 +:103760009387470013850700EFD08FA203274100FE +:10377000930710006314F70297D701009387C7C619 +:1037800083A70700938747009385070017D501009B +:10379000130545E5EFD08F8B6F00400297D70100EE +:1037A0009387C7E583A7070003278100B307F700C6 +:1037B000232EF1000325C101EF004071130000002A +:1037C0008320C1021301010367800000130101FD82 +:1037D000232611022326A1008327C10083A7C70047 +:1037E00083A7C700232CF10083278101639607007C +:1037F000737004306F000000832781019387870175 +:1038000013850700EFD0CF9897D70100938707E182 +:1038100083A70700639E07068327810193874700DC +:1038200013850700EFD0CF968327810103A7C70236 +:1038300097D701009387C7DC83A7070063FCE700E5 +:103840008327810103A7C70297D70100938747DB2E +:1038500023A0E7008327810103A7C702930707007E +:1038600093972700B387E7009397270017D70100A6 +:10387000130707C53387E700832781019387470034 +:103880009385070013050700EFC05FFC6F00C001C0 +:1038900083278101938787019385070017D501004E +:1038A000130585D1EFC09FFA8327810103A7C702C3 +:1038B00097D70100938747B383A7070083A7C70261 +:1038C00063F0E70293071000232EF10097D7010061 +:1038D0009387C7D31307100023A0E7006F00800071 +:1038E000232E01008327C101138507008320C10215 +:1038F0001301010367800000130101FD232611025B +:103900002326A1002324B10097D70100938707D174 +:1039100083A7070063960700737004306F000000F0 +:1039200003278100B70700803367F7008327C100B2 +:1039300023A0E7008327C10083A7C700232CF10041 +:103940008327810163960700737004306F000000C5 +:103950000325C100EFD0CF838327810193874700E0 +:1039600013850700EFD0CF828327810103A7C70209 +:1039700097D701009387C7C883A7070063FCE700B8 +:103980008327810103A7C70297D70100938747C701 +:1039900023A0E7008327810103A7C702930707003D +:1039A00093972700B387E7009397270017D7010065 +:1039B000130707B13387E700832781019387470007 +:1039C0009385070013050700EFC05FE88327810197 +:1039D00003A7C70297D70100938707A183A7070012 +:1039E00083A7C70263F0E70293071000232EF100BC +:1039F00097D70100938787C11307100023A0E70022 +:103A00006F008000232E01008327C101138507006A +:103A10008320C1021301010367800000130101FF2D +:103A20002326A1008327C10063960700737004302A +:103A30006F00000097D70100938787BD03A7070099 +:103A40008327C10023A0E70097D70100938707BB16 +:103A500003A707008327C10023A2E700130000008B +:103A60001301010167800000130101FD23261102EB +:103A70002326A1002324B1008327C10063960700F9 +:103A8000737004306F000000832781006396070085 +:103A9000737004306F000000EF00103C97D70100F6 +:103AA0009387C7B583A70700232CF10083278100E4 +:103AB00003A707009307F0FF6316F700232E01000A +:103AC0006F0000098327C10003A7070097D70100F3 +:103AD000938707B483A707006300F7028327C10019 +:103AE00083A74700032781016368F700930710004D +:103AF000232EF1006F00C0058327C10083A7470074 +:103B0000032781013307F7408327810083A707003C +:103B1000637CF7028327810003A707008327C10086 +:103B200083A6470083278101B387F6403307F70058 +:103B30008327810023A0E7000325C100EFF01FEEDB +:103B4000232E01006F00C00093071000232EF10008 +:103B5000EF0090348327C101138507008320C10241 +:103B6000130101036780000097D70100938707AA1C +:103B70001307100023A0E700130000006780000077 +:103B8000130101FE2326A1008327C100638E0700D5 +:103B90008327C100232CF1008327810183A7C70459 +:103BA000232EF1006F008000232E01008327C10126 +:103BB000138507001301010267800000130101FE55 +:103BC0002326A1002324B1008327C100638C0700B2 +:103BD0008327C100232EF1008327C1010327810021 +:103BE00023A6E70413000000130101026780000010 +:103BF000130101FE232E11002326A100EF00002156 +:103C000097D701009387C78B03A70700930710007E +:103C1000E3F6E7FEEFC0DF976FF05FFE130101FCF4 +:103C2000232E1102232EA100232CB100232AC10030 +:103C30002328D100930707002317F1002326010250 +:103C40006F004004032781018327C102B307F700F7 +:103C500003C707008326C1018327C102B387F6008B +:103C6000238AE702032781018327C102B307F700F4 +:103C700083C70700638007028327C1029387170069 +:103C80002326F1020327C1029307F000E3FCE7FAC1 +:103C90006F008000130000008327C101A381070487 +:103CA000032741019307900063F6E7009307900014 +:103CB000232AF1008327C1010327410123A6E7023C +:103CC0008327C1010327410123A8E7048327C101FA +:103CD00023AA07048327C1019387470013850700A0 +:103CE000EFC01FB58327C1019387870113850700A4 +:103CF000EFC01FB48327C1010327C10123A8E70038 +:103D00001307A000832741013307F7408327C10130 +:103D100023ACE7008327C1010327C10123A2E702E7 +:103D20008327C10123A207048327C10123AC070411 +:103D30008327C10123AE0704130000008320C103C1 +:103D40001301010467800000130101FE232E1100FE +:103D5000232601006F0080030327C100930707009B +:103D600093972700B387E7009397270017C70100B1 +:103D700013070775B387E70013850700EFC0DFA5BA +:103D80008327C100938717002326F1000327C10072 +:103D900093079000E3F2E7FC17C501001305C57E09 +:103DA000EFC09FA317C501001305457FEFC0DFA239 +:103DB00017D5010013054580EFC01FA217D50100DC +:103DC0001305C580EFC05FA117D5010013058581DC +:103DD000EFC09FA097C701009387877D17C7010099 +:103DE0001307877A23A0E70097C701009387877C92 +:103DF00017C701001307877A23A0E700130000000C +:103E00008320C1011301010267800000130101FE3C +:103E1000232E11006F008009EFE0DFED97C701004E +:103E20009387C77A83A7070093B7170093F7F70F15 +:103E30002326F100EFE05FEE8327C10063980706B9 +:103E4000EF00900197C701009387477883A7C700C9 +:103E500083A7C7002324F1008327810093874700AD +:103E600013850700EFC0DFB297C701009387C778BB +:103E700083A707001387F7FF97C701009387C777CA +:103E800023A0E70097C701009387877583A70700E2 +:103E90001387F7FF97C701009387877423A0E70074 +:103EA000EF00807F03258100EF00C03F97C701002E +:103EB0009387077383A70700E39007F613000000BA +:103EC0008320C1011301010267800000130101FE7C +:103ED000232E11002326A10097C701009387C75006 +:103EE00083A707000327C10023A2E70097C70100AB +:103EF0009387C77083A707000327C1006378F70281 +:103F000097C701009387076B03A7070097C70100B6 +:103F10009387874D83A70700938747009385070002 +:103F200013050700EFC05F9A6F00000597C70100F7 +:103F30009387076803A7070097C701009387C74ABD +:103F400083A70700938747009385070013050700A1 +:103F5000EFC09F9797C701009387076C83A707005F +:103F60000327C100637AF70097C701009387C76AE8 +:103F70000327C10023A0E700130000008320C10134 +:103F80001301010267800000130101FD23261102C5 +:103F9000930705002324B1002317F1008327810034 +:103FA000639E07008357E10093972700138507005E +:103FB000EF20C02E930705006F008000832781004B +:103FC000232CF10083278101638A07021305000671 +:103FD000EF20C02C232EA1008327C101638A070094 +:103FE0008327C1010327810123A8E7026F00400155 +:103FF00003258101EF20404A6F008000232E01003D +:104000008327C101638207028327C10103A7070336 +:104010008357E10093972700138607009305500A02 +:1040200013050700EF20006A8327C10113850700ED +:104030008320C1021301010367800000130101FC0A +:10404000232E1102232C81022326A1002324B10058 +:104050002322C100232601028327810083A70700B2 +:104060006388071C832781002324F10283278102B0 +:1040700083A7470003A747008327810223A2E70005 +:104080008327810203A74700832781029387870044 +:10409000631CF7008327810283A7470003A747001B +:1040A0008327810223A2E7008327810283A7470099 +:1040B00083A7C7002322F102832781002320F10276 +:1040C0008327010283A7470003A747008327010234 +:1040D00023A2E7008327010203A7470083270102E9 +:1040E00093878700631CF7008327010283A747009B +:1040F00003A747008327010223A2E70083270102C9 +:1041000083A7470083A7C700232EF1008327C1029E +:10411000939757000327C100B307F7000327C10196 +:1041200023A0E7008327C102939757000327C1000C +:10413000B307F7000327C1011307470323A2E700D2 +:104140008327C102939757000327C100B307F700E5 +:104150000327C1010327870423A4E7008327C102A3 +:10416000939757000327C100B307F70003274100C7 +:1041700023A6E7008327C102939757000327C100B6 +:10418000B307F7000327C1010327C70223A8E700ED +:1041900003274100930730006314F7028327C1010E +:1041A00083A78702638E07008327C1029397570076 +:1041B0000327C100B307F7001307200023A6E70079 +:1041C0008327C102939757000327C100B307F70065 +:1041D0000327C1010327070523AAE7008327C1029C +:1041E000939757000327C100B307F70023AC0700DC +:1041F0008327C10183A607038327C1029397570032 +:104200000327C1003304F70013850600EF00C00345 +:1042100093070500231EF4008327C102938717002C +:104220002326F1020327C10183274102E316F7E8A1 +:104230008327C102138507008320C1030324810360 +:104240001301010467800000130101FE2326A10071 +:10425000232E01006F00C0018327C1009387170040 +:104260002326F1008327C10193871700232EF10035 +:104270008327C10003C707009307500AE30EF7FC2A +:104280008327C10193D72700232EF1008327C10183 +:104290009397070193D707011385070013010102C4 +:1042A00067800000130101FE232E11002326A100C8 +:1042B0008327C10083A7070313850700EF20C01DD4 +:1042C0000325C100EF20401D130000008320C10121 +:1042D0001301010267800000130101FF97C701006D +:1042E0009387072D83A7070083A70700639607001E +:1042F000930710006F00800093070000638C070095 +:1043000097C70100938747311307F0FF23A0E70009 +:104310006F00000397C701009387872983A70700D1 +:1043200083A7C70083A7C7002326F1008327C10006 +:1043300003A7470097C701009387072E23A0E70034 +:10434000130000001301010167800000130101FF49 +:1043500097C701009387470983A707002326F10029 +:104360008327C10013850700130101016780000046 +:10437000130101FF97C701009387C72883A7070090 +:1043800063980700930710002326F1006F00400296 +:1043900097C701009387872883A7070063980700C2 +:1043A000930720002326F1006F00800023260100E0 +:1043B0008327C100138507001301010167800000F6 +:1043C000130101FD232611022326A1008327C1002A +:1043D000232EF1008327C100638A07128327C101BE +:1043E00003A7C70297C701009387070083A70700A9 +:1043F00083A7C702637CF7108327C10183A78701C6 +:1044000063C2070297C70100938707FE83A70700CF +:1044100083A7C7021307A0003307F7408327C10112 +:1044200023ACE7008327C10183A647018327C1018D +:1044300003A7C7029307070093972700B387E700F6 +:104440009397270017C7010013078707B387E70073 +:104450006396F600930710006F008000930700003A +:10446000638A07088327C1019387470013850700E4 +:10447000EFC00FD297C70100938707F783A7070004 +:1044800003A7C7028327C10123A6E7028327C1012F +:1044900003A7C70297C701009387871683A7070062 +:1044A00063FCE7008327C10103A7C70297C7010088 +:1044B0009387071523A0E7008327C10103A7C7023D +:1044C0009307070093972700B387E7009397270088 +:1044D00017C701001307C7FE3387E7008327C10111 +:1044E000938747009385070013050700EFC00FB6B9 +:1044F0006F00C00197C70100938707EF83A70700EC +:1045000003A7C7028327C10123A6E7021300000007 +:104510008320C1021301010367800000130101FD24 +:10452000232611022326A1008327C100232CF1009A +:10453000232E01008327C1006386071097C701005F +:10454000938787EA83A70700032781016306F700A3 +:10455000737004306F0000008327810183A7470533 +:1045600063960700737004306F0000008327810199 +:1045700083A747051387F7FF8327810123AAE70451 +:104580008327810103A7C7028327810183A707052A +:10459000630AF70A8327810183A747056394070A03 +:1045A000832781019387470013850700EFC04FBE23 +:1045B0008327810103A707058327810123A6E7023B +:1045C0008327810183A7C7021307A0003307F740A1 +:1045D0008327810123ACE7008327810103A7C7025A +:1045E00097C701009387C70183A7070063FCE70013 +:1045F0008327810103A7C70297C70100938747005C +:1046000023A0E7008327810103A7C70293070700C0 +:1046100093972700B387E7009397270017C70100F8 +:10462000130707EA3387E700832781019387470051 +:104630009385070013050700EFC04FA193071000F3 +:10464000232EF1008327C101138507008320C102B7 +:1046500013010103678000007370043097C70100E5 +:10466000938747FA83A707006384070297C701006F +:10467000938787D783A7070003A74704130717006B +:1046800023A2E70497C70100938707D683A70700F3 +:10469000130000006780000097C70100938787F62A +:1046A00083A707006384070497C701009387C7D3D4 +:1046B00083A7070083A74704638A070297C70100FF +:1046C000938787D283A7070003A747041307F7FF41 +:1046D00023A2E70497C70100938707D183A70700A8 +:1046E00083A747046394070073600430130000003D +:1046F00067800000130101FF97C701009387C7CEB1 +:1047000083A7070083A787012326F10097C701002D +:10471000938787CD83A7070003A7C70297C7010028 +:10472000938787CC83A707009306A0003387E640D2 +:1047300023ACE7008327C1001385070013010101A3 +:104740006780000097C70100938707CA83A7070007 +:10475000638E070097C70100938707C983A70700E7 +:1047600003A747051307170023AAE70497C701000B +:10477000938787C783A7070013850700678000001A +:10478000130101FD232611022326A1002324B100D9 +:10479000EFF09FEC97C70100938707C583A7070039 +:1047A00083A787056396070897C701009387C7C348 +:1047B00083A707001307100023AEE70483278100B7 +:1047C0006388070697C70100938707C283A707007E +:1047D0009387470013850700EFC08F9B0327810055 +:1047E0009307F0FF6314F70297C701009387C7BFD1 +:1047F00083A70700938747009385070017C501002B +:10480000130545DEEFC08F846F00400297C701009B +:104810009387C7DE83A7070003278100B307F7004C +:10482000232EF1000325C101EFF04FEAEFB05FD670 +:10483000EFF09FE6EFF05FE297C701009387C7BAFA +:1048400083A7070083A78705232CF1008327810115 +:10485000638C07028327C100638C070097C70100A0 +:10486000938787B883A7070023AC07046F00C001B4 +:1048700097C70100938747B783A7070003A787055A +:104880001307F7FF23ACE70497C701009387C7B569 +:1048900083A7070023AE0704EFF01FE08327810101 +:1048A000138507008320C102130101036780000004 +:1048B000130101FD232611022326A1002324B100A8 +:1048C0002322C1002320D100EFF01FD997C7010098 +:1048D000938787B183A7070003A7C7059307200025 +:1048E0006306F70A97C70100938707B083A70700FD +:1048F00083A687050327C1001347F7FF33F7E600B8 +:1049000023ACE70497C70100938707AE83A707008E +:104910001307100023AEE70483270100638807060E +:1049200097C70100938747AC83A707009387470089 +:1049300013850700EFC0CF85032701009307F0FF21 +:104940006314F70297C70100938707AA83A707009C +:10495000938747009385070017C50100130585C895 +:10496000EFB0DFEE6F00400297C70100938707C9E1 +:1049700083A7070003270100B307F700232CF100EA +:1049800003258101EFF08FD4EFB09FC0EFF0DFD0AF +:10499000EFF09FCC83274100638E070097C701008B +:1049A000938787A483A7070003A787058327410070 +:1049B00023A0E70097C70100938707A383A70700F9 +:1049C00003A7C705930710006316F700232E010005 +:1049D0006F00C00297C70100938707A183A7070054 +:1049E00083A68705032781001347F7FF33F7E60007 +:1049F00023ACE70493071000232EF10097C70100B2 +:104A00009387879E83A7070023AE0704EFF0DFC8D4 +:104A10008327C101138507008320C102130101030D +:104A200067800000130101FD232611022326A10047 +:104A30002324B1002322C1002320D10093071000BA +:104A4000232EF1008327C1006396070073700430A2 +:104A50006F0000008327C100232CF100EFF0DFBFBF +:104A600083270100638A07008327810103A7870545 +:104A70008327010023A0E7008327810183A7C705BF +:104A8000232AF100832781011307200023AEE704C6 +:104A9000032741009307400063ECE70883274100A8 +:104AA0001397270097B7010093874756B307F7007E +:104AB00003A7070097B7010093874755B307F7008F +:104AC000678007008327810103A78705832781006B +:104AD0003367F7008327810123ACE7046F004005AB +:104AE0008327810183A78705138717008327810107 +:104AF00023ACE7046F00C0038327810103278100F3 +:104B000023ACE7046F00C002032741019307200094 +:104B1000630AF700832781010327810023ACE704A0 +:104B20006F000001232E01006F00800013000000C1 +:104B300003274101930710006316F70A83278101B9 +:104B40009387470013850700EFB09FE48327810117 +:104B500003A7C70297C70100938787AA83A7070007 +:104B600063FCE7008327810103A7C70297C7010001 +:104B7000938707A923A0E7008327810103A7C70222 +:104B80009307070093972700B387E70093972700C1 +:104B900017C701001307C7923387E70083278101F6 +:104BA000938747009385070013050700EFB01FCADE +:104BB0008327810183A7870263860700737004300F +:104BC0006F0000008327810103A7C70297C7010078 +:104BD0009387878183A7070083A7C70263F4E70051 +:104BE000EFB01F9BEFF05FAB8327C1011385070078 +:104BF0008320C1021301010367800000130101FC3F +:104C0000232E1102232EA100232CB100232AC10040 +:104C10002328D1002326E100930710002326F10268 +:104C20008327C10163960700737004306F00000092 +:104C30008327C1012324F102EFB0DFAC9307050005 +:104C40002322F10283270101638A0700832781025F +:104C500003A787058327010123A0E700832781029B +:104C600083A7C7052320F1028327810213072000B1 +:104C700023AEE704032741019307400063ECE708F4 +:104C8000832741011397270097B70100938747397E +:104C9000B307F70003A7070097B7010093874738CA +:104CA000B307F700678007008327810203A7870502 +:104CB000832781013367F7008327810223ACE70450 +:104CC0006F0040058327810283A78705138717009C +:104CD0008327810223ACE7046F00C003832781028E +:104CE0000327810123ACE7046F00C0020327010200 +:104CF00093072000630AF7008327810203278101BD +:104D000023ACE7046F000001232601026F0080003E +:104D10001300000003270102930710006314F70E2D +:104D20008327810283A7870263860700737004309C +:104D30006F00000097C701009387478E83A7070085 +:104D4000639E070683278102938747001385070028 +:104D5000EFB01FC48327810203A7C70297C70100D2 +:104D60009387078A83A7070063FCE70083278102F4 +:104D700003A7C70297C701009387878823A0E7008E +:104D80008327810203A7C702930707009397270091 +:104D9000B387E7009397270017B7010013074772FF +:104DA0003387E700832781029387470093850700B5 +:104DB00013050700EFB09FA96F00C0018327810290 +:104DC000938787019385070017B501001305C57EFA +:104DD000EFB0DFA78327810203A7C70297B70100BF +:104DE0009387876083A7070083A7C70263FCE70058 +:104DF0008327C100638807008327C10013071000C1 +:104E000023A0E7008327410213850700EFB0DF8D61 +:104E10008327C102138507008320C1031301010406 +:104E200067800000130101FD232611022326A10043 +:104E30002324B1008327C1006396070073700430F8 +:104E40006F0000008327C100232EF100EFB09F8B7D +:104E500093070500232CF1008327C10183A7C70511 +:104E6000232AF1008327C1011307200023AEE704A2 +:104E70008327C10183A78705138717008327C101F3 +:104E800023ACE70403274101930710006314F70ED6 +:104E90008327C10183A787026386070073700430EC +:104EA0006F00000097B701009387477783A707003B +:104EB000639E07068327C101938747001385070078 +:104EC000EFB01FAD8327C10103A7C70297B7010049 +:104ED0009387077383A7070063FCE7008327C1015B +:104EE00003A7C70297B701009387877123A0E70044 +:104EF0008327C10103A7C7029307070093972700E1 +:104F0000B387E7009397270017B701001307475BA4 +:104F10003387E7008327C101938747009385070004 +:104F200013050700EFB09F926F00C0018327C101F6 +:104F3000938787019385070017B501001305C5679F +:104F4000EFB0DF908327C10103A7C70297B7010025 +:104F50009387874983A7070083A7C70263FCE700FD +:104F600083278100638807008327810013071000CF +:104F700023A0E7008327810113850700EFB0CFF658 +:104F8000130000008320C1021301010367800000A9 +:104F9000130101FD232611022326A1008327C1004E +:104FA000232CF10083278101639A070097B7010042 +:104FB0009387874383A707006F00800083278101C1 +:104FC000232CF100EFF04FE98327810103A7C705E8 +:104FD00093072000631CF7008327810123AE070499 +:104FE00093071000232EF1006F008000232E010094 +:104FF000EFF08FEA8327C101138507008320C102E8 +:105000001301010367800000130101FE232E11002C +:1050100023260100EF00500B97B7010093874763E9 +:1050200083A70700638A07029308000013080000A3 +:1050300093070000130720009306000013060040AA +:1050400097B50100938505FF170500001305852E10 +:10505000EFC01FEF2326A1008327C100639607003E +:10506000737004306F0000008327C10013850700B0 +:105070008320C1011301010267800000130101FCBC +:10508000232E1102232EA100232CB100232AC100BC +:105090002328D1002326E10083278101639607009E +:1050A000232601026F0080061305C002EF10001FC7 +:1050B0002326A1028327C102638A0704EF00D000E0 +:1050C0008327C1020327C10123A0E7008327C10270 +:1050D0000327810123ACE7008327C1020327410195 +:1050E00023AEE7008327C1020327010123A0E702C3 +:1050F0008327C1020327C10023A2E7028327C1023D +:105100009387470013850700EFB08FF28327810153 +:1051100063960700737004306F0000008327C1029C +:10512000138507008320C103130101046780000079 +:10513000130101FC232E1102232EA100232CB10008 +:10514000232AC1002328D1002326E10023260102BF +:105150008327C10163960700737004306F0000005D +:1051600097B701009387C74E83A70700638C070A90 +:10517000832781012320F102832741012322F102A9 +:105180008327C1012324F1020327810193075000E3 +:1051900063C6E706EFF0CF9D1307050093072000D5 +:1051A0006318F70297B701009387874A83A7070020 +:1051B00013070102930600000326C10093050700B0 +:1051C00013850700EFB01FD22326A1026F008005D0 +:1051D00097B701009387C74783A70700130701020A +:1051E00093060000130600009305070013850700CF +:1051F000EFB05FCF2326A1026F00C00297B7010076 +:105200009387074583A70700130701029306000051 +:10521000032601019305070013850700EFB09FF0F7 +:105220002326A1028327C102138507008320C1031F +:105230001301010467800000130101FE2326A10071 +:105240008327C100232EF1008327C1006396070046 +:10525000737004306F0000008327C10183A707002B +:10526000138507001301010267800000130101FD8F +:10527000232611022326A1002324B10097B70100A1 +:105280009387873C83A7070083A7C70083A7C7002E +:10529000232EF1008327C101938747001385070060 +:1052A000EFB00FEF8327C10103A7C70193071000D9 +:1052B0006310F7068327C10103A787018327C10075 +:1052C000B307F7008326C1000326810093850700FA +:1052D0000325C101EF00802213070500930710008A +:1052E0006318F70213070000930600000326C100AD +:1052F000930500000325C101EFF09FE3232CA100DB +:105300008327810163960700737004306F000000EB +:105310008327C10183A747020325C101E780070056 +:10532000130000008320C102130101036780000005 +:10533000130101FD232611022326A10093078101F9 +:1053400013850700EF00800E232EA1008327810123 +:10535000938507000325C101EF00C000EF0000287E +:105360006FF0DFFD130101FD232611022326A100AA +:105370002324B100EFD01F98930781011385070004 +:10538000EF004011232EA1008327810163960708B7 +:1053900083278100639207020327C1008327C1018D +:1053A00063ECE700EFD05F978325C1010325C100BF +:1053B000EFF0DFEB6F008006832781006380070238 +:1053C00097B701009387872883A7070083A7070063 +:1053D00093B7170093F7F70F2324F10097B7010055 +:1053E0009387072783A607000327C1008327C101EE +:1053F000B307F74003268100938507001385060055 +:10540000EFC01FABEFD05F919307050063980700D3 +:10541000EFB00F986F008000EFD01F9013000000D6 +:105420008320C1021301010367800000130101FE04 +:105430002326A10097B701009387072183A70700C0 +:1054400083A7070093B7170093F7F70F1387070099 +:105450008327C10023A0E7008327C10083A707009B +:105460006390070297B701009387071E83A7070081 +:1054700083A7C70083A70700232EF1006F008000D9 +:10548000232E01008327C1011385070013010102A8 +:1054900067800000130101FD232611022326A100CD +:1054A000EFD09FA4232EA10097B701009387871AFE +:1054B00083A707000327C101637CF700EF00C02B1F +:1054C0008327C1001307100023A0E7006F00C0006E +:1054D0008327C10023A0070097B701009387871790 +:1054E0000327C10123A0E7008327C101138507001B +:1054F0008320C1021301010367800000130101FD35 +:10550000232611022326A1002324B1002322C10057 +:105510002320D100232E01008327C100032781000F +:1055200023A2E7008327C1000327C10023A8E700C7 +:10553000032781008327410063E6E7040327410036 +:10554000832701003307F7408327C10083A7870122 +:105550006368F70093071000232EF1006F00C00668 +:1055600097B701009387870E03A707008327C10021 +:10557000938747009385070013050700EFB0CFB46A +:105580006F0080040327410083270100637EF7003A +:1055900003278100832701006368F7009307100049 +:1055A000232EF1006F00400297B701009387C709CF +:1055B00003A707008327C10093874700938507004F +:1055C00013050700EFB04FB08327C1011385070013 +:1055D0008320C1021301010367800000130101FD54 +:1055E000232611026F0080158327810063C60714EC +:1055F00083270101232EF1008327C10183A74701DF +:10560000638A07008327C10193874700138507003A +:10561000EFB00FB89307410013850700EFF09FE745 +:10562000232CA10083278100130790006368F710E3 +:105630001397270097B70100938747A0B307F70098 +:1056400003A7070097B701009387479FB307F700A9 +:10565000678007000327C1008327C10183A7870153 +:10566000B307F7000327C100930607000326810153 +:10567000938507000325C101EFF05FE813070500DC +:1056800093071000631CF70A8327C10183A7470211 +:105690000325C101E78007008327C10103A7C701D4 +:1056A00093071000631CF7080327C1008327C1017B +:1056B00083A78701B307F7001307000093060000D4 +:1056C00013860700930500000325C101EFF05FA6D4 +:1056D000232AA100832741016392070673700430D7 +:1056E0006F0000000327C1008327C10123ACE7003E +:1056F0008327C10183A78701639607007370043075 +:105700006F0000008327C10103A787018327810160 +:10571000B307F700832681010326810193850700E3 +:105720000325C101EFF09FDD6F0040010325C1019A +:10573000EF0090566F0080001300000097B7010043 +:10574000938707F183A707001307810093060000E2 +:10575000130600009305070013850700EFB05FC52F +:1057600093070500E39207E8130000008320C102BD +:105770001301010367800000130101FD23261102BC +:105780006F00C00E97B70100938707EC83A707004F +:1057900083A7C70083A70700232CF10097B7010058 +:1057A000938787EA83A7070083A7C70083A7C7005B +:1057B000232AF100832741019387470013850700BF +:1057C000EFB00F9D8327410183A7470203254101C5 +:1057D000E78007008327410103A7C7019307100053 +:1057E0006316F7088327410183A7870103278101F7 +:1057F000B307F7002328F100032701018327810164 +:1058000063F0E704832741010327010123A2E70096 +:10581000832741010327410123A8E70097B701002F +:10582000938787E203A707008327410193874700F7 +:105830009385070013050700EFB00F896F00000381 +:105840001307000093060000032681019305000062 +:1058500003254101EFF0DF8D2326A1008327C1003E +:1058600063960700737004306F00000097B7010063 +:10587000938787DD83A7070083A70700E39407F0DA +:1058800097B70100938747DC83A70700232EF10019 +:1058900097B70100938787DB03A7070097B701003D +:1058A000938787DA23A0E70097B70100938707DA89 +:1058B0000327C10123A0E700130000008320C102D9 +:1058C0001301010367800000130101FF232611006B +:1058D000EFE09FD897B70100938787D783A707008A +:1058E0006394070A17B50100130585D3EFA0DFEE17 +:1058F00017B50100130505D4EFA01FEE97B70100FF +:10590000938787D417B70100130787D123A0E70037 +:1059100097B70100938787D317B70100130787D183 +:1059200023A0E700130600009305C0001305400004 +:10593000EFB0CFA21307050097B70100938747D1B7 +:1059400023A0E70097B70100938787D083A70700BC +:1059500063960700737004306F00000097B7010072 +:10596000938707CF83A707006380070297B70100DB +:10597000938707CE83A7070097A501009385856EBF +:1059800013850700EFC0CFC2EFE01FD11300000066 +:105990008320C1001301010167800000130101FD94 +:1059A000232611022326A1008327C100232EF10004 +:1059B0008327C10063960700737004306F000000F6 +:1059C000EFE09FC98327C10183A74701B337F000E8 +:1059D00093F7F70F232CF100EFE01FCC8327810111 +:1059E000138507008320C1021301010367800000B3 +:1059F000130101FD232611022326A1008327C100E4 +:105A0000232EF1008327C1006396070073700430D2 +:105A10006F000000EFE05FC48327C10183A7070286 +:105A2000232CF100EFE05FC7832781011385070076 +:105A30008320C1021301010367800000130101FDEF +:105A4000232611022326A1002324B1008327C100AD +:105A5000232EF1008327C100639607007370043082 +:105A60006F000000EFE05FBF8327C10103278100C3 +:105A700023A0E702EFE05FC2130000008320C10211 +:105A80001301010367800000130101FE232E1100A2 +:105A90001305C001EF0090002326A1008327C10059 +:105AA000638E07008327C10023A007008327C1005E +:105AB0009387470013850700EFA01FD28327C100FB +:105AC000138507008320C1011301010267800000D4 +:105AD000130101FC232E11022326A1002324B1006F +:105AE0002322C1002320D1008327C1002324F102F7 +:105AF0002322010203274100B70700FFB377F70015 +:105B000063860700737004306F0000008327410034 +:105B100063960700737004306F000000EFE05F854C +:105B2000930705006396070083270100639607002B +:105B3000930710006F008000930700006396070032 +:105B4000737004306F000000EFD0CF9A832781027A +:105B500083A707002320F102832581000325C100CC +:105B6000EF00804103270102832781003367F7009C +:105B700083274100B377F70003274100631CF70236 +:105B80000327010283278100B367F7002326F10270 +:105B90008327810203A707008327410093C7F7FFEC +:105BA0003377F7008327810223A0E7002320010039 +:105BB0006F00400483270100638807028327810266 +:105BC0009386470003274100B7070005B367F70036 +:105BD000032601009385070013850600EFD0DFA49C +:105BE000232601026F0000018327810283A707009B +:105BF0002326F102EFD04F92232EA100832701002C +:105C0000638407088327C10163940700EFA05F98AE +:105C1000EFE05FAE2326A1020327C102B70700020F +:105C2000B377F70063980704EFE01FA3832781028F +:105C300083A707002326F1020327C102832741001F +:105C4000B377F700032741006310F702832781022F +:105C500003A707008327410093C7F7FF3377F700B7 +:105C60008327810223A0E700EFE01FA39307100022 +:105C70002322F1020327C102B70700019387F7FF30 +:105C8000B377F7002326F1028327C10213850700AB +:105C90008320C1031301010467800000130101FB8D +:105CA00023261104232EA100232CB100232AC10096 +:105CB0002328D1002326E1008327C101232AF102F2 +:105CC000232C0102232801028327C10163960700C8 +:105CD000737004306F00000003278101B70700FFD5 +:105CE000B377F70063860700737004306F0000001D +:105CF0008327810163960700737004306F000000F2 +:105D0000EFE00FE793070500639607008327C100C4 +:105D100063960700930710006F0080009307000050 +:105D200063960700737004306F000000EFC09FFCA3 +:105D30008327410383A707002326F10203260101DD +:105D4000832581010325C102EF00404B2324A102DA +:105D500083278102638C07028327C102232EF1026D +:105D60002326010083274101638807088327410315 +:105D700003A707008327810193C7F7FF3377F70055 +:105D80008327410323A0E7006F0000078327C1009A +:105D9000639807008327C102232EF1026F00C0051C +:105DA00083274101638A070003278103B7070001A6 +:105DB000B367F700232CF10283270101638A0700F0 +:105DC00003278103B7070004B367F700232CF10210 +:105DD000832741039386470003278101832781039B +:105DE000B367F7000326C1009385070013850600FB +:105DF000EFD09F83232E0102EFC01FF22322A102C6 +:105E00008327C10063880708832741026394070042 +:105E1000EFA00FF8EFE01F8E232EA1020327C1038E +:105E2000B7070002B377F700639C0704EFE0DF8257 +:105E30008327410383A70700232EF10203260101D4 +:105E4000832581010325C103EF00403B9307050033 +:105E5000638407028327410163800702832741038C +:105E600003A707008327810193C7F7FF3377F70064 +:105E70008327410323A0E700EFE01F8223280102CC +:105E80000327C103B70700019387F7FFB377F70034 +:105E9000232EF1028327C103138507008320C10449 +:105EA0001301010567800000130101FD2326110283 +:105EB0002326A1002324B1008327C100232EF10053 +:105EC0008327C10063960700737004306F000000E1 +:105ED00003278100B70700FFB377F7006386070049 +:105EE000737004306F000000EFE00FF78327C101EB +:105EF00083A70700232CF1008327C10103A7070014 +:105F00008327810093C7F7FF3377F7008327C10109 +:105F100023A0E700EFE04FF88327810113850700F6 +:105F20008320C1021301010367800000130101FDFA +:105F3000232611022326A1008327C100232EF1006E +:105F4000EFA04FFC93070500232CF1008327C1012C +:105F500083A70700232AF100832781011385070007 +:105F6000EFA08FF883274101138507008320C1022A +:105F70001301010367800000130101FB23261104B4 +:105F80002326A1002324B100232C01028327C10072 +:105F90002328F102232A01028327C1006396070008 +:105FA000737004306F00000003278100B70700FF03 +:105FB000B377F70063860700737004306F0000004A +:105FC00083270103938747002326F1028327C10219 +:105FD000938787002324F102EFC0DFD18327C1021A +:105FE00083A7C700232EF1028327010303A707001D +:105FF000832781003367F7008327010323A0E7008D +:106000006F00000E8327C10383A747002322F102FC +:106010008327C10383A707002320F102232A01025B +:1060200003270102B70700FFB377F700232EF10023 +:1060300003270102B70700019387F7FFB377F70043 +:106040002320F1020327C101B7070004B377F7004B +:10605000639207028327010303A707008327010236 +:10606000B377F7006388070293071000232AF10231 +:106070006F0040028327010303A707008327010263 +:10608000B377F700032701026316F70093071000A8 +:10609000232AF10283274103638007040327C101F8 +:1060A000B7070001B377F700638A0700032781036E +:1060B00083270102B367F700232CF1028327010332 +:1060C00003A70700B7070002B367F700938507002F +:1060D0000325C103EFD05F8283274102232EF10203 +:1060E0000327C10383278102E31EF7F083270103FF +:1060F00003A707008327810393C7F7FF3377F700D0 +:106100008327010323A0E700EFC01FC183270103FA +:1061100083A70700138507008320C104130101052D +:1061200067800000130101FD232611022326A10030 +:106130008327C100232EF1008327C10193874700E5 +:10614000232CF100EFC01FBB6F00400383278101A8 +:1061500003A7C70083278101938787006316F70091 +:10616000737004306F0000008327810183A7C7008C +:10617000B705000213850700EFD00FF883278101D0 +:1061800083A70700E39407FC0325C101EF00C0309B +:10619000EFC09FB8130000008320C1021301010368 +:1061A00067800000130101FE232E11002326A100A9 +:1061B0002324B100832581000325C100EFF0DFDB3C +:1061C000130000008320C101130101026780000059 +:1061D000130101FE232E11002326A1002324B10068 +:1061E000832581000325C100EFF01FCC13000000C0 +:1061F0008320C1011301010267800000130101FE29 +:106200002326A1002324B1002322C100232E010054 +:1062100083274100639007020327C1008327810081 +:10622000B377F7006386070293071000232EF1006F +:106230006F0000020327C10083278100B377F700B6 +:10624000032781006316F70093071000232EF10047 +:106250008327C10113850700130101026780000035 +:10626000130101FE2326A1008327C100232CF10086 +:106270008327C10063960700232E01006F000001F1 +:106280008327810183A78701232EF1008327C10182 +:10629000138507001301010267800000130101FC50 +:1062A000232E11022326A10023220102EFC09FA466 +:1062B000973703009387473B83A70700639C07003A +:1062C000EF00002C973703009387073A130710005D +:1062D00023A0E7008327C100638A07029307800099 +:1062E000138707008327C100B387E7002326F10047 +:1062F0008327C10093F73700638A07008327C10013 +:1063000093F7C7FF938747002326F1008327C10037 +:10631000638207160327C100B79701009387B7FF71 +:1063200063EAE71497370300938707332324F102C6 +:10633000973703009387473283A707002326F1028C +:106340006F0080018327C1022324F1028327C10249 +:1063500083A707002326F1028327C10283A74700F2 +:106360000327C10063F8E7008327C10283A7070062 +:10637000E39A07FC0327C102973703009387472E50 +:10638000630AF70E8327810283A7070013078000A3 +:10639000B387E7002322F1028327C10203A7070086 +:1063A0008327810223A0E7008327C10203A74700B8 +:1063B0008327C100B307F7401307800013171700A6 +:1063C0006378F7080327C1028327C100B307F700EA +:1063D000232EF1008327C10203A747008327C100B2 +:1063E0003307F7408327C10123A2E7008327C102B7 +:1063F0000327C10023A2E7008327C10183A7470029 +:10640000232CF10097370300938707252320F102FF +:106410006F0000018327010283A707002320F102F8 +:106420008327010283A7070083A747000327810171 +:10643000E3E2E7FE8327010203A707008327C101E8 +:1064400023A0E700832701020327C10123A0E7005F +:1064500097A70100938787FA03A707008327C10244 +:1064600083A747003307F74097A70100938707F9F1 +:1064700023A0E700EFC05F8A8327410263940700EF +:10648000EF10801F83274102138507008320C1037B +:106490001301010467800000130101FD232611028E +:1064A0002326A1008327C100232CF1008327C100EC +:1064B0006382070A93078000B307F0400327810136 +:1064C000B307F700232CF10083278101232AF10071 +:1064D000EFC05F828327410183A747002328F10093 +:1064E0009737030093874717232EF1006F000001B1 +:1064F0008327C10183A70700232EF1008327C10151 +:1065000083A7070083A7470003270101E3E2E7FE13 +:106510008327C10103A707008327410123A0E700C8 +:106520008327C1010327410123A0E70083274101FD +:1065300003A7470097A70100938747EC83A70700AD +:106540003307F70097A70100938747EB23A0E700E5 +:10655000EFC08FFC130000008320C1021301010370 +:106560006780000097A70100938747E983A707008A +:10657000138507006780000013000000678000009B +:10658000130101FF97A701009387470D93F7C7FFFA +:106590002326F100973703009387070C0327C100D8 +:1065A00023A0E700973703009387070B23A2070078 +:1065B000973703009387C70A379701001307C7FF70 +:1065C00023A2E700973703009387870923A00700DA +:1065D0008327C1002324F10083278100379701001E +:1065E0001307C7FF23A2E7008327810017370300A3 +:1065F0001307070723A0E7001300000013010101A0 +:1066000067800000130101FE2326A1002324B100AE +:106610002322C10083278100232EF1008327C1009C +:10662000232CF1000327C10083278100B367F70003 +:1066300093F73700639607066F00C0028327C101F6 +:1066400003A707008327810123A0E7008327810197 +:1066500093874700232CF1008327C10193874700CC +:10666000232EF100832741009387D7FF0327C10022 +:10667000B307F70003278101E362F7FC6F004002D4 +:106680000327C10193071700232EF10083278101FF +:1066900093861700232CD100034707002380E700CF +:1066A0000327C10083274100B307F70003278101B7 +:1066B000E368F7FC8327C10013850700130101027B +:1066C00067800000130101FE2326A1002324B100EE +:1066D0002322C1000327C10083274100B367F700CD +:1066E00093F73700639807068327810093F7F70F26 +:1066F000232AF10083274101939787000327410153 +:10670000B367F700232AF10083274101939707011C +:1067100003274101B367F700232AF1008327C10053 +:10672000232EF1006F0080018327C10113874700EA +:10673000232EE1000327410123A0E7000327C10026 +:1067400083274100B307F7000327C101E36EF7FC7D +:106750006F00C0038327C100232CF1006F00C0012C +:106760008327810113871700232CE1000327810071 +:106770001377F70F2380E7000327C1008327410029 +:10678000B307F70003278101E36CF7FC8327C100FF +:10679000138507001301010267800000130101FE49 +:1067A0002326A1008327C100232EF1006F000001E2 +:1067B0008327C10193871700232EF1008327C1018E +:1067C00083C70700E39607FE0327C1018327C100A3 +:1067D000B307F7401385070013010102678000002B +:1067E000130101FE2326A1002324B1008327C10049 +:1067F000138717002326E10083C70700A30FF100CA +:1068000083278100138717002324E10083C7070033 +:10681000230FF1008347F101638807000347F1016B +:106820008347E101E304F7FC0347F1018347E101FA +:10683000B307F740138507001301010267800000CA +:10684000130101FE2326A1002324B1008327C100E8 +:10685000232EF10013000000032781009307170087 +:106860002324F1008327C10193861700232ED10032 +:10687000034707002380E70083C70700E39E07FC68 +:106880008327C10013850700130101026780000000 +:10689000130101FE2326A100232E0100232C010059 +:1068A0006F0000018327C100938717002326F100A2 +:1068B0008327C10003C7070093070002E304F7FE24 +:1068C0008327C10003C707009307D002630AF700BC +:1068D0008327C10003C707009307B0026312F706BE +:1068E0008327C10083C70700938737FD93B717003D +:1068F00093F7F70F232CF1008327C100938717002C +:106900002326F1006F00C0030327C101930707008E +:1069100093972700B387E70093971700232EF10082 +:106920008327C100138717002326E10083C70700D0 +:10693000938707FD0327C101B307F700232EF1005A +:106940008327C10083C70700E39007FC83278101E9 +:10695000638807008327C101B307F0406F00800000 +:106960008327C1011385070013010102678000001E +:10697000130101FE2326A10097370300938787D0D8 +:1069800083A707009386170017370300130787CFE5 +:106990002320D7000327C1001377F70F9736030092 +:1069A000938646D2B387F6002380E7000327C10011 +:1069B0009307A000630CF70097370300938787CCF9 +:1069C00003A7070093070004631EF704973703002B +:1069D000938747CF232EF1006F00C0038327C101A7 +:1069E00013871700232EE10003C70700B70710F035 +:1069F000938707F023A0E70097370300938787C8A2 +:106A000083A707001387F7FF97370300938787C78C +:106A100023A0E700973703009387C7C683A7070023 +:106A2000E39E07FA93070000138507001301010294 +:106A300067800000130101EB232611142324811425 +:106A40002322911423202115232E3113232C4113AB +:106A5000232A5113232EA100232CB1002328C10087 +:106A6000232AD1002326E1002324F100232201015F +:106A7000232601128327C100138A0700930A00000E +:106A8000832701010328410113060A0093860A00A7 +:106A90001385070093050800EF10106E930705009B +:106AA0001388050013860700930608008327C11288 +:106AB000138717002326E112130706009397270078 +:106AC00093060113B387F60023AEE7EE8327C100D8 +:106AD00013840700930400008327410113870400F7 +:106AE00063E8E70483274101138704006398E70004 +:106AF000832701011307040063ECE7028327C10029 +:106B00001389070093090000130609009386090002 +:106B10000325010183254101EF105008930705006B +:106B2000138805002328F100232A01016FF09FF448 +:106B3000130000006F0040018327C10183258101FC +:106B400003254100E7800700832781001387F7FFB3 +:106B50002324E1000327C112E340F7FE6F00400544 +:106B60008327C1129397270013070113B307F70078 +:106B700003A7C7EF8327C11293972700930601133A +:106B8000B387F60083A6C7EF9307900063F6D7009C +:106B9000930770056F00800093070003B387E70039 +:106BA0000327C1018325810113850700E700070042 +:106BB0008327C1121387F7FF2326E112E342F0FA7D +:106BC000130000008320C114032481148324411482 +:106BD000032901148329C113032A8113832A411332 +:106BE0001301011567800000130101FF2326A10096 +:106BF0002324B10083268100130710006356D702B7 +:106C00008327C10083A707009387770093F787FF47 +:106C1000938687000327C1002320D70003A84700DD +:106C200083A707006F0000050327810063040702A4 +:106C30000327C10003270700130647008326C1006E +:106C400023A0C600032707009307070013080000CE +:106C50006F0040020327C100032707001306470007 +:106C60008326C10023A0C60003270700930707005F +:106C700013080000138507009305080013010101A4 +:106C800067800000130101FF2326A1002324B10027 +:106C900083268100130710006356D7028327C100A3 +:106CA00083A707009387770093F787FF9386870072 +:106CB0000327C1002320D70003A8470083A70700AC +:106CC0006F00800503278100630607020327C100C8 +:106CD00003270700130647008326C10023A0C60030 +:106CE00003270700930707001357F741130807000E +:106CF0006F0080020327C100032707001306470027 +:106D00008326C10023A0C6000327070093070700BE +:106D10001357F74113080700138507009305080070 +:106D20001301010167800000130101FC232E1102F1 +:106D3000232C8102232A91022326A1002324B100BF +:106D40002322C1002320D1006F0040026300043CD5 +:106D500083274100938717002322F1008327C10076 +:106D60008325810013050400E78007008327410085 +:106D700083C707001384070093075002E318F4FC4D +:106D800083274100938717002322F10083274100C6 +:106D90002328F10093070002A30BF1009307F0FFF3 +:106DA000232EF1009307F0FF232CF1002320010292 +:106DB00083274100138717002322E10083C70700C0 +:106DC000138407009307D4FD130750056360F7325F +:106DD00013972700979701009387C729B307F700F8 +:106DE00003A70700979701009387C728B307F70009 +:106DF000678007009307D002A30BF1006FF05FFBE1 +:106E000093070003A30BF1006FF09FFA232C0100FE +:106E1000032781019307070093972700B387E700B3 +:106E200093971700B307F400938707FD232CF10015 +:106E30008327410083C70700138407009307F002EC +:106E400063D287049307900363CE870283274100B0 +:106E5000938717002322F1006FF09FFB8327010027 +:106E6000138747002320E10083A70700232CF100AC +:106E70006F0080018327C101E3DC07F2232E0100AC +:106E80006FF01FF3130000008327C101E3D207F264 +:106E900083278101232EF1009307F0FF232CF100BB +:106EA0006FF01FF183270102938717002320F1025F +:106EB0006FF01FF083270100138747002320E100B4 +:106EC00083A707000327C1008325810013850700DE +:106ED000E70007006F004023832701001387470066 +:106EE0002320E10083A40700639604009794010027 +:106EF0009384C4178327C1016350F00803477101CD +:106F00009307D002630AF706832781019385070060 +:106F100013850400EF104046130705008327C101C5 +:106F2000B387E740232EF1006F00400283477101D1 +:106F30000327C1008325810013850700E7000700B0 +:106F40008327C1019387F7FF232EF1008327C10117 +:106F5000E34EF0FC6F0040028327C10083258100CF +:106F600013050400E7800700938414008327C10100 +:106F70009387F7FF232EF10083C7040013840700D3 +:106F80006300040483278101E3C807FC8327810190 +:106F90009387F7FF232CF10083278101E3DE07FAB3 +:106FA0006F0000028327C1008325810013050002C2 +:106FB000E78007008327C1019387F7FF232EF100A5 +:106FC0008327C101E340F0FE6F0000149307010026 +:106FD0008325010213850700EFF0DFCA9307050040 +:106FE000138805002324F10223260103832781024D +:106FF0000328C1029307080063D607048327C10052 +:10700000832581001305D002E780070083258102D4 +:107010000326C1029307000013080000B386B7409F +:107020001385060033B5A7003307C840B307A74050 +:107030001387070093870600130807002324F10233 +:10704000232601039307A0002322F1026F004006CC +:107050009307A0002322F1026F0000049307800031 +:107060002322F1026F004003930710002320F10256 +:107070008327C1008325810013050003E7800700F3 +:107080008327C1008325810013058007E78007005F +:10709000930700012322F1029307010083250102D7 +:1070A00013850700EFF05FB42324A1022326B10269 +:1070B0000327410283477101138807008327C10119 +:1070C000032681028326C102832581000325C10096 +:1070D000EFF05F966F0040038327C1008325810096 +:1070E00013050400E78007006F0000028327C1003A +:1070F0008325810013055002E780070083270101E3 +:107100002322F100130000006FF05FC6130000009F +:107110008320C10303248103832441031301010459 +:1071200067800000130101FF2326A100B70710F0BC +:10713000938707F20327C10023A0E7006F00000038 +:10714000130101FB232611022326A100232AB102E9 +:10715000232CC102232ED1022320E1042322F10497 +:10716000232401052326110593070105938747FE74 +:10717000232EF1008327C101938607000326C10057 +:107180009305000017F5FFFF1305C57EEFF0DFB98B +:1071900093070000138507008320C1021301010536 +:1071A00067800000130101FE2326A1002324B10003 +:1071B0002322710083278100232EF1008327C10140 +:1071C00083A707000327C1001377F70F2380E70089 +:1071D0008327C10183A70700138717008327C101F5 +:1071E00023A0E700130000001301010267800000E4 +:1071F000130101FA232E11022326A1002324B1003A +:107200002324C1042326D1042328E104232AF104E2 +:10721000232C0105232E1105930701062322F102D9 +:107220009307410193054101170600001306C6F7B5 +:1072300037F7FFFF9346F7FF37150000130505806A +:107240003388A5003378E8001368783B23A0070152 +:107250003305A6003377E5001367773323A2E700F1 +:1072600033F7D500931547013787030013073739E4 +:1072700033E7E50023A4E7003377D60093164701F0 +:10728000370703001307770633E7E60023A6E70076 +:107290000F1000008327C1002326F1029307010687 +:1072A000938787FE2324F10203278102930741017C +:1072B000138507009307C10093060700032681008A +:1072C00093850700EFF05FA68327C10023800700A6 +:1072D0008327C100138707008327C102B307F74044 +:1072E000138507008320C103130101066780000096 +:1072F000130101FF370510F0130545F403250500C0 +:107300002326A100370510F0130505F40325050019 +:107310002324A100370510F0130545F403250500CB +:107320008328C100E398A8FC0325C10013030500CE +:107330009303000013170300930600000325810048 +:107340009305050013060000B3E7B6003368C700D5 +:10735000370710F0130587F4B7150000938505FA79 +:1073600013060000B386B70093880600B3B8F80090 +:107370003307C800B387E800138707009387060028 +:10738000130807002320F500232205011300000045 +:107390001301010167800000130101FF2326110082 +:1073A000EFF01FF59307000873A0473013000000AB +:1073B0008320C1001301010167800000130101FE59 +:1073C000232E11002326A10017950100130505E0C7 +:1073D000EFF01FD7130000008320C1011301010249 +:1073E00067800000130101FE232E11002326A10057 +:1073F00017950100130505DEEFF09FD41300000080 +:107400008320C1011301010267800000130101FD07 +:10741000232611022326A100232E01006F00400124 +:10742000130000008327C10193871700232EF1006A +:107430000327C101B717000093877738E3D2E7FE2F +:1074400017950100130585D9EFF09FCF6FF0DFFC92 +:10745000130101FD232611022326A100232E010082 +:107460006F004001EF0050468327C101938717004A +:10747000232EF1000327C10193073001E3D4E7FE77 +:10748000130000008320C102130101036780000084 +:10749000130101FE232E1100EF004020170700000A +:1074A000130747FB93060000130610009305200303 +:1074B00017950100130505D3EFD05FBC2326A1006B +:1074C000EFB09FA29307050013070000930600008A +:1074D00013860700930510000325C100EFD05FC598 +:1074E000130000008320C101130101026780000026 +:1074F000130101FE232E110023260100EF00D054BA +:107500001307050093071000630EF700179501009D +:107510001305C5CDEFF0DFC28327C10093E7270035 +:107520002326F1008327C100138507008320C101B2 +:107530001301010267800000130101FE232E1100D8 +:1075400023260100EFF0DFF417070000130787067A +:1075500093060000130610009305400617950100DE +:107560001305C5CAEFD09FB12326A1008327C10010 +:1075700063820702EFB05F979307050013070000CF +:107580009306000013860700930510000325C10031 +:10759000EFD01FBA23240100EFB00FE893070000DB +:1075A000138507008320C1011301010267800000D9 +:1075B000130101FD232611022326A1009797010044 +:1075C0009387C7E283A707001387470697970100B1 +:1075D0009387C7E123A0E70097970100938747E1CE +:1075E00083A707001387470697970100938747E013 +:1075F00023A0E70097970100938747DF03A70700C1 +:107600009307F07C63DEE70497970100938707DE1A +:1076100083A707001387078397970100938707DDE8 +:1076200023A0E700EFF0DFEC232EA1008327C101A8 +:10763000639E070097970100938787DB03A70700E6 +:10764000B71700009387F77663D0E7028327C1015D +:1076500013850700EFF01FADEFB00FE76F000001DB +:10766000130000006F008000130000008320C1029F +:107670001301010367800000737004306F00000085 +:107680001300000067800000130101FF2326A10002 +:107690002324B100737004306F000000130101FF58 +:1076A0002326110093080000130800009727030009 +:1076B0009387870513070000930600001306004018 +:1076C00097950100938545B51715000013050585AD +:1076D000EFA01F8717170000130787C29727030023 +:1076E0009387870323A0E700130000008320C100D5 +:1076F0001301010167800000130101FB232611041F +:10770000930740062328F102B78701009387176A81 +:107710002326F102B71700009387375B2324F10279 +:10772000930750002322F102930710002320F10257 +:1077300093072000232EF100EFB00FFB232CA100B4 +:1077400093070101832601031386070093050000B8 +:107750001305F0FFEFD0CF95232AA100EFB0CFF8AB +:107760001307050083278101B307F74003270103AF +:1077700063F8E700979701009387C7C823A0070025 +:107780008327410163860700737004306F00000097 +:107790008327010163860700737004306F000000C7 +:1077A00097270300938747F683A707001307C100B5 +:1077B00093060700130640008325C10213850700C6 +:1077C000EFD04FA6232AA100032741019307100001 +:1077D0006306F700737004306F0000008327C10058 +:1077E00063860700737004306F000000EFB0CFEFC6 +:1077F000232CA100930701018326010313860700B0 +:10780000930500001305F0FFEFD08F8A232AA10013 +:10781000EFB08FED1307050083278101B307F74011 +:107820000327010363E8E70097970100938787BD6B +:1078300023A0070003274101930710006306F70008 +:10784000737004306F000000832701010327C10219 +:107850006306F700737004306F0000009727030081 +:10786000938747EA83A7070013871700972703002A +:10787000938747E923A0E700972703009387C7E88A +:1078800083A7070093060000130640008325C1026A +:1078900013850700EFD00F99232AA1000327410188 +:1078A000930710006306F700737004306F00000048 +:1078B00097270300938747E583A7070093060000F7 +:1078C000130640008325810213850700EFD08F95B2 +:1078D000232AA100832741016386070073700430C7 +:1078E0006F00000093070101930600001386070054 +:1078F000930500001305F0FFEFC09FFB232AA100B2 +:1079000003274101930710006306F70073700430EA +:107910006F000000832701010327C1026306F700FF +:10792000737004306F000000972703009387C7DD52 +:1079300083A7070093060000130630008325C102C9 +:1079400013850700EFD00F8E232AA10003274101E2 +:10795000930710006306F700737004306F00000097 +:1079600097270300938747DA83A707009306000051 +:10797000130630008325810213850700EFD08F8A1C +:10798000232AA10003274101930710006306F70093 +:10799000737004306F00000093070101930600002C +:1079A00013860700930500001305F0FFEFC05FF09A +:1079B000232AA10003274101930710006306F70063 +:1079C000737004306F0000008327010103278102D8 +:1079D0006306F700737004306F0000009727030000 +:1079E000938787D283A70700930600001306000041 +:1079F0008325C10213850700EFD0CF82232AA1007F +:107A000003274101930710006306F70073700430E9 +:107A10006F00000093070101930600001386070022 +:107A2000930500001305F0FFEFC09FE8232AA10093 +:107A300083270101032781026306F7007370043076 +:107A40006F000000232E01026F00800497270300BF +:107A5000938787CB83A707009306000013062000B7 +:107A60009305000013850700EFC0DFFB232AA10068 +:107A700003274101930710006306F7007370043079 +:107A80006F0000008327C10393871700232EF102A4 +:107A90000327C10383274102E36AF7FA9307010131 +:107AA0009306000013860700930500001305F0FFFE +:107AB000EFC01FE0232AA100032741019307100014 +:107AC0006306F700737004306F0000000327810223 +:107AD000832741023307F700832701016306F7007C +:107AE000737004306F0000009307010193060000DB +:107AF000138607009305000013050000EFC05FDB4D +:107B0000232AA10083274101638607007370043094 +:107B10006F00000093071000232CF102232E0102B6 +:107B200093070101930600001386070093050000E8 +:107B30001305F0FFEFC0DFD7972703009387C7BC7B +:107B400083A7070093060000130610008325810316 +:107B500013850700EFC01FED930701019306000096 +:107B6000138607009305000013050000EFC05FD4E3 +:107B7000232AA10003274101930710006306F700A1 +:107B8000737004306F0000008327C10393871700D0 +:107B9000232EF1028327810393971700232CF102F0 +:107BA000032701019307F0FFE318F7F80327C10348 +:107BB000930700026306F700737004306F00000043 +:107BC0009307010183260103138607008325C10162 +:107BD00003250102EFC0DFCD232AA1008327410145 +:107BE00063860700737004306F000000972703005E +:107BF000938787B183A70700930600001306000050 +:107C00009305F0FF13850700EFC0DFE19307010143 +:107C1000930600001386070093050000130500007B +:107C2000EFC01FC9232AA1000327410193071000B9 +:107C30006306F700737004306F00000083270102B1 +:107C400013C7F7FF832701016306F7007370043041 +:107C50006F00000097270300938707AB83A70700F7 +:107C6000930600001306000093050000138507002B +:107C7000EFC05FDB93070101930600001386070046 +:107C80008325C10113050000EFC09FC283270102B5 +:107C900013C7F7FF832701016306F70073700430F1 +:107CA0006F00000093070101930600001386070090 +:107CB0009305000013050000EFC09FBF232AA10019 +:107CC0008327410163860700737004306F00000052 +:107CD000032701028327C101B367F70013C7F7FF2A +:107CE000832701016306F700737004306F00000002 +:107CF00097270300938747A183A707001307C100B5 +:107D00009306070013061000930500001385070073 +:107D1000EFC05FD1032701028327C101B367F700DA +:107D200013C7F7FF832701016306F7007370043060 +:107D30006F000000930701019306000013860700FF +:107D40009305F0FF13050000EFC09FB697270300CF +:107D50009387879B83A707001307C100930607003B +:107D6000130610009305000013850700EFC09FCB9A +:107D70008327C10063860700737004306F00000022 +:107D8000232A010293071000232EF1026F008005C1 +:107D9000972703009387479783A707001307C1001E +:107DA00093060700130610008325C10313850700FF +:107DB000EFC05FC78327C100032741036306F700B5 +:107DC000737004306F000000032741038327C10351 +:107DD000B367F700232AF1028327C10393971700A3 +:107DE000232EF1020327C1039307F007E3F2E7FA1A +:107DF0009307010193060000138607009305000016 +:107E00001305F0FFEFC0DFAA13050000EFD04F9875 +:107E10009307050063860700737004306F0000004D +:107E2000972703009387478E83A707001307C10096 +:107E300093060700130640008325C102138507003F +:107E4000EFC05FBE13050000EFD08F94130705004D +:107E5000930710006306F700737004306F00000092 +:107E600013050000EFD0CF9293070500638607004B +:107E7000737004306F000000972703009387878892 +:107E800083A7070013871700972703009387878727 +:107E900023A0E700930600001306000093050000EE +:107EA0001305F0FFEFC0DFA0130000008320C10422 +:107EB0001301010567800000130101FE232E11004C +:107EC0002326A100972703009387078483A7070031 +:107ED0009306000013062000930500001385070099 +:107EE000EFC05FB4EFC04FF7972703009387478237 +:107EF00083A70700138717009727030093874781FD +:107F000023A0E700EFC04FF9130000008320C10158 +:107F10001301010267800000130101FD23261102F5 +:107F20002326A1009307A005232CF1009307A000AE +:107F3000232AF10023280100EFF00FFC17070000AF +:107F40001307C7F79306000013060000832581017D +:107F5000178501001305052DEFD04F92130705007B +:107F6000971703009387077B23A0E700EF000039F2 +:107F7000930705008325810113850700EF00107723 +:107F800093070500232EF1000327C1018327410138 +:107F90006376F70083274101232EF1009717030032 +:107FA0009387477783A707001307F0FF9306000026 +:107FB0000326C1019305400013850700EFD04F97BA +:107FC000EF00C03393070500832581011385070067 +:107FD000EF00D07193070500232EF1000327C101A4 +:107FE000832741016376F70083274101232EF100A7 +:107FF0008325C10113050000EFC08FF8930705002A +:1080000063800702971703009387477083A70700D1 +:1080100013871700971703009387476F23A0E70084 +:108020008325010113050000EFC08FF593070500BC +:1080300063800702971703009387476D83A70700A4 +:1080400013871700971703009387476C23A0E70057 +:108050008325C10113051000EFC08FF2130705003F +:10806000971703009387876A83A707003307F700F2 +:10807000971703009387876923A0E7009305F0FF14 +:1080800013051000EFC0CFEF13070500971703008B +:108090009387C76783A707003307F7009717030085 +:1080A0009387C76623A0E700971703009387876528 +:1080B00083A7070013871700971703009387876428 +:1080C00023A0E7006FF09FEA130101FE232E1100A9 +:1080D000930720032326F1009307F00F2324F100D8 +:1080E000971703009387076383A707006386071426 +:1080F000971703009387876283A7070013871700EA +:10810000971703009387876123A0E7009717030061 +:108110009387C76083A707000327C10063CEE710DA +:10812000971703009387875F23A007009717030023 +:108130009387075F83A70700130710006386E70490 +:10814000130720006384E708638407006F00000DB5 +:10815000971703009387475B83A7070093050000E9 +:1081600013850700EFC01FCC971703009387475B69 +:1081700083A7070013871700971703009387475AB1 +:1081800023A0E7006F008009971703009387C75764 +:1081900083A70700130700009306000013062000C2 +:1081A0009305000013850700EFC05FA59717030034 +:1081B0009387075783A707001387170097170300B4 +:1081C0009387075623A0E7006F00400583278100AF +:1081D0002322F100971703009387075383A7070013 +:1081E00093064100130700001306200093050000CA +:1081F00013850700EFC09FA083274100032781005C +:108200006316F700737004306F00000097170300C7 +:108210009387075123A0070013000000971703005E +:108220009387074F83A7070013871700971703004B +:108230009387074E23A0E700130000008320C101AD +:108240001301010267800000130101FF9307500032 +:108250002326F100971703009387C74C03A7070055 +:10826000971703009387074A83A70700631AF7004D +:10827000978701009387071923A007006F00C001AB +:10828000971703009387074803A707009717030072 +:108290009387474923A0E700971703009387474731 +:1082A00003A70700971703009387474683A7070094 +:1082B00063FAE702971703009387874503A7070030 +:1082C000971703009387874483A70700B307F740F6 +:1082D0000327C1006378F70097870100938787120F +:1082E00023A00700978701009387C71183A7070082 +:1082F000138507001301010167800000130101FECF +:10830000232E1100B7575A01938757E32326F10014 +:10831000930710002324F100971703009387C73FAA +:1083200083A707008325C10013850700EF00D03421 +:108330009307050013870700832781003307F700A1 +:10834000971703009387473D23A0E7009717030083 +:108350009387873C83A7070013D70701B7870000DF +:108360009387F7FFB377F700138507008320C101D8 +:108370001301010267800000B305B50093070500F3 +:108380006386B70003C70700631607003385A7405D +:1083900067800000938717006FF09FFE130101FDB7 +:1083A00023229102232A5101232611022324810230 +:1083B00023202103232E3101232C41012328610195 +:1083C0002326710123248101232291012320A1016D +:1083D000930A050093840500639E06381304060083 +:1083E0009309050017890100130989E463F8C51290 +:1083F000B7070100138B05006378F6101307F00F21 +:108400003337C70013173700B357E6003309F900B5 +:10841000834609003387E60093060002B386E640F0 +:10842000638C0600B394D40033D7EA003314D6002B +:10843000336B9700B399DA00935A040193850A00CD +:1084400013050B00EF00902A1309050093850A001D +:10845000931B040113050B00EF00D02493DB0B01E9 +:10846000930405009305050013850B00EF00D02051 +:108470001319090193D70901B367F900138A04009E +:1084800063FEA700B3878700138AF4FF63E88700C1 +:1084900063F6A700138AE4FFB3878700B384A7407D +:1084A00093850A0013850400EF005024130905008A +:1084B00093850A0013850400EF00D01E93990901EB +:1084C00093040500930505001319090113850B009A +:1084D00093D90901EF00501AB369390113860400DA +:1084E00063FCA900B30934011386F4FF63E6890035 +:1084F00063F4A9001386E4FF13140A013364C40073 +:10850000130A00006F000013B707000113070001F2 +:10851000E36CF6EE130780016FF01FEF138A06007D +:10852000631A06009305000013051000EF00901772 +:1085300013040500B7070100637EF4129307F00FE0 +:1085400063F48700130A8000B35744013309F9002C +:10855000034709009306000233074701B386E6404C +:1085600063940612B3848440130A1000135B040161 +:1085700093050B0013850400EF0050171309050045 +:1085800093050B0013850400931B0401EF00901169 +:1085900093DB0B01930405009305050013850B0085 +:1085A000EF00900D1319090193D70901B367F90082 +:1085B000938A040063FEA700B3878700938AF4FFC1 +:1085C00063E8870063F6A700938AE4FFB387870018 +:1085D000B384A74093050B0013850400EF0010112E +:1085E0001309050093050B0013850400EF00900BA1 +:1085F00093990901930405009305050013190901D6 +:1086000013850B0093D90901EF001007B3693901F5 +:108610001386040063FCA900B30934011386F4FF38 +:1086200063E6890063F4A9001386E4FF13940A014A +:108630003364C4001305040093050A008320C102BB +:108640000324810283244102032901028329C101F9 +:10865000032A8101832A4101032B0101832BC100DD +:10866000032C8100832C4100032D01001301010321 +:1086700067800000B7070001130A0001E366F4EC0D +:10868000130A80016FF05FEC3314D40033DAE40096 +:10869000B399DA0033D7EA00935A0401B394D400B3 +:1086A00093850A0013050A00336B9700EF0010044E +:1086B0001309050093850A0013050A00931B0401A2 +:1086C000EF00407E93DB0B0193040500930505004A +:1086D00013850B00EF00407A1319090113570B01A2 +:1086E0003367E900138A0400637EA700330787001D +:1086F000138AF4FF636887006376A700138AE4FF98 +:1087000033078700B304A74093850A00138504004C +:10871000EF00C07D1309050093850A00138504004E +:10872000EF004078930405009305050013850B00C6 +:10873000EF00807413170B01135707011319090178 +:10874000B367E9001387040063FEA700B3878700BF +:108750001387F4FF63E8870063F6A7001387E4FF3D +:10876000B3878700131A0A01B384A740336AEA006B +:108770006FF0DFDF63ECD51EB707010063F4F6048A +:108780001307F00FB335D7009395350033D7B600F4 +:10879000978701009387C7A9B387E70003C707003E +:1087A000130A00023307B700330AEA4063160A02CD +:1087B00013041000E3E096E833B6CA001344160031 +:1087C0006FF05FE7B707000193050001E3E0F6FCF7 +:1087D000930580016FF09FFBB35CE600B396460102 +:1087E000B3ECDC0033D4E40093DB0C01B397440119 +:1087F00033D7EA0093850B0013050400336BF700B1 +:10880000B3194601EF00806E1309050093850B0034 +:1088100013050400139C0C01EF00C068135C0C01ED +:10882000930405009305050013050C00EF00C064D8 +:108830001319090113570B013367E900138404006E +:10884000637EA700330797011384F4FF63689701E1 +:108850006376A7001384E4FF33079701B304A740AE +:1088600093850B0013850400EF0040681309050091 +:1088700093850B0013850400EF00C062930405008C +:108880009305050013050C00EF00005F93170B0123 +:108890001319090193D70701B367F9001386040080 +:1088A00063FEA700B38797011386F4FF63E897017F +:1088B00063F6A7001386E4FFB3879701131404013E +:1088C000B70B01003364C4001389FBFF337D24011F +:1088D00033F92901B384A7409305090013050D005E +:1088E000EF008059935C040193050900130B050008 +:1088F00013850C00EF00405893D90901130C0500B3 +:108900009385090013850C00EF000057130905003B +:108910009385090013050D00EF000056330585010E +:1089200093570B013385A7006374850133097901DF +:1089300093570501B387270163E6F402E392F4BC81 +:10894000B70701009387F7FF3375F500131505018D +:10895000337BFB0033964A0133056501130A00009F +:10896000E37AA6CC1304F4FF6FF09FB9130A00005A +:10897000130400006FF01FCC130101FB23248104BA +:1089800023229104232E3103232291032326110451 +:1089900023202105232C4103232A5103232861038B +:1089A00023267103232481032320A103232EB10155 +:1089B000930C0500938905001304050093840500BA +:1089C000639E062613090600138A0600978A010093 +:1089D000938A0A8663F4C514B70701006376F6121A +:1089E0009307F00F63F4C700130A8000B3574601E2 +:1089F000B38AFA0003C70A001305000233074701D0 +:108A0000330AE540630C0A00B395490133D7EC0003 +:108A100033194601B364B70033944C01935A0901EA +:108A200093850A0013850400EF00404C930905006C +:108A300093850A00131B090113850400EF0080468B +:108A4000135B0B019305050013050B00EF00C042FB +:108A50009399090193570401B3E7F90063FAA7005A +:108A6000B387270163E6270163F4A700B3872701D3 +:108A7000B384A74093850A0013850400EF000047E4 +:108A80009309050093850A0013850400EF008041D7 +:108A900013140401930505009399090113050B00B4 +:108AA00013540401EF00403D33E48900637AA400CD +:108AB00033042401636624016374A4003304240195 +:108AC0003304A44033554401930500008320C104BE +:108AD0000324810483244104032901048329C1035D +:108AE000032A8103832A4103032B0103832BC10241 +:108AF000032C8102832C4102032D0102832DC1012D +:108B00001301010567800000B7070001130A000187 +:108B1000E36EF6EC130A80016FF05FED631A060056 +:108B20009305000013051000EF00C037130905007E +:108B3000B7070100637AF90E9307F00F63F427017A +:108B4000130A8000B3574901B38AFA0003C70A0029 +:108B500013050002B384294133074701330AE54076 +:108B6000E30E0AEA33194901B3DAE900B395490182 +:108B700033D7EC0093540901336BB70013850A0017 +:108B800093850400EF008036930905009385040067 +:108B9000931B090113850A00EF00C03093DB0B0122 +:108BA0009305050013850B00EF00002D9399090133 +:108BB00093570B01B3E7F90033944C0163FAA70014 +:108BC000B387270163E6270163F4A700B387270172 +:108BD000B38AA7409385040013850A00EF00003193 +:108BE000930905009385040013850A00EF00802B8C +:108BF0009305050013850B00EF00002893150B016A +:108C00009399090193D50501B3E5B90063FAA5006D +:108C1000B385250163E6250163F4A500B38525012D +:108C2000B384A5406FF09FDFB7070001130A00016E +:108C3000E36AF9F0130A80016FF0DFF0E3E8D5E8AA +:108C4000B707010063FCF604930BF00F33B5DB00AC +:108C50001315350033D7A600977701009387475D3A +:108C6000B387E70083CB070093050002B38BAB000B +:108C7000338B7541631E0B0263E4360163EACC005B +:108C80003384CC40B386D94033B58C00B384A6403E +:108C900013050400938504006FF05FE3B70700013C +:108CA00013050001E3E8F6FA130580016FF09FFA5F +:108CB000B3966601335D7601336DDD0033D47901FF +:108CC000B395690133DC7C0193540D01336CBC0016 +:108CD0001305040093850400B31A6601EF00002118 +:108CE000130A0500938504001305040033996C01F1 +:108CF000931C0D01EF00001B93DC0C011304050015 +:108D00009305050013850C00EF000017131A0A01E4 +:108D100013570C013367EA00130A0400637EA700AF +:108D20003307A701130AF4FF6368A7016376A7005E +:108D3000130AE4FF3307A701B309A7409385040092 +:108D400013850900EF00801A9385040013040500C1 +:108D500013850900EF000015930505009304050035 +:108D600013850C00EF00401193150C01131404013E +:108D700093D50501B365B4001387040063FEA50015 +:108D8000B385A5011387F4FF63E8A50163F6A50089 +:108D90001387E4FFB385A501131A0A01B70C01007C +:108DA000336AEA001384FCFFB3778A0033F48A0045 +:108DB000B384A54013850700930504002326F10022 +:108DC000135A0A01EF00400B9309050093050400B4 +:108DD00013050A00EF00400A13DC0A01930D050099 +:108DE00093050C0013050A00EF0000098327C1005A +:108DF000130A050093050C0013850700EF00C00758 +:108E00003305B50113D709013307A7006374B70110 +:108E1000330A9A01B70701009387F7FF93550701BB +:108E20003377F70013170701B3F7F900B38545014E +:108E3000B307F70063E6B400639EB400637CF900F7 +:108E400033865741B3B7C700B385A541B385F54015 +:108E500093070600B307F9403339F900B385B440EE +:108E6000B385254133947501B3D767013365F400A9 +:108E7000B3D565016FF09FC513060500130500000B +:108E800093F61500638406003305C50093D51500DD +:108E900013161600E39605FE678000006340050682 +:108EA00063C6050613860500930505001305F0FF4C +:108EB000630C060293061000637AB6006358C00084 +:108EC0001316160093961600E36AB6FE130500000B +:108ED00063E6C500B385C5403365D50093D616005B +:108EE00013561600E39606FE67800000938200008A +:108EF000EFF05FFB13850500678002003305A0409B +:108F000063D80500B305B0406FF0DFF9B305B0409A +:108F100093820000EFF01FF93305A0406780020044 +:108F20009382000063CA0500634C0500EFF09FF7D1 +:108F30001385050067800200B305B040E35805FEC5 +:108F40003305A040EFF01FF63305B0406780020004 +:02000004800278 +:1000000049444C4500000000244BFEFFBC4AFEFF63 +:10001000D84AFEFFF04AFEFF004BFEFFF44CFEFF05 +:100020008C4CFEFFA84CFEFFC04CFEFFD04CFEFFE8 +:10003000546D7220537663001C56FEFF1C56FEFF63 +:100040001C56FEFF0457FEFFAC56FEFFF456FEFFA3 +:100050001C56FEFF1C56FEFF0457FEFFAC56FEFF6B +:10006000546D725100000000286E756C6C29000000 +:10007000406DFEFF7C70FEFF6870FEFF7C70FEFF2F +:100080007C70FEFF7C70FEFF7C70FEFFEC6DFEFF5F +:100090007C70FEFF7C70FEFF846DFEFF046EFEFF31 +:1000A0007C70FEFF906DFEFF9C6DFEFF9C6DFEFF61 +:1000B0009C6DFEFF9C6DFEFF9C6DFEFF9C6DFEFF28 +:1000C0009C6DFEFF9C6DFEFF9C6DFEFF7C70FEFF35 +:1000D0007C70FEFF7C70FEFF7C70FEFF7C70FEFF7C +:1000E0007C70FEFF7C70FEFF7C70FEFF7C70FEFF6C +:1000F0007C70FEFF7C70FEFF7C70FEFF7C70FEFF5C +:100100007C70FEFF7C70FEFF7C70FEFF7C70FEFF4B +:100110007C70FEFF7C70FEFF7C70FEFF7C70FEFF3B +:100120007C70FEFF7C70FEFF7C70FEFF7C70FEFF2B +:100130007C70FEFF7C70FEFF7C70FEFF7C70FEFF1B +:100140007C70FEFF7C70FEFF7C70FEFF7C70FEFF0B +:100150007C70FEFF7C70FEFF7C70FEFF7C70FEFFFB +:100160007C70FEFF7C70FEFF7C70FEFF7C70FEFFEB +:10017000446EFEFF5C6FFEFF7C70FEFF7C70FEFF36 +:100180007C70FEFF7C70FEFF7C70FEFF7C70FEFFCB +:100190007C70FEFF346EFEFF7C70FEFF7C70FEFF05 +:1001A000EC6FFEFFF86FFEFF7C70FEFF7C70FEFFC1 +:1001B000686EFEFF7C70FEFFE06FFEFF7C70FEFF4E +:1001C0007C70FEFF2070FEFF6D69616F750A000094 +:1001D0006D69616F75320A0068756E6772790A0021 +:1001E00054696D65723200004572726F7220696EDB +:1001F000205461736B4E6F74696679207461736B00 +:1002000073200D0A00000000436865636B54696D3C +:10021000657200004E6F74696669656400000000D5 +:100220004E6F746966696572000000000001020289 +:10023000030303030404040404040404050505057E +:10024000050505050505050505050505060606065A +:10025000060606060606060606060606060606063E +:10026000060606060606060606060606070707072A +:10027000070707070707070707070707070707070E +:1002800007070707070707070707070707070707FE +:1002900007070707070707070707070707070707EE +:1002A00007070707070707070707070708080808DA +:1002B00008080808080808080808080808080808BE +:1002C00008080808080808080808080808080808AE +:1002D000080808080808080808080808080808089E +:1002E000080808080808080808080808080808088E +:1002F000080808080808080808080808080808087E +:10030000080808080808080808080808080808086D +:10031000080808080808080808080808080808085D +:0C03200008080808080808080808080871 +:10032C001000000000000000017A5200017C010165 +:10033C001B0D02004C000000180000005480FEFF52 +:10034C00DC05000000440E3070890395078101889C +:10035C000292049305940696089709980A990B9AA9 +:10036C000C0370020AC144C844C944D244D344D4D7 +:10037C0044D544D644D744D844D944DA440E004436 +:10038C000B0000005000000068000000E085FEFF3C +:10039C000005000000440E507488028903930599EF +:1003AC000B810192049406950796089709980A9A6E +:1003BC000C9B0D0320010AC144C844C944D244D348 +:1003CC0044D444D544D644D744D844D944DA44DB45 +:0803DC00440E00440B00000078 +:1003F000AAAAAAAA08000000FC8F010009000000B8 +:0404000001000000F7 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/TaskNotify_rv32i_O3.hex b/VexRiscv/src/test/resources/freertos/TaskNotify_rv32i_O3.hex new file mode 100644 index 0000000..f8f2d09 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/TaskNotify_rv32i_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/TaskNotify_rv32ic_O0.hex b/VexRiscv/src/test/resources/freertos/TaskNotify_rv32ic_O0.hex new file mode 100644 index 0000000..4105eb6 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/TaskNotify_rv32ic_O0.hex @@ -0,0 +1,1584 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900061B6170502001305E53A979503009385AD +:1000A000656C01461122170502001305E53397051B +:1000B00002009385E5330146C52817A50300130503 +:1000C000A56A97B503009385E56937B6ABAB130610 +:1000D000B6BAD92817B1030013010169EF40D00265 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2421B23A02200F322103463 +:1002400096DEEF006030170102000321E119024140 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E21923A0120023A2B9 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40804D170102000321E2 +:10033000A10B0241F65273901234B722000093824F +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A20B83A0C0 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A242F923A0220086DEEF1059 +:10046000B04017010200032121F80241F6527390B7 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F0961730020309707BF +:1004C0000200938727F39843FD576305F700737085 +:1004D000043001A07370043001A041112AC6B24754 +:1004E000739047300100410182804111F327403071 +:1004F0003EC673F04330B2473E85410182804111D0 +:100500002AC62EC432C2B247F1173EC62247B247AE +:1005100098C3B247938787FA3EC61247B24798C33B +:10052000B247A1173EC68E873E87B24798C3B247EF +:10053000D1173EC6170700001307A7F8B24798C3A4 +:10054000B2473E8541018280411106C6EF40A0239B +:10055000EF10D014AA8799C3EF1010310100B240F8 +:100560004101828041112AC6B24713878700B247F2 +:10057000D8C3B2477D5798C7B24713878700B247A1 +:10058000D8C7B24713878700B24798CBB24723A09A +:10059000070001004101828041112AC6B24723A809 +:1005A000070001004101828001112AC62EC4B24712 +:1005B000DC433ECEA2477247D8C3F2479847A247D2 +:1005C00098C7F2479C472247D8C3F247224798C7AB +:1005D000A247324798CBB2479C4313871700B247D4 +:1005E00098C301000561828001112AC62EC4A2476A +:1005F0009C433ECC6247FD576316F700B2479C4BC5 +:100600003ECE31A8B247A1073ECE21A0F247DC433F +:100610003ECEF247DC439C436247E379F7FEF24764 +:10062000D843A247D8C3A247DC43224798C7A24772 +:10063000724798C7F2472247D8C3A247324798CBA0 +:10064000B2479C4313871700B24798C30100056166 +:10065000828001112AC6B2479C4B3ECEB247DC4392 +:100660003247184798C7B2479C4732475843D8C3C8 +:10067000F247DC4332476316F700B2479847F24728 +:10068000D8C3B24723A80700F2479C431387F7FF5C +:10069000F24798C3F2479C433E8505618280797199 +:1006A00006D622D42AC62EC4B2473ECEF24781E7F0 +:1006B0007370043001A0EF202057F2478043F247C7 +:1006C000D85FF247BC43BE853A85EF50A070AA8739 +:1006D0003307F400F247D8C3F24723AC0702F247CE +:1006E0009843F24798C7F2478043F247DC5F13878D +:1006F000F7FFF247BC43BE853A85EF50A06DAA874D +:100700003307F400F247D8C7F2477D57F8C3F247E2 +:100710007D57B8C7A24799EFF2479C4B8DC7F24768 +:10072000C1073E85EF1090402A878547631DF7007B +:10073000F13911A8F247C1073E852D35F24793875D +:1007400047023E850535EF20005185473E85B25072 +:10075000225445618280797106D62AC62EC4B2879A +:10076000A303F10002CCB24781E77370043001A00B +:10077000A24799E302CE01A8A2453245EF50806519 +:10078000AA8785073ECEF247938747053E85EF301F +:10079000805C2ACAD24785CFA24789E7D247524711 +:1007A00098C331A0D24713874705D24798C3D24791 +:1007B0003247D8DFD2472247B8C385455245C535B1 +:1007C000D247034771002388E704D2473ECCE24773 +:1007D00081E77370043001A0E2473E85B250456165 +:1007E0008280797106D6AA87A307F1001305400518 +:1007F000EF3060562ACEF247B9CFF24723A2070066 +:10080000F24723A00700F24723A40700F24723A6DC +:100810000700F24723AC0702F2470547D8DFF2474B +:1008200023A00704F2477D57F8C3F2477D57B8C7A6 +:10083000F2470347F1002388E704F247C1073E85EA +:100840001533F247938747023E85293B814601468F +:10085000814572453122F2473E85B2504561828022 +:10086000797106D622D42AC6B2473ECCE24781E748 +:100870007370043001A0E247C043EF20001DAA8737 +:100880006313F402E247DC471387F7FFE247D8C758 +:10089000E247DC4791E78146014681456245C92030 +:1008A00085473ECE11A002CEF2473E85B25022547B +:1008B00045618280797106D622D42AC62EC4B247F9 +:1008C0003ECCE24781E77370043001A0E247C043A9 +:1008D000EF20A017AA87631BF400E247DC471387C9 +:1008E0001700E247D8C785473ECE0DA08146224675 +:1008F00081456245252E2ACE724785476318F70049 +:10090000E247DC4713871700E247D8C7F2473E8526 +:10091000B250225445618280797106D62AC62EC40F +:10092000B24781E77370043001A02247B24763F5F4 +:10093000E7007370043001A0094681453245213D2E +:100940002ACEF24781C7F247224798DFF24781E774 +:100950007370043001A0F2473E85B2504561828039 +:10096000397106DE2AC62EC432C236C002D6B2475C +:100970003ED4A25781E77370043001A0A24781E7FB +:10098000A257BC4399E3854711A0814781E7737063 +:10099000043001A0024789476317F700A257D85FC8 +:1009A00085476314F700854711A0814781E773707D +:1009B000043001A0EF20C00AAA8799E3924799E387 +:1009C000854711A0814781E77370043001A0EF20B3 +:1009D000A025A257985FA257DC5F6366F700024725 +:1009E0008947631DF7020246A245225565232AD294 +:1009F000A257DC5389CFA257938747023E85EF1059 +:100A0000F0122A8785476317F700093421A092570F +:100A100091C3ED3AEF202024854771A8924789E7DA +:100A2000EF206023814741A8B25799E77C083E85B3 +:100A3000EF10702785473ED6EF20E021EF10401AD7 +:100A4000EF20801EA257F843FD576315F700A25709 +:100A500023A20704A257B847FD576315F700A25712 +:100A600023A40704EF20201F58007C08BA853E8588 +:100A7000EF107026AA879DEB2255EF006071AA87C0 +:100A80008DC3A257C1071247BA853E85EF10E070AB +:100A900022551525EF108016AA87E39A07F2BD327A +:100AA0003DB72255092DEF10601515B722552125A8 +:100AB000EF10C01481473E85F25021618280797128 +:100AC00006D62AC62EC432C236C0B2473ECCE24752 +:100AD00081E77370043001A0A24781E7E247BC437D +:100AE00099E3854711A0814781E77370043001A025 +:100AF000024789476317F700E247D85F85476314C9 +:100B0000F700854711A0814781E77370043001A089 +:100B1000E93AAA873ECAE247985FE247DC5F63662C +:100B2000F700024789476315F7040246A24562456C +:100B30009521E247B847FD576313F702E247DC53BC +:100B40008DC7E247938747023E85EF10207EAA8734 +:100B500089CF924799CB9247054798C339A0E2477E +:100B6000BC4713871700E247B8C785473ECE11A0A0 +:100B700002CED2473E859532F2473E85B25045615E +:100B80008280797106D62AC62EC4B2473ECCE2478F +:100B900081E77370043001A0E247BC4381C77370E2 +:100BA000043001A0E2479C4381E7E247DC4399E33C +:100BB000854711A0814781E77370043001A0353269 +:100BC000AA873ECAE247985FE247DC5F6377F70493 +:100BD000E2479C5F13871700E24798DFE247B84778 +:100BE000FD576313F702E247DC538DC7E247938753 +:100BF00047023E85EF108073AA8789CFA24799CB21 +:100C0000A247054798C339A0E247BC47138717009E +:100C1000E247B8C785473ECE11A002CED2473E85F7 +:100C20006D38F2473E85B25045618280397106DEEB +:100C30002AC62EC432C236C002D6B2473ED4A2570C +:100C400081E77370043001A0A24781E7A257BC433B +:100C500099E3854711A0814781E77370043001A0B3 +:100C6000EF101060AA8799E3924799E3854711A096 +:100C7000814781E77370043001A0EF10F07AA2572A +:100C80009C5FB5CBA257DC473ED2A2452255F92E38 +:100C9000824795EFA2579C5F1387F7FFA25798DF13 +:100CA000A2579C4391E7EF2060022A87A257D8C33E +:100CB000A2579C4B8DCFA257C1073E85EF1000670E +:100CC0002A8785476315F702EFF04FF40DA0A2576E +:100CD0001257D8C7A257DC5399CBA2579387470224 +:100CE0003E85EF10A064AA8799C3EFF02FF2EF10B2 +:100CF000907685475DA8924789E7EF10D0758147C8 +:100D00006DA0B25799E77C083E85EF10C079854702 +:100D10003ED6EF105074EF00B06CEF10F070A25799 +:100D2000F843FD576315F700A25723A20704A25703 +:100D3000B847FD576315F700A25723A40704EF1027 +:100D4000907158007C08BA853E85EF10C078AA875C +:100D5000A1EB2255CD2EAA879DCFA2579C4391EBA4 +:100D6000EF10906CA257DC433E85EF107052EF10ED +:100D7000906EA257938747021247BA853E85EF10BF +:100D8000C04122550D2EEF007067AA87E39707EE4A +:100D9000EFF0CFE7DDB52255392EEF003066F1BD1B +:100DA0002255112EEF00906581473E85F25021615A +:100DB0008280797106D62AC62EC432C2B2473ECC92 +:100DC000E24781E77370043001A0A24781E7E24760 +:100DD000BC4399E3854711A0814781E773700430D4 +:100DE00001A0EFF08FF0AA873ECAE2479C5FA1CB3B +:100DF000A2456245A52CE2479C5F1387F7FFE247B7 +:100E000098DFE247F843FD576312F702E2479C4B35 +:100E100085C7E247C1073E85EF104051AA8789CFB9 +:100E2000924799CB9247054798C339A0E247FC43C4 +:100E300013871700E247F8C385473ECE11A002CEC4 +:100E4000D2473E85EFF06FE9F2473E85B2504561EB +:100E50008280797106D62AC62EC4B2473ECCE247BC +:100E600081E77370043001A0A24781E7E247BC43E9 +:100E700099E3854711A0814781E77370043001A091 +:100E8000E247BC4381E77370043001A0EFF0EFE567 +:100E9000AA873ECAE2479C5F89CFE247DC473EC84B +:100EA000A2456245E122E2474247D8C785473ECE88 +:100EB00011A002CED2473E85EFF02FE2F2473E85E9 +:100EC000B25045618280797106D62AC6B24781E761 +:100ED0007370043001A0EF103055B2479C5F3ECED6 +:100EE000EF107057F2473E85B250456182807971AC +:100EF00006D62AC6B2473ECEF24781E77370043069 +:100F000001A0EF107052F247D85FF2479C5FB30721 +:100F1000F7403ECCEF103054E2473E85B250456179 +:100F2000828001112AC6B24781E77370043001A0A4 +:100F3000B2479C5F3ECEF2473E8505618280797163 +:100F400006D62AC6B2473ECEF24781E77370043018 +:100F500001A07245E5247245EF20F0720100B25005 +:100F60004561828041112AC6B247FC473E85410156 +:100F7000828041112AC62EC4B2472247F8C7010019 +:100F80004101828041112AC6B24783C707053E85C9 +:100F900041018280797106D62AC62EC432C202CEA1 +:100FA000B247BC4391EFB2479C43D5E7B247DC431D +:100FB0003E85EF10903B2ACEB24723A2070061A8DE +:100FC000924785EFB2479847B247BC433E86A24559 +:100FD0003A85EF20F079B2479847B247BC433E9735 +:100FE000B24798C7B2479847B247DC436365F706F4 +:100FF000B2479843B24798C7B9A8B247D847B24753 +:10100000BC433E86A2453A85EF209076B247D8474A +:10101000B247BC43B307F0403E97B247D8C7B24788 +:10102000D847B2479C43637BF700B247D843B247E7 +:10103000BC43B307F0403E97B247D8C71247894731 +:10104000631BF700B2479C5F99C7B2479C5F138749 +:10105000F7FFB24798DFB2479C5F13871700B2478C +:1010600098DFF2473E85B25045618280011106CE7D +:101070002AC62EC4B247BC439DCBB247D847B2471D +:10108000BC433E97B247D8C7B247D847B247DC43C4 +:101090006366F700B2479843B247D8C7B247D8470C +:1010A000B247BC433E86BA852245EF20706C0100F2 +:1010B000F24005618280011106CE2AC6EF10D036BB +:1010C00025A0B247DC5395C7B247938747023E85B8 +:1010D000EF10C025AA8799C3EF104049B247BC471B +:1010E0001387F7FFB247B8C7B247BC47E34BF0FCE2 +:1010F00011A00100B2477D57B8C7EF10D035EF10EF +:10110000B0321DA0B2479C4B8DC7B247C1073E8588 +:10111000EF10C021AA8799C3EF104045B247FC43A6 +:101120001387F7FFB247F8C3B247FC43E34CF0FC28 +:1011300011A00100B2477D57F8C3EF10D031010074 +:10114000F24005618280797106D62AC6EF10D02D53 +:10115000B2479C5F81E785473ECE11A002CEEF10DB +:10116000902FF2473E85B2504561828001112AC618 +:10117000B24781E77370043001A0B2479C5F81E7FA +:1011800085473ECE11A002CEF2473E8505618280A2 +:10119000797106D62AC6EF103029B247985FB24758 +:1011A000DC5F6315F70085473ECE11A002CEEF103D +:1011B000902AF2473E85B2504561828001112AC6CD +:1011C000B24781E77370043001A0B247985FB2471D +:1011D000DC5F6315F70085473ECE11A002CEF247D3 +:1011E0003E850561828001112AC62EC402CE81A0EF +:1011F0001787030013074753F2478E07BA979C439C +:1012000085E71787030013072752F2478E07BA971F +:10121000224798C31787030013070751F2478E0729 +:10122000BA973247D8C301A8F24785073ECE724726 +:101230009D47E3FFE7FA01000561828001112AC69C +:1012400002CE0DA8178703001307074EF2478E073B +:10125000BA97DC433247631CF70017870300130774 +:10126000A74CF2478E07BA9723A0070001A8F247C0 +:1012700085073ECE72479D47E3F6E7FC0100056116 +:101280008280797106D62AC62EC432C2B2473ECEBB +:10129000EF109019F247F843FD576315F700F24736 +:1012A00023A20704F247B847FD576315F700F2473A +:1012B00023A40704EF10301AF2479C5F89EBF24732 +:1012C000938747021246A2453E85EF00907E724505 +:1012D000DD330100B25045618280397106DE2ACECD +:1012E0002ECC36C83AC63EC442C246C0B287231B83 +:1012F000F100F24781E77370043001A03247A5473F +:1013000063F5E7007370043001A0835761019245D3 +:101310003E85EF1080512AD4A257638F0712A2573F +:10132000985B83566101B7070040FD17B6978A079F +:10133000BA973ED29257F19B3ED292578D8B81C77E +:101340007370043001A0835761013E8782463246A4 +:10135000E2452255EF10E0274246F2451255EFF0E4 +:101360000F9A2A87A25798C3A24781C7A24722573C +:1013700098C3EF10700B97F701009387E7279C4302 +:101380001387170097F701009387072798C397F7EC +:101390000100938767059C4395E397F701009387C6 +:1013A000A704225798C397F701009387E72498432F +:1013B0008547631AF702EF10C02C35A097F701009C +:1013C000938747249C4385E397F701009387C701E0 +:1013D0009C43DC5732476368F70097F70100938717 +:1013E000A700225798C397F701009387A7229C4331 +:1013F0001387170097F701009387C72198C397F7C2 +:101400000100938727219843A257B8C7A257D857FE +:1014100097F701009387C71E9C4363F9E700A25723 +:10142000D85797F701009387A71D98C3A257D8579D +:10143000BA878A07BA978A0717F701001307470880 +:101440003E97A2579107BE853A85EFF0EF95854705 +:101450003ED6EF10500019A0FD573ED632578547B3 +:101460006313F70297F701009387C7199C4381CF55 +:1014700097F70100938747F79C43DC57324763F4A3 +:10148000E700EFE0BFF8B2573E85F250216182805D +:10149000797106D62AC6EF102079B24799E797F7F7 +:1014A0000100938767F49C4311A0B2473ECEF247F8 +:1014B00091073E85EFF0EF99F2479C5791C7F247AD +:1014C000E1073E85EFF0EF98F2479107BE8517F5EB +:1014D00001001305A50FEFF02F8D97F701009387FB +:1014E00027109C431387170097F701009387470F36 +:1014F00098C397F701009387E7119C431387170060 +:1015000097F701009387071198C3EF10C07497F7FE +:1015100001009387270F9C439DCB97F7010093878A +:10152000A7EC9C437247631EF70097F7010093876F +:10153000E70E9C4381C77370043001A0EFE01FEDFC +:1015400039A0EF10606EEF10A04BEF10C0700100DB +:10155000B25045618280797106D62AC62EC402CE69 +:10156000B24781E77370043001A0A24781E773702E +:10157000043001A097F701009387470A9C4381C775 +:101580007370043001A0A92D97F701009387070716 +:101590009C433ECCB2479C432247BA973ECAB247CF +:1015A0009C436247637EF700B2479C435247637493 +:1015B000F7025247E24763F0E70285473ECE21A893 +:1015C000B2479C4352476366F7005247E24763F4D1 +:1015D000E70085473ECEB247524798C3F24789CFCE +:1015E00097F70100938747E09C4391073E85EFF012 +:1015F0004F865245EF10001B11252AC8C24799E3B8 +:10160000EFE0DFE00100B25045618280797106D6DB +:101610002AC602CEB247A9C397F7010093870700F5 +:101620009C4381C77370043001A05D2B97F70100C4 +:101630009387C7FC9C433247BA973ECC97F701008B +:10164000938787DA9C4391073E85EFF08F80624550 +:10165000EF10401565232ACEF24799E3EFE01FDB38 +:101660000100B25045618280797106D62AC6B24720 +:101670003ECCE24781E77370043001A097F7010088 +:10168000938787D69C4362476314F70002CEBDA0C0 +:10169000EF108059E247DC4B3ECAEF10C05B97F772 +:1016A00001009387E7F09C435247630AF70097F7DE +:1016B0000100938727F09C4352476315F700894741 +:1016C0003ECE2DA8524797F701009387A7F1631BE1 +:1016D000F700E2479C5781E78D473ECE05A089473A +:1016E0003ECE29A8524797F70100938727EE63154E +:1016F000F70091473ECE19A085473ECEF2473E8582 +:10170000B25045618280797106D62AC6EF10C05169 +:10171000B24799E797F70100938707CD9C4311A043 +:10172000B2473ECEF247DC573ECCEF10C052E24704 +:101730003E85B25045618280797106D62AC6EFE0B7 +:10174000DFDAAA873ECEB24799E797F70100938781 +:10175000A7C99C4311A0B2473ECCE247DC573ECA22 +:10176000F2473E85EFE07FD7D2473E85B2504561D4 +:101770008280797106D62AC62EC402CE2247A5479A +:1017800063F5E7007370043001A02247A54763F4B6 +:10179000E700A5473EC4EF102049B24799E797F705 +:1017A0000100938767C49C4311A0B2473ECCE24737 +:1017B000BC4B3ECA5247A247630AF70E2247D247A4 +:1017C00063F6E70297F70100938707C29C436247DD +:1017D0006308F70297F70100938707C19C43DC5722 +:1017E0002247636FF70085473ECE19A897F701009F +:1017F000938787BF9C4362476314F70085473ECEBB +:10180000E247DC573EC8E247B84BE247DC57631576 +:10181000F700E2472247D8D7E2472247B8CBE24752 +:101820009C4F63C707002947A2471D8FE24798CF07 +:10183000E247D44B4247BA878A07BA978A0717F715 +:1018400001001307E7C7BA976394F600854711A014 +:101850008147B9C7E24791073E85EFE09FDFE24746 +:10186000D85797F701009387A7D99C4363F9E700FE +:10187000E247D85797F70100938787D898C3E24784 +:10188000D857BA878A07BA978A0717F7010013074C +:1018900027C33E97E2479107BE853A85EFE0DFD048 +:1018A000724785476314F700EFE05FB6EF10A03A88 +:1018B0000100B25045618280797106D62AC6EF10C8 +:1018C000A036B24799E797F701009387E7B19C43A9 +:1018D00011A0B2473ECEF24791073E85EFE07FD799 +:1018E000F2479C5791C7F247E1073E85EFE07FD66C +:1018F000F2479107BE8517F501001305A5CEEFE06D +:10190000BFCAEF10403597F701009387E7AD9C43BE +:1019100072476319F70497F701009387A7CE9C439A +:1019200089CF97F70100938767CF9C4381C7737076 +:10193000043001A0EFE09FAD91A097F701009387DD +:1019400067CA984397F70100938707CB9C436319B5 +:10195000F70097F70100938727A923A0070039A86C +:10196000212729A897F701009387C7C99C4399C7E6 +:10197000EF10802BEF10C008EF10E02D0100B250E7 +:101980004561828001112AC602CEB2473ECCB247E1 +:1019900081E77370043001A0E247D84B97F701004C +:1019A000938747C46314F700854711A0814795C307 +:1019B000E247985797F70100938707C0630BF7003A +:1019C000E2479C5799E3854711A0814799C3854712 +:1019D0003ECEF2473E8505618280797106D62AC6E1 +:1019E000B2473ECEB24781E77370043001A0F247A0 +:1019F000C9C797F701009387279F9C437247630EDF +:101A0000F706EF1060227245B53F2A8785476314B9 +:101A1000F706F24791073E85EFE0BFC3F247D8577C +:101A200097F701009387C7BD9C4363F9E700F2472E +:101A3000D85797F701009387A7BC98C3F247D857A8 +:101A4000BA878A07BA978A0717F70100130747A7CB +:101A50003E97F2479107BE853A85EFE0FFB4F24723 +:101A6000D85797F70100938727989C43DC57636406 +:101A7000F700EFE0BF99EF10001E0100B250456182 +:101A80008280797106D62AC602CEB2473ECCB247D2 +:101A900081E77370043001A0EFE03FA5AA873ECA3A +:101AA0006245CD352A8785476314F70897F701000B +:101AB0009387C7B69C43A5E7E247D85797F701003D +:101AC000938787929C43DC576364F70085473ECE3B +:101AD000E24791073E85EFE0DFB7E247D85797F737 +:101AE00001009387E7B19C4363F9E700E247D857C9 +:101AF00097F701009387C7B098C3E247D857BA87D2 +:101B00008A07BA978A0717F701001307679B3E9762 +:101B1000E2479107BE853A85EFE01FA911A8E24789 +:101B2000E107BE8517F50100130505A9EFE0DFA762 +:101B3000D2473E85EFE07F9AF2473E85B25045613D +:101B40008280011106CE81480148814701478146C4 +:101B50001306004097E501009385C54A171500005C +:101B6000130585A5EFF06FF72AC632478547631541 +:101B7000F700EF10C06D2AC6324785476319F70298 +:101B80007370043097F70100938707A97D5798C3B6 +:101B900097F70100938707A7054798C397F70100B8 +:101BA0009387C7A523A00700EFE0AFEF31A0B247AE +:101BB00081E77370043001A00100F240056182806A +:101BC000411106C67370043097F70100938787A30D +:101BD00023A00700EFE02FFB0100B240410182800B +:101BE00097F70100938787A39C431387170097F704 +:101BF00001009387A7A298C301008280011106CE3D +:101C000002C697F70100938767A19C4381E7737031 +:101C1000043001A0EF10400197F70100938707A05F +:101C20009C431387F7FF97F701009387279F98C37B +:101C300097F701009387879E9C436390071097F75F +:101C400001009387679B9C436389070E59A097F710 +:101C5000010093876796DC47DC473EC4A247E10753 +:101C60003E85EFE01F9FA24791073E85EFE07F9EF4 +:101C7000A247D85797F70100938787989C4363F949 +:101C8000E700A247D85797F701009387679798C353 +:101C9000A247D857BA878A07BA978A0717F7010069 +:101CA000130707823E97A2479107BE853A85EFE06A +:101CB000BF8FA247D85797E701009387E7729C43ED +:101CC000DC576368F70097F701009387279405476F +:101CD00098C397F701009387278E9C43ADFB97F736 +:101CE0000100938767929C438DCF35A0452AAA8730 +:101CF00099C797F7010093876791054798C397F7AE +:101D00000100938767909C431387F7FF97F70100C3 +:101D10009387878F98C397F701009387E78E9C433B +:101D2000F1F797F701009387678E9843854763160D +:101D3000F70085473EC6EFE06FEDEF00D071B24788 +:101D40003E85F24005618280011106CEEF00D06D24 +:101D500097F701009387878A9C433EC6EF00B06FD8 +:101D6000B2473E85F24005618280011106CEEFE068 +:101D7000CFF7AA873EC697F70100938727889C4331 +:101D80003EC4B2473E85EFE04FF5A2473E85F240A4 +:101D90000561828097F70100938707869C433E8503 +:101DA0008280797106D62AC62EC432C202CEA947D5 +:101DB0003ECC3D3597F70100938707849C4322472B +:101DC0006368F70CE247FD173ECCF24796073247AF +:101DD000B306F7006247BA878A07BA978A0717E7F8 +:101DE00001001307E76DBA970546BE853685EF00FB +:101DF000902A2A87F247BA973ECEE247E1F7F247A8 +:101E0000960732473E9797E701009387677A9C438E +:101E10000946BE853A85EF0010282A87F247BA970F +:101E20003ECEF247960732473E9797E701009387E9 +:101E300067789C430946BE853A85EF00D0252A87FE +:101E4000F247BA973ECEF24796073247BA97114605 +:101E500097E50100938585773E85EF00D0232A879B +:101E6000F247BA973ECEF24796073247BA970D46E9 +:101E700097E50100938505773E85EF00D0212A87FD +:101E8000F247BA973ECE924781C7924723A00700F8 +:101E9000B533F2473E85B25045618280797106D6EE +:101EA00002CE97E70100938767779C4363950718F5 +:101EB00097E70100938787749C431387170097E780 +:101EC00001009387A77398C397E70100938707736F +:101ED0009C433ECCE247B9EF97E701009387476DFB +:101EE0009C439C4381C77370043001A097E70100B5 +:101EF0009387076C9C433ECA97E701009387876BE3 +:101F0000984397E701009387A76A98C397E7010072 +:101F10009387476A524798C397E701009387476FB3 +:101F20009C431387170097E701009387676E98C358 +:101F3000EF00102D97E701009387076E9C436247DF +:101F40006365F70C97E70100938787669C439C4382 +:101F500099E3854711A0814781CB97E701009387DB +:101F6000A76B7D5798C355A097E7010093874764F7 +:101F70009C43DC47DC473EC8C247DC433EC6624761 +:101F8000B2476379F70097E701009387E768324724 +:101F900098C3A5A8C24791073E85EFE08FEBC247E3 +:101FA0009C5791C7C247E1073E85EFE08FEAC247E1 +:101FB000D85797E701009387A7649C4363F9E7002C +:101FC000C247D85797E701009387876398C3C247F2 +:101FD000D857BA878A07BA978A0717E70100130705 +:101FE000274E3E97C2479107BE853A85EFE0CFDB8B +:101FF000C247D85797E701009387073F9C43DC57B8 +:10200000E362F7F485473ECE35BF97E7010093873B +:10201000A73D9C43D85797E601009386664ABA8746 +:102020008A07BA978A07B6979843854763F1E7020C +:1020300085473ECE29A897E701009387E75C9C433C +:102040001387170097E701009387075C98C397E70A +:1020500001009387A75B9C4399C385473ECEF24717 +:102060003E85B25045618280011106CE97E701009E +:102070009387C75A9C4381CB97E701009387075901 +:10208000054798C339A297E701009387275823A0F3 +:10209000070097E70100938727359C439C5B3EC66A +:1020A000B7A7A5A59387575A3EC4B2479C4322477A +:1020B0006314F702B24791079C432247631EF7005F +:1020C000B247A1079C4322476318F700B247B10704 +:1020D0009C4322476308F70497E701009387C730C2 +:1020E000984397E70100938727309C4393874703E2 +:1020F000BE853A85EF20301035A097E70100938721 +:1021000027509C4381E77370043001A097E70100DA +:102110009387074F9C431387F7FF97E70100938747 +:10212000274E98C397E701009387874D984397E61A +:1021300001009386E638BA878A07BA978A07B69766 +:102140009C43C5DF97E701009387874B9843BA8785 +:102150008A07BA978A0717E7010013076736BA9705 +:102160003EC29247DC43D8439247D8C39247D843F4 +:102170009247A1076317F7009247DC43D843924781 +:10218000D8C39247DC43D84797E701009387C72518 +:1021900098C30100F24005618280797106D62AC693 +:1021A0002EC4B24781E77370043001A097E70100A5 +:1021B000938787239C43E107BE853245EFE0CFC27A +:1021C00097E70100938747229C4391073E85EFE004 +:1021D0004FC82247FD576310F70297E70100938726 +:1021E000A7209C439107BE8517E501001305853F95 +:1021F000EFE08FBB19A897E70100938727409C4326 +:102200002247BA973ECE7245712B0100B25045610C +:102210008280797106D62AC62EC432C2B24781E7BF +:102220007370043001A097E701009387273F9C4318 +:1022300081E77370043001A097E701009387C71A04 +:102240009C43A24637070080558F98CF97E701003F +:10225000938787199C43E107BE853245EFE0CFB4F1 +:1022600097E70100938747189C4391073E85EFE06D +:102270004FBE1247FD576310F70297E7010093879F +:10228000A7169C439107BE8517E501001305853508 +:10229000EFE08FB119A897E70100938727369C4399 +:1022A0001247BA973ECE7245F5290100B2504561FA +:1022B0008280797106D62AC62EC432C2B24781E71F +:1022C0007370043001A097E701009387E7119C43E6 +:1022D000E107BE853245EFE02FAD97E70100938718 +:1022E000A7109C4391073E85EFE0AFB612478547A4 +:1022F0006310F70297E701009387070F9C4391074C +:10230000BE8517E501001305E52DEFE0EFA919A83B +:1023100097E701009387872E9C432247BA973ECECA +:10232000724549210100B25045618280797106D61B +:102330002AC6B247DC47DC473ECCE24781E77370F0 +:10234000043001A0E247E1073E85EFE08FB097E758 +:1023500001009387A72C9C43A1EBE24791073E85A0 +:10236000EFE02FAFE247D85797E70100938747295F +:102370009C4363F9E700E247D85797E7010093874A +:10238000272898C3E247D857BA878A07BA978A0797 +:1023900017E701001307C7123E97E2479107BE8572 +:1023A0003A85EFE06FA011A8E247E107BE8517E587 +:1023B000010013056520EFE02F9FE247D85797E70C +:1023C0000100938767029C43DC5763FBE700854766 +:1023D0003ECE97E7010093876723054798C311A076 +:1023E00002CEF2473E85B25045618280797106D6B1 +:1023F0002AC62EC497E70100938747229C4381E7B2 +:102400007370043001A02247B70700805D8FB24788 +:1024100098C3B247DC473ECCE24781E77370043093 +:1024200001A03245EFE0EFA2E24791073E85EFE0E1 +:102430004FA2E247D85797E701009387671C9C4358 +:1024400063F9E700E247D85797E701009387471BF6 +:1024500098C3E247D857BA878A07BA978A0717E717 +:1024600001001307E7053E97E2479107BE853A85CD +:10247000EFE08F93E247D85797E701009387C7F6BD +:102480009C43DC5763FBE70085473ECE97E701009E +:102490009387C717054798C311A002CEF2473E8520 +:1024A000B2504561828041112AC6B24781E77370FC +:1024B000043001A097E70100938787159843B2473E +:1024C00098C397E70100938767139843B247D8C32F +:1024D000010041018280797106D62AC62EC4B24716 +:1024E00081E77370043001A0A24781E77370043064 +:1024F00001A01D2F97E70100938747109C433ECC16 +:10250000A2479843FD576314F70002CE91A8B24743 +:10251000984397E701009387A70F9C43630AF7004E +:10252000B247DC4362476365F70085473ECE0DA89E +:10253000B247DC4362471D8FA2479C436370F7029A +:10254000A2479843B247D443E247B387F6403E9749 +:10255000A24798C33245813F02CE19A085473ECE9F +:10256000DD2DF2473E85B2504561828097E701003C +:102570009387C709054798C30100828001112AC6C5 +:10258000B24799C7B2473ECCE247FC473ECE11A0C6 +:1025900002CEF2473E850561828001112AC62EC413 +:1025A000B24791C7B2473ECEF2472247F8C7010073 +:1025B00005618280011106CE2AC6852297E70100B7 +:1025C000938707F098438547E3F9E7FEEFD01FE4D0 +:1025D000EDB7397106DE2ACE2ECC32CA36C8BA879C +:1025E0002317F10002D625A06247B257BA9703C756 +:1025F0000700F246B257B697238AE7026247B257FE +:10260000BA9783C7070089CBB25785073ED63257A2 +:10261000BD47E3FBE7FC11A00100F247A3810704DB +:102620005247A54763F4E700A5473ECAF247524721 +:10263000D8D7F2475247B8CBF24723AA0704F2474C +:1026400091073E85EFD05FF5F247E1073E85EFD079 +:10265000BFF4F247724798CB2947D2471D8FF24704 +:1026600098CFF2477247D8D3F24723A20704F24724 +:1026700023AC0704F24723AE07040100F2502161A6 +:102680008280011106CE02C60DA03247BA878A07A2 +:10269000BA978A0717E70100130787E2BA973E85C2 +:1026A000EFD05FECB24785073EC63247A547E3FE51 +:1026B000E7FC17E50100130525EDEFD0BFEA17E5AC +:1026C00001001305A5EDEFD0FFE917E501001305A3 +:1026D000A5EEEFD03FE917E50100130525EFEFD098 +:1026E0007FE817E501001305E5EFEFD0BFE797E7B7 +:1026F00001009387E7EB17E701001307E7E898C3AA +:1027000097E70100938707EB17E70100130707E935 +:1027100098C30100F24005618280011106CE8DA8A8 +:10272000EFF00FCC97E70100938747EA9C4393B7FC +:10273000170093F7F70F3EC6EFF04FCCB247A9EB67 +:10274000E52197E70100938767E8DC47DC473EC453 +:10275000A24791073E85EFD0DFEF97E7010093870F +:10276000A7E99C431387F7FF97E701009387C7E822 +:1027700098C397E701009387A7E69C431387F7FF69 +:1027800097E701009387C7E598C3F1212245912C73 +:1027900097E701009387C7E49C43D9F30100F24017 +:1027A00005618280011106CE2AC697E70100938752 +:1027B000A7C39C433247D8C397E70100938707E438 +:1027C0009C4332476372F70297E70100938787DEE5 +:1027D000984397E70100938727C19C439107BE85E3 +:1027E0003A85EFD07FE035A897E70100938747DC73 +:1027F000984397E70100938727BF9C439107BE85C5 +:102800003A85EFD07FDE97E701009387E7E09C43AE +:1028100032476378F70097E701009387E7DF324795 +:1028200098C30100F24005618280797106D6AA87BB +:102830002EC42317F100A24789EB8357E1008A07D2 +:102840003E85EF104051AA8711A0A2473ECCE24737 +:1028500085C313050006EF1000502ACEF24789C742 +:10286000F247624798DB31A06245EF10C06111A0CA +:1028700002CEF24781CFF247985B8357E1008A0787 +:102880003E869305500A3A85EF104075F2473E8523 +:10289000B25045618280397106DE22DC2AC62EC420 +:1028A00032C202D6A2479C43638D070EA2473ED494 +:1028B000A257DC43D843A257D8C3A257D843A25744 +:1028C000A1076317F700A257DC43D843A257D8C328 +:1028D000A257DC43DC473ED2A2473ED08257DC43BE +:1028E000D8438257D8C38257D8438257A10763176A +:1028F000F7008257DC43D8438257D8C38257DC4362 +:10290000DC473ECEB25796073247BA97724798C314 +:10291000B25796073247BA97724713074703D8C38F +:10292000B25796073247BA977247384798C7B25797 +:1029300096073247BA971247D8C7B2579607324719 +:10294000BA977247585798CB12478D47631BF700C9 +:10295000F2479C5799C7B25796073247BA9709472B +:10296000D8C7B25796073247BA977247384BD8CB79 +:10297000B25796073247BA9723AC0700F247945BE9 +:10298000B257960732473304F70036850D20AA87E1 +:10299000231EF400B25785073ED672479257E31DB7 +:1029A000F7F2B2573E85F2506254216182800111E4 +:1029B0002AC602CE39A0B24785073EC6F247850730 +:1029C0003ECEB24703C707009307500AE305F7FE60 +:1029D000F24789833ECEF247C207C1833E85056137 +:1029E0008280011106CE2AC6B2479C5B3E85EF105D +:1029F00080493245EF1020490100F2400561828094 +:102A0000411197E701009387A7BA9C439C4399E340 +:102A1000854711A0814781CB97E701009387C7BF06 +:102A20007D5798C305A097E70100938767B89C433B +:102A3000DC47DC473EC6B247D84397E7010093879F +:102A4000A7BD98C3010041018280411197E70100B1 +:102A5000938787999C433EC6B2473E854101828059 +:102A6000411197E701009387E7B99C4381E78547C8 +:102A70003EC619A897E70100938747BA9C4381E7B0 +:102A800089473EC611A002C6B2473E8541018280F9 +:102A9000797106D62AC6B2473ECEB247F9C3F2478D +:102AA000D85797E70100938727949C43DC57637AB4 +:102AB000F70AF2479C4F63CC070097E70100938722 +:102AC000A7929C43DC5729471D8FF24798CFF247C6 +:102AD000D44BF247D857BA878A07BA978A0717E7BD +:102AE00001001307E79DBA976394F600854711A08C +:102AF0008147A5C3F24791073E85EFD09FB597E781 +:102B000001009387678E9C43D857F247D8D7F24786 +:102B1000D85797E701009387A7AE9C4363F9E70076 +:102B2000F247D85797E70100938787AD98C3F247DC +:102B3000D857BA878A07BA978A0717E70100130799 +:102B400027983E97F2479107BE853A85EFD0DFA5DB +:102B500009A897E70100938727899C43D857F24734 +:102B6000D8D70100B25045618280797106D62AC655 +:102B7000B2473ECC02CEB247DDC397E70100938750 +:102B8000A7869C4362476305F7007370043001A079 +:102B9000E247FC4B81E77370043001A0E247FC4B35 +:102BA0001387F7FFE247F8CBE247D857E247BC4B21 +:102BB0006307F706E247FC4BBDE3E24791073E851A +:102BC000EFD03FA9E247B84BE247D8D7E247DC57FE +:102BD00029471D8FE24798CFE247D85797E7010072 +:102BE000938707A29C4363F9E700E247D85797E72A +:102BF00001009387E7A098C3E247D857BA878A07AE +:102C0000BA978A0717E701001307878B3E97E247B9 +:102C10009107BE853A85EFD03F9985473ECEF24772 +:102C20003E85B250456182807370043097E70100A1 +:102C30009387479D9C4391CF97D701009387C77A8D +:102C40009C43F8430507F8C397D701009387C779DA +:102C50009C430100828097E701009387A79A9C43D9 +:102C60008DCB97D70100938727789C43FC4395C36E +:102C700097D70100938747779C43F8437D17F8C3A4 +:102C800097D70100938747769C43FC4399E3736091 +:102C9000043001008280411197D701009387C774E7 +:102CA0009C439C4F3EC697D701009387E7739C4394 +:102CB000D85797D70100938727739C43A94633873A +:102CC000E64098CFB2473E854101828097D7010008 +:102CD000938787719C4389CB97D701009387C770EF +:102CE0009C43F84B0507F8CB97D701009387C76F34 +:102CF0009C433E858280797106D62AC62EC42D3724 +:102D000097D701009387476E9C43BC4FADE397D79D +:102D100001009387676D9C430547F8CFA247A1CB7D +:102D200097D701009387476C9C4391073E85EFD06E +:102D30005F922247FD576310F70297D701009387F0 +:102D4000A76A9C439107BE8517E501001305858995 +:102D5000EFD09F8519A897E701009387278A9C43A6 +:102D60002247BA973ECE7245353CEFD02FEAE53583 +:102D7000653D97D70100938727679C43BC4F3ECCA6 +:102D8000E2479DC3B24789CB97D701009387C765B8 +:102D90009C4323AC070409A897D701009387C76415 +:102DA0009C43B84F7D17B8CF97D701009387C7636F +:102DB0009C4323AE07044535E2473E85B25045614A +:102DC0008280797106D62AC62EC432C236C0A93D89 +:102DD00097D70100938747619C43F84F8947630D5C +:102DE000F70697D70100938727609C43B44F32477B +:102DF0001347F7FF758FB8CF97D701009387C75E4A +:102E00009C430547F8CF8247A1CB97D70100938712 +:102E1000A75D9C4391073E85EFD0BF830247FD57D6 +:102E20006310F70297D701009387075C9C439107D3 +:102E3000BE8517D501001305E57AEFD0EFF619A886 +:102E400097D701009387877B9C430247BA973ECC74 +:102E50006245893AEFD08FDBFD3BF933924789CB4E +:102E600097D70100938747589C43B84F924798C320 +:102E700097D70100938747579C43F84F85476314C2 +:102E8000F70002CE31A897D701009387E7559C43FE +:102E9000B44F22471347F7FF758FB8CF85473ECE13 +:102EA00097D70100938747549C4323AE07046533AB +:102EB000F2473E85B25045618280797106D62AC6B6 +:102EC0002EC432C236C085473ECEB24781E773700A +:102ED000043001A0B2473ECC813B824789C7E2471C +:102EE000B84F824798C3E247FC4F3ECAE2470947C2 +:102EF000F8CF1247914763EEE704924713972700F4 +:102F000097D7010093878710BA97984397D7010006 +:102F10009387C70FBA978287E247B84FA2475D8F62 +:102F2000E247B8CF3DA0E247BC4F13871700E24706 +:102F3000B8CF05A0E2472247B8CF21A8524789471A +:102F40006306F700E2472247B8CF21A002CE11A0C6 +:102F5000010052478547631AF706E24791073E850D +:102F6000EFD02FEFE247D85797D7010093874769F3 +:102F70009C4363F9E700E247D85797D7010093874E +:102F8000276898C3E247D857BA878A07BA978A074B +:102F900017D701001307C7523E97E2479107BE8536 +:102FA0003A85EFD06FE0E2479C5781C773700430D9 +:102FB00001A0E247D85797D701009387E7429C4387 +:102FC000DC5763F4E700EFD06FC47131F2473E8500 +:102FD000B25045618280397106DE2ACE2ECC32CACB +:102FE00036C83AC685473ED6F24781E7737004304B +:102FF00001A0F2473ED4EFD04FCFAA873ED2C247BE +:1030000089C7A257B84FC24798C3A257FC4F3ED0BA +:10301000A2570947F8CF5247914763EEE704D247DA +:103020001397270097D70100938787FFBA97984394 +:1030300097D701009387C7FEBA978287A257B84FE8 +:10304000E2475D8FA257B8CF3DA0A257BC4F138770 +:103050001700A257B8CF05A0A2576247B8CF21A842 +:10306000025789476306F700A2576247B8CF21A0ED +:1030700002D611A0010002578547631DF708A25729 +:103080009C5781C77370043001A097D701009387C4 +:10309000E7589C43A1EBA25791073E85EFD06FDB29 +:1030A000A257D85797D70100938787559C4363F958 +:1030B000E700A257D85797D701009387675498C362 +:1030C000A257D857BA878A07BA978A0717D7010035 +:1030D0001307073F3E97A2579107BE853A85EFD069 +:1030E000AFCC11A8A257E107BE8517D50100130583 +:1030F000A54CEFD06FCBA257D85797D70100938735 +:10310000A72E9C43DC5763F7E700B24781C7B2475D +:10311000054798C392573E85EFD02FBCB2573E85E6 +:10312000F25021618280797106D62AC62EC4B24738 +:1031300081E77370043001A0B2473ECEEFD0EFBA02 +:10314000AA873ECCF247FC4F3ECAF2470947F8CF68 +:10315000F247BC4F13871700F247B8CF5247854755 +:10316000631DF708F2479C5781C77370043001A0B4 +:1031700097D701009387874A9C43A1EBF2479107B9 +:103180003E85EFD00FCDF247D85797D701009387F0 +:1031900027479C4363F9E700F247D85797D70100C8 +:1031A0009387074698C3F247D857BA878A07BA97D2 +:1031B0008A0717D701001307A7303E97F2479107F8 +:1031C000BE853A85EFD04FBE11A8F247E107BE8514 +:1031D00017D501001305453EEFD00FBDF247D85774 +:1031E00097D70100938747209C43DC5763F7E7009C +:1031F000A24781C7A247054798C3E2473E85EFD063 +:10320000CFAD0100B25045618280797106D62AC6E1 +:10321000B2473ECCE24799E797D701009387C71C96 +:103220009C4311A0E2473ECC0134E247F84F894766 +:103230006318F700E24723AE070485473ECE11A08E +:1032400002CE113CF2473E85B250456182800111A9 +:1032500006CE02C61D2B97D701009387673F9C437C +:103260009DC38148014881470947814613060040B4 +:1032700097D50100938505DC170500001305051B94 +:10328000EFE0AF852AC6B24781E77370043001A032 +:10329000B2473E85F24005618280397106DE2ACE52 +:1032A0002ECC32CA36C83AC6E24799E302D62DA8D8 +:1032B0001305C002EF00302A2AD6B25795C7F12174 +:1032C000B257724798C3B257624798CFB257524726 +:1032D000D8CFB257424798D3B2573247D8D3B25714 +:1032E00091073E85EFD04FABE24781E77370043022 +:1032F00001A0B2573E85F25021618280397106DE0D +:103300002ACE2ECC32CA36C83AC602D6F24781E758 +:103310007370043001A097D70100938767339C43F3 +:10332000B5CBE2473ED0D2473ED2F2473ED46247C9 +:10333000954763C4E704EFF0AFF22A87894763102B +:10334000F70297D701009387A7309C431810814656 +:103350003246BA853E85EFD0AFE02AD625A897D76A +:1033600001009387E72E9C43181081460146BA85D9 +:103370003E85EFD0EFDE2AD631A897D7010093879C +:10338000272D9C43181081464246BA853E85EFD0D2 +:103390000FF32AD6B2573E85F25021618280011187 +:1033A0002AC6B2473ECEB24781E77370043001A00F +:1033B000F2479C433E8505618280797106D62AC614 +:1033C0002EC497D70100938727289C43DC47DC470E +:1033D0003ECEF24791073E85EFD0AFA7F247D84FD8 +:1033E0008547631BF702F247984FB247BA97B24638 +:1033F0002246BE857245B9222A878547631EF7009B +:1034000001478146324681457245CD3D2ACCE2478F +:1034100081E77370043001A0F247DC537245829754 +:103420000100B25045618280797106D62AC63C08F7 +:103430003E8541282ACEE247BE8572451920692281 +:10344000FDB7797106D62AC62EC4EFE06FF93C08A5 +:103450003E8545282ACEE247B9EFA24799EB32478D +:10346000F24763E8E700EFE06FF9F2453245B13724 +:10347000A9A0A24781CF97D701009387271D9C431E +:103480009C4393B7170093F7F70F3EC497D70100FB +:103490009387071C94433247F247B307F74022460D +:1034A000BE853685EFD0FFDDEFE04FF5AA8791E7C7 +:1034B000EFC0DFF519A0EFE06FF40100B2504561F5 +:1034C000828001112AC697D701009387E7179C4392 +:1034D0009C4393B7170093F7F70F3E87B24798C303 +:1034E000B2479C4391EB97D701009387E7159C4324 +:1034F000DC479C433ECE11A002CEF2473E850561DB +:103500008280797106D62AC6EFE01F842ACE97D72B +:103510000100938727149C4372476377F700692A59 +:10352000B247054798C321A0B24723A0070097D709 +:10353000010093872712724798C3F2473E85B25025 +:1035400045618280797106D62AC62EC432C236C041 +:1035500002CEB2472247D8C3B247324798CB224760 +:10356000924763E8E702124782471D8FB2479C4F9C +:103570006365F70085473ECE99A097D701009387F2 +:10358000E70C9843B2479107BE853A85EFD0CF85C7 +:103590003DA0124782476379F7002247824763655F +:1035A000F70085473ECE21A897D701009387C7092A +:1035B0009843B2479107BE853A85EFD0EF82F24734 +:1035C0003E85B25045618280797106D6D9A0A24766 +:1035D00063C0070CC2473ECEF247DC4B91C7F247AF +:1035E00091073E85EFD0EF865C003E85193F2ACCDF +:1035F000A2472547636FF7081397270097D7010065 +:103600009387C7A3BA97984397D70100938707A3D7 +:10361000BA9782873247F2479C4FBA973247BA86A9 +:103620006246BE857245393F2A8785476313F70690 +:10363000F247DC5372458297F247D84F8547631AA9 +:10364000F7043247F2479C4FBA97014781463E86BE +:103650008145724565312ACAD24785EF73700430BF +:1036600001A03247F24798CFF2479C4F81E7737031 +:10367000043001A0F247984FE247BA97E24662460B +:10368000BE857245C13531A07245EF00C07F11A0E3 +:10369000010097D701009387A7FB9C433800814620 +:1036A0000146BA853E85EFD06FD8AA87E39107F22D +:1036B0000100B25045618280797106D671A097D71A +:1036C0000100938767F89C43DC479C433ECC97D727 +:1036D0000100938767F79C43DC47DC473ECAD2472B +:1036E00091073E85EFC0FFF6D247DC5352458297E3 +:1036F000D247D84F85476319F704D2479C4F62479A +:10370000BA973EC84247E24763F4E702D2474247CE +:10371000D8C3D247524798CB97D701009387C7F2B7 +:103720009843D2479107BE853A85EFC0FFEB29A8A1 +:1037300001478146624681455245C9362AC6B2478D +:1037400081E77370043001A097D701009387C7EF1A +:103750009C439C43ADF797D701009387E7EE9C43CA +:103760003ECE97D70100938767EE984397D7010025 +:10377000938787ED98C397D70100938727ED72470A +:1037800098C30100B25045618280411106C6EFF036 +:10379000AFC997D701009387A7EB9C43C9E717D51B +:1037A00001001305E5E7EFC0FFDB17D501001305A6 +:1037B00065E8EFC03FDB97D701009387E7E817D7AD +:1037C00001001307E7E598C397D70100938707E83F +:1037D00017D70100130707E698C30146B145114505 +:1037E000EFC07FF72A8797D70100938767E698C3D2 +:1037F00097D701009387C7E59C4381E77370043036 +:1038000001A097D701009387A7E49C4389CF97D75E +:1038100001009387E7E39C4397D501009385858456 +:103820003E85EFD05F9CEFF00FC30100B240410135 +:103830008280797106D62AC6B2473ECEB24781E76A +:103840007370043001A0EFF02FBEF247DC4BB337AA +:10385000F00093F7F70F3ECCEFF0EFBFE2473E8565 +:10386000B25045618280797106D62AC6B2473ECEF3 +:10387000B24781E77370043001A0EFF0EFBAF2476E +:103880009C533ECCEFF02FBDE2473E85B2504561E0 +:103890008280797106D62AC62EC4B2473ECEB24780 +:1038A00081E77370043001A0EFF00FB8F2472247B0 +:1038B00098D3EFF04FBA0100B250456182800111F8 +:1038C00006CE714549292AC6B24789CBB24723A003 +:1038D0000700B24791073E85EFC0DFC8B2473E857B +:1038E000F24005618280397106DE2AC62EC432C2DA +:1038F00036C0B2473ED402D21247B70700FFF98F55 +:1039000081C77370043001A0924781E7737004305F +:1039100001A0EFF0EF94AA8799E3824799E38547E6 +:1039200011A0814781E77370043001A0EFE04FAB35 +:10393000A2579C433ED0A245324585240257A24758 +:103940005D8F9247F98F12476310F7020257A24723 +:10395000D98F3ED6A2579843924793C7F7FF7D8FE2 +:10396000A25798C302C025A0824799CFA257938639 +:1039700047001247B7070005D98F0246BE85368536 +:10398000EFE03F8902D621A0A2579C433ED6EFE04C +:10399000EFA62ACE8247B1CBF24799E3EFC01FA72B +:1039A000EFF06FAF2AD63257B7070002F98F85EBD9 +:1039B000EFF08FA7A2579C433ED632579247F98F1C +:1039C0001247631AF700A2579843924793C7F7FF2D +:1039D0007D8FA25798C3EFF00FA885473ED232578C +:1039E000B7070001FD17F98F3ED6B2573E85F2505A +:1039F000216182805D7186C62ACE2ECC32CA36C83D +:103A00003AC6F2473EDA02DC02D8F24781E7737029 +:103A1000043001A06247B70700FFF98F81C77370B8 +:103A2000043001A0E24781E77370043001A0EFF099 +:103A30002F83AA8799E3B24799E3854711A081476D +:103A400081E77370043001A0EFE08F99D2579C4357 +:103A50003ED64246E245325575242AD4A25799CF24 +:103A6000B2573EDE02C6D247A9CBD2579843E247AF +:103A700093C7F7FF7D8FD25798C381A0B24781E7E4 +:103A8000B2573EDE1DA8D24791C76257B707000163 +:103A9000D98F3EDCC24791C76257B7070004D98F60 +:103AA0003EDCD257938647006247E257D98F3246B1 +:103AB000BE853685EFE0EFF502DEEFE02F942AD2E7 +:103AC000B247B9CB925799E3EFC05F94EFF0AF9C48 +:103AD0002ADE7257B7070002F98F8DEBEFF0CF9413 +:103AE000D2579C433EDE4246E2457255212CAA87BE +:103AF00099CBD24789CBD2579843E24793C7F7FF78 +:103B00007D8FD25798C3EFF00F9502D87257B70741 +:103B10000001FD17F98F3EDEF2573E85B640616128 +:103B20008280797106D62AC62EC4B2473ECEB247ED +:103B300081E77370043001A02247B70700FFF98FB7 +:103B400081C77370043001A0EFF00F8EF2479C43E1 +:103B50003ECCF2479843A24793C7F7FF7D8FF247C9 +:103B600098C3EFF04F8FE2473E85B25045618280A7 +:103B7000797106D62AC6B2473ECEEFC01F97AA87F4 +:103B80003ECCF2479C433ECAE2473E85EFC0FF94DD +:103B9000D2473E85B250456182805D7186C62AC695 +:103BA0002EC402DCB2473ED802DAB24781E7737016 +:103BB000043001A02247B70700FFF98F81C7737057 +:103BC000043001A0C25791073ED6B257A1073ED498 +:103BD000EFE00F81B257DC473EDEC2579843A24761 +:103BE0005D8FC25798C3BDA8F257DC433ED2F2574F +:103BF0009C433ED002DA0257B70700FFF98F3ECE52 +:103C00000257B7070001FD17F98F3ED07247B7077B +:103C10000004F98F89EBC25798438257F98F89CFF7 +:103C200085473EDA11A8C25798438257F98F025749 +:103C30006314F70085473EDAD2579DC37247B70732 +:103C40000001F98F89C762578257D98F3EDCC2576E +:103C50009843B7070002D98FBE857255EFE00FF980 +:103C600092573EDE7257A257E310F7F8C2579843B7 +:103C7000E25793C7F7FF7D8FC25798C3EFD01FF865 +:103C8000C2579C433E85B64061618280797106D6F9 +:103C90002AC6B2473ECEF24791073ECCEFD05FF442 +:103CA0000DA0E247D847E247A1076315F7007370FC +:103CB000043001A0E247DC47B70500023E85EFE093 +:103CC000EFF2E2479C43F1FF7245752AEFD01FF3F4 +:103CD0000100B25045618280011106CE2AC62EC471 +:103CE000A24532455D3D0100F2400561828001112F +:103CF00006CE2AC62EC4A245324525350100F24023 +:103D00000561828001112AC62EC432C202CE9247BA +:103D100081EB3247A247F98F81CF85473ECE09A874 +:103D20003247A247F98F22476314F70085473ECEFA +:103D3000F2473E850561828001112AC6B2473ECC1A +:103D4000B24799E302CE21A0E2479C4F3ECEF24714 +:103D50003E8505618280397106DE2AC602D2EFD027 +:103D60003FE897670300938727909C4381EB552298 +:103D7000976703009387478F054798C3B24789CF5A +:103D8000A1473E87B247BA973EC6B2478D8B89C7D7 +:103D9000B247F19B91073EC6B247F9CB3247E56780 +:103DA000ED1763E7E70C976703009387E78A3ED434 +:103DB000976703009387478A9C433ED631A0B2574A +:103DC0003ED4B2579C433ED6B257DC43324763F5EC +:103DD000E700B2579C43E5F7325797670300938794 +:103DE00027886307F708A2579C432147BA973ED21A +:103DF000B2579843A25798C3B257D843B247B307B4 +:103E0000F740214706076378F7043257B247BA975D +:103E10003ECEB257D843B2471D8FF247D8C3B257F0 +:103E20003247D8C3F247DC433ECC97670300938701 +:103E3000A7823ED021A082579C433ED082579C430C +:103E4000DC436247E3E9E7FE82579843F24798C3B1 +:103E50008257724798C397C701009387275A9843A0 +:103E6000B257DC431D8F97C701009387275998C32A +:103E7000EFD0DFD8925799E3EF00503792573E8545 +:103E8000F25021618280797106D62AC6B2473ECCB3 +:103E9000B247BDC3A147B307F0406247BA973ECCD3 +:103EA000E2473ECAEFD0DFD3D247DC433EC8975744 +:103EB00003009387677A3ECE21A0F2479C433ECE13 +:103EC000F2479C43DC434247E3E9E7FEF24798436D +:103ED000D24798C3F247524798C3D247D84397C7AF +:103EE00001009387A7519C433E9797C70100938792 +:103EF000E75098C3EFD09FD00100B2504561828057 +:103F000097C701009387874F9C433E8582800100BD +:103F10008280411197C7010093874774F19B3EC689 +:103F20009757030093874773324798C39757030007 +:103F30009387877223A207009757030093874772DE +:103F400065677117D8C3975703009387677123A0DC +:103F50000700B2473EC4A24765677117D8C3A2479E +:103F6000175703001307C76F98C3010041018280F0 +:103F700001112AC62EC432C2A2473ECEB2473ECC61 +:103F80003247A247D98F8D8BA1E319A8F2479843F6 +:103F9000E24798C3E24791073ECCF24791073ECEF5 +:103FA0009247F5173247BA976247E361F7FE29A8AF +:103FB0007247930717003ECEE2479386170036CC30 +:103FC000034707002380E70032479247BA976247CA +:103FD000E360F7FEB2473E850561828001112AC683 +:103FE0002EC432C232479247D98F8D8B9DEFA247A4 +:103FF00093F7F70F3ECAD247A2075247D98F3ECA5E +:10400000D247C2075247D98F3ECAB2473ECE39A0E7 +:10401000F247138747003ACE524798C33247924738 +:10402000BA977247E366F7FE1DA0B2473ECC11A8CF +:10403000E247138717003ACC22471377F70F238004 +:10404000E70032479247BA976247E363F7FEB24709 +:104050003E850561828001112AC6B2473ECE21A06D +:10406000F24785073ECEF24783C70700F5FB72474C +:10407000B247B307F7403E850561828001112AC629 +:104080002EC4B247138717003AC683C70700A30F91 +:10409000F100A247138717003AC483C70700230F14 +:1040A000F1008347F10199C70347F1018347E1011B +:1040B000E309F7FC0347F1018347E101B307F74048 +:1040C0003E850561828001112AC62EC4B2473ECECC +:1040D00001002247930717003EC4F247938617005A +:1040E00036CE034707002380E70083C70700F5F3B8 +:1040F000B2473E850561828001112AC602CE02CCFC +:1041000021A0B24785073EC6B24703C70700930701 +:104110000002E308F7FEB24703C707009307D00287 +:104120006309F700B24703C707009307B0026311A2 +:10413000F704B24783C70700938737FD93B717008B +:1041400093F7F70F3ECCB24785073EC615A07247DE +:10415000BA878A07BA9786073ECEB24713871700F9 +:104160003AC683C70700938707FD7247BA973ECECA +:10417000B24783C70700E1FFE24789C7F247B307A9 +:10418000F04011A0F2473E850561828001112AC6E8 +:10419000975703009387074F9C4393861700175741 +:1041A00003001307274E14C332471377F70F9756B0 +:1041B000030093862651B6972380E7003247A9472C +:1041C000630BF700975703009387C74B98439307F8 +:1041D00000046315F704975703009387A74E3ECE5C +:1041E00005A8F247138717003ACE03C70700B707A1 +:1041F00010F0938707F098C3975703009387874879 +:104200009C431387F7FF975703009387A74798C3EB +:1042100097570300938707479C43E1F781473E8503 +:10422000056182804D7123261114232481142322D9 +:10423000911423202115232E3113232C4113232ADB +:1042400051132ACE2ECC32C836CA3AC63EC442C218 +:1042500023260112B2473E8A814AC24752485286FB +:10426000D6863E85C285EF10E066AA872E883E86F8 +:10427000C2868327C112138717002326E1123287D3 +:104280008A07141AB69723AEE7EEB2473E848144FC +:10429000D247268763E8E702D24726876396E7007E +:1042A000C247228763E0E702B2473E8981494A86D6 +:1042B000CE864245D245EF102004AA872E883EC8FC +:1042C00042CA49BF010029A0F247E2451245829740 +:1042D000A2471387F7FF3AC40327C112E346F7FE4C +:1042E00025A88327C1128A07181ABA9703A7C7EF10 +:1042F0008327C1128A07141AB69783A6C7EFA5476A +:1043000063F5D7009307700519A093070003BA97C8 +:104310007247E2453E8502978327C1121387F7FF54 +:104320002326E112E34FF0FA01008320C114032495 +:10433000811483244114032901148329C113032AFE +:104340008113832A41137161828041112AC62EC4D0 +:10435000A2460547635ED700B2479C439D07E19B99 +:1043600093868700324714C303A847009C4335A0B7 +:10437000224719CB3247184313064700B24690C272 +:104380001843BA87014811A832471843130647005B +:10439000B24690C21843BA8701483E85C2854101A2 +:1043A000828041112AC62EC4A2460547635ED7000B +:1043B000B2479C439D07E19B93868700324714C315 +:1043C00003A847009C4305A8224701CF3247184362 +:1043D00013064700B24690C21843BA877D873A88D1 +:1043E00019A83247184313064700B24690C2184333 +:1043F000BA877D873A883E85C285410182803971BE +:1044000006DE22DC26DA2AC62EC432C236C011A845 +:1044100063070424924785073EC2B247A24522851E +:104420008297924783C707003E8493075002E311A7 +:10443000F4FE924785073EC292473EC893070002AA +:10444000A30BF100FD573ECEFD573ECC02D0924764 +:10445000138717003AC283C707003E849307D4FD31 +:10446000130750056364F71E1397270097C70100D1 +:10447000938747C0BA97984397C70100938787BF30 +:10448000BA9782879307D002A30BF100C9B79307AD +:104490000003A30BF10065BF02CC6247BA878A070D +:1044A000BA978607A297938707FD3ECC924783C7AA +:1044B00007003E849307F00263D6870293079003B8 +:1044C00063C28702924785073EC2C1BF82471387F6 +:1044D00047003AC09C433ECC39A0F247E3D907F6E7 +:1044E00002CEB5B70100F247E3D307F6E2473ECE6E +:1044F000FD573ECCA9BF825785073ED089BF824772 +:10450000138747003AC09C433247A2453E85029735 +:10451000B1A28247138747003AC0844389E497C415 +:1045200001009384A4B4F2476357F0040347710178 +:104530009307D0026301F704E247BE852685EF00AA +:1045400070592A87F247998F3ECE11A8834771018F +:104550003247A2453E850297F247FD173ECEF2470D +:10456000E346F0FE09A8B247A2452285829785045A +:10457000F247FD173ECE83C704003E841DC0E247CC +:10458000E3C307FEE247FD173ECCE247E3DD07FC4D +:1045900009A8B247A245130500028297F247FD170A +:1045A0003ECEF247E347F0FE55A88A8782553E8506 +:1045B000CD3BAA872E883ED442D6A2573258C28716 +:1045C00063D90702B247A2451305D0028297A255CC +:1045D000325681470148B386B740368533B5A700C8 +:1045E0003307C840B307A7403E87B6873A883ED412 +:1045F00042D6A9473ED21DA8A9473ED215A0A14741 +:104600003ED239A885473ED0B247A24513050003E4 +:104610008297B247A245130580078297C1473ED2D1 +:104620008A8782553E8515332AD42ED61257834762 +:1046300071013E88F2472256B256A2453245DD3618 +:1046400031A8B247A2452285829709A8B247A24560 +:10465000130550028297C2473EC20100D9B3010040 +:10466000F2506254D2542161828041112AC6B707A8 +:1046700010F0938707F2324798C301A05D7106D608 +:104680002AC62EDA32DC36DEBAC0BEC2C2C4C6C604 +:104690009C0891173ECEF247BE86324681451705EB +:1046A00000001305E5AEA13B81473E85B250616134 +:1046B000828001112AC62EC41EC2A2473ECEF247F6 +:1046C0009C4332471377F70F2380E700F2479C4360 +:1046D00013871700F24798C30100056182801D719E +:1046E00006DE2AC62EC4B2C4B6C6BAC8BECAC2CC7A +:1046F000C6CE9C103ED25C084C081706000013067C +:1047000086FB7D779346F7FF0565130505803388A3 +:10471000A5003378E8001368783B23A007013295A1 +:10472000698F13677733D8C333F7D50093154701E3 +:1047300037870300130737394D8F98C73377D60073 +:10474000931647013707030013077706558FD8C71D +:104750000F100000B2473ED69C10A1173ED422573E +:104760005C083E857C00BA862246BE854939B24740 +:1047700023800700B2473E87B257B307F7403E8514 +:10478000F250256182804111370510F0130545F480 +:1047900008412AC6370510F0130505F408412AC45C +:1047A000370510F0130545F40841B248E39EA8FC14 +:1047B00032452A8381431317030081462245AA8587 +:1047C0000146B3E7B6003368C700370710F013059A +:1047D00087F48565938505FA0146B386B700B688E8 +:1047E000B3B8F8003307C800B387E8003E87B68740 +:1047F0003A881CC123220501010041018280411138 +:1048000006C651379307000873A047300100B24035 +:1048100041018280011106CE2AC617C50100130589 +:10482000E59AEFF0BFE50100F240056182800111D9 +:1048300006CE2AC617C501001305C599EFF01FE47F +:104840000100F24005618280797106D62AC602CE47 +:1048500029A00100F24785073ECE724785679387FE +:104860007738E3D8E7FE17C5010013052597EFF069 +:10487000FFE0F1BF797106D62AC602CE31A0EF0063 +:104880001005F24785073ECE7247CD47E3D9E7FED4 +:104890000100B25045618280011106CE9D221707AA +:1048A0000000130767FD814605469305200317C5E1 +:1048B000010013052593EFE05F9E2AC6EFD0CFC815 +:1048C000AA87014781463E8685453245EFE01FA312 +:1048D0000100F24005618280011106CE02C6EF00A0 +:1048E00030112A878547630CF70017C501001305AF +:1048F000E58FEFF0BFD8B24793E727003EC6B24737 +:104900003E85F24005618280011106CE02C66937FC +:104910001707000013076704814605469305400604 +:1049200017C501001305858EEFE03F972AC6B247F1 +:1049300099CBEFD06FC1AA87014781463E86854556 +:104940003245EFE0BF9B02C4EFD0AF9F81473E8569 +:10495000F24005618280797106D62AC697C70100A8 +:104960009387C7A89C431387470697C7010093877F +:10497000E7A798C397C70100938787A79C4313872E +:10498000470697C701009387A7A698C397C701005A +:104990009387C7A598439307F07C63D3E70497C731 +:1049A00001009387A7A49C431387078397C701003F +:1049B0009387C7A398C30D372ACEF24799EB97C7C1 +:1049C00001009387E7A2984385679387F77663DBB7 +:1049D000E700F2473E85EFF05FC9EFD06F9E21A060 +:1049E000010011A00100B250456182807370043053 +:1049F00001A00100828041112AC62EC473700430C8 +:104A000001A0411106C681480148975703009387CA +:104A1000A7CF014781461306004097B50100938553 +:104A2000A57F1705000013050558EFC01F8B17174A +:104A3000000013074785975703009387E7CD98C376 +:104A40000100B240410182805D7186C69307400635 +:104A50003ED8E1679387176A3ED685679387375BB1 +:104A60003ED495473ED285473ED089473ECEEFD0D3 +:104A7000AFAD2ACC1C08C2563E8681457D55EFE07D +:104A80004FB42ACAEFD04FAC2A87E247B307F740AA +:104A9000425763F8E70097C701009387A79623A0C2 +:104AA0000700D24781C77370043001A0C24781C795 +:104AB0007370043001A0975703009387E7C49C43A9 +:104AC0007800BA861146B2553E85EFE00FBF2ACA7C +:104AD000524785476305F7007370043001A0B24761 +:104AE00081C77370043001A0EFD00FA62ACC1C0838 +:104AF000C2563E8681457D55EFE0AFAC2ACAEFD065 +:104B0000AFA42A87E247B307F740425763E8E700BC +:104B100097C701009387078F23A007005247854757 +:104B20006305F7007370043001A0C2473257630574 +:104B3000F7007370043001A097570300938787BC78 +:104B40009C4313871700975703009387A7BB98C30D +:104B500097570300938747BB9C4381461146B25544 +:104B60003E85EFE08FB52ACA524785476305F700B7 +:104B70007370043001A0975703009387E7B89C43F4 +:104B800081461146A2553E85EFE02FB32ACAD2478F +:104B900081C77370043001A01C0881463E868145A0 +:104BA0007D55EFE00FA22ACA524785476305F700FB +:104BB0007370043001A0C24732576305F700737069 +:104BC000043001A097570300938707B49C438146A4 +:104BD0000D46B2553E85EFE04FAE2ACA5247854793 +:104BE0006305F7007370043001A0975703009387A3 +:104BF000A7B19C4381460D46A2553E85EFE0EFAB41 +:104C00002ACA524785476305F7007370043001A034 +:104C10001C0881463E8681457D55EFE08F9A2ACA61 +:104C2000524785476305F7007370043001A0C247FF +:104C300022576305F7007370043001A097570300F3 +:104C4000938787AC9C4381460146B2553E85EFE091 +:104C5000CFA62ACA524785476305F7007370043010 +:104C600001A01C0881463E8681457D55EFE06F9589 +:104C70002ACAC24722576305F7007370043001A0A7 +:104C800002DE3DA097570300938707A89C43814607 +:104C9000094681453E85EFE04FA22ACA5247854723 +:104CA0006305F7007370043001A0F25785073EDEFC +:104CB00072579257E368F7FC1C0881463E8681458F +:104CC0007D55EFE00F902ACA524785476305F700EC +:104CD0007370043001A0225792573E97C247630574 +:104CE000F7007370043001A01C0881463E868145A0 +:104CF0000145EFE00F8D2ACAD24781C77370043097 +:104D000001A085473EDC02DE1C0881463E868145C7 +:104D10007D55EFE00F8B975703009387E79E9C43E9 +:104D200081460546E2553E85EFE02F991C088146F5 +:104D30003E8681450145EFE0CF882ACA5247854724 +:104D40006305F7007370043001A0F25785073EDE5B +:104D5000E25786073EDC4247FD57E31EF7FA7257DB +:104D6000930700026305F7007370043001A01C086C +:104D7000C2563E86F2450255EFE0AF842ACAD247BA +:104D800081C77370043001A0975703009387C797BA +:104D90009C4381460146FD553E85EFE00F921C087D +:104DA00081463E8681450145EFE0AF812ACA5247E0 +:104DB00085476305F7007370043001A0825713C75D +:104DC000F7FFC2476305F7007370043001A09757DF +:104DD0000300938767939C438146014681453E8546 +:104DE000EFE0AF8D1C0881463E86F2450145EFD0CD +:104DF0005FFD825713C7F7FFC2476305F700737063 +:104E0000043001A01C0881463E8681450145EFD053 +:104E10005FFB2ACAD24781C77370043001A00257D2 +:104E2000F247D98F13C7F7FFC2476305F7007370C6 +:104E3000043001A0975703009387078D9C437800A7 +:104E4000BA86054681453E85EFE02F870257F24737 +:104E5000D98F13C7F7FFC2476305F700737004309B +:104E600001A01C0881463E86FD550145EFD07FF527 +:104E700097570300938747899C437800BA86054675 +:104E800081453E85EFE06F83B24781C77370043080 +:104E900001A002DA85473EDE1DA8975703009387DD +:104EA000A7869C437800BA860546F2553E85EFE01A +:104EB000CF80B24752576305F7007370043001A0EA +:104EC0005257F257D98F3EDAF25786073EDE7257B5 +:104ED0009307F007E3F3E7FC1C0881463E86814513 +:104EE0007D55EFD01FEE0145EFE02FB2AA8781C7B5 +:104EF0007370043001A0975703009387E7809C43A9 +:104F00007800BA861146B2553E85EFD01FFB0145A9 +:104F1000EFE0AFAF2A8785476305F7007370043071 +:104F200001A00145EFE06FAEAA8781C7737004301E +:104F300001A0974703009387E77C9C4313871700E2 +:104F4000974703009387077C98C3814601468145B4 +:104F50007D55EFD01FE70100B640616182800111ED +:104F600006CE2AC6974703009387077A9C4381465B +:104F7000094681453E85EFD05FF4EFD0FFCA9747E1 +:104F800003009387E7789C43138717009747030034 +:104F90009387077898C3EFD01FCC0100F2400561DA +:104FA0008280797106D62AC69307A0053ECCA94710 +:104FB0003ECA02C8513C17070000130787FA814612 +:104FC0000146E24517B501001305C525EFE0EFAC3A +:104FD0002A87974703009387E77398C35D24AA87BE +:104FE000E2453E85EF005066AA873ECE7247D24723 +:104FF0006374F700D2473ECE9747030093878771CB +:105000009C437D578146724691453E85EFE00FAF48 +:105010008D2CAA87E2453E85EF001063AA873ECE1D +:105020007247D2476374F700D2473ECEF24501453E +:10503000EFD07FCCAA8789CF974703009387076D6E +:105040009C4313871700974703009387276C98C3E7 +:10505000C2450145EFD03FCAAA8789CF97470300D1 +:105060009387C76A9C43138717009747030093876A +:10507000E76998C3F2450545EFD0FFC72A879747F0 +:1050800003009387A7689C433E9797470300938745 +:10509000E76798C3FD550545EFD0FFC52A879747B9 +:1050A00003009387A7669C433E9797470300938727 +:1050B000E76598C3974703009387C7649C431387AA +:1050C0001700974703009387E76398C301BF011157 +:1050D00006CE930720033EC69307F00F3EC49747C2 +:1050E0000300938727639C4363800710974703005F +:1050F0009387C7629C4313871700974703009387E2 +:10510000E76198C397470300938747619C43324701 +:1051100063CCE70C974703009387476023A0070001 +:10512000974703009387C75F9C430547638DE7025A +:1051300009476383E70691C361A8974703009387F4 +:10514000A75C9C4381453E85EFD0FFFD9747030058 +:105150009387075D9C431387170097470300938746 +:10516000275C98C3B5A0974703009387E7599C43F2 +:1051700001478146094681453E85EFD0DFE59747E7 +:1051800003009387E7599C43138717009747030051 +:105190009387075998C32DA8A2473EC2974703009B +:1051A000938787569C4354000147094681453E85B5 +:1051B000EFD07FE2924722476315F7007370043007 +:1051C00001A0974703009387A75523A0070001007C +:1051D000974703009387C7539C431387170097474C +:1051E00003009387E75298C30100F2400561828073 +:1051F000411195473EC6974703009387A7529843AE +:1052000097470300938707509C436319F70097B7AC +:1052100001009387271F23A0070019A897470300C1 +:105220009387474E9843974703009387A74F98C3A8 +:10523000974703009387C74D984397470300938789 +:10524000E74C9C4363F7E702974703009387474C7B +:105250009843974703009387674B9C43B307F740F6 +:1052600032476378F70097B701009387A71923A007 +:10527000070097B701009387E7189C433E854101DB +:105280008280011106CEB7575A01938757E33EC675 +:1052900085473EC497470300938707489C43B24520 +:1052A0003E85EF003033AA873E87A2473E97974757 +:1052B00003009387674698C3974703009387C745C2 +:1052C0009C4313D70701A167FD17F98F3E85F24074 +:1052D00005618280B305B500930705006386B700BA +:1052E00003C70700631607003385A74067800000E7 +:1052F000938717006FF09FFE130101FD2322910297 +:10530000232A510123261102232481022320210371 +:10531000232E3101232C4101232861012326710111 +:1053200023248101232291012320A101930A050056 +:1053300093840500639E0638130406009309050054 +:1053400017B901001309C9EE63F8C512B7070100C8 +:10535000138B05006378F6101307F00F3337C7007F +:1053600013173700B357E6003309F90083460900E5 +:105370003387E60093060002B386E640638C06009E +:10538000B394D40033D7EA003314D600336B9700BC +:10539000B399DA00935A040193850A0013050B00B0 +:1053A000EF00902A1309050093850A00931B04015E +:1053B00013050B00EF00D02493DB0B0193040500D1 +:1053C0009305050013850B00EF00D0201319090188 +:1053D00093D70901B367F900138A040063FEA7009D +:1053E000B3878700138AF4FF63E8870063F6A7009A +:1053F000138AE4FFB3878700B384A74093850A002C +:1054000013850400EF0050241309050093850A005A +:1054100013850400EF00D01E939909019304050041 +:10542000930505001319090113850B0093D9090190 +:10543000EF00501AB36939011386040063FCA90018 +:10544000B30934011386F4FF63E6890063F4A9000D +:105450001386E4FF13140A013364C400130A000026 +:105460006F000013B707000113070001E36CF6EEAD +:10547000130780016FF01FEF138A0600631A0600FE +:105480009305000013051000EF00901713040500AA +:10549000B7070100637EF4129307F00F63F48700EF +:1054A000130A8000B35744013309F9000347090088 +:1054B0009306000233074701B386E6406394061261 +:1054C000B3848440130A1000135B040193050B009E +:1054D00013850400EF0050171309050093050B0016 +:1054E00013850400931B0401EF00901193DB0B0163 +:1054F000930405009305050013850B00EF00900D44 +:105500001319090193D70901B367F900938A0400BD +:1055100063FEA700B3878700938AF4FF63E88700E0 +:1055200063F6A700938AE4FFB3878700B384A7409C +:1055300093050B0013850400EF00101113090500FB +:1055400093050B0013850400EF00900B939909015C +:1055500093040500930505001319090113850B0039 +:1055600093D90901EF001007B369390113860400CC +:1055700063FCA900B30934011386F4FF63E68900D4 +:1055800063F4A9001386E4FF13940A013364C40092 +:105590001305040093050A008320C102032481023D +:1055A00083244102032901028329C101032A8101C5 +:1055B000832A4101032B0101832BC100032C8100AD +:1055C000832C4100032D01001301010367800000BB +:1055D000B7070001130A0001E366F4EC130A800127 +:1055E0006FF05FEC3314D40033DAE400B399DA00DF +:1055F00033D7EA00935A0401B394D40093850A0088 +:1056000013050A00336B9700EF001004130905001F +:1056100093850A0013050A00931B0401EF00407EE6 +:1056200093DB0B01930405009305050013850B0024 +:10563000EF00407A1319090113570B013367E90092 +:10564000138A0400637EA70033078700138AF4FFE0 +:10565000636887006376A700138AE4FF3307870037 +:10566000B304A74093850A0013850400EF00C07DB2 +:105670001309050093850A0013850400EF004078A4 +:10568000930405009305050013850B00EF0080745B +:1056900013170B011357070113190901B367E90029 +:1056A0001387040063FEA700B38787001387F4FF06 +:1056B00063E8870063F6A7001387E4FFB3878700DA +:1056C000131A0A01B384A740336AEA006FF0DFDFE0 +:1056D00063ECD51EB707010063F4F6041307F00F5F +:1056E000B335D7009395350033D7B60097B701008F +:1056F000938707B4B387E70003C70700130A0002C4 +:105700003307B700330AEA4063160A021304100095 +:10571000E3E096E833B6CA00134416006FF05FE783 +:10572000B707000193050001E3E0F6FC9305800153 +:105730006FF09FFBB35CE600B3964601B3ECDC0070 +:1057400033D4E40093DB0C01B397440133D7EA0070 +:1057500093850B0013050400336BF700B319460162 +:10576000EF00806E1309050093850B0013050400FC +:10577000139C0C01EF00C068135C0C01930405003E +:105780009305050013050C00EF00C064131909010F +:1057900013570B013367E90013840400637EA700ED +:1057A000330797011384F4FF636897016376A700BA +:1057B0001384E4FF33079701B304A74093850B00DC +:1057C00013850400EF0040681309050093850B0062 +:1057D00013850400EF00C0629304050093050500E3 +:1057E00013050C00EF00005F93170B01131909015B +:1057F00093D70701B367F9001386040063FEA7007F +:10580000B38797011386F4FF63E8970163F6A70057 +:105810001386E4FFB387970113140401B70B01004B +:105820003364C4001389FBFF337D240133F929015C +:10583000B384A7409305090013050D00EF008059BC +:10584000935C040193050900130B050013850C00FC +:10585000EF00405893D90901130C05009385090006 +:1058600013850C00EF00005713090500938509000C +:1058700013050D00EF0000563305850193570B010A +:105880003385A700637485013309790193570501B6 +:10589000B387270163E6F402E392F4BCB707010083 +:1058A0009387F7FF3375F50013150501337BFB0074 +:1058B00033964A0133056501130A0000E37AA6CC4A +:1058C0001304F4FF6FF09FB9130A000013040000E3 +:1058D0006FF01FCC130101FB2324810423229104C8 +:1058E000232E310323229103232611042320210593 +:1058F000232C4103232A5103232861032326710308 +:10590000232481032320A103232EB101930C05003E +:10591000938905001304050093840500639E062601 +:1059200013090600138A060097BA0100938A4A9069 +:1059300063F4C514B70701006376F6129307F00FFE +:1059400063F4C700130A8000B3574601B38AFA0014 +:1059500003C70A001305000233074701330AE54075 +:10596000630C0A00B395490133D7EC0033194601A3 +:10597000B364B70033944C01935A090193850A002C +:1059800013850400EF00404C9309050093850A003D +:10599000131B090113850400EF008046135B0B0104 +:1059A0009305050013050B00EF00C0429399090110 +:1059B00093570401B3E7F90063FAA700B3872701FF +:1059C00063E6270163F4A700B3872701B384A740E8 +:1059D00093850A0013850400EF0000479309050032 +:1059E00093850A0013850400EF008041131404011D +:1059F000930505009399090113050B001354040145 +:105A0000EF00403D33E48900637AA40033042401AD +:105A1000636624016374A400330424013304A440A6 +:105A200033554401930500008320C10403248104FD +:105A300083244104032901048329C103032A810328 +:105A4000832A4103032B0103832BC102032C810210 +:105A5000832C4102032D0102832DC1011301010595 +:105A600067800000B7070001130A0001E36EF6EC3F +:105A7000130A80016FF05FED631A060093050000C2 +:105A800013051000EF00C03713090500B707010028 +:105A9000637AF90E9307F00F63F42701130A80006D +:105AA000B3574901B38AFA0003C70A00130500027D +:105AB000B384294133074701330AE540E30E0AEA7C +:105AC00033194901B3DAE900B395490133D7EC0042 +:105AD00093540901336BB70013850A0093850400C2 +:105AE000EF0080369309050093850400931B09019C +:105AF00013850A00EF00C03093DB0B01930505000E +:105B000013850B00EF00002D9399090193570B01AA +:105B1000B3E7F90033944C0163FAA700B387270178 +:105B200063E6270163F4A700B3872701B38AA74080 +:105B30009385040013850A00EF00003193090500E6 +:105B40009385040013850A00EF00802B9305050060 +:105B500013850B00EF00002893150B0193990901A1 +:105B600093D50501B3E5B90063FAA500B385250116 +:105B700063E6250163F4A500B3852501B384A54040 +:105B80006FF09FDFB7070001130A0001E36AF9F025 +:105B9000130A80016FF0DFF0E3E8D5E8B7070100F2 +:105BA00063FCF604930BF00F33B5DB0013153500DF +:105BB00033D7A60097A7010093878767B387E700CD +:105BC00083CB070093050002B38BAB00338B754189 +:105BD000631E0B0263E4360163EACC003384CC40DD +:105BE000B386D94033B58C00B384A64013050400B6 +:105BF000938504006FF05FE3B70700011305000110 +:105C0000E3E8F6FA130580016FF09FFAB396660198 +:105C1000335D7601336DDD0033D47901B3956901CD +:105C200033DC7C0193540D01336CBC00130504007C +:105C300093850400B31A6601EF000021130A0500E2 +:105C4000938504001305040033996C01931C0D0126 +:105C5000EF00001B93DC0C01130405009305050005 +:105C600013850C00EF000017131A0A0113570C01DB +:105C70003367EA00130A0400637EA7003307A70115 +:105C8000130AF4FF6368A7016376A700130AE4FF11 +:105C90003307A701B309A7409385040013850900C2 +:105CA000EF00801A93850400130405001385090092 +:105CB000EF000015930505009304050013850C0003 +:105CC000EF00401193150C011314040193D5050145 +:105CD000B365B4001387040063FEA500B385A50176 +:105CE0001387F4FF63E8A50163F6A5001387E4FFBB +:105CF000B385A501131A0A01B70C0100336AEA0043 +:105D00001384FCFFB3778A0033F48A00B384A54080 +:105D100013850700930504002326F100135A0A0196 +:105D2000EF00400B930905009305040013050A00DA +:105D3000EF00400A13DC0A01930D050093050C00E7 +:105D400013050A00EF0000098327C100130A0500AC +:105D500093050C0013850700EF00C0073305B5015C +:105D600013D709013307A7006374B701330A9A01F7 +:105D7000B70701009387F7FF935507013377F700C3 +:105D800013170701B3F7F900B3854501B307F7000F +:105D900063E6B400639EB400637CF9003386574128 +:105DA000B3B7C700B385A541B385F5409307060097 +:105DB000B307F9403339F900B385B440B3852541C1 +:105DC00033947501B3D767013365F400B3D565012A +:105DD0006FF09FC5130605001305000093F615002C +:105DE000638406003305C50093D51500131616000D +:105DF000E39605FE678000006340050663C605065E +:105E000013860500930505001305F0FF630C0602D9 +:105E100093061000637AB6006358C000131616008C +:105E200093961600E36AB6FE1305000063E6C5000C +:105E3000B385C5403365D50093D6160013561600BA +:105E4000E39606FE6780000093820000EFF05FFBA0 +:105E500013850500678002003305A04063D8050064 +:105E6000B305B0406FF0DFF9B305B0409382000096 +:105E7000EFF01FF93305A040678002009382000015 +:105E800063CA0500634C0500EFF09FF7138505001A +:105E900067800200B305B040E35805FE3305A0401B +:0C5EA000EFF01FF63305B04067800200F1 +:02000004800278 +:1000000049444C4500000000482FFEFF102FFEFF22 +:100010001E2FFEFF2C2FFEFF342FFEFF5830FEFF59 +:100020002030FEFF2E30FEFF3C30FEFF4430FEFF4E +:10003000546D722053766300DC35FEFFDC35FEFF25 +:10004000DC35FEFF5A36FEFF2A36FEFF5036FEFF35 +:10005000DC35FEFFDC35FEFF5A36FEFF2A36FEFF9A +:10006000546D725100000000286E756C6C29000000 +:10007000DE43FEFFDC45FEFFD245FEFFDC45FEFF12 +:10008000DC45FEFFDC45FEFFDC45FEFF5C44FEFF79 +:10009000DC45FEFFDC45FEFF1444FEFF6A44FEFF24 +:1000A000DC45FEFF1E44FEFF2844FEFF2844FEFF01 +:1000B0002844FEFF2844FEFF2844FEFF2844FEFF9C +:1000C0002844FEFF2844FEFF2844FEFFDC45FEFFD7 +:1000D000DC45FEFFDC45FEFFDC45FEFFDC45FEFFA8 +:1000E000DC45FEFFDC45FEFFDC45FEFFDC45FEFF98 +:1000F000DC45FEFFDC45FEFFDC45FEFFDC45FEFF88 +:10010000DC45FEFFDC45FEFFDC45FEFFDC45FEFF77 +:10011000DC45FEFFDC45FEFFDC45FEFFDC45FEFF67 +:10012000DC45FEFFDC45FEFFDC45FEFFDC45FEFF57 +:10013000DC45FEFFDC45FEFFDC45FEFFDC45FEFF47 +:10014000DC45FEFFDC45FEFFDC45FEFFDC45FEFF37 +:10015000DC45FEFFDC45FEFFDC45FEFFDC45FEFF27 +:10016000DC45FEFFDC45FEFFDC45FEFFDC45FEFF17 +:100170008E44FEFF3A45FEFFDC45FEFFDC45FEFFF8 +:10018000DC45FEFFDC45FEFFDC45FEFFDC45FEFFF7 +:10019000DC45FEFF8644FEFFDC45FEFFDC45FEFF3E +:1001A0008E45FEFF9445FEFFDC45FEFFDC45FEFF6D +:1001B000A244FEFFDC45FEFF8845FEFFDC45FEFF56 +:1001C000DC45FEFFAC45FEFF6D69616F750A0000FE +:1001D0006D69616F75320A0068756E6772790A0021 +:1001E00054696D65723200004572726F7220696EDB +:1001F000205461736B4E6F74696679207461736B00 +:1002000073200D0A00000000436865636B54696D3C +:10021000657200004E6F74696669656400000000D5 +:100220004E6F746966696572000000000001020289 +:10023000030303030404040404040404050505057E +:10024000050505050505050505050505060606065A +:10025000060606060606060606060606060606063E +:10026000060606060606060606060606070707072A +:10027000070707070707070707070707070707070E +:1002800007070707070707070707070707070707FE +:1002900007070707070707070707070707070707EE +:1002A00007070707070707070707070708080808DA +:1002B00008080808080808080808080808080808BE +:1002C00008080808080808080808080808080808AE +:1002D000080808080808080808080808080808089E +:1002E000080808080808080808080808080808088E +:1002F000080808080808080808080808080808087E +:10030000080808080808080808080808080808086D +:10031000080808080808080808080808080808085D +:0C03200008080808080808080808080871 +:10032C001000000000000000017A5200017C010165 +:10033C001B0D02004C00000018000000B04FFEFF27 +:10034C00DC05000000440E3070890395078101889C +:10035C000292049305940696089709980A990B9AA9 +:10036C000C0370020AC144C844C944D244D344D4D7 +:10037C0044D544D644D744D844D944DA440E004436 +:10038C000B00000050000000680000003C55FEFF10 +:10039C000005000000440E507488028903930599EF +:1003AC000B810192049406950796089709980A9A6E +:1003BC000C9B0D0320010AC144C844C944D244D348 +:1003CC0044D444D544D644D744D844D944DA44DB45 +:0803DC00440E00440B00000078 +:1003F000AAAAAAAA08000000FC8F010009000000B8 +:0404000001000000F7 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/TaskNotify_rv32ic_O3.hex b/VexRiscv/src/test/resources/freertos/TaskNotify_rv32ic_O3.hex new file mode 100644 index 0000000..ea86576 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/TaskNotify_rv32ic_O3.hex @@ -0,0 +1,1761 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000A1D3170502001305E55697950300938534 +:1000A000257E0146112217050200130525499705F3 +:1000B0000200938525510146C52817A503001305A5 +:1000C000657C97B503009385A57B37B6ABAB13066C +:1000D000B6BAD92817B103001301C17AEF60E074F2 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2C23323A02200F3221034CB +:1002400096DEEF00002B170102000321613202410C +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E23523A0120023A29D +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40702717010200032118 +:1003300021240241F65273901234B72200009382B6 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A22783A0A4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2C21123A0220086DEEF20B1 +:10046000602E170102000321A1100241F652739081 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF409007EF10900A01E597 +:10050000B24041018280B24041016F20A023930795 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30B0207E +:1005B0008280411122C406C62A8426C2EF2030124E +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF2040586DD5EF2020783C44FD173C +:1005F0003CC43C44E344F0FEFD573CC4EF20701083 +:10060000EF20F00D7C40635BF0021C4885CB930427 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2000546DD5EF20E0735C +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20B00B29CD0111E8 +:1006500022CC2A8406CE26CA4AC84EC62E89EF204E +:10066000100824404C5C832904002685EF50907DBF +:100670007D57232C0402B3079540CE974E9578C042 +:1006800048C0232434015CC438C4631109021C48E7 +:100690008DE7EF201007F2406244D2444249B2494C +:1006A0000545056182807370043001A013050401C3 +:1006B000B93D13054402A13DE9BF13050401EF2034 +:1006C000804A8547E317F5FC9133E1B701E5737084 +:1006D000043001A0011126CA4AC84EC606CE22CC5B +:1006E000AA842E89B289A5C9EF50D0751305550586 +:1006F000EF3020742A8435C5930745051CC144DCBE +:1007000023202405EF20A07D24404C5C0329040015 +:100710002685EF503073FD56232C0402B307954015 +:100720003307A900CA9774C058C05CC434C4130509 +:10073000040123242401E13B13054402C93BEF20BB +:10074000407C230834052285F2406244D244424969 +:10075000B2490561828013054005EF30806D2A841F +:1007600001E57370043001A000C051BF01E5737052 +:10077000043001A06375B5007370043001A041110D +:1007800022C409462E84814506C6893711C500DD7D +:10079000B2402244410182807370043001A05D7137 +:1007A00086C6A2C4A6C2CAC04EDE52DC56DA5AD8E9 +:1007B0005ED632C6630A051C6382051C89476399AD +:1007C000F600585D85476305F7007370043001A09B +:1007D0003689AE842A84EF20C05B11E5B24781C719 +:1007E0007370043001A0EF20806F185C5C5C014BDB +:1007F000894BFD59130A0401930A44026365F70CFF +:1008000063077919B2476381072463150B00280831 +:10081000EF208048EF20E06EEF10604FEF20206C5B +:100820007C4063943701232204043C4463943701E1 +:1008300023240404EF20E06C6C002808EF20E0473C +:10084000631E051EEF20A069185C5C5C630CF70A50 +:10085000EF20206BEF20A0683C44634FF00039A8E4 +:100860005685EF20403019C1EF2020503C44FD1741 +:100870003CC43C446354F0005C50FDF32324340535 +:10088000EF202068EF20A0657C40634FF00039A87E +:100890005285EF20402D19C1EF20204D7C40FD17DF +:1008A0007CC07C406354F0001C48FDF323223405D7 +:1008B000EF202065EF10A06A054BEF204062185C26 +:1008C0005C5CE37FF7F230406305060C631F09129E +:1008D0000844A685EF30206E1C4434405840B6973B +:1008E0001CC463EAE70A1C5C14405850850714C412 +:1008F0001CDC5DC713054402EF20E0268547631129 +:10090000F50AC9A8EF20E05FB2455285EF206007E5 +:10091000EF20E05C3C44634FF00039A85685EF209F +:10092000802419C1EF2060443C44FD173CC43C4482 +:100930006354F0005C50FDF323243405EF20605C29 +:10094000EF20E0597C40634FF00039A85285EF203A +:10095000802119C1EF2060417C40FD177CC07C40A4 +:100960006354F0001C48FDF323223405EF20605946 +:10097000EF10E05E31F1593C054B81B73C41E38F0C +:1009800007E27370043001A07370043001A030409E +:1009900021E61C4085C71C5C585085071CDC39FBD0 +:1009A000EF2020560545B640264496440649F259A4 +:1009B000625AD25A425BB25B616182804840EF204A +:1009C00060491C5C58502322040085071CDCE3139B +:1009D00007F279D5253CE9B74844A685EF30A05DFC +:1009E0003C4058441440B307F0403E9758C4637CE1 +:1009F000D7025840BA975CC409475C506306E902C5 +:100A0000185C050718DCC9DFF5B54844A685EF304A +:100A1000805A3C4058441440B307F0403E9758C4B5 +:100A2000E37CD7FCF9B75C50185C79DB185C7D1768 +:100A300018DC185C050718DCA5D76DBD2285953E2E +:100A4000EF10E051014585B7EF20A04B0145A1BF54 +:100A5000411126C2AA841305400522C406C6EF3000 +:100A6000403D2A8415CD232C0502FD577CC1054746 +:100A7000410558DC3CC42322040023200400232425 +:100A80000400232604002320040423089404413492 +:100A900013054402AD3C8146014681452285013360 +:100AA0002285B24022449244410182800DC5411109 +:100AB00022C426C206C64AC0032945002A84EF2064 +:100AC000802C8144630CA900B2402244268502494F +:100AD0009244410182807370043001A05C448544DB +:100AE000FD175CC4F5F381460146814522854539F1 +:100AF000E1BF31CDA1C9011122CC26CA4AC852C4D6 +:100B000006CE4EC68947B68432892E8A2A846399D6 +:100B1000F600585D8547630FF7047370043001A039 +:100B20006D32185C5C5CAA896366F70281444E856D +:100B3000513AF240624426854249D244B249224A9F +:100B4000056182803C41C5DB7370043001A0737085 +:100B5000043001A0304041E21C40D5CB1C5C7D57E5 +:100B600085071CDC3C446387E7083C4485448507D3 +:100B70003CC475BF993A185C5C5CAA89636DF70246 +:100B8000304079DA4844D285EF30E0423C40584466 +:100B90001440B307F0403E9758C46375D7005840DF +:100BA000BA975CC48947E39BF4FA1C5CC5DB1C5C08 +:100BB000FD171CDC65B7304045D24844D285EF3084 +:100BC000803F3C4058441440B307F0403E9758C41F +:100BD000E367D7FCD9BFDDF40844D285EF30A03DF0 +:100BE0001C4434405840B6971CC4E3E9E7F61C4067 +:100BF0001CC4ADB75C5085449DDB13054402EF1067 +:100C0000907615D5E30509F2232099000DB74840E9 +:100C1000EF2040242322040091B721CD3C4181C71D +:100C20007370043001A01C41A1CB011122CC26CA53 +:100C30002A844AC84EC606CE2E894138185C5C5CB0 +:100C4000AA898144637DF7001C5C7D5785071CDC05 +:100C50003C446388E7023C44854485073CC44E8598 +:100C60009530F240624426854249D244B24905613A +:100C700082807370043001A05C41C5DB7370043066 +:100C800001A05C5099E38544D9BF13054402EF10DD +:100C9000906D75D9E30909FE85472320F900E5B772 +:100CA000397106DE22DC26DA4AD84ED652D456D224 +:100CB00032C66302051C638A051AB68AAE892A8485 +:100CC000EF20200D11E5B24781C77370043001A0F9 +:100CD000EF20E0201C5C63920714B247638E070A82 +:100CE0002808EF10707BFD5413094402130A040115 +:100CF000EF202021EF10A001EF20601E7C406394C4 +:100D00009700232204043C446394970023240404A2 +:100D1000EF20201F6C002808EF10307A63130518AD +:100D2000EF20E01B1C5CADCFEF20A01DEF20201BAF +:100D30003C44634FF00039A84A85EF10D06219C1D6 +:100D4000EF20A0023C44FD173CC43C446354F00037 +:100D50005C50FDF324C4EF20C01AEF2040187C4003 +:100D6000634FF00039A85285EF10F05F19C1EF1002 +:100D7000D07F7C40FD177CC07C406354F0001C4851 +:100D8000FDF364C0EF20E017EF10601DEF20201589 +:100D90001C5CC1E7B247A9FFEF20A016014555A092 +:100DA000EF2020161C40DDC7B2454A85EF10703D8C +:100DB000EF20E0123C44634FF00039A84A85EF1061 +:100DC000905A19C1EF10707A3C44FD173CC43C4462 +:100DD0006354F0005C50FDF324C4EF208012EF2038 +:100DE00000107C40634FF00039A85285EF10B057D7 +:100DF00019C1EF1090777C40FD177CC07C40635494 +:100E0000F0001C48FDF364C0EF20A00FEF10201588 +:100E100031C9EF20C00C1C5CB5DFCE85228544446F +:100E2000EFF04FF7639C0A041C5C1840FD171CDCB4 +:100E300001E7EF20801148C01C48A1EFEF20600CB3 +:100E40000545F2506254D2544259B259225A925A2C +:100E500021618280EF20A0084840EF109075EF20BC +:100E6000400A99B7EFF08FDA6DB73C41E38707E4AA +:100E70007370043001A07370043001A05C5044C44E +:100E8000D5DF13054402EF10104E4DD9EFF00FD807 +:100E900075B713050401EF10104D8547E310F5FAFF +:100EA000F5B72285EFF0EFF0EF10600B014551BF71 +:100EB0001DC9411122C44AC006C626C244412E891A +:100EC0002A84EF10506C6383A40281464A868145D0 +:100ED0002285F93385476300F502B24022449244EB +:100EE0000249410182807370043001A05C440545D1 +:100EF00085075CC4DDB75C4485075CC4F9BF15CDCC +:100F0000ADC9011122CC26CA4AC84EC652C42A8491 +:100F100006CE3289AE89EFF04FDB1C5C2A8A814411 +:100F200085E35285EFF00FDAF240624426854249AC +:100F3000D244B249224A056182807370043001A014 +:100F4000304001CE4C445C40B2954CC463E4F500A3 +:100F50000C404CC44E85EF3000061C5C7D57FD17DD +:100F60001CDC7C40638CE7007C40854485077CC0AA +:100F70004DBF3C41D9D77370043001A01C4899E3A0 +:100F8000854445B713050401EF10F03D75D9E30919 +:100F900009FE85472320F900E5B721C93C41A1CDD1 +:100FA000A1CB011122CC26CA4AC852C42A8406CE3B +:100FB0004EC62E8AEFF06FD11C5C2A89814491CBFA +:100FC0008329C400D2852285EFF0CFDC8544232617 +:100FD00034014A85EFF00FCFF24062442685424942 +:100FE000D244B249224A056182807370043001A064 +:100FF0007370043001A0EDDF7370043001A001E5CF +:101000007370043001A0411122C42A8406C6EF1077 +:10101000106D005CEF10F06EB24022852244410159 +:10102000828001E57370043001A0411106C622C41C +:101030002A84EF10D06A1C5C405C1D8CEF10706C31 +:101040002285B24022444101828019C1085D82801C +:101050007370043001A01DCD978703009387877EAE +:10106000D843630CE502D847630CE504D84B63070B +:10107000E504D84F6302E504D853630DE502D85761 +:101080006308E502D85B6303E502D85F630EE50001 +:101090006F20506C7370043001A001470E07BA979F +:1010A00023A007006F20106B1D47CDBF1947FDB768 +:1010B0001547EDB71147DDB70D47CDB70947F9BF64 +:1010C0000547E9BF684582806CC5828003450505F8 +:1010D000828009C5085D1335150082807370043065 +:1010E00001A019C51C5D485D1D8D1335150082805A +:1010F0007370043001A0978703009387A774984307 +:1011000005C3984705C7984B05C7984F05C798531F +:1011100005C7985705C7985B15C7985F15C38280A8 +:1011200001470E07BA978CC3C8C382800547D5BF55 +:101130000947C5BF0D47F5B71147E5B71547D5B7FF +:101140001D47C5B71947F1BF978703009387876F7E +:10115000D8436308E502D847630BE502D84B630A1E +:10116000E502D84F6309E502D8536308E502D85772 +:101170006307E502D85B6308E502D85F6303A70253 +:10118000828001470E07BA9723A007008280054797 +:10119000D5BF0947C5BF0D47F5B71147E5B7154797 +:1011A000D5B71D47C5B71947F1BF411122C426C2A3 +:1011B0004AC02A8406C6AE843289EF105052784065 +:1011C000FD576314F700232204043844FD576314C9 +:1011D000F70023240404EF10D0521C5C99E74A86E0 +:1011E000A68513054402EF10D00CEF10504F3C447D +:1011F000635BF0025C5085CB9304440209A83C4435 +:10120000FD173CC43C446350F0025C5089CF2685F6 +:10121000EF1070156DD5EF1050353C44FD173CC4F0 +:101220003C44E344F0FEFD573CC4EF10904DEF10FA +:10123000104B7C40635BF0021C4885CB9304040197 +:1012400009A87C40FD177CC07C406350F0021C481C +:1012500089CF2685EF1030116DD5EF1010317C400D +:10126000FD177CC07C40E344F0FEFD577CC0224467 +:10127000B2409244024941016F10B0481DC17971DA +:101280004ECE52CC06D63E8A22D426D24AD056CA58 +:101290005AC85EC6A547BA8963F8E7007370043080 +:1012A00001A07370043001A02A8BAE843289B68B02 +:1012B000C28A6307082213050006EF2090372A84AC +:1012C000630B05200A09232855034A869305500A13 +:1012D0005685EF20505C03C704001C587119230A7F +:1012E000E40203C704003E991379C9FF79C783C795 +:1012F0001400A30AF40283C71400E1C383C72400C7 +:10130000230BF40283C72400CDCB83C73400A30B87 +:10131000F40283C73400D5C383C74400230CF4020E +:1013200083C74400D9CB83C75400A30CF40283C7FE +:101330005400C1C783C76400230DF40283C764004F +:10134000ADCF83C77400A30DF40283C77400B5C783 +:1013500083C78400230EF40283C78400B9CF83C7F8 +:101360009400A30EF40283C79400A1CB83C7A4000A +:10137000230FF40283C7A400A9C383C7B400A30F3B +:10138000F40283C7B40095CB83C7C4002300F404E0 +:1013900083C7C4009DC383C7D400A300F40483C7DC +:1013A000D40081CF83C7E4002301F40483C7E400A1 +:1013B00089C783C7F400A301F404930A4400568547 +:1013C000A30104042326340323283405232A040418 +:1013D000EFF02F9513058401EFF0AF94A947B38781 +:1013E0003741232C040400C81CCC40D02322040421 +:1013F000232E04045E86DA854A85EFF0AF8D08C09F +:1014000063040A0023208A007370043017F9010076 +:10141000130949148327090097F4010093844415A4 +:1014200091C798409C407C4385077CC317F7010017 +:10143000130707131C43850797F6010023A2F61232 +:101440009C40C5CB8327090017FB0100130B4B23DE +:1014500089EB9C40DC5763E6F90097F7010023A96C +:10146000871097F701009387E70D9C4317F701005A +:101470001307870E48541843850797F6010023A3E6 +:10148000F60C3CC46376A70097F7010023A6A70CCF +:10149000D145EF50201BD6855A95EFF0EF88832772 +:1014A000090081C79C40FC43B5E38327090005443C +:1014B00099C79C40DC5763F43701EFE03FF5228584 +:1014C000B250225492540259F249624AD24A424BD3 +:1014D000B24B456182805685EF20D0277D54C5B739 +:1014E00013152600EF20F014AA8AE31605DC7D54BC +:1014F000F9B797F7010023AD8706184385476301C5 +:10150000F70217FB0100130BAB17A1BF98409440E3 +:101510007C43FD177CC3FC42C9FB7360043071B788 +:10152000014A17FB0100130BAB15A94B5285D1459E +:10153000EF504011050A5A95EFE07FFDE3187AFF5E +:1015400097FB0100938B4B205E85EFE05FFC17FA61 +:101550000100130AAA205285EFE07FFB17F5010076 +:1015600013050521EFE0BFFA17F5010013058521EA +:10157000EFE0FFF917F5010013050522EFE03FF951 +:1015800097F7010023A477FF97F7010023AE47FDEB +:10159000C9BD411122C406C626C24AC02A8473703E +:1015A000043097F401009384E4FA9C40F9E311E4D9 +:1015B00097F701009387C7FB8043130944004A85CE +:1015C000EFE01FFB1C5489C713058401EFE05FFAAD +:1015D000CA8517F501001305E51AEFE0FFF497F748 +:1015E0000100938727F8984397F70100938787F5C1 +:1015F0009C43050797F6010023A6E6F69840850769 +:1016000097F6010023A0F6F401CB97F7010093872A +:1016100027F69843784349EF9C40B1C797F70100FC +:10162000938707F59843630F8708737004309840D9 +:1016300039EB17F70100130767F314439442A5E24F +:101640007D5797F6010023ADE6EE984009CF984309 +:10165000784311CB984394437C43FD177CC3FC42F1 +:1016600099E373600430B24022449244024941013C +:10167000828097F701009387A7EF98439C437C43B0 +:1016800085077CC32DB794439843F8420507F8C2F9 +:1016900017F70100130787ED14439442D5D218437E +:1016A00058475847584397F6010023ABE6E871BF07 +:1016B000984394437C43FD177CC3FC42B1FF7360A5 +:1016C000043099BF97F70100938747E79C4381C790 +:1016D0007370043001A02244B24092440249410197 +:1016E0006FE0DFD241C517F70100130767E8144325 +:1016F0008147638BA606411106C622C426C27370B9 +:10170000043097F601009386E6E49C4291C71043AB +:101710001C437C4285077CC29C42AA84404981C705 +:101720001C43FC43B9E797F70100938727E49C43E8 +:10173000638B870497F70100938707E39C436384D7 +:10174000870497F70100938727056309F40297F749 +:101750000100938727036301F4028547B2402244C6 +:1017600092443E85410182803E85828073700430C0 +:1017700001A0EF50E00045BF9147CDB79C5493B70F +:1017800017008907E1BF8947D1BF7370043097F70D +:101790000100938727DC984309EF15C59C43485502 +:1017A00081CB97F701009387A7DC9843784315E333 +:1017B000828017F701001307A7DB14431843F84290 +:1017C0000507F8C261FD17F70100130767DA084340 +:1017D000F1B7984394437C43FD177CC3FC42E9FB7B +:1017E000736004308280411122C42A8406C6EFE06F +:1017F000DFCD11E497F70100938787D780434054EA +:10180000EFE05FCCB2402285224441018280A547AF +:1018100063F5B7007370043001A0011122CC06CE2D +:1018200026CA4AC84EC652C42A847370043017F9B7 +:101830000100130929D283270900CDEF71C43C4868 +:101840006388F50817F70100130787D263FCB70018 +:101850001443638C860C18434457B3B4950093C467 +:10186000140029A00443818C93B41400585463835A +:10187000E70A1C4C2CC863C60700A947B385B740CC +:101880000CCC93172700BA97584897F90100938911 +:1018900029DF8A07CE97631AF702130A440052859C +:1018A000EFE01FCD97F70100938707CB48549C4387 +:1018B00063F6A70097F7010023A0A7CAD145EF4020 +:1018C0007058D2854E95EFE03FC699C0EFE01FB447 +:1018D0008327090081CB97F70100938767C99C4351 +:1018E000FC439DEBF2406244D2444249B249224A51 +:1018F0000561828097F70100938787C798439C43CF +:101900007C4385077CC305FC97F70100938747C696 +:10191000804335B74CD4B1BF6244F240D24442490F +:10192000B249224A05616F40B065814481B725C143 +:10193000011126CA97F40100938484C39C4006CE0B +:1019400022CC4AC84EC6638DA7027370043017F9C3 +:101950000100130929C08327090091C798409C40C2 +:101960007C4385077CC3584997F701009387C7E2FA +:101970006302F7028327090081C79C40FC43A5EB63 +:10198000F2406244D2444249B24905618280737098 +:10199000043001A01C5517F70100130767DDE38B26 +:1019A000E7FCE9FB930945002A844E85EFE05FBC24 +:1019B00097F70100938747BA48549C4363F6A70002 +:1019C00097F7010023AAA7B8D145EF40B04797F792 +:1019D00001009387E7CA3E95CE85EFE0FFB49C40B7 +:1019E0005854DC57E368F7F8EFE05FA261B7624450 +:1019F000F240D2444249B24905616F40705815CD5A +:101A0000011122CC26CA4EC62A8406CE4AC8EFE06F +:101A1000DFAB584897F70100938707D8AA8981441C +:101A20006300F7024E85EFE0FFA9F240624426858D +:101A30004249D244B249056182807370043001A0EA +:101A40001C5417F501001305A5D2E38DA7FCF9FB83 +:101A500097F70100938787AE9C43A9EB97F70100A6 +:101A6000938707B19C43445413094400DC574A85CB +:101A7000B3B4F400EFE0DFAF97F701009387C7AD91 +:101A800048549C4393C4140063F6A70097F70100E1 +:101A900023A4A7ACD145EF40F03A97F70100938714 +:101AA00027BECA853E95EFE03FA8ADBF93058401F0 +:101AB000EFE09FA785BF0111056506CE22CC26CA9F +:101AC0004AC84EC652C456C25AC0EF208036630E72 +:101AD000051AAA8413050006EF20A0352A84630A9C +:101AE000051C05669305500A04D92685EF20A05AE7 +:101AF00004588567F117BE94B7474C4593879744C0 +:101B0000130A44005CD85285230C0402A301040488 +:101B10002326040223280404232A0404EFE07FA0E0 +:101B200013058401EFE0FF9FA947232C0404F198DB +:101B300000C81CCC40D023220404232E04040146F8 +:101B4000971500009385E5B12685EFE0BF9808C0A2 +:101B50007370043017F901001309C99F8327090026 +:101B600097F401009384C4A091C798409C407C43A3 +:101B700085077CC317F701001307879E1C43850761 +:101B800097F6010023AEF69C9C40C9C38327090049 +:101B900097F901009389C9AE81EB9C40DC5789E736 +:101BA00097F7010023A6879C97F7010093878799F1 +:101BB000984397F601009386269A5C549442050751 +:101BC00017F601002320E69838C463F6F60017F7ED +:101BD00001002323F798139527003E950A05D28527 +:101BE0004E95EFE07F948327090081C79C40FC431A +:101BF000DDE78327090091C39C40EF10F04985473A +:101C00006305F50C59E17370043001A097F70100EA +:101C100023A087961843854797F90100938949A621 +:101C2000E314F7F8CE8A17FB0100130BEBB15685CE +:101C3000D10AEFE0DF8DE31C5BFF17F50100130510 +:101C4000A5B0EFE0DF8C97FA0100938A2AB15685A0 +:101C5000EFE0FF8B17F50100130585B1EFE03F8B37 +:101C600017F50100130505B2EFE07F8A17F50100B3 +:101C7000130585B2EFE0BF8997F7010023A8678FAE +:101C800097F7010023A2578F05B7F2406244D24470 +:101C90004249B249224A924A024B056182809840E9 +:101CA00094407C43FD177CC3FC42A1F77360043071 +:101CB00089B76244F2404249B249224A924A024BF1 +:101CC0002685D24405616F20E02873700430FD57EB +:101CD00017F701002326F786624497F7010023AB2C +:101CE000A786F240D2444249B249224A924A024B64 +:101CF00097F7010023A4078605616FE08FDA737000 +:101D0000043097F7010023A707846FE0CFE717F7A8 +:101D100001001307A7821C4385071CC382807370D0 +:101D2000043097F701009387E782984305E317F79C +:101D300001001307A78208439C4381CB97F701005A +:101D4000938707839843784301EF828017F7010058 +:101D50001307078214431843F8420507F8C2C1BFAE +:101D6000984394437C43FD177CC3FC42F9FF7360A6 +:101D700004308280411106C622C4EFE00FF597E7D8 +:101D800001009387A77D8043EFE0CFF3B240228527 +:101D900022444101828097E701009387677C884352 +:101DA0008280797106D622D426D24AD04ECE52CC29 +:101DB00056CA5AC85EC697E70100938727789C43A6 +:101DC000B1EF17E70100130767791C43850797E612 +:101DD000010023A5F678832A0700638F0A0697E798 +:101DE00001009387E7759C43814463F1FA0897F9F2 +:101DF00001009389E98817EA0100130A6A778327AB +:101E00000A008546D85793172700BA978A07BE99C4 +:101E100083A7090063FFF600854421A897E7010026 +:101E2000938707739C438144850717E701002321AB +:101E3000F77297E70100938767719C4391C38544CC +:101E4000B2502254268502599254F249624AD24A2B +:101E5000424BB24B4561828017E4010013040471C8 +:101E60001C409C43DDC37370043001A017E40100E3 +:101E70001304C46F97F901009389898017EA010060 +:101E8000130A0A6F17EB0100130B0B6D1C409C43E8 +:101E9000B5C31C40DC4703A9C70083274900930B47 +:101EA00049005E8563EEFA04EFE08FEC8327890238 +:101EB0001305890199C3EFE0AFEB8327C90203271C +:101EC0000B00DE85139527003E950A054E95637637 +:101ED000F70017E701002321F768EFE0EFE483271D +:101EE0000A000327C902DC57E362F7FA1C40854465 +:101EF0009C43C5F3FD5717E701002323F76401B79F +:101F000017E70100232EF762DDBD17E70100130775 +:101F1000A7651C40184397E6010023A9E66417E76C +:101F200001002323F76497E701009387E7619C434F +:101F3000850717E701002329F7601C409C4399E7B8 +:101F4000FD5717E70100232DF75E51BD1C40DC470C +:101F5000DC47DC4317E701002324F75E49B597E728 +:101F600001009387A75D984301E77370043001A0D7 +:101F7000011106CE22CC26CA4AC84EC652C456C249 +:101F80005AC07370043097E401009384A45C9840B5 +:101F900061EB98437D1797E6010023A1E65A9C4325 +:101FA000D9EB97E701009387A75B9C43C9C797EAE2 +:101FB0000100938AEA6C17EA0100130AEA5917E94B +:101FC00001001309E95A854919A83385EA00EFE0B1 +:101FD000AFD5832709005854DC576379F70483A7EA +:101FE0000A0FC1CF83A7CA0FC04713058401EFE0D2 +:101FF0002FD8130B44005A85EFE08FD75C5483260B +:102000000A00DA85139527003E950A052A8756951A +:10201000E3FDF6FA17E701002320F754EFE0CFD0F5 +:10202000832709005854DC57E36BF7FA97E7010060 +:1020300023AE37516DB701449C4081CB97E7010037 +:10204000938707539C43FC4395E72285F240624403 +:10205000D2444249B249224A924A024B05618280E7 +:1020600017E701001307C75014431843F842050748 +:10207000F8C205B7EF40C070C9BF17E401001304F0 +:10208000244D1C4095C31C40054999CF193B09C5F7 +:1020900097E7010023AC274B1C40FD1717E7010011 +:1020A0002328F74A1C40FDF397E701009387074A6E +:1020B00098438547E311F7F8EFE04FB50544ADBF0E +:1020C00011CD81E57370043001A017E701001307FB +:1020D000E7461C4399C77370043001A07370043045 +:1020E00001A0411122C406C614431841850617E613 +:1020F00001002325D64497E60100938626469442A4 +:102100003304B70000C163F7E602637FE40063FDB8 +:10211000860097E701009387A74588431105EFE004 +:102120002FC52285EF40805F1D3D01C9B24022448A +:1021300041018280E36FE4FCD9BF2244B2404101F7 +:102140006FE0CFAC11C917E701001307273F1C430D +:1021500091C77370043001A06FE04FAB411106C608 +:1021600022C41843AA87050797E6010023A8E63C86 +:1021700097E601009386C63F17E701001307073E65 +:10218000184388423304F7001105EFE06FBE228543 +:10219000EF40C058E93311E52244B24041016FE0FD +:1021A000EFA6B240224441018280411122C406C6FA +:1021B00097E70100938787389C430144850717E719 +:1021C0000100232DF73697E70100938767399C4379 +:1021D00063E0F51217EE0100130E4E5697E2010070 +:1021E0009382424901440147854F1303500A21A0BD +:1021F000311E638DC20B83278EFFBA86F5DB8327E2 +:10220000CEFFDC43232EFEFE638AC70F03AFC70059 +:10221000DC432A97814E232EFEFE6385C70783A8E1 +:10222000C70093874803232017015CC383A7880452 +:102230002326F7011CC783A7C8021CCB83A7080568 +:10224000232C07005CCB03A8080383470800639096 +:102250006704C287850783C60700B3850741E38B00 +:1022600066FE8981C205C181231EB700850E130752 +:10227000070263061F038327CEFFDC43232EFEFEE7 +:10228000E39FC7F983274E00232EFEFE49BF8145F9 +:10229000231EB700850E13070702E31E1FFD769469 +:1022A00013175400311EBA86E397C2F597E7010071 +:1022B0009387C72B03AE070083270E00639D070E8D +:1022C00097E701009387472A03AE070083270E0094 +:1022D0006392071817EE0100130E8E3A83274E10F3 +:1022E0009DE383278E116395072019C223200600E2 +:1022F000BD312285B24022444101828083274E00B5 +:10230000232EFEFE21B783278E1017EF0100130F37 +:10231000EF47DC4317E701002328F7466380E733E4 +:1023200083A2C700DC43AA96814E17E70100232D44 +:10233000F744914F1303500A6388E70783A8C70047 +:102340009387480323A01601DCC283A7880423A631 +:10235000F6019CC683A7C8029CCA83A7080523ACC4 +:102360000600DCCA03A80803834708006391672AB4 +:10237000C287850703C70700B3850741E30B67FEE4 +:1023800093D72500C207C183239EF600850E93864E +:1023900006026386122983278E10DC4317E70100AB +:1023A0002324F73EE39CE7F983270E1117E701008A +:1023B000232CF73C61B783274E00130F8E00D443C4 +:1023C0002322DE006388E629B307E500D84283A212 +:1023D000C600814E2322EE00894F1303500A630684 +:1023E000EF068328C7001387480323A01701D8C32B +:1023F00003A7880423A6F70198C703A7C80298CBB0 +:1024000003A7080523AC0700D8CB03A8080303479C +:1024100008006318671C4287050783460700B30559 +:102420000741E38B66FE13D7250042074183239EB5 +:10243000E700850E93870702638D121B83264E00EB +:10244000D8422322EE00E31EEFF80327CE0023221A +:10245000EE0041BF83274E00130F8E00DC43232282 +:10246000FE006384E71F83A2C700DC43AA96814E67 +:102470002322FE00894F1303500A6306FF0683A838 +:10248000C7009387480323A01601DCC283A78804F2 +:1024900023A6F6019CC683A7C8029CCA83A7080589 +:1024A00023AC0600DCCA03A8080383470800639630 +:1024B0006710C287850703C70700B3850741E30B91 +:1024C00067FE93D72500C207C183239EF600850EC1 +:1024D00093860602638B120F83274E00DC43232270 +:1024E000FE00E31EFFF88327CE002322FE0041BF3B +:1024F0008327CE1197EE0100938E8E2ADC4317E7D7 +:102500000100232DF7286384D71383AFC700DC4372 +:102510003307D500014397E6010023A1F6280D4FAC +:1025200089429308500A6387D707C8479307450332 +:1025300008C35CC33C452326E7011CC75C551CCB84 +:102540001C5599C3232657003C49232C07005CCB1C +:10255000032805038347080063951705C28785078D +:1025600083C60700B3850741E38B16FF93D7250089 +:10257000C207C183231EF700050313070702638AFE +:10258000AF028327CE11DC4397E6010023A8F62093 +:10259000E39DD7F983274E1297E6010023A0F6208A +:1025A00069B78147231EF700050313070702E39A63 +:1025B000AFFC1A94E31C06D225BB8147239EF6008C +:1025C000850E93860602E39912F117EE0100130EB1 +:1025D0002E0B83274E10769493165400E38307D076 +:1025E0001DB30147239EE700850E93870702E397FB +:1025F00012E597E70100938727F703AE070076946B +:102600009316540083270E00E38607CCA1B58147BB +:10261000239EF600850E93860602E39E12D783273B +:102620008E11769493165400E38107CCD1B583279D +:102630004E1217E701002323F716C1BD83270E11A1 +:1026400017E701002322F714E1B98327CE002322E4 +:10265000FE0011BD8326CE002322DE00B5B37971C2 +:1026600026D24AD04ECE52CC56CA5AC85EC606D6DC +:1026700022D497E90100938969EC17E90100130955 +:10268000290017EA0100130AEAEC97EA0100938A8D +:102690002AEE97EB0100938BABEC97E40100938457 +:1026A00064EC054B21A883A7090003244910850782 +:1026B00017E701002324F7E85D3011E89C40E5F7B7 +:1026C00083270900E37CFBFEEFD05FD4C5BF7370A6 +:1026D000043083270A00B9E383270911C047130593 +:1026E0004400EFD0FFE883A70B00FD1717E70100B8 +:1026F0002328F7E69C40FD1717E701002324F7E69F +:1027000083270A0089C783A70A00FC4385E308588A +:10271000EF1050042285EF10F0034DB703A70A0015 +:1027200083A70A007C4385077CC37DB703A70A0003 +:1027300083A60A007C43FD177CC3FC42E9FB73605F +:102740000430F1B797E70100938747DF9C43DDE74B +:10275000411106C622C426C297E7010023A807DE5E +:1027600017E701001307C7E01C43B7A6A5A593868A +:10277000565A9C5B8C43639BD500D0436318B600CC +:1027800094476395C600DC476388D70008430C4331 +:1027900093854503EF20007917E701001307C7DB96 +:1027A000144317E401001304A4ED11A81C43A9CF9E +:1027B0001C43FD1797E6010023A0F6DA1443939714 +:1027C0002600B6978A07A2979C43EDD30843931738 +:1027D0002500AA978A073307F4005443A107A2975C +:1027E000C44244C36385F402DC44B240224417E788 +:1027F0000100232FF7D6924441018280854717E7D5 +:1028000001002325F7D482807370043001A0C440F6 +:10281000D145EF303063229544C1F9B701114AC860 +:1028200006CE22CC26CA4EC62A897370043097E49D +:102830000100938424D29C4017E40100130444D384 +:10284000D9E76314090003290400930949004E8560 +:10285000EFD01FD28327890289C713058901EFD0E2 +:102860003FD1CE8517E50100130505F3EFD0DFCB8F +:102870009C4081C71C40FC43ADE31C40638B270791 +:102880009C409DCF737004309C40C1EB97E70100E2 +:102890009387C7CD9843184325EBFD5717E70100F1 +:1028A0002320F7CA9C4089CF1C40FC4391CB1840A1 +:1028B00014407C43FD177CC3FC4299E373600430F1 +:1028C000F2406244D2444249B249056182801840D4 +:1028D0001C407C4385077CC3ADB7184014407C4343 +:1028E000FD177CC3FC42D1FB736004301C40E399AC +:1028F00027F99C40A1C397E70100938727C49C4315 +:10290000ADCB7370043001A09C43DC47DC47DC4353 +:1029100017E701002326F7C271B718401C407C431B +:1029200085077CC397E70100938747C49843184302 +:102930002DD7D9BF97E70100938787C217E701001A +:10294000130707D49C4303278711631DF700F24048 +:10295000624497E7010023AD07C0D2444249B2491F +:10296000056182806244F240D2444249B249056125 +:10297000D1BB6244F240D2444249B24905616FD0B2 +:10298000FFA83DC9411126C297E40100938444BECB +:102990004AC02E898C4006C622C4E105EFD05FBA3A +:1029A00088401105EFD0DFBCFD57630AF90497E7B3 +:1029B00001009387A7BA804398409C434A9440C340 +:1029C000636CF40497E70100938747BA88438C400F +:1029D0009105EFD0FFB697E70100938767B69C4358 +:1029E0006376F40097E7010023AC87B4B240224439 +:1029F00092440249410182807370043001A08C40EE +:102A00002244B2409244024917E501001305C5D89B +:102A1000910541016FD05FB197E701009387C7B47B +:102A2000884322448C40B2409244024991054101BE +:102A30006FD01FB111C997E70100938727B09C435E +:102A400099E77370043001A07370043001A0411144 +:102A500026C297E401009384A4B19840AE878C40CD +:102A6000B7060080D58F06C622C41CCFE10532848C +:102A7000EFD09FAB88401105EFD09FAFFD57630E9D +:102A8000F40097E70100938767AD8843B240924412 +:102A90002295224441016F3070488C402244B2405C +:102AA000924417E50100130525CF910541016FD030 +:102AB000BFA725CD411126C297E40100938444AB02 +:102AC0004AC02E898C4006C622C4E1053284EFD06C +:102AD000BFA588401105EFD0BFA98547630AF4045C +:102AE00097E70100938787A7804398409C434A94C7 +:102AF00040C3636CF40497E70100938727A78843DA +:102B00008C409105EFD0DFA397E70100938747A39F +:102B10009C436376F40097E7010023A387A2B240A9 +:102B2000224492440249410182807370043001A022 +:102B30008C402244B2409244024917E5010013053B +:102B4000A5C5910541016FD03F9E97E7010093878E +:102B5000A7A1884322448C40B24092440249910587 +:102B600041016FD0FF9D411106C622C426C25C45BB +:102B7000C04735CC930484012685EFD07F9F97E72B +:102B800001009387A79B9C43B5E7930444002685E7 +:102B9000EFD01F9E97E701009387079C58549C43F2 +:102BA00063F6E70097E7010023A8E79A9317270049 +:102BB000BA978A0717E50100130585ACA6853E95EF +:102BC000EFD09F9697E701009387879A9C435854CC +:102BD0000145DC5763F8E700854717E70100232725 +:102BE000F7960545B24022449244410182807370B9 +:102BF000043001A0A68517E50100130565B7EFD0E5 +:102C0000BF92C9B797E70100938747939C4381E739 +:102C10007370043001A0411106C622C426C2B70752 +:102C200000804045CD8F1CC12DC4EFD07F9493040C +:102C300044002685EFD0DF9397E701009387C79183 +:102C400058549C4363F6E70097E7010023A6E790FA +:102C500093172700BA978A0717E50100130545A2C5 +:102C60003E95A685EFD05F8C97E70100938747904C +:102C70009C4358540145DC5763F8E700854717E744 +:102C800001002325F78C0545B240224492444101BE +:102C900082807370043001A011CD97E70100938703 +:102CA000A78A984397E701009387478B9C4318C1F5 +:102CB0005CC182807370043001A055C1D1CD411137 +:102CC00006C622C42A877370043097E801009388EF +:102CD000688883A7080091CB97E70100938747890D +:102CE00094439C43FC428507FCC217E30100130395 +:102CF000E3869C4103260300FD560144638FD702FF +:102D000017EE0100130E4E8403250E000328070062 +:102D100054436305A80005446371D6023308D640C6 +:102D20000544637CF80003250E00918F0326030001 +:102D3000B6979CC108C350C3014483A7080081CB48 +:102D400097E701009387C7829C43FC4381EF22856C +:102D5000B2402244410182807370043001A073703C +:102D6000043001A0EF30D021DDB7854717D701002F +:102D7000232EF77C828019C16845828001458280BC +:102D800011C16CC5828097D701009387677E884305 +:102D9000828097D701009387E77B9C43054589CBC9 +:102DA00097D7010093878779884313351500060567 +:102DB000828031CD011126CA97D401009384447BCF +:102DC0009C4006CE22CC4AC84EC65855DC57637983 +:102DD000F7021C4D63C707009C40D457A947958F45 +:102DE0001CCD93172700BA97584917E9010013091A +:102DF00029898A07CA97630DF7009C40DC575CD588 +:102E0000F2406244D2444249B249056182808280E4 +:102E1000930945002A844E85EFD08FF59C4017D743 +:102E20000100130767731843DC575CD46376F7001F +:102E300017D701002322F772139527003E956244AD +:102E40000A05F240D244CE854A95B249424905610D +:102E50006FD08FED19CD411106C622C426C297D777 +:102E600001009387E77080436307A4007370043008 +:102E700001A0014582807C4881E77370043001A085 +:102E800054543848FD177CC86383E60099C7014550 +:102E9000B24022449244410182809304440026853A +:102EA000EFD00FED284897D701009387E76A984342 +:102EB000A947898F48D41CCC6376A70097D7010017 +:102EC00023ACA768D145EF30E07797D7010093870F +:102ED000277B3E95A685EFD02FE5054555BF73703E +:102EE000043097D701009387E7669C4391CB97D72F +:102EF00001009387E76798439C437C4385077CC325 +:102F0000828097D701009387E7649C438DC397D74E +:102F100001009387E7659843784311CB9843944326 +:102F20007C43FD177CC3FC4299E3736004308280CC +:102F300097D701009387C763904394439843A94769 +:102F4000D456084E958F1CCF828097D70100938767 +:102F50002762984309C79443F84A0507F8CA88438B +:102F6000828079714AD006D622D426D24ECE2A89C2 +:102F70007370043097D401009384C45D9C4017D4CF +:102F800001001304E45EBDE31C40BC4F89E71C4014 +:102F90000547F8CFD1E19C4081C71C40FC43F1EBD1 +:102FA000737004309C408DEF1C40A84F11C5630422 +:102FB00009021C4023AC07041C4023AE07049C40BC +:102FC00081C71C40FC438DEBB250225492540259ED +:102FD000F2494561828018403C4FFD173CCFE9BF64 +:102FE00018401C407C4385077CC37DBF18401C40B3 +:102FF0007C4385077CC349BF184014407C43FD17C0 +:103000007CC3FC42F1F373600430B25022549254FA +:103010000259F2494561828008402EC61105EFD061 +:103020002FD5B245FD576380F50697D701009387EA +:10303000E75283A9070018409C43AE992322370129 +:1030400063ECF90497D701009387475288430C40FB +:103050009105EFD0EFCE97D701009387674E9C4341 +:1030600063F6F90097D7010023AC374DEFD00FBAC4 +:103070001DB7184014407C43FD177CC3FC428DF300 +:103080007360043031BF0C4017D501001305C570C3 +:103090009105EFD06FC9D9BF97D701009387C74C6F +:1030A00088430C409105EFD0AFC9C9B779714AD0B8 +:1030B0004ECE06D622D426D252CC2E89B289737037 +:1030C000043097D401009384E4489C4017D4010055 +:1030D0001304044AF9EF18408947784F630CF7004E +:1030E0001C401345F5FFB84F798DA8CF1C4005470C +:1030F000F8CFADE69C4081C71C40FC43F5E37370FC +:1031000004309C40B1E7638609001C40BC4F23A0FB +:10311000F900184085470145784F630BF7001C40C4 +:103120001349F9FF0545B84F3379E90023AC27056A +:103130001C4023AE07049C4081C71C40FC43C1E3F4 +:10314000B250225492540259F249624A4561828037 +:1031500018401C407C4385077CC375B7084036C6C1 +:103160001105EFD0EFC0B246FD576385F60897D73B +:1031700001009387A73E03AA070018409C43369A94 +:10318000232247016363FA0897D701009387073E1C +:1031900088430C409105EFD0AFBA97D701009387D1 +:1031A000273A9C436370FA0697D7010023AA473950 +:1031B00091A818401C407C4385077CC329BF184058 +:1031C00014407C43FD177CC3FC42BDFB736004309C +:1031D000B250225492540259F249624A45618280A7 +:1031E000184014407C43FD177CC3FC4289FB73608C +:1031F000043031B70C4017D501001305E55991058E +:10320000EFD08FB2EFD08FA0F5B597D7010093879D +:10321000A73588430C409105EFD08FB2E5B751C177 +:10322000411106C622C426C24AC07370043097D426 +:103230000100938424329C40B9EB99C23C4D9CC25E +:10324000B2878946704D74CD2E872A846386D7064F +:1032500063FCF6048D456387B70091456395B7001D +:103260006300D60C38CC8547630FF60405449C40B8 +:1032700081CB97D701009387A72F9C43FC43A9E3F9 +:103280002285B2402244924402494101828097D76C +:1032900001009387E72D98439C437C4385077CC3BB +:1032A00069BF7370043001A08546E39ED7FA3C4D98 +:1032B00033E7B70038CD45BF3C4D85073CCD65B7FA +:1032C000EF30004C75BF130944004A85EFD04FAA78 +:1032D00097D701009387472848549C4363F6A7007B +:1032E00097D7010023AAA726D145EF30A03597D75D +:1032F00001009387E7383E95CA85EFD0EFA21C54B2 +:1033000081C77370043001A097D7010093874726C7 +:103310009C435854DC57E3FBE7F4EFD02F8FB9B749 +:103320000144B1B739CD011122CC26CA4AC84EC6D4 +:1033300052C456C206CEBA89368AB2842E892A84ED +:10334000EFD0AF98AA8A63050A003C4C2320FA000C +:10335000784C89477CCC6389F40263F4970C8D46E2 +:103360006387D40091466396D400630AF70A232C3E +:1033700024058547631EF7061C5499CB73700430EF +:1033800001A07370043001A03C4C85073CCCD5B73C +:1033900097D701009387871A9C43ADEB93044400B1 +:1033A0002685EFD0EF9C97D701009387E71A485402 +:1033B0009C4363F6A70097D7010023AFA718D14518 +:1033C000EF30402897D701009387872BA6853E953D +:1033D000EFD08F9597D70100938787199C43585456 +:1033E000DC5763F7E70063850900854723A0F900F0 +:1033F00005445685EFD00F8D2285F2406244D244B9 +:103400004249B249224A924A056182809305840169 +:1034100017D501001305C535EFD00F9165BF0144E5 +:10342000C9BF8547E397F4F43C4C33E92701232CCB +:10343000240581B74DC1011122CC26CA2A844EC66B +:1034400006CE4AC8AE84EFD04F888947744C7CCCF6 +:103450003C4C0547AA8985073CCC6395E6061C547D +:1034600081C77370043001A097D701009387070DBF +:103470009C43ADE7130944004A85EFD06F8F97D77F +:1034800001009387670D48549C4363F6A70097D7C4 +:10349000010023A3A70CD145EF30C01A97D7010034 +:1034A0009387071ECA853E95EFD00F8897D70100F6 +:1034B0009387070C9C435854DC5763F5E70099C089 +:1034C00085479CC06244F240D24442494E85B2498D +:1034D00005616FC03FFF7370043001A09305840144 +:1034E00017D501001305C528EFD00F84C1B7AA87EF +:1034F00029C57370043017D701001307A7051443BB +:103500009DE2F04F894601456315D60023AE0704BE +:1035100005451C4381CB97D70100938767059843E6 +:10352000784315E3828097D6010093866604904223 +:1035300094427442850674C2E9B797D70100938715 +:1035400027039C437DB7984394437C43FD177CC37A +:10355000FC42E9FB7360043082805D71A6C2CAC080 +:1035600052DC56DA5AD85ED686C6A2C44EDE62D483 +:1035700066D26AD017D901001309890017DB010050 +:10358000130B4BFF97D40100938404FF97DA0100DB +:10359000938ACAFE054AA54B832709009843630115 +:1035A0000712DC4783A90700EFE06FF6EFE02FF783 +:1035B00083270B002A8C6362F50A97D7010023AB9F +:1035C000A7FA01466370351B8840B3858941EFD067 +:1035D000DFBDEFE0DF9863010512884081460146B8 +:1035E0002C00EFD0EFEB4DD9A247E3C807FEC2494C +:1035F00083A7490189C713854900EFC07FF7EFE032 +:103600000FF283270B002A8C636DF508A24717D7AA +:103610000100232187F7E3E2FBFCB317FA0013F75D +:103620000721631A071613F7770C6318071E93F721 +:103630000702C5D74E85EF00F01145B7DC47C047FC +:1036400083AC0700930944004E85EFC07FF25C50C5 +:10365000228582975C4C6384470B8327090098433B +:1036600071FF03A70A0097D6010023A586F197D61C +:10367000010023A5F6F097D7010023A3E7F0EFE0C0 +:103680001F8EA1BFDC47C04703AD0700930C440069 +:103690006685EFC0FFED5C50228582975C4C6386A7 +:1036A000470983270900984371FF03A70A0097D6AB +:1036B000010023A5F6EC97D7010023A3E7ECB9B7E7 +:1036C000EFE0EFE4EFE0AFE583270B002A8CE36641 +:1036D000F5F883A70A00884081499043B385894162 +:1036E00017D70100232887E913361600EFD0FFAB68 +:1036F000EFE0FF86E31305EEEFC05FD1F9BD1C4C90 +:10370000CE85E69763E9FC049C4089CF02CA66CC6B +:1037100022CEEFF00FE88840814601464C08EFD0FA +:103720000F8805FD7370043001A01C4CE685EA97F4 +:10373000636CFD049C4089CF02CA6ACC22CEEFF0B4 +:103740004FE58840814601464C08EFD04F8531F95E +:103750007370043001A0032509005CC000C8EFC0ED +:103760003FDEE5BDEFE0AFFF83270900DC47C04740 +:10377000930C44006685EFC0BFDF5C4C638F47034A +:103780005C502285829791BD032509005CC000C86A +:10379000EFC01FDB39B7B24723ACF900A5CFE297E2 +:1037A00023A2F90023A8390193854900636FFC0423 +:1037B00003A50A00EFC0DFD80DB51C4C00C8338745 +:1037C000F90058C0636DEC02330C3C416363FC02AA +:1037D0009C4089CF02CA4ECC22CEEFF08FDB8840CE +:1037E000814601464C08EFC09FFB59F973700430C5 +:1037F00001A003A50A00E685EFC09FD451B70325B9 +:103800000900E685EFC0DFD3A5BF03250900EFC09F +:103810003FD3E1B37370043001A0B24783A689019E +:1038200023A839013387D70023A2E9006364EC049D +:10383000B307FC4063EBD70483A749024E85829708 +:1038400083A7C901E39B47D983A68901B247984062 +:10385000B69711CF02CA3ECC4ECEEFF08FD3884040 +:10386000814601464C08EFC09FF3E31805D67370FC +:10387000043001A06374FC00E370F7FC0325090029 +:1038800093854900EFC0DFCB89BB03A50A00938570 +:103890004900EFC0FFCA91B3411122C406C626C237 +:1038A0004AC017D40100130424CDEFF04FE31C40AD +:1038B00095CBEFF00FE51C409DC38148014881473F +:1038C000094781461306004097D50100938505AF4F +:1038D000170500001305A5C8EFD05F9A39E973708A +:1038E000043001A017D90100130949EC4A85EFC043 +:1038F0001FC297D401009384A4EC2685EFC03FC17A +:103900000146B145114597D7010023AB27C797D78B +:10391000010023A597C6EFC07FDB17D70100232D39 +:10392000A7C411CD97D501009385C5A8EFD0AFFCF2 +:1039300049B7B240224492440249410182807370E7 +:10394000043001A0A5C9797156CAAA8A1305C0021C +:1039500022D426D24AD04ECE52CC06D65AC85EC603 +:103960003A89B689328AAE84E9212A8405C9EFF002 +:103970000FD797D70100938727C09C439DCFEFF0C7 +:103980004FD8130544002320540104CC232E4401B6 +:103990002320340323222403EFC0BFB82285B25072 +:1039A000225492540259F249624AD24A424BB24BD3 +:1039B000456182807370043001A097DB0100938B16 +:1039C000EBDE5E85EFC0BFB417DB0100130B4BDFEE +:1039D0005A85EFC0DFB30146B145114597D70100C5 +:1039E00023A077BB97D7010023AA67B9EFC01FCEEA +:1039F00017D701002322A7B801C997D501009385E5 +:103A0000659BEFD04FEFA5BF7370043001A039C59F +:103A1000011122CC06CE26CA17D401001304C4B566 +:103A2000832804002A88014563850802BA842EC2CF +:103A3000368732C442C6954663C5B602EFF06FB50D +:103A40008947630BF5020840814601464C00EFC0F0 +:103A50001FD5F2406244D244056182807370043005 +:103A600001A04C0081463A864685EFD08F88F2400F +:103A70006244D244056182800840814626864C001B +:103A8000EFC0FFD1F9B719C10841828073700430CB +:103A900001A001E57370043001A0411106C622C4E3 +:103AA0002A84EFF0CFC348483334A000EFF06FC54D +:103AB0002285B24022444101828001E573700430C6 +:103AC00001A0411122C42A8406C6EFF04FC1005064 +:103AD000EFF02FC3B240228522444101828001E5EC +:103AE0007370043001A0411122C426C22E84AA841E +:103AF00006C6EFF0CFBE80D02244B24092444101CE +:103B00006FF02FC04111714522C406C61D262A84BC +:103B100011C5232005001105EFC07F9F2285B2400B +:103B200022444101828001CDB70700FFED8F81C79C +:103B30007370043001A099E57370043001A07370B4 +:103B4000043001A0011122CC4AC84EC652C456C24C +:103B500006CE26CABA893689B28A2E842A8AEFF01E +:103B60004FA311E5638509007370043001A0EFE0F5 +:103B70000F9A83240A00B37794006316090295C74D +:103B800063870A009347F4FFE58F2320FA00EFE0F4 +:103B90000FBDF240624426854249D244B249224ACE +:103BA000924A05618280E30DF4FCE38209FEB3359D +:103BB0005001E20563050900B7070004DD8D4E865C +:103BC000C18D13054A00EFE0FFE6EFE04FB919E1C0 +:103BD000EFC0DF83EFF0CFB593176500AA8963D8F4 +:103BE0000700B7040001FD14B3F499005DB7EFF0CE +:103BF0000FAF83290A00B3773401631E090089CB14 +:103C000063880A009347F4FFB3F737012320FA00D3 +:103C1000EFF02FAFF9B7E31DF4FEDDB701C9B70729 +:103C200000FFED8F99C77370043001A073700430EA +:103C300001A0411122C42A8406C626C24AC02E8988 +:103C4000EFF0EFA904409345F9FFB3F795001CC0CE +:103C5000EFF02FABB2402244268502499244410145 +:103C60008280411122C42A8406C6EFC01F8600400C +:103C7000EFC05F85B240228522444101828009C99C +:103C8000370700FFB3F7E50099C77370043001A050 +:103C90007370043001A06F207030B70700FFF18F00 +:103CA00081C77370043001A001E67370043001A075 +:103CB000011122CC4AC84EC652C406CE26CA368945 +:103CC000AE892A8A3284EFF0CF8C21E163050900A6 +:103CD0007370043001A0EFE08F8383240A00CE8547 +:103CE0005285B3E49900613FB37794006307F4060B +:103CF00083240A00EFE0AFA6F240624426854249E1 +:103D0000D244B249224A05618280EFE04F80832489 +:103D10000A00CE855285B3E434019537B3F78400A9 +:103D2000638D8702E30609FCB70500054A86C18D4D +:103D300013054A00EFE01FD0EFE06FA219E1EFC0DA +:103D4000EFECEFF0EF9E93176500AA8463DF0700A6 +:103D5000B7070001FD17FD8C45B783270A00134400 +:103D6000F4FFE18F2320FA0071B7EFF04F9783241F +:103D70000A00B3779400639787009347F4FFE58FB9 +:103D80002320FA00EFF0EF97E1B7411122C406C6F5 +:103D90002A8426C2EFD0BFF75C4085C3084893044D +:103DA000C4006316950015A0084863009502B70586 +:103DB0000002EFE03FE55C40E5FB2285612A2244FA +:103DC000B240924441016FE08F997370043001A0BA +:103DD00009C9370700FFB3F7E50099C773700430CE +:103DE00001A07370043001A06F20501B01C9B707F8 +:103DF00000FFED8F99C77370043001A07370043019 +:103E000001A0411122C426C206C62A84AE84EFF066 +:103E10000F8D184093C7F4FFF98F1CC02244B240A5 +:103E2000924441016FF0EF8D19C1084D8280014528 +:103E30008280411122C406C62A84EFD05FED97C765 +:103E40000100938727749C43A1EBE56717D7010016 +:103E500013078798F11797C6010023ABE67217C7BF +:103E600001002325F77217D701002329F79697C77A +:103E700001009387677117D70100232FF7948547B7 +:103E800017C701002328077017C70100232E076EEC +:103E900017C701002328F76E19E8EFE04F8CEF10E9 +:103EA000E00701442285B24022444101828013058B +:103EB000840093773500C1EFE5671307F5FFE91735 +:103EC000E3EDE7FC97C601009386866C9C4229A02F +:103ED000984311C7BE86BA87D843E36BA7FE17C7BE +:103EE00001001307676AE38AE7FA9843414613849F +:103EF000870098C2D843B306A7406378D6023388B8 +:103F0000A7002322D800C8C38325480097C6010014 +:103F10009386066811A0BA8698425043E36DB6FEB8 +:103F20002320E80023A00601D84397C70100938708 +:103F3000A7699C43998F17C701002327F768EFE013 +:103F40000F8231DC2285B240224441018280719986 +:103F500011059DB729C9411122C406C62A84EFD094 +:103F60001FDB930584FF0326C4FF17C70100130757 +:103F7000276211A03E871C43D443E3EDC6FE232CE9 +:103F8000F4FE0CC397C70100938707649843832707 +:103F9000C4FF2244B240BA9717C701002326F76234 +:103FA00041016FD0DFFB828097C701009387C76113 +:103FB0008843828082803367B5000D8BAA871DE716 +:103FC0009308D6FFAA982A87AE866371150303A8C3 +:103FD000060011079106232E07FFE36A17FF934798 +:103FE000F5FFC697F19B9107BE95AA972A9663F3B2 +:103FF000C70A9386450013884700B3B6D70033B885 +:10400000050133E7F50093C61600134818000D8B21 +:10401000B3E6060113371700758F330EF64093860B +:10402000170029CF1337AE0031EB13532E002E8823 +:10403000BE860147832808000507110823A0160142 +:104040009106E36967FE1377CEFFBA95BA976302CC +:10405000EE0483C60500138717002380D700637A18 +:10406000C70283C6150013872700A380D700637299 +:10407000C70203C725002381E7008280B307F6400B +:10408000AE97850503C7F5FF8506238FE6FEE39A05 +:10409000F5FE82808280B367C5008D8BB308C500B2 +:1040A000E9C36371150FB307A0408D8B13873700E9 +:1040B000954693F5F50F130815003383A8407D1638 +:1040C0006373D70015476363E60CD5CF2300B500B3 +:1040D0000547638DE700A300B5000D4713082500D1 +:1040E0006396E700130835002301B5001396850099 +:1040F0004D8E13970501518F3303F340939685013D +:10410000D98E13562300AA97014794C30507910738 +:10411000E36DC7FE1377C3FFB307E8006307E30649 +:104120002380B70013871700637C1703A380B700B1 +:1041300013872700637617032381B700138737009F +:1041400063701703A381B70013874700637A1701D1 +:104150002382B7001387570063741701A382B70047 +:10416000828093F5F50F13978500D98D139705017C +:104170004D8FE37715FFAA87910723AEE7FEE3EDA6 +:1041800017FF828082802A8895B78280AA8749BFDC +:10419000834705002A8799C7050583470500EDFF7A +:1041A000198D82800145828005058347F5FF8505CD +:1041B00003C7F5FF91C7E389E7FE3385E7408280B7 +:1041C0008147E5BFAA87850503C7F5FF8507A38F4C +:1041D000E7FE75FB82808346050093070002639724 +:1041E000F600050583460500E38DF6FE938756FD30 +:1041F00093F7D70F85C7AA87814585CE01458507E7 +:10420000138606FD1317250083C607003A95060599 +:104210003295F5F699C13305A0408280938536FD2D +:104220008346150093B5150093071500E1FA014583 +:10423000D5B7014582809C412380A7009C4185071A +:104240009CC1828097C60100938606359C42175711 +:1042500003001307275B13861700BA972380A70074 +:1042600097C5010023AAC532A9476308F500930743 +:1042700000046305F6000145828075DE370610F004 +:1042800005078347F7FF2320F6F09C42FD1797C5EB +:10429000010023A3F530EDF7014582804D71232203 +:1042A000911423202115232A51132324811323261B +:1042B000111423248114232E3113232C411323287A +:1042C000611323267113232291132320A113232E7C +:1042D000B111AA842E8932C6130C5002A54A834715 +:1042E000090063808703B9CB804011A0A1CB2300D4 +:1042F000F40080400509050480C083470900E39766 +:1043000087FF0346190093081900C686130D0002A3 +:10431000FD597D5A0148130550059307D6FD93F7C3 +:10432000F70F138916006363F52A17C701001307F7 +:1043300067CD8A07BA979C43BA9782878320C114B6 +:104340000324811483244114032901148329C113F4 +:10435000032A8113832A4113032B0113832BC112D8 +:10436000032C8112832C4112032D0112832DC111C4 +:1043700071618280A14C02C4854763D50715B2479D +:104380008040938B770093F68BFF03AB060083A9E5 +:104390004600938786003EC6668681465A85CE854E +:1043A000EF10505A2AC8814763853723930D410186 +:1043B000854B668681465A85CE85EF10E07A814628 +:1043C00066862A8BAE89EF10F057A24723A0AD0076 +:1043D00093861B00910D63843701B68BD9BFE37EB2 +:1043E0009BFF63DD4601A2872380A70180407D1AE1 +:1043F000930714009CC03E84E3C846FF93962B00AD +:104400001C08BE9631A8938777052300F4008040EE +:10441000FD1B93871B00050480C0F116E351F0ECEF +:104420009C42E3E2FAFE93870703C5B79C409306DC +:10443000000332472380D7009C4093064700C14CBD +:104440001386170090C013068007A380C700804022 +:1044500002C4BA87050480C003AB0700814936C691 +:1044600025BFB24783AC0700938B470063840C1CC5 +:10447000635F40199307D0026319FD1683C70C00D0 +:1044800085C363DC09189440850C7D1A2380F600EF +:104490008040050480C083C70C00E5F7635D4001E0 +:1044A0008040930700022300F40080407D1A050439 +:1044B00080C0E31A0AFE5EC61DB502C48547A94C3A +:1044C000E3CF07EBB24780409386470071B703C63E +:1044D0001600CA8699B59C40930650022380D700E7 +:1044E0008040050480C0E5BBC14C02C471B5B24731 +:1044F00003C61600CA8683A9070091073EC6E35E7D +:104500000AE04E8AFD5911BD03C61600130DD002F4 +:10451000CA8621B59347FAFFFD8703C61600337A92 +:10452000FA00CA86DDBB03C61600130D0003CA8657 +:10453000EDB383C51600930906FDCA86938705FD72 +:104540002E86E3EEFAFA93972900BE99850686092E +:10455000AE9983C50600938909FD938705FD2E86D4 +:10456000E3F3FAFE69BF32479C40144311073AC691 +:104570002380D7008040050480C095B3854763D36E +:10458000070BB24793867700E19A938786003EC671 +:1045900083A946009C4263DC090A94401306D002BA +:1045A000B307F0402380C6008040B336F000B30963 +:1045B0003041050480C03E8BB389D940A94C02C468 +:1045C000E1BB03C616000508CA8681BB9C4046892C +:1045D00021B7E37D9BDD8547814B8546E3C547E1F8 +:1045E00031BD97CC0100938CECDDCE856685EF0064 +:1045F000F07F330AAA40635C400180402300A4019D +:1046000080407D1A050480C0E31A0AFE014A83C770 +:104610000C00E38207EAE3C809E6FD197D57E3943D +:10462000E9E6ADBDB247938647009C4336C693D9B1 +:10463000F74195B7635640019307D002E313FDFAA3 +:1046400097CC0100938C0CD8930780021DBD3E8B44 +:10465000A94C02C4804089B34D71232E3113B70990 +:1046600000409387F9FF2324811423229114232CE3 +:104670004113232A51132326111423202115232803 +:1046800061132326711323248113232291132320E2 +:10469000A113232EB1112A8A2EC417C401001304BA +:1046A000A4EF975A0300938AEA1597C401009384F4 +:1046B000E4AA3EC693055002294513080004B70634 +:1046C00010F083470A006386B704C1C71840050A83 +:1046D00013061700569797C8010023AFC8EA2300B6 +:1046E000F7006382A706E31E06FD175703001307B2 +:1046F000671105078347F7FF23A0F6F01C40FD175D +:1047000017C60100232AF6E8EDF783470A00E39E67 +:10471000B7FA83461A0013051A002A87930B000282 +:104720007D5B7D5C014893055005A5489387D6FDC8 +:1047300093F7F70F130A170063E7F5348A07A69774 +:104740009C43A6978287175703001307A70B55F2C0 +:104750008DBF8320C11403248114832441140329B1 +:1047600001148329C113032A8113832A4113032BC4 +:104770000113832BC112032C8112832C4112032DB0 +:104780000112832DC11171618280A14C014D8547B9 +:1047900063DB0719A2479D07E19B83AD070003ABCD +:1047A0004700138787003AC4668681466E85DA859E +:1047B000EF1050192AC863066D3513094101854968 +:1047C000668681466E85DA85EF10003A66868146F8 +:1047D000AA8D2E8BEF1010172320A900850911092F +:1047E000E3106DFFE3FE9DFD13060004B70610F015 +:1047F00063D189031C4013871700D69797C5010022 +:1048000023ACE5D823807701630CC7047D1CE3C388 +:1048100089FFB2476800A548B386F9008A061C08DC +:10482000BE96294813030004370610F0E384A6E877 +:104830009C429305000363F4F8009305700518404B +:10484000AE9793051700569717CE01002326BED4C6 +:104850002300F7006380072B638C6526F116F9B7F8 +:1048600017570300130707FA05078347F7FF23A02D +:10487000F6F01C40FD1797C5010023AFF5D0EDF70A +:104880007D1C71B71C40130600041387170097C5E1 +:10489000010023A3E5D0B386FA009305000323802B +:1048A000B6006300C73E890797C6010023A6F6CE6F +:1048B0005697930680072300D70017570300130766 +:1048C00067F4B70610F0639EC70005078347F7FF3C +:1048D00023A0F6F01C40FD1717C60100232EF6CAD0 +:1048E000EDF7A247C14C014D91072247014B832DA3 +:1048F00007003EC455BDA24783AC0700138947009B +:10490000638F0C3A635480219307D002639EFB2E81 +:1049100003C70C00BA856310072025AC8547A94C56 +:10492000014DE3C907E7A2479107C1B7A2478346F4 +:104930001700528703AB070091073EC4E3580CDE13 +:104940005A8C7D5BE5B383461700930BD0025287E8 +:10495000F1BB9347FCFFFD8783461700337CFC00C7 +:104960005287E9B383461700930B000352877DBB40 +:1049700003461700138B06FD5287930706FDB28688 +:10498000E3EEF8FA93172B00DA9705078607B2973C +:1049900003460700138B07FD930706FDB286E3F37A +:1049A000F8FE69BFA2451C4029469841938617002E +:1049B000D69717C501002321D5BE2380E7001389B0 +:1049C00045006301C72A930700046387F6204AC4A1 +:1049D000D5B1854763DF0729A24713877700619B1D +:1049E000930787003EC4032B47001C43635B0B30D7 +:1049F0001840130600049306170097C5010023AD65 +:104A0000D5B856979305D0022300B7006388C62C0B +:104A1000B307F0403337F000330B6041BE8D330BEA +:104A2000EB40A94C014D49B3834617000508528756 +:104A3000F5B9834617005287D5B91C409306000488 +:104A40001387170017C601002328E6B4D69713066C +:104A500050022380C700E31FD7C417570300130772 +:104A600067DAB70610F005078347F7FF23A0F6F0D3 +:104A70001C40FD1717C601002320F6B2EDF71DB943 +:104A8000C14C014D29B31C40930600042A8A1387A8 +:104A9000170017C601002321E6B0D697130650026F +:104AA0002380C700E318D7C017570300130787D523 +:104AB000B70610F005078347F7FF23A0F6F01C4068 +:104AC000FD1717C601002329F6ACEDF72A8ADDB6DB +:104AD00017570300130707D305078347F7FF232062 +:104AE000F6F01C40FD1797C5010023A7F5AAEDF7C6 +:104AF000F1162DBB175703001307C7D0F1FDF116B0 +:104B000035B3E3FC9DCB8549C5B1014C03C70C000F +:104B1000BA85E30E07EAFD58294513080004B706D5 +:104B200010F063570B0A1C4013861700D69717C363 +:104B300001002323C3A62380E7006384A506630442 +:104B40000609850C03C70C007D1CBA8579FBE35070 +:104B500080E91C409305000213060004B70610F01C +:104B600021A07D1CE3050CE613871700D697238050 +:104B7000B70017C501002321E5A2BA87E313C7FEDA +:104B800017570300130707C805078347F7FF23A03C +:104B9000F6F01C40FD1717C50100232FF59EEDF719 +:104BA000C9B7175703001307E7C541DE0507834759 +:104BB000F7FF23A0F6F01C40FD1717C60100232DB8 +:104BC000F69CEDF7BDBF175703001307A7C3F9BF46 +:104BD0007D1BE31A1BF5A5BF17570300130787C2F8 +:104BE000B70610F005078347F7FF23A0F6F01C4037 +:104BF000FD1717C601002321F69AEDF74AC45DBCE4 +:104C000097BC0100938C0C7CDA856685EF00101E42 +:104C1000330CAC40E35C80EF1C4013060004B70685 +:104C200010F021A07D1CE3020CEE13871700D6972D +:104C30002380770197C5010023A0E596BA87E31387 +:104C4000C7FE175703001307E7BB05078347F7FFA6 +:104C500023A0F6F01C40FD1797C5010023AEF59286 +:104C6000EDF7C9B7175703001307C7B9B5FA4AC418 +:104C700091B4A247138747009C433AC413DBF74122 +:104C8000B5B3175703001307E7B7B70610F00507CA +:104C90008347F7FF23A0F6F01C40FD1717C601005D +:104CA000232CF68EEDF7854717C701002326F78ED4 +:104CB00093078007175703002306F7B41DB1635607 +:104CC00080019307D002E39DFBF297BC0100938C17 +:104CD0006C6F130780029305800235BD9756030061 +:104CE000938646B2370610F0850603C7F6FF2320E9 +:104CF000E6F018407D1797C5010023AFE5886DF7F2 +:104D000001BBBE8DA94C014D45B4B70710F023A0DF +:104D1000A7F201A03971130341022ED29A8506CE63 +:104D200032D436D63AD83EDA42DC46DE1AC62D32C6 +:104D3000F2400145216182805D711303810322D419 +:104D40002AC632DC2A841A86680006D6BEC236DE3F +:104D5000BAC0C2C4C6C61ACEEFF04FD4B247238041 +:104D600007003245B250018D225461618280B7073D +:104D700010F083A647F403A607F403A747F4E31A49 +:104D8000D7FE8566938606FAB29633B6C600B3059B +:104D9000E60023A4D7F423A6B7F48280B70710F067 +:104DA00003A747F403A607F483A647F4E31AD7FE44 +:104DB0008566938606FAB29633B6C60023A4D7F466 +:104DC000B305E60023A6B7F49307000873A04730A5 +:104DD0008280411122C406C651447D14E12575FC30 +:104DE000B240224441018280411122C406C697B7D5 +:104DF00001009387E77A17B401001304247A9843DB +:104E00001C401306F07C930647069387470697B528 +:104E1000010023A7D57897B5010023A1F578634653 +:104E2000D600B2402244410182801307478997B7D8 +:104E3000010023A7E776EF0000758547631FF500A3 +:104E4000184085679387F7760145E3DCE7FC753DFD +:104E50002244B24041016FC09FEA17B5010013051B +:104E6000E556EFF03FEB0945EFF03FEA2244B24050 +:104E700041016FC0DFE817B50100130525576FF03A +:104E80007FE917B501001305E5566FF0BFE8411142 +:104E900022C426C206C6856417B401001304045652 +:104EA000938784380100FD17F5FF2285EFF09FE618 +:104EB000C5BF411106C622C46D23170700001307A2 +:104EC00087F1814605469305200317B501001305B8 +:104ED0006553EFE03FA72A84EFC07FE42A8622854E +:104EE0002244B24001478146854541016FE03FB20F +:104EF000411106C6492D054781476316E500B240BA +:104F00003E854101828017B501001305254CEFF065 +:104F10007FE0B24089473E85410182807370043052 +:104F200001A082807370043001A0411122C406C622 +:104F300017B401001304C4670840B7555A0193859C +:104F400055E3EF102070930715001CC0B2402244B7 +:104F500013951700458141018280411106C697B71C +:104F600001009387E7658843814609468145EFE064 +:104F70000FABEFD0DFF617B701001307E7631C4351 +:104F800085071CC3B24041016FD0BFF7397106DEFF +:104F900022DC26DA4AD84ED652D456D25AD05ECE29 +:104FA000EFC0FFD72A8493064006300081457D5527 +:104FB000EFE0CF8FAA84EFC09FD6018D9307300614 +:104FC00063E6A70097B7010023A4076081C473704C +:104FD000043001A0A24781C77370043001A017B448 +:104FE00001001304E45D0840E165740011469385F7 +:104FF000156AEFE0CFA285476305F50073700430B2 +:1050000001A0B249638509007370043001A0EFC0AC +:105010001FD12A8993064006300081457D55EFE077 +:10502000EF88AA84EFC0BFCF330525419307300630 +:1050300063F6A70097B7010023AC0758854763853F +:10504000F4007370043001A0A24AE1679387176AE5 +:105050006385FA007370043001A017BA0100130AC7 +:105060006A5683270A000840814685071146D6857F +:1050700017B701002328F754EFE06F9A63059500F6 +:105080007370043001A008400569814611469305FC +:10509000395BEFE0CF9801C57370043001A0814601 +:1050A000300081457D55EFE06F806305950073709A +:1050B000043001A0A245638555017370043001A03E +:1050C000084081460D46EFE08F95630595007370AB +:1050D000043001A0084081460D469305395BEFE09E +:1050E0000F94630595007370043001A08146300071 +:1050F00081457D55EFD09FFB6305950073700430AB +:1051000001A0A2471309395B63852701737004303E +:1051100001A00840E165814601469385156AEFE0EC +:105120000F9085476305F5007370043001A0814638 +:10513000300081457D55EFD07FF722478567938703 +:10514000375B6305F7007370043001A0954405498F +:105150000840814609468145EFE06F8CFD14631ECF +:105160002501FDF48146300081457D55EFD01FF4C7 +:10517000630825017370043001A07370043001A02E +:10518000224785679387875B6305F7007370043058 +:1051900001A08146300081450145EFD03FF101C5B6 +:1051A0007370043001A08146300081457D55EFD0F9 +:1051B000FFEF8544FD5A0840A68581460546EFE08D +:1051C0000F868146300081450145EFD03FEE85478F +:1051D0002A89631EF500A24785098604E39D57FDD1 +:1051E000930700026388F9007370043001A07370A4 +:1051F000043001A09306400630008945EFD01FEB34 +:1052000001C57370043001A0084081460146FD5578 +:10521000EFE0EF808146300081450145EFD01FE986 +:10522000630525017370043001A0A244F95763851A +:10523000F4007370043001A00840814601468145A6 +:10524000EFD0FFFD8146300089450145EFD01FE6D4 +:10525000A247638597007370043001A08146300037 +:1052600081450145EFD09FE401C57370043001A072 +:10527000A244F1576385F4007370043001A0084024 +:10528000740005468145EFD09FF9A24763859700DA +:105290007370043001A03000FD5581460145EFD008 +:1052A000FFE00840740005468145EFD05FF73249C2 +:1052B000630509007370043001A09D4985440840CE +:1052C000A68574000546EFD09FF5B247FD1963949B +:1052D0002703336999008604E39309FE8146300071 +:1052E00081457D55EFD09FDC0145EFE04FA019C50A +:1052F0007370043001A07370043001A00840E165B0 +:10530000740011469385156AEFD07FF10145EFE0F7 +:105310000F9E85476305F5007370043001A00145B9 +:10532000EFE0EF9C01C57370043001A083270A00F1 +:1053300081460146850781457D5517B70100232326 +:10534000F728EFD0BFD617070000130747C18146E3 +:1053500001469305A00517B501001305E50BEFE025 +:105360006FDEB7545A01216497B7010023A4A72424 +:1053700017BB0100130BCB2397BB0100938B8B232F +:1053800017B9010013098923938454E37D14A94AB2 +:1053900003250B00A685EF10E02A9307150013D50F +:1053A000070117B701002325F7209305A005618D9C +:1053B000EF1080302A867D5703A50B00814691456A +:1053C000637356012946EFE08FE403250B00A685A1 +:1053D000EF1040279307150013D5070117B70100F9 +:1053E0002328F71C9305A005618DEF10E02CAA89F6 +:1053F000014563F35901A949CE85EFD09FB6814598 +:1054000001C983270900850717B701002328F71A68 +:105410000145EFD01FB5CE8501C983270900850757 +:1054200017B70100232CF7180545EFD09FB383274A +:105430000900FD55AA97054517B701002320F71865 +:10544000EFD03FB20327090083270A002A97850778 +:1054500097B6010023A4E61617B701002324F71618 +:1054600005BF411197B70100938787150147814810 +:10547000014881461306004097B50100938585FADF +:10548000170500001305C5B006C6EFB03FDFB240F8 +:10549000970700009387A7A917B70100232AF710E1 +:1054A0004101828097B701009387C7109C43ADC329 +:1054B00097B701009387870F9C4313071003850755 +:1054C0006353F704011122CC06CE17B40100130474 +:1054D000A40D1C4017B70100232A070C0547638F52 +:1054E000E70609476386E7048DC797B701009387EE +:1054F000A70C9C43F2406244850717B70100232D97 +:10550000F70A0561828017B701002321F70A82801C +:10551000828097B701009387A70A88438145EFD01F +:105520007FF11C40850717B70100232FF70675BFD1 +:1055300097B701009387C70888431304F00F01470A +:1055400074000946814522C6EFD0DFDDB24763898A +:10555000870297B7010023A9070441BF97B701004D +:105560009387070688430147814609468145EFD066 +:105570007FDB1C40850717B701002327F702B5B76B +:105580007370043001A097B701009387A70397B603 +:10559000010093862601984394426383E6049C436A +:1055A00017B701002320F70097B701009387C700C2 +:1055B00017B70100130787009C431843637CF7006B +:1055C000998F15476378F70097B7010023A207006A +:1055D0000145828097B70100938787FF88438280C7 +:1055E00097B7010023A607FEC1B70000B305B500B9 +:1055F000930705006386B70003C70700631607001B +:105600003385A74067800000938717006FF09FFEE7 +:10561000130101FB23229104232C41032322910334 +:10562000232611042324810423202105232E310362 +:10563000232A510323286103232671032324810392 +:105640002320A103232EB101930C0500138A05002A +:105650009304000063DE05003305A0403337A0004B +:10566000B305B040930C0500338AE5409304F0FF86 +:1056700063DA06003306C040B337C000B306D0403B +:10568000B386F640930A06009389060013840C0043 +:1056900013090A006396062817BB0100130B8BC180 +:1056A0006370CA16B70701006372F6149307F00F10 +:1056B00063F4C70093098000B3573601330BFB0036 +:1056C00083470B0013050002B3873701B309F54088 +:1056D000638C0900B3153A01B3D7FC00B31A360145 +:1056E00033E9B70033943C0113DB0A0193050B0047 +:1056F00013050900EF00507C130A050093050B0009 +:10570000939B0A0113050900EF00907693DB0B01D0 +:105710009305050013850B00EF00D072131A0A01E0 +:1057200093570401B367FA0063FAA700B3875701E0 +:1057300063E6570163F4A700B38757013389A74095 +:1057400093050B0013050900EF001077130A0500FD +:1057500093050B0013050900EF0090711314040169 +:1057600093050500131A0A0113850B001354040155 +:10577000EF00506D33648A00637AA400330454014F +:10578000636654016374A400330454013304A440D9 +:105790003354340193050000638A040033048040CD +:1057A000B3378000B305B040B385F540130504005E +:1057B0008320C104032481048324410403290104B8 +:1057C0008329C103032A8103832A4103032B010395 +:1057D000832BC102032C8102832C4102032D010281 +:1057E000832DC1011301010567800000B707000187 +:1057F00093090001E362F6EC930980016FF0DFEB9F +:10580000631A06009305000013051000EF005066B0 +:10581000930A0500B707010063FAFA0E9307F00F29 +:1058200063F4570193098000B3D73A01330BFB00AF +:1058300083470B001305000233095A41B387370130 +:10584000B309F540E38209EAB39A3A01335BFA00FF +:10585000B3153A01B3D7FC0093DB0A0133E9B70073 +:1058600013050B0093850B00EF001065130A05006C +:1058700093850B00139C0A0113050B00EF00505F8A +:10588000135C0C019305050013050C00EF00905B01 +:10589000131A0A0193570901B367FA0033943C01C4 +:1058A00063FAA700B387570163E6570163F4A700C3 +:1058B000B3875701338BA74093850B0013050B006B +:1058C000EF00905F130A050093850B0013050B0092 +:1058D000EF00105A9305050013050C00EF009056D9 +:1058E00093160901131A0A0193D60601B366DA006A +:1058F00063FAA600B386560163E6560163F4A60078 +:10590000B38656013389A6406FF01FDEB70700014A +:1059100093090001E3EAFAF0930980016FF0DFF0E8 +:105920006376DA0093050A006FF01FE7B7070100FE +:1059300063FAF604930BF00F33B5DB001315350053 +:1059400033D7A60097B701009387C796B387E700C0 +:1059500083CB070093050002B38BAB00338B7541FB +:10596000631C0B0263E4460163EACC003384CC4041 +:10597000B306DA4033B98C00338926419305090018 +:105980006FF09FE1B707000113050001E3EAF6FAA3 +:10599000130580016FF0DFFAB3966601335D76017F +:1059A000336DDD00B35D7A01B3156A0133DC7C0130 +:1059B00013540D01336CBC0013850D0093050400D6 +:1059C000B3196601EF00504F930A050093050400D8 +:1059D00013850D0033996C01931C0D01EF005049A4 +:1059E00093DC0C01130A05009305050013850C00D8 +:1059F000EF005045939A0A0113570C0133E7EA0070 +:105A0000930D0A00637EA7003307A701930DFAFFE9 +:105A10006368A7016376A700930DEAFF3307A70128 +:105A2000330AA7409305040013050A00EF00D0488D +:105A300093050400930A050013050A00EF00504384 +:105A4000930505001304050013850C00EF00903F3B +:105A500093150C01939A0A0193D50501B3E5BA0099 +:105A60001307040063FEA500B385A5011307F4FF27 +:105A700063E8A50163F6A5001307E4FFB385A5015C +:105A8000939D0D01B70C0100B3EDED001384FCFFF5 +:105A9000B3F78D0033F48900338AA54013850700DE +:105AA000930504002326F10093DD0D01EF009039EA +:105AB00093050400930A050013850D00EF0090384C +:105AC00013DC090193050C002324A10013850D00AC +:105AD000EF0050378327C10013040C009305040026 +:105AE000130C050013850700EF00D03583268100D5 +:105AF00013D70A013305D5003307A7006374D70015 +:105B0000330C9C01B70701009387F7FF93550701FA +:105B10003377F70013170701B3F7FA00B385850150 +:105B2000B307F7006366BA00631EBA00637CF9002E +:105B300033863741B3B7C700B385A541B385F54078 +:105B400093070600B307F9403339F900B305BA40AB +:105B5000B385254133947501B3D767013364F400ED +:105B6000B3D565016FF05FC3130101FD23229102DC +:105B7000232A5101232611022324810223202103F9 +:105B8000232E3101232C4101232861012326710199 +:105B900023248101232291012320A101930A0500DE +:105BA00093840500639E06381304060093090500DC +:105BB00017A901001309097063F8C512B70701009E +:105BC000138B05006378F6101307F00F3337C70007 +:105BD00013173700B357E6003309F900834609006D +:105BE0003387E60093060002B386E640638C060026 +:105BF000B394D40033D7EA003314D600336B970044 +:105C0000B399DA00935A040193850A0013050B0037 +:105C1000EF00902A1309050093850A00931B0401E5 +:105C200013050B00EF00D02493DB0B019304050058 +:105C30009305050013850B00EF00D020131909010F +:105C400093D70901B367F900138A040063FEA70024 +:105C5000B3878700138AF4FF63E8870063F6A70021 +:105C6000138AE4FFB3878700B384A74093850A00B3 +:105C700013850400EF0050241309050093850A00E2 +:105C800013850400EF00D01E9399090193040500C9 +:105C9000930505001319090113850B0093D9090118 +:105CA000EF00501AB36939011386040063FCA900A0 +:105CB000B30934011386F4FF63E6890063F4A90095 +:105CC0001386E4FF13140A013364C400130A0000AE +:105CD0006F000013B707000113070001E36CF6EE35 +:105CE000130780016FF01FEF138A0600631A060086 +:105CF0009305000013051000EF0090171304050032 +:105D0000B7070100637EF4129307F00F63F4870076 +:105D1000130A8000B35744013309F900034709000F +:105D20009306000233074701B386E64063940612E8 +:105D3000B3848440130A1000135B040193050B0025 +:105D400013850400EF0050171309050093050B009D +:105D500013850400931B0401EF00901193DB0B01EA +:105D6000930405009305050013850B00EF00900DCB +:105D70001319090193D70901B367F900938A040045 +:105D800063FEA700B3878700938AF4FF63E8870068 +:105D900063F6A700938AE4FFB3878700B384A74024 +:105DA00093050B0013850400EF0010111309050083 +:105DB00093050B0013850400EF00900B93990901E4 +:105DC00093040500930505001319090113850B00C1 +:105DD00093D90901EF001007B36939011386040054 +:105DE00063FCA900B30934011386F4FF63E689005C +:105DF00063F4A9001386E4FF13940A013364C4001A +:105E00001305040093050A008320C10203248102C4 +:105E100083244102032901028329C101032A81014C +:105E2000832A4101032B0101832BC100032C810034 +:105E3000832C4100032D0100130101036780000042 +:105E4000B7070001130A0001E366F4EC130A8001AE +:105E50006FF05FEC3314D40033DAE400B399DA0066 +:105E600033D7EA00935A0401B394D40093850A000F +:105E700013050A00336B9700EF00100413090500A7 +:105E800093850A0013050A00931B0401EF00407E6E +:105E900093DB0B01930405009305050013850B00AC +:105EA000EF00407A1319090113570B013367E9001A +:105EB000138A0400637EA70033078700138AF4FF68 +:105EC000636887006376A700138AE4FF33078700BF +:105ED000B304A74093850A0013850400EF00C07D3A +:105EE0001309050093850A0013850400EF0040782C +:105EF000930405009305050013850B00EF008074E3 +:105F000013170B011357070113190901B367E900B0 +:105F10001387040063FEA700B38787001387F4FF8D +:105F200063E8870063F6A7001387E4FFB387870061 +:105F3000131A0A01B384A740336AEA006FF0DFDF67 +:105F400063ECD51EB707010063F4F6041307F00FE6 +:105F5000B335D7009395350033D7B60097A7010026 +:105F600093874735B387E70003C70700130A00028A +:105F70003307B700330AEA4063160A02130410001D +:105F8000E3E096E833B6CA00134416006FF05FE70B +:105F9000B707000193050001E3E0F6FC93058001DB +:105FA0006FF09FFBB35CE600B3964601B3ECDC00F8 +:105FB00033D4E40093DB0C01B397440133D7EA00F8 +:105FC00093850B0013050400336BF700B3194601EA +:105FD000EF00806E1309050093850B001305040084 +:105FE000139C0C01EF00C068135C0C0193040500C6 +:105FF0009305050013050C00EF00C0641319090197 +:1060000013570B013367E90013840400637EA70074 +:10601000330797011384F4FF636897016376A70041 +:106020001384E4FF33079701B304A74093850B0063 +:1060300013850400EF0040681309050093850B00E9 +:1060400013850400EF00C06293040500930505006A +:1060500013050C00EF00005F93170B0113190901E2 +:1060600093D70701B367F9001386040063FEA70006 +:10607000B38797011386F4FF63E8970163F6A700DF +:106080001386E4FFB387970113140401B70B0100D3 +:106090003364C4001389FBFF337D240133F92901E4 +:1060A000B384A7409305090013050D00EF00805944 +:1060B000935C040193050900130B050013850C0084 +:1060C000EF00405893D90901130C0500938509008E +:1060D00013850C00EF000057130905009385090094 +:1060E00013050D00EF0000563305850193570B0192 +:1060F0003385A7006374850133097901935705013E +:10610000B387270163E6F402E392F4BCB70701000A +:106110009387F7FF3375F50013150501337BFB00FB +:1061200033964A0133056501130A0000E37AA6CCD1 +:106130001304F4FF6FF09FB9130A0000130400006A +:106140006FF01FCC130101FB23248104232291044F +:10615000232E31032322910323261104232021051A +:10616000232C4103232A510323286103232671038F +:10617000232481032320A103232EB101930C0500C6 +:10618000938905001304050093840500639E062689 +:1061900013090600138A060097AA0100938A8A1140 +:1061A00063F4C514B70701006376F6129307F00F86 +:1061B00063F4C700130A8000B3574601B38AFA009C +:1061C00003C70A001305000233074701330AE540FD +:1061D000630C0A00B395490133D7EC00331946012B +:1061E000B364B70033944C01935A090193850A00B4 +:1061F00013850400EF00404C9309050093850A00C5 +:10620000131B090113850400EF008046135B0B018B +:106210009305050013050B00EF00C0429399090197 +:1062200093570401B3E7F90063FAA700B387270186 +:1062300063E6270163F4A700B3872701B384A7406F +:1062400093850A0013850400EF00004793090500B9 +:1062500093850A0013850400EF00804113140401A4 +:10626000930505009399090113050B0013540401CC +:10627000EF00403D33E48900637AA4003304240135 +:10628000636624016374A400330424013304A4402E +:1062900033554401930500008320C1040324810485 +:1062A00083244104032901048329C103032A8103B0 +:1062B000832A4103032B0103832BC102032C810298 +:1062C000832C4102032D0102832DC101130101051D +:1062D00067800000B7070001130A0001E36EF6ECC7 +:1062E000130A80016FF05FED631A0600930500004A +:1062F00013051000EF00C03713090500B7070100B0 +:10630000637AF90E9307F00F63F42701130A8000F4 +:10631000B3574901B38AFA0003C70A001305000204 +:10632000B384294133074701330AE540E30E0AEA03 +:1063300033194901B3DAE900B395490133D7EC00C9 +:1063400093540901336BB70013850A009385040049 +:10635000EF0080369309050093850400931B090123 +:1063600013850A00EF00C03093DB0B019305050095 +:1063700013850B00EF00002D9399090193570B0132 +:10638000B3E7F90033944C0163FAA700B387270100 +:1063900063E6270163F4A700B3872701B38AA74008 +:1063A0009385040013850A00EF000031930905006E +:1063B0009385040013850A00EF00802B93050500E8 +:1063C00013850B00EF00002893150B019399090129 +:1063D00093D50501B3E5B90063FAA500B38525019E +:1063E00063E6250163F4A500B3852501B384A540C8 +:1063F0006FF09FDFB7070001130A0001E36AF9F0AD +:10640000130A80016FF0DFF0E3E8D5E8B707010079 +:1064100063FCF604930BF00F33B5DB001315350066 +:1064200033D7A60097A701009387C7E8B387E70093 +:1064300083CB070093050002B38BAB00338B754110 +:10644000631E0B0263E4360163EACC003384CC4064 +:10645000B386D94033B58C00B384A640130504003D +:10646000938504006FF05FE3B70700011305000197 +:10647000E3E8F6FA130580016FF09FFAB396660120 +:10648000335D7601336DDD0033D47901B395690155 +:1064900033DC7C0193540D01336CBC001305040004 +:1064A00093850400B31A6601EF000021130A05006A +:1064B000938504001305040033996C01931C0D01AE +:1064C000EF00001B93DC0C0113040500930505008D +:1064D00013850C00EF000017131A0A0113570C0163 +:1064E0003367EA00130A0400637EA7003307A7019D +:1064F000130AF4FF6368A7016376A700130AE4FF99 +:106500003307A701B309A740938504001385090049 +:10651000EF00801A93850400130405001385090019 +:10652000EF000015930505009304050013850C008A +:10653000EF00401193150C011314040193D50501CC +:10654000B365B4001387040063FEA500B385A501FD +:106550001387F4FF63E8A50163F6A5001387E4FF42 +:10656000B385A501131A0A01B70C0100336AEA00CA +:106570001384FCFFB3778A0033F48A00B384A54008 +:1065800013850700930504002326F100135A0A011E +:10659000EF00400B930905009305040013050A0062 +:1065A000EF00400A13DC0A01930D050093050C006F +:1065B00013050A00EF0000098327C100130A050034 +:1065C00093050C0013850700EF00C0073305B501E4 +:1065D00013D709013307A7006374B701330A9A017F +:1065E000B70701009387F7FF935507013377F7004B +:1065F00013170701B3F7F900B3854501B307F70097 +:1066000063E6B400639EB400637CF90033865741AF +:10661000B3B7C700B385A541B385F540930706001E +:10662000B307F9403339F900B385B440B385254148 +:1066300033947501B3D767013365F400B3D56501B1 +:106640006FF09FC5130605001305000093F61500B3 +:10665000638406003305C50093D515001316160094 +:10666000E39605FE678000006340050663C60506E5 +:1066700013860500930505001305F0FF630C060261 +:1066800093061000637AB6006358C0001316160014 +:1066900093961600E36AB6FE1305000063E6C50094 +:1066A000B385C5403365D50093D616001356160042 +:1066B000E39606FE6780000093820000EFF05FFB28 +:1066C00013850500678002003305A04063D80500EC +:1066D000B305B0406FF0DFF9B305B040938200001E +:1066E000EFF01FF93305A04067800200938200009D +:1066F00063CA0500634C0500EFF09FF713850500A2 +:1067000067800200B305B040E35805FE3305A040A2 +:10671000EFF01FF63305B0406780020097A7010035 +:10672000938707E5944317A70100130727E318434E +:10673000C8C2637BE50017A701001307E7E208431F +:106740008C4391056F90DFDF411122C406C617A765 +:1067500001001307A7E12A8408438C439105EF90B9 +:106760003FDE97A701009387A7DD9C436376F40083 +:1067700097A7010023A687DCB24022444101828012 +:1067800097A701009387C7DE984394437C43FD1786 +:106790007CC3FC4299E3736004308280011122CCF7 +:1067A00026CA2A84AE844AC84EC652C456C206CEF1 +:1067B000EFB0EFD51C4003290401B7090001B3E590 +:1067C0009700930AC4000CC08144FD19370A0002E7 +:1067D0001840638C2A03032609004A85B3654701E4 +:1067E000B376360193175600758F0329490063C4A9 +:1067F000070001E7F1BFE39DE6FC9317760063D342 +:106800000700D58CEFC00FC0E1B793C4F4FFF98C3B +:1068100004C0EFB0CFF40840F2406244D244424991 +:10682000B249224A924A05618280411106C622C4B9 +:10683000EFE02FE817E7FFFF1307475B81460546A8 +:106840009305400617A50100130545BCEFD08F8FB7 +:1068500019C92A84EFB0AFCC2A8601478146854505 +:106860002285EFD0CF9AEFB00FA5B2402244014568 +:0468700041018280E0 +:02000004800278 +:10000000CE44FEFFCC45FEFFD644FEFFCC45FEFFAE +:10001000CC45FEFFCC45FEFFCC45FEFFEE44FEFF87 +:10002000CC45FEFFCC45FEFF0845FEFF1445FEFF14 +:10003000CC45FEFF2645FEFF3245FEFF3245FEFF62 +:100040003245FEFF3245FEFF3245FEFF3245FEFFE0 +:100050003245FEFF3245FEFF3245FEFFCC45FEFF36 +:10006000CC45FEFFCC45FEFFCC45FEFFCC45FEFF58 +:10007000CC45FEFFCC45FEFFCC45FEFFCC45FEFF48 +:10008000CC45FEFFCC45FEFFCC45FEFFCC45FEFF38 +:10009000CC45FEFFCC45FEFFCC45FEFFCC45FEFF28 +:1000A000CC45FEFFCC45FEFFCC45FEFFCC45FEFF18 +:1000B000CC45FEFFCC45FEFFCC45FEFFCC45FEFF08 +:1000C000CC45FEFFCC45FEFFCC45FEFFCC45FEFFF8 +:1000D000CC45FEFFCC45FEFFCC45FEFFCC45FEFFE8 +:1000E000CC45FEFFCC45FEFFCC45FEFFCC45FEFFD8 +:1000F000CC45FEFFCC45FEFFCC45FEFFCC45FEFFC8 +:100100006645FEFF7C45FEFFCC45FEFFCC45FEFF6D +:10011000CC45FEFFCC45FEFFCC45FEFFCC45FEFFA7 +:10012000CC45FEFFC245FEFFCC45FEFFCC45FEFFA1 +:100130007443FEFF2C44FEFFCC45FEFFCC45FEFF82 +:100140006244FEFFCC45FEFFBA44FEFFCC45FEFFF5 +:10015000CC45FEFFE844FEFFDA48FEFF2E49FEFFD5 +:10016000E248FEFF2E49FEFF2E49FEFF2E49FEFF0C +:100170002E49FEFFD447FEFF2E49FEFF2E49FEFF0B +:10018000EE47FEFFFA47FEFF2E49FEFF0C48FEFF3A +:100190001848FEFF1848FEFF1848FEFF1848FEFFEB +:1001A0001848FEFF1848FEFF1848FEFF1848FEFFDB +:1001B0001848FEFF2E49FEFF2E49FEFF2E49FEFF86 +:1001C0002E49FEFF2E49FEFF2E49FEFF2E49FEFF5F +:1001D0002E49FEFF2E49FEFF2E49FEFF2E49FEFF4F +:1001E0002E49FEFF2E49FEFF2E49FEFF2E49FEFF3F +:1001F0002E49FEFF2E49FEFF2E49FEFF2E49FEFF2F +:100200002E49FEFF2E49FEFF2E49FEFF2E49FEFF1E +:100210002E49FEFF2E49FEFF2E49FEFF2E49FEFF0E +:100220002E49FEFF2E49FEFF2E49FEFF2E49FEFFFE +:100230002E49FEFF2E49FEFF2E49FEFF2E49FEFFEE +:100240002E49FEFF2E49FEFF2E49FEFF2E49FEFFDE +:100250002E49FEFF2E49FEFF4C48FEFF7A48FEFF66 +:100260002E49FEFF2E49FEFF2E49FEFF2E49FEFFBE +:100270002E49FEFF2E49FEFF2E49FEFFD048FEFF0D +:100280002E49FEFF2E49FEFF3246FEFF2C47FEFFA1 +:100290002E49FEFF2E49FEFF9E47FEFF2E49FEFF20 +:1002A000C447FEFF2E49FEFF2E49FEFF2849FEFFF0 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D000205461736B4E6F74696679207461736B1E +:1003E00073200D0A000000006D69616F750A00003E +:1003F0006D69616F75320A0068756E6772790A00FF +:1004000054696D6572320000436865636B54696DB1 +:10041000657200004E6F74696669657200000000C5 +:0C0420004E6F746966696564000000009E +:10042C001000000000000000017A5200017C010164 +:10043C001B0D02005000000018000000C851FEFF08 +:10044C005805000000440E507489039406990B81E2 +:10045C0001880292049305950796089709980A9AC1 +:10046C000C9B0D036C010AC144C844C944D244D34B +:10047C0044D444D544D644D744D844D944DA44DB94 +:10048C00440E00440B0000004C0000006C00000007 +:10049C00CC56FEFFDC05000000440E30708903953D +:1004AC00078101880292049305940696089709988F +:1004BC000A990B9A0C0370020AC144C844C944D26D +:1004CC0044D344D444D544D644D744D844D944DA4C +:1004DC00440E00440B00000050000000BC00000063 +:1004EC00585CFEFF0005000000440E507488028921 +:1004FC00039305990B81019204940695079608972E +:10050C0009980A9A0C9B0D0320010AC144C844C9DE +:10051C0044D244D344D444D544D644D744D844D903 +:0C052C0044DA44DB440E00440B000000E5 +:0C05C400FC8F0100090000000100000095 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/TaskNotify_rv32im_O3.hex b/VexRiscv/src/test/resources/freertos/TaskNotify_rv32im_O3.hex new file mode 100644 index 0000000..87110bf --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/TaskNotify_rv32im_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/TaskNotify_rv32imac_O3.hex b/VexRiscv/src/test/resources/freertos/TaskNotify_rv32imac_O3.hex new file mode 100644 index 0000000..82c70ad --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/TaskNotify_rv32imac_O3.hex @@ -0,0 +1,1635 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000E1C7170502001305E54A9795030093850C +:1000A000257201461122170502001305653D9705CB +:1000B0000200938565450146C52817A50300130571 +:1000C000657097B503009385A56F37B6ABAB130684 +:1000D000B6BAD92817B103001301C16EEF6020032F +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2022823A02200F322103496 +:1002400096DEEF00002B170102000321A1260241D8 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E22923A0120023A2A9 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40D025170102000321BA +:1003300061180241F65273901234B7220000938282 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A21B83A0B0 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2020623A0220086DEEF207C +:10046000402D170102000321E1040241F65273906E +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF40F005EF10700901E55A +:10050000B24041018280B24041016F2080229307B6 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30101F1F +:1005B0008280411122C406C62A8426C2EF209010F0 +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF20A0566DD5EF2080763C44FD1780 +:1005F0003CC43C44E344F0FEFD573CC4EF20D00E25 +:10060000EF20500C7C40635BF0021C4885CB9304C8 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2060526DD5EF2040729F +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20100A29C5411151 +:1006500022C426C22A8406C6AE84EF20B0063440E7 +:100660005C5C1840232C0402B387F6027D5670C0F0 +:1006700018C430C4B386D740BA9736975CC058C404 +:1006800091EC1C489DE3EF203006B2402244924496 +:100690000545410182807370043001A013050401F7 +:1006A000BD3513054402A535F9BF13050401EF203C +:1006B000E0498547E319F5FC913BF1B701E573701B +:1006C000043001A0011126CA4AC84EC606CE22CC6B +:1006D000B2892E89AA84A5C53305B502130555052F +:1006E000EF3080732A8435C1930745051CC144DC73 +:1006F00023202405EF20007D34405C5C18407D56AB +:10070000B387F602232C040270C018C430C413054A +:100710000401B386D740BA9736975CC058C4C53B2E +:1007200013054402ED33EF20207C23083405228595 +:10073000F2406244D2444249B249056182801305C5 +:100740004005EF30606D2A8401E57370043001A02C +:1007500000C071BF01E57370043001A06375B5007E +:100760007370043001A0411122C409462E848145D2 +:1007700006C6A93711C500DDB2402244410182807E +:100780007370043001A05D7186C6A2C4A6C2CAC03F +:100790004EDE52DC56DA5AD85ED632C6630A051CE3 +:1007A0006382051C89476399F600585D8547630598 +:1007B000F7007370043001A03689AE842A84EF20DC +:1007C000A05B11E5B24781C77370043001A0EF2030 +:1007D000606F185C5C5C014B894BFD59130A040186 +:1007E000930A44026365F70C63077919B247638182 +:1007F000072463150B002808EF206048EF20C06E27 +:10080000EF10C04FEF20006C7C406394370123222F +:1008100004043C446394370123240404EF20C06C97 +:100820006C002808EF20C047631E051EEF2080697A +:10083000185C5C5C630CF70AEF20006BEF208068AB +:100840003C44634FF00039A85685EF20203019C191 +:10085000EF2000503C44FD173CC43C446354F0007E +:100860005C50FDF323243405EF200068EF20806501 +:100870007C40634FF00039A85285EF20202D19C12C +:10088000EF20004D7C40FD177CC07C406354F0009D +:100890001C48FDF323223405EF200065EF10006BA8 +:1008A000054BEF202062185C5C5CE37FF7F2304080 +:1008B0006305060C631F09120844A685EF30006E1D +:1008C0001C4434405840B6971CC463EAE70A1C5CD9 +:1008D00014405850850714C41CDC5DC7130544023E +:1008E000EF20C02685476311F50AC9A8EF20C05F35 +:1008F000B2455285EF204007EF20C05C3C44634F77 +:10090000F00039A85685EF20602419C1EF2040443B +:100910003C44FD173CC43C446354F0005C50FDF380 +:1009200023243405EF20405CEF20C0597C40634F06 +:10093000F00039A85285EF20602119C1EF20404115 +:100940007C40FD177CC07C406354F0001C48FDF3E4 +:1009500023223405EF204059EF10405F31F17D3400 +:10096000054B81B73C41E38F07E27370043001A06F +:100970007370043001A0304021E61C4085C71C5C28 +:10098000585085071CDC39FBEF2000560545B64062 +:10099000264496440649F259625AD25A425BB25BE7 +:1009A000616182804840EF2040491C5C58502322FE +:1009B000040085071CDCE31307F279D5813CE9B715 +:1009C0004844A685EF30805D3C4058441440B3074E +:1009D000F0403E9758C4637CD7025840BA975CC435 +:1009E00009475C506306E902185C050718DCC9DF9B +:1009F000F5B54844A685EF30605A3C405844144051 +:100A0000B307F0403E9758C4E37CD7FCF9B75C507D +:100A1000185C79DB185C7D1718DC185C050718DC9E +:100A2000A5D76DBD22857136EF104052014585B7BF +:100A3000EF20804B0145A1BF411126C2AA841305B6 +:100A4000400522C406C6EF30203D2A8415CD232C54 +:100A50000502FD577CC10547410558DC3CC42322F3 +:100A60000400232004002324040023260400232060 +:100A7000040423089404613C13054402493C814664 +:100A800001468145228501332285B24022449244A9 +:100A9000410182800DC5411122C426C206C64AC04A +:100AA000032945002A84EF20602C8144630CA900AF +:100AB000B2402244268502499244410182807370EB +:100AC000043001A05C448544FD175CC4F5F3814605 +:100AD0000146814522854539E1BF31CDA1C90111CA +:100AE00022CC26CA4AC852C406CE4EC68947B6840E +:100AF00032892E8A2A846399F600585D8547630FF0 +:100B0000F7047370043001A0C932185C5C5CAA89D8 +:100B10006366F70281444E857532F2406244268551 +:100B20004249D244B249224A056182803C41C5DB38 +:100B30007370043001A07370043001A0304041E2B2 +:100B40001C40D5CB1C5C7D5785071CDC3C4463876F +:100B5000E7083C44854485073CC475BFBD32185C3A +:100B60005C5CAA89636DF702304079DA4844D2852B +:100B7000EF30C0423C4058441440B307F0403E9729 +:100B800058C46375D7005840BA975CC48947E39B43 +:100B9000F4FA1C5CC5DB1C5CFD171CDC65B730403F +:100BA00045D24844D285EF30603F3C405844144021 +:100BB000B307F0403E9758C4E367D7FCD9BFDDF4D4 +:100BC0000844D285EF30803D1C4434405840B697ED +:100BD0001CC4E3E9E7F61C401CC4ADB75C50854477 +:100BE0009DDB13054402EF10707615D5E30509F27D +:100BF000232099000DB74840EF2020242322040031 +:100C000091B721CD3C4181C77370043001A01C41D4 +:100C1000A1CB011122CC26CA2A844AC84EC606CED0 +:100C20002E896530185C5C5CAA898144637DF7007D +:100C30001C5C7D5785071CDC3C446388E7023C4410 +:100C4000854485073CC44E85B538F240624426850C +:100C50004249D244B249056182807370043001A0D8 +:100C60005C41C5DB7370043001A05C5099E385449E +:100C7000D9BF13054402EF10706D75D9E30909FE61 +:100C800085472320F900E5B7397106DE22DC26DA34 +:100C90004AD84ED652D456D232C66302051C638A55 +:100CA000051AB68AAE892A84EF20000D11E5B247F5 +:100CB00081C77370043001A0EF20C0201C5C6392D8 +:100CC0000714B247638E070A2808EF10507BFD54C3 +:100CD00013094402130A0401EF200021EF1000025F +:100CE000EF20401E7C4063949700232204043C4480 +:100CF0006394970023240404EF20001F6C0028084D +:100D0000EF10107A63130518EF20C01B1C5CADCFE9 +:100D1000EF20801DEF20001B3C44634FF00039A8FA +:100D20004A85EF10B06219C1EF2080023C44FD17E4 +:100D30003CC43C446354F0005C50FDF324C4EF20F9 +:100D4000A01AEF2020187C40634FF00039A852858C +:100D5000EF10D05F19C1EF10B07F7C40FD177CC051 +:100D60007C406354F0001C48FDF364C0EF20C017C2 +:100D7000EF10C01DEF2000151C5CC1E7B247A9FFB2 +:100D8000EF208016014555A0EF2000161C40DDC75E +:100D9000B2454A85EF10503DEF20C0123C44634FEE +:100DA000F00039A84A85EF10705A19C1EF10507A37 +:100DB0003C44FD173CC43C446354F0005C50FDF3DC +:100DC00024C4EF206012EF20E00F7C40634FF0005E +:100DD00039A85285EF10905719C1EF1070777C40F9 +:100DE000FD177CC07C406354F0001C48FDF364C0D8 +:100DF000EF20800FEF10801531C9EF20A00C1C5C94 +:100E0000B5DFCE8522854444EFF0CFF8639C0A0419 +:100E10001C5C1840FD171CDC01E7EF20601148C086 +:100E20001C48A1EFEF20400C0545F2506254D2540B +:100E30004259B259225A925A21618280EF20800889 +:100E40004840EF107075EF20200A99B7EFF00FDCE3 +:100E50006DB73C41E38707E47370043001A0737001 +:100E6000043001A05C5044C4D5DF13054402EF10E8 +:100E7000F04D4DD9EFF08FD975B713050401EF1080 +:100E8000F04C8547E310F5FAF5B72285EFF06FF2E5 +:100E9000EF10C00B014551BF1DC9411122C44AC00A +:100EA00006C626C244412E892A84EF10306C638323 +:100EB000A40281464A8681452285F933854763002D +:100EC000F502B2402244924402494101828073708B +:100ED000043001A05C44054585075CC4DDB75C4473 +:100EE00085075CC4F9BF15CDADC9011122CC26CA56 +:100EF0004AC84EC652C42A8406CE3289AE89EFF063 +:100F0000CFDC1C5C2A8A814485E35285EFF08FDBBD +:100F1000F240624426854249D244B249224A0561E0 +:100F200082807370043001A0304001CE4C445C409C +:100F3000B2954CC463E4F5000C404CC44E85EF30D0 +:100F4000E0051C5C7D57FD171CDC7C40638CE700D2 +:100F50007C40854485077CC04DBF3C41D9D7737028 +:100F6000043001A01C4899E3854445B713050401EA +:100F7000EF10D03D75D9E30909FE85472320F9001C +:100F8000E5B721C93C41A1CDA1CB011122CC26CA94 +:100F90004AC852C42A8406CE4EC62E8AEFF0EFD23B +:100FA0001C5C2A89814491CB8329C400D285228587 +:100FB000EFF04FDE8544232634014A85EFF08FD0D1 +:100FC000F240624426854249D244B249224A056130 +:100FD00082807370043001A07370043001A0EDDFD3 +:100FE0007370043001A001E57370043001A0411159 +:100FF00022C42A8406C6EF10F06C005CEF10D06E9D +:10100000B240228522444101828001E573700430A0 +:1010100001A0411106C622C42A84EF10B06A1C5CEC +:10102000405C1D8CEF10506C2285B240224441017F +:10103000828019C1085D82807370043001A01DCDCB +:101040009787030093870774D843630CE502D8475A +:10105000630CE504D84B6307E504D84F6302E5044D +:10106000D853630DE502D8576308E502D85B6303E4 +:10107000E502D85F630EE5006F20306C73700430BA +:1010800001A001470E07BA9723A007006F20F06A5E +:101090001D47CDBF1947FDB71547EDB71147DDB760 +:1010A0000D47CDB70947F9BF0547E9BF68458280BD +:1010B0006CC5828003450505828009C5085D13352E +:1010C000150082807370043001A019C51C5D485D55 +:1010D0001D8D1335150082807370043001A0978731 +:1010E00003009387276A984305C3984705C7984B21 +:1010F00005C7984F05C7985305C7985705C7985B0C +:1011000015C7985F15C3828001470E07BA978CC335 +:10111000C8C382800547D5BF0947C5BF0D47F5B78E +:101120001147E5B71547D5B71D47C5B71947F1BFF3 +:101130009787030093870765D8436308E502D8477C +:10114000630BE502D84B630AE502D84F6309E50259 +:10115000D8536308E502D8576307E502D85B6308F4 +:10116000E502D85F6303A702828001470E07BA97A2 +:1011700023A0070082800547D5BF0947C5BF0D479B +:10118000F5B71147E5B71547D5B71D47C5B7194797 +:10119000F1BF411122C426C24AC02A8406C6AE84C9 +:1011A0003289EF1030527840FD576314F700232244 +:1011B00004043844FD576314F70023240404EF109B +:1011C000B0521C5C99E74A86A68513054402EF10CD +:1011D000B00CEF10304F3C44635BF0025C5085CBA9 +:1011E0009304440209A83C44FD173CC43C446350AA +:1011F000F0025C5089CF2685EF1050156DD5EF10A9 +:1012000030353C44FD173CC43C44E344F0FEFD57FC +:101210003CC4EF10704DEF10F04A7C40635BF0026D +:101220001C4885CB9304040109A87C40FD177CC0B1 +:101230007C406350F0021C4889CF2685EF101011C6 +:101240006DD5EF10F0307C40FD177CC07C40E3444E +:10125000F0FEFD577CC02244B24092440249410155 +:101260006F10904805C579714ECE52CC06D63E8A95 +:1012700022D426D24AD056CA5AC85EC662C4A547EE +:10128000BA8963F8E7007370043001A0737004300A +:1012900001A0AA8AAE843289B68B428B63090822E8 +:1012A00013050006EF2050372A84630D05200A0934 +:1012B000232865034A869305500A5A85EF20105C5F +:1012C00003C704001C587119230AE40203C7040071 +:1012D0003E991379C9FF79C783C71400A30AF402A2 +:1012E00083C71400E1C383C72400230BF40283C720 +:1012F0002400CDCB83C73400A30BF40283C7340092 +:10130000D5C383C74400230CF40283C74400D9CB60 +:1013100083C75400A30CF40283C75400C1C783C71A +:101320006400230DF40283C76400ADCF83C774004B +:10133000A30DF40283C77400B5C783C78400230ECE +:10134000F40283C78400B9CF83C79400A30EF402CC +:1013500083C79400A1CB83C7A400230FF40283C7E3 +:10136000A400A9C383C7B400A30FF40283C7B400C9 +:1013700095CB83C7C4002300F40483C7C4009DC376 +:1013800083C7D400A300F40483C7D40081CF83C7EC +:10139000E4002301F40483C7E40089C783C7F40091 +:1013A000A301F404130B44005A85A301040423266B +:1013B000340323283405232A0404EFF08F96130501 +:1013C0008401EFF00F96A947B3873741232C04041B +:1013D00000C81CCC40D023220404232E04045E86C3 +:1013E000D6854A85EFF00F8F08C063040A002320DA +:1013F0008A007370043017F901001309E909832783 +:10140000090097F401009384E40A91C798409C4036 +:101410007C4385077CC317F701001307A7081C430B +:10142000850797F6010023AFF6069C40D5CB8327AE +:10143000090017FA0100130AAA1889EB9C40DC572F +:1014400063E6F90097F7010023A6870697F70100E6 +:1014500093878703984397F60100938626045C548C +:101460009442050717F601002320E60238C463F60C +:10147000F60017F701002323F7025147B387E7026D +:10148000DA853305FA00EFF02F8A8327090081C738 +:101490009C40FC43BDE383270900054499C79C4059 +:1014A000DC5763F43701EFE07FF62285B250225417 +:1014B00092540259F249624AD24A424BB24B224CF0 +:1014C000456182805A85EF2050277D54F9BF13155E +:1014D0002600EF2070142A8BE31405DC7D54F1B74D +:1014E00097F7010023A887FC184385476301F7029B +:1014F00017FA0100130ACA0C91BF984094407C432C +:10150000FD177CC3FC42C1FB7360043069B7814A9C +:1015100017FA0100130ACA0A514CA94B33858A03F2 +:10152000850A5295EFE0BFFEE39A7AFF97FB010030 +:10153000938B8B155E85EFE09FFD97FA0100938AF0 +:10154000EA155685EFE0BFFC17F5010013054516B7 +:10155000EFE0FFFB17F501001305C516EFE03FFBB9 +:1015600017F5010013054517EFE07FFA97F7010023 +:1015700023A077F597F7010023AA57F3C1BD4111C6 +:1015800022C406C626C24AC02A847370043097F467 +:101590000100938464F09C40F9E311E497F70100A3 +:1015A000938747F18043130944004A85EFE05FFCCD +:1015B0001C5489C713058401EFE09FFBCA8517F50A +:1015C000010013052510EFE03FF697F70100938720 +:1015D000A7ED984397F70100938707EB9C43050716 +:1015E00097F6010023A2E6EC9840850797F60100E4 +:1015F00023ACF6E801CB97F701009387A7EB98435C +:10160000784349EF9C40B1C797F70100938787EA79 +:101610009843630F870873700430984039EB17F7CD +:1016200001001307E7E814439442A5E27D5797F6BB +:10163000010023A9E6E4984009CF9843784311CBF1 +:10164000984394437C43FD177CC3FC4299E3736049 +:101650000430B2402244924402494101828097F70B +:101660000100938727E598439C437C4385077CC30F +:101670002DB794439843F8420507F8C217F70100C5 +:10168000130707E314439442D5D2184358475847E9 +:10169000584397F6010023A7E6DE71BF98439443B1 +:1016A0007C43FD177CC3FC42B1FF7360043099BFDB +:1016B00097F701009387C7DC9C4381C773700430A0 +:1016C00001A02244B2409244024941016FE01FD47C +:1016D00041C517F701001307E7DD14438147638B0A +:1016E000A606411106C622C426C27370043097F6BE +:1016F0000100938666DA9C4291C710431C437C42EA +:1017000085077CC29C42AA84404981C71C43FC4394 +:10171000B9E797F701009387A7D99C43638B8704A8 +:1017200097F70100938787D89C436384870497F7D2 +:101730000100938767FA6309F40297F70100938722 +:1017400067F86301F4028547B240224492443E8523 +:10175000410182803E8582807370043001A0EF4099 +:10176000701045BF9147CDB79C5493B717008907B8 +:10177000E1BF8947D1BF7370043097F701009387A9 +:10178000A7D1984309EF15C59C43485581CB97F7DE +:101790000100938727D29843784315E3828017F797 +:1017A0000100130727D114431843F8420507F8C274 +:1017B00061FD17F701001307E7CF0843F1B798431E +:1017C00094437C43FD177CC3FC42E9FB7360043007 +:1017D0008280411122C42A8406C6EFE01FCF11E4A3 +:1017E00097F70100938707CD80434054EFE09FCDEA +:1017F000B2402285224441018280A54763F5B700AB +:101800007370043001A0011122CC06CE26CA4AC84A +:101810004EC652C42A847370043017F901001309AC +:10182000A9C783270900D5EF79C43C486389F50827 +:1018300017F70100130707C863FCB7001443638D53 +:10184000860C18434457B3B4950093C4140029A0E0 +:101850000443818C93B4140058546384E70A1C4CED +:101860002CC863C60700A947B385B7400CCC9317B3 +:101870002700BA97584897F90100938969D48A07D5 +:10188000CE97631BF702130A44005285EFE05FCE48 +:1018900017F70100130787C05C5418436376F700FD +:1018A00017F70100232CF7BE5147B387E702D28513 +:1018B0003385F900EFE05FC799C0EFE03FB58327BC +:1018C000090081CB97F701009387C7BE9C43FC4377 +:1018D0009DEBF2406244D2444249B249224A05613A +:1018E000828097F701009387E7BC98439C437C4331 +:1018F00085077CC31DF897F701009387A7BB80433A +:101900002DB74CD4A9BF6244F240D2444249B249F7 +:10191000224A05616F40007581443DBF25C1011118 +:1019200026CA97F401009384E4B89C4006CE22CCEA +:101930004AC84EC6638DA7027370043017F90100C0 +:10194000130989B58327090091C798409C407C43BF +:1019500085077CC3584997F701009387E7D763024F +:10196000F7028327090081C79C40FC43A5EBF240A6 +:101970006244D2444249B2490561828073700430A6 +:1019800001A01C5517F70100130787D2E38BE7FC72 +:10199000E9FB930945002A844E85EFE07FBD17F7E8 +:1019A00001001307A7AF5C5418436376F70017F7DD +:1019B00001002325F7AE5147B387E70217F5010071 +:1019C000130505C0CE853E95EFE01FB69C405854E8 +:1019D000DC57E368F7F8EFE07FA361B76244F240B9 +:1019E000D2444249B24905616F40C06715CD01112B +:1019F00022CC26CA4AC82A8406CE4EC6EFE0FFACE7 +:101A0000584897F70100938727CD2A8981446300BE +:101A1000F7024A85EFE01FABF24062442685424957 +:101A2000D244B249056182807370043001A01C5415 +:101A300017F501001305C5C7E38DA7FCF9FB97F760 +:101A400001009387E7A39C43A9EB97F701009387D5 +:101A500067A69C43445493094400DC574E85B3B4B5 +:101A6000F400EFE0FFB017F70100130727A35C5461 +:101A7000184393C414006376F70017F70100232F6F +:101A8000F7A05147B387E70217F50100130545B3E7 +:101A9000CE853E95EFE05FA9ADBF93058401EFE0F1 +:101AA000BFA885BF0111056506CE22CC26CA4AC84B +:101AB0004EC652C456C25AC0EF200036630E051AF5 +:101AC000AA8413050006EF2020352A84630A051C2A +:101AD00005669305500A04D92685EF20205A04583C +:101AE0008567F117BE94B7474C4593879744130A0F +:101AF00044005CD85285230C0402A301040423266D +:101B0000040223280404232A0404EFE09FA1130500 +:101B10008401EFE01FA1A947232C0404F19800C819 +:101B20001CCC40D023220404232E04040146971524 +:101B300000009385E5B12685EFE0DF9908C073705A +:101B4000043017F90100130929958327090097F438 +:101B500001009384249691C798409C407C4385075C +:101B60007CC317F701001307E7931C43850797F61B +:101B7000010023A9F6929C40C9C38327090097F965 +:101B800001009389E9A381EB9C40DC5789E797F733 +:101B9000010023A1879297F701009387E78E98436E +:101BA00097F601009386868F5C549442050717F6DA +:101BB0000100232BE68C38C463F6F60017F701000A +:101BC000232EF78C139527003E950A05D2854E9556 +:101BD000EFE09F958327090081C79C40FC43DDE728 +:101BE0008327090091C39C40EF1070498547630526 +:101BF000F50C59E17370043001A097F7010023AB95 +:101C0000878A1843854797F901009389699BE314F4 +:101C1000F7F8CE8A17FB0100130B0BA75685D10AE4 +:101C2000EFE0FF8EE31C5BFF17F501001305C5A570 +:101C3000EFE0FF8D97FA0100938A4AA65685EFE000 +:101C40001F8D17F501001305A5A6EFE05F8C17F5B2 +:101C50000100130525A7EFE09F8B17F50100130581 +:101C6000A5A7EFE0DF8A97F7010023A3678597F721 +:101C7000010023AD578305B7F2406244D244424984 +:101C8000B249224A924A024B0561828098409440B0 +:101C90007C43FD177CC3FC42A1F77360043089B715 +:101CA0006244F2404249B249224A924A024B268596 +:101CB000D24405616F20602873700430FD5717E728 +:101CC00001002321F77C624497E7010023A6A77C4B +:101CD000F240D2444249B249224A924A024B97E723 +:101CE000010023AF077A05616FE0AFDB737004304A +:101CF00097E7010023A2077A6FE0EFE817E70100FA +:101D0000130707781C4385071CC382807370043057 +:101D100097E7010093874778984305E317E70100A9 +:101D20001307077808439C4381CB97E7010093870B +:101D300067789843784301EF828017E70100130723 +:101D4000677714431843F8420507F8C2C1BF9843A8 +:101D500094437C43FD177CC3FC42F9FF736004305D +:101D60008280411106C622C4EFE02FF697E70100FA +:101D7000938707738043EFE0EFF4B240228522445B +:101D80004101828097E701009387C7718843828071 +:101D9000797106D622D426D24AD04ECE52CC56CA1B +:101DA0005AC85EC697E701009387876D9C43B1EFE1 +:101DB00017E701001307C76E1C43850797E601006C +:101DC00023A0F66E832A0700638F0A0697E70100B7 +:101DD0009387476B9C43814463F1FA0897E90100BC +:101DE0009389097E17EA0100130ACA6C83270A0047 +:101DF0008546D85793172700BA978A07BE9983A7B5 +:101E0000090063FFF600854421A897E70100938746 +:101E100067689C438144850717E70100232CF76618 +:101E200097E701009387C7669C4391C38544B250EE +:101E30002254268502599254F249624AD24A424BB0 +:101E4000B24B4561828017E40100130464661C40B4 +:101E50009C43DDC37370043001A017E40100130438 +:101E6000246597E901009389A97517EA0100130A0F +:101E70006A6417EB0100130B6B621C409C43B5C3F3 +:101E80001C40DC4703A9C70083274900930B490086 +:101E90005E8563EEFA04EFE0AFED83278902130558 +:101EA000890199C3EFE0CFEC8327C90203270B0018 +:101EB000DE85139527003E950A054E956376F7005B +:101EC00017E70100232CF75CEFE00FE683270A00F9 +:101ED0000327C902DC57E362F7FA1C4085449C43A0 +:101EE000C5F3FD5717E70100232EF75801B717E791 +:101EF00001002329F758DDBD17E701001307075B31 +:101F00001C40184397E6010023A4E65A17E7010096 +:101F1000232EF75897E70100938747579C4385077F +:101F200017E701002324F7561C409C4399E7FD570F +:101F300017E701002328F75451BD1C40DC47DC475C +:101F4000DC4317E70100232FF75249B597E701005B +:101F500093870753984301E77370043001A0011180 +:101F600006CE22CC26CA4AC84EC652C456C25AC051 +:101F70007370043097E4010093840452984061EB3D +:101F800098437D1797E6010023ACE64E9C43D9EBBE +:101F900097E70100938707519C43C9C797EA01005F +:101FA000938A0A6217EA0100130A4A4F17E90100EF +:101FB00013094950854919A83385EA00EFE0CFD6C7 +:101FC000832709005854DC576379F70483A70A0F65 +:101FD000C1CF83A7CA0FC04713058401EFE04FD9D3 +:101FE000130B44005A85EFE0AFD85C5483260A00F7 +:101FF000DA85139527003E950A052A875695E3FD55 +:10200000F6FA17E70100232BF748EFE0EFD183271B +:1020100009005854DC57E36BF7FA97E7010023A94E +:1020200037476DB701449C4081CB97E70100938708 +:1020300067489C43FC4395E72285F2406244D244C2 +:102040004249B249224A924A024B0561828017E70F +:1020500001001307274614431843F8420507F8C246 +:1020600005B7EF402000C9BF17E401001304844204 +:102070001C4095C31C40054999CF193B09C597E7FA +:10208000010023A727411C40FD1717E70100232368 +:10209000F7401C40FDF397E701009387673F9843A3 +:1020A0008547E311F7F8EFE06FB60544ADBF11CDFA +:1020B00081E57370043001A017E701001307473C66 +:1020C0001C4399C77370043001A07370043001A0E1 +:1020D000411122C406C614431841850617E60100C3 +:1020E0002320D63A97E601009386863B9442330438 +:1020F000B70000C163F7E602637FE40063FD86007A +:1021000097E701009387073B88431105EFE04FC62F +:102110002285EF30F06E1D3D01C9B24022444101DD +:102120008280E36FE4FCD9BF2244B24041016FE0FA +:10213000EFAD11C917E70100130787341C4391C79E +:102140007370043001A06FE06FAC411106C622C469 +:102150001843AA87050797E6010023A3E63297E60E +:1021600001009386263517E70100130767331843EC +:1021700088423304F7001105EFE08FBF2285EF306E +:102180003068E93311E52244B24041016FE00FA805 +:10219000B240224441018280411122C406C697E721 +:1021A00001009387E72D9C430144850717E7010051 +:1021B0002328F72C97E701009387C72E9C4363E001 +:1021C000F51217EE0100130E6E4B97E20100938299 +:1021D000623E01440147854F1303500A21A0311E7E +:1021E000638DC20B83278EFFBA86F5DB8327CEFF74 +:1021F000DC43232EFEFE638AC70F03AFC700DC4318 +:102200002A97814E232EFEFE6385C70783A8C70049 +:1022100093874803232017015CC383A788042326E0 +:10222000F7011CC783A7C8021CCB83A70805232C72 +:1022300007005CCB03A8080383470800639067048A +:10224000C287850783C60700B3850741E38B66FE17 +:102250008981C205C181231EB700850E13070702BD +:1022600063061F038327CEFFDC43232EFEFEE39F7E +:10227000C7F983274E00232EFEFE49BF8145231E4A +:10228000B700850E13070702E31E1FFD7694131790 +:102290005400311EBA86E397C2F597E70100938791 +:1022A000272103AE070083270E00639D070E97E7E3 +:1022B00001009387A71F03AE070083270E006392D8 +:1022C000071817EE0100130EAE2F83274E109DE363 +:1022D00083278E116395072019C223200600BD3184 +:1022E0002285B24022444101828083274E00232E62 +:1022F000FEFE21B783278E1017EF0100130F0F3D4D +:10230000DC4317E701002321F73C6380E73383A216 +:10231000C700DC43AA96814E17E701002326F73A4F +:10232000914F1303500A6388E70783A8C700938778 +:10233000480323A01601DCC283A7880423A6F60164 +:102340009CC683A7C8029CCA83A7080523AC0600C5 +:10235000DCCA03A80803834708006391672AC28781 +:10236000850703C70700B3850741E30B67FE93D7D3 +:102370002500C207C183239EF600850E93860602C0 +:102380006386122983278E10DC4317E70100232D73 +:10239000F732E39CE7F983270E1117E701002325A5 +:1023A000F73261B783274E00130F8E00D4432322E8 +:1023B000DE006388E629B307E500D84283A2C600A1 +:1023C000814E2322EE00894F1303500A6306EF0665 +:1023D0008328C7001387480323A01701D8C303A786 +:1023E000880423A6F70198C703A7C80298CB03A7C0 +:1023F000080523AC0700D8CB03A80803034708004F +:102400006318671C4287050783460700B305074129 +:10241000E38B66FE13D7250042074183239EE70026 +:10242000850E93870702638D121B83264E00D842C8 +:102430002322EE00E31EEFF80327CE002322EE0056 +:1024400041BF83274E00130F8E00DC432322FE0082 +:102450006384E71F83A2C700DC43AA96814E232230 +:10246000FE00894F1303500A6306FF0683A8C700C6 +:102470009387480323A01601DCC283A7880423A600 +:10248000F6019CC683A7C8029CCA83A7080523AC93 +:102490000600DCCA03A80803834708006396671098 +:1024A000C287850703C70700B3850741E30B67FEB3 +:1024B00093D72500C207C183239EF600850E93861D +:1024C0000602638B120F83274E00DC432322FE009B +:1024D000E31EFFF88327CE002322FE0041BF83279F +:1024E000CE1197EE0100938EAE1FDC4317E701007B +:1024F0002326F71E6384D71383AFC700DC4333075B +:10250000D500014397E6010023AAF61C0D4F89422E +:102510009308500A6387D707C8479307450308C342 +:102520005CC33C452326E7011CC75C551CCB1C55EE +:1025300099C3232657003C49232C07005CCB032872 +:1025400005038347080063951705C287850783C67F +:102550000700B3850741E38B16FF93D72500C20719 +:10256000C183231EF700050313070702638AAF0226 +:102570008327CE11DC4397E6010023A1F616E39DE5 +:10258000D7F983274E1297E6010023A9F61469B7FD +:102590008147231EF700050313070702E39AAFFCE8 +:1025A0001A94E31C06D225BB8147239EF600850EB4 +:1025B00093860602E39912F117EE0100130E4E0006 +:1025C00083274E10769493165400E38307D01DB3EF +:1025D0000147239EE700850E93870702E39712E5E4 +:1025E00097E70100938787EC03AE07007694931674 +:1025F000540083270E00E38607CCA1B58147239EB4 +:10260000F600850E93860602E39E12D783278E116D +:10261000769493165400E38107CCD1B583274E12EC +:1026200017E70100232CF70AC1BD83270E1117E716 +:102630000100232BF708E1B98327CE002322FE00F7 +:1026400011BD8326CE002322DE00B5B3797126D2D8 +:102650004AD04ECE52CC56CA5AC85EC606D622D4EE +:1026600097E901009389C9E117E90100130949F5C8 +:1026700017EA0100130A4AE297EA0100938A8AE303 +:1026800097EB0100938B0BE297E401009384C4E184 +:10269000054B21A883A7090003244910850717E7E4 +:1026A0000100232FF7DC5D3011E89C40E5F783271C +:1026B0000900E37CFBFEEFD07FD5C5BF737004300B +:1026C00083270A00B9E383270911C0471305440093 +:1026D000EFD01FEA83A70B00FD1717E701002323A4 +:1026E000F7DC9C40FD1717E70100232FF7DA83275B +:1026F0000A0089C783A70A00FC4385E30858EF1046 +:10270000D0032285EF1070034DB703A70A0083A7FB +:102710000A007C4385077CC37DB703A70A0083A614 +:102720000A007C43FD177CC3FC42E9FB7360043064 +:10273000F1B797E701009387A7D49C43DDE34111EC +:1027400006C697E7010023A507D417E70100130782 +:1027500067D61C43B7A6A5A59386565A9C5B8C43A7 +:10276000639BD500D0436318B60094476395C600B9 +:10277000DC476388D70008430C4393854503EF206B +:10278000407897E60100938666D1904217E70100F2 +:10279000130707E311A89C42B9CB9C42FD1717E62B +:1027A0000100232DF6CE904293172600B2978A0798 +:1027B000BA979C43EDD3904293172600B2978A07AD +:1027C000B305F700D441A107BA97D442D4C16383BB +:1027D000F602DC46B24017E70100232DF7CC410199 +:1027E0008280854717E701002324F7CA828073702F +:1027F000043001A0D1473306F602D442329754C3C5 +:10280000C9BF01114AC806CE22CC26CA4EC62A89A3 +:102810007370043097E40100938404C89C4017E46B +:102820000100130424C9D9E7631409000329040033 +:10283000930949004E85EFD0BFD38327890289C70A +:1028400013058901EFD0DFD2CE8517E5010013050E +:10285000A5E8EFD07FCD9C4081C71C40FC43ADE391 +:102860001C40638B27079C409DCF737004309C40B5 +:10287000C1EB97E701009387A7C39843184325EB63 +:10288000FD5717E70100232FF7BE9C4089CF1C405E +:10289000FC4391CB184014407C43FD177CC3FC42A1 +:1028A00099E373600430F2406244D2444249B24931 +:1028B0000561828018401C407C4385077CC3ADB70E +:1028C000184014407C43FD177CC3FC42D1FB73606D +:1028D00004301C40E39927F99C40A1C397E701000D +:1028E000938707BA9C43ADCB7370043001A09C431F +:1028F000DC47DC47DC4317E701002325F7B871B755 +:1029000018401C407C4385077CC397E701009387F0 +:1029100027BA984318432DD7D9BF97E7010093876B +:1029200067B817E701001307A7C99C43032787115E +:10293000631DF700F240624497E7010023AC07B63D +:10294000D2444249B249056182806244F240D24495 +:102950004249B2490561F1BB6244F240D244424966 +:10296000B24905616FD09FAA3DC9411126C297E4C3 +:102970000100938424B44AC02E898C4006C622C428 +:10298000E105EFD0FFBB88401105EFD07FBEFD57BA +:10299000630AF90497E70100938787B08043984062 +:1029A0009C434A9440C3636CF40497E70100938707 +:1029B00027B088438C409105EFD09FB897E701007E +:1029C000938747AC9C436376F40097E7010023AB01 +:1029D00087AAB24022449244024941018280737026 +:1029E000043001A08C402244B2409244024917E5D1 +:1029F0000100130565CE910541016FD0FFB297E745 +:102A000001009387A7AA884322448C40B240924495 +:102A10000249910541016FD0BFB211C997E701008A +:102A2000938707A69C4399E77370043001A07370E5 +:102A3000043001A0411126C297E40100938484A7C9 +:102A40009840AE878C40B7060080D58F06C622C45A +:102A50001CCFE1053284EFD03FAD88401105EFD0A7 +:102A60003FB1FD57630EF40097E70100938747A33A +:102A70008843B24092442295224441016F3040582D +:102A80008C402244B240924417E501001305C5C4AE +:102A9000910541016FD05FA925CD411126C297E470 +:102AA0000100938424A14AC02E898C4006C622C40A +:102AB000E1053284EFD05FA788401105EFD05FAB0E +:102AC0008547630AF40497E701009387679D804375 +:102AD00098409C434A9440C3636CF40497E7010018 +:102AE0009387079D88438C409105EFD07FA597E79A +:102AF0000100938727999C436376F40097E70100D0 +:102B000023A28798B2402244924402494101828024 +:102B10007370043001A08C402244B24092440249B8 +:102B200017E50100130545BB910541016FD0DF9FFB +:102B300097E7010093878797884322448C40B240EF +:102B400092440249910541016FD09F9F411106C6F1 +:102B500022C426C25C45C04735CC93048401268537 +:102B6000EFD01FA197E70100938787919C43B5E7BA +:102B7000930444002685EFD0BF9F97E70100938719 +:102B8000E79158549C4363F6E70097E7010023A7B9 +:102B9000E79093172700BA978A0717E501001305F6 +:102BA00025A2A6853E95EFD03F9897E70100938731 +:102BB00067909C4358540145DC5763F8E70085470C +:102BC00017E701002326F78C0545B24022449244C2 +:102BD000410182807370043001A0A68517E50100D1 +:102BE000130505ADEFD05F94C9B797E70100938750 +:102BF00027899C4381E77370043001A0411106C608 +:102C000022C426C2B70700804045CD8F1CC12DC409 +:102C1000EFD01F96930444002685EFD07F9597E769 +:102C200001009387A78758549C4363F6E70097E712 +:102C3000010023A5E78693172700BA978A0717E5AF +:102C400001001305E5973E95A685EFD0FF8D97E728 +:102C50000100938727869C4358540145DC5763F84D +:102C6000E700854717E701002324F7820545B240B6 +:102C700022449244410182807370043001A011CD3E +:102C800097E7010093878780984397E70100938730 +:102C900027819C4318C15CC182807370043001A0FD +:102CA00055C1D1CD411106C622C42A8773700430A4 +:102CB00097D801009388487E83A7080091CB97D7C7 +:102CC00001009387277F94439C43FC428507FCC205 +:102CD00017D301001303C37C9C4103260300FD5658 +:102CE0000144638FD70217DE0100130E2E7A0325ED +:102CF0000E000328070054436305A80005446371D0 +:102D0000D6023308D6400544637CF80003250E0044 +:102D1000918F03260300B6979CC108C350C301449A +:102D200083A7080081CB97D701009387A7789C439E +:102D3000FC4381EF2285B2402244410182807370BE +:102D4000043001A07370043001A0EF30A031DDB772 +:102D5000854717D70100232DF772828019C1684576 +:102D600082800145828011C16CC5828097D70100A5 +:102D7000938747748843828097D701009387C771F0 +:102D80009C43054589CB97D701009387676F88439C +:102D9000133515000605828031CD011126CA97D45E +:102DA0000100938424719C4006CE22CC4AC84EC6B2 +:102DB0005855DC576379F7021C4D63C707009C40E8 +:102DC000D457A947958F1CCD93172700BA97584918 +:102DD00017D901001309C97E8A07CA97630DF70046 +:102DE0009C40DC575CD5F2406244D2444249B2492F +:102DF000056182808280930945002A844E85EFD048 +:102E00002FF79C4017D70100130747691843DC5779 +:102E10005CD46376F70017D701002321F768139578 +:102E200027003E9562440A05F240D244CE854A9579 +:102E3000B249424905616FD02FEF19CD411106C645 +:102E400022C426C297D701009387C76680436307D1 +:102E5000A4007370043001A0014582807C4881E7A2 +:102E60007370043001A054543848FD177CC8638344 +:102E7000E60099C70145B240224492444101828054 +:102E8000930444002685EFD0AFEE3C4817D70100ED +:102E90001307C760144329471D8F5CD418CC63F611 +:102EA000F60017D70100232BF75E5147B387E702DF +:102EB00017D501001305C570A6853E95EFD0CFE666 +:102EC000054555BF7370043097D701009387C75CE1 +:102ED0009C4391CB97D701009387C75D98439C4350 +:102EE0007C4385077CC3828097D701009387C75AAC +:102EF0009C438DC397D701009387C75B9843784362 +:102F000011CB984394437C43FD177CC3FC4299E367 +:102F100073600430828097D701009387A75990434C +:102F200094439843A947D456084E958F1CCF82806E +:102F300097D7010093870758984309C79443F84AE5 +:102F40000507F8CA8843828079714AD006D622D410 +:102F500026D24ECE2A897370043097D40100938410 +:102F6000A4539C4017D401001304C454BDE31C4077 +:102F7000BC4F89E71C400547F8CFD1E19C4081C791 +:102F80001C40FC43F1EB737004309C408DEF1C40FF +:102F9000A84F11C5630409021C4023AC07041C4060 +:102FA00023AE07049C4081C71C40FC438DEBB2500C +:102FB000225492540259F2494561828018403C4F94 +:102FC000FD173CCFE9BF18401C407C4385077CC3FC +:102FD0007DBF18401C407C4385077CC349BF184017 +:102FE00014407C43FD177CC3FC42F1F37360043052 +:102FF000B250225492540259F249456182800840ED +:103000002EC61105EFD0CFD6B245FD576380F50629 +:1030100097D701009387C74883A9070018409C43AE +:10302000AE992322370163ECF90497D70100938707 +:10303000274888430C409105EFD08FD097D70100E7 +:10304000938747449C4363F6F90097D7010023AB6D +:103050003743EFD0AFBB1DB7184014407C43FD177A +:103060007CC3FC428DF37360043031BF0C4017D534 +:103070000100130565669105EFD00FCBD9BF97D737 +:1030800001009387A74288430C409105EFD04FCBB6 +:10309000C9B779714AD04ECE06D622D426D252CCA8 +:1030A0002E89B2897370043097D401009384C43E92 +:1030B0009C4017D401001304E43FF9EF18408947FE +:1030C000784F630CF7001C401345F5FFB84F798D1E +:1030D000A8CF1C400547F8CFADE69C4081C71C40F7 +:1030E000FC43F5E3737004309C40B1E7638609004C +:1030F0001C40BC4F23A0F900184085470145784F7C +:10310000630BF7001C401349F9FF0545B84F3379AD +:10311000E90023AC27051C4023AE07049C4081C76F +:103120001C40FC43C1E3B250225492540259F2496C +:10313000624A4561828018401C407C4385077CC3FD +:1031400075B7084036C61105EFD08FC2B246FD579D +:103150006385F60897D701009387873403AA070091 +:1031600018409C43369A232247016363FA0897D795 +:1031700001009387E73388430C409105EFD04FBCA3 +:1031800097D70100938707309C436370FA0697D75F +:10319000010023A9472F91A818401C407C438507B4 +:1031A0007CC329BF184014407C43FD177CC3FC42FC +:1031B000BDFB73600430B250225492540259F2495C +:1031C000624A45618280184014407C43FD177CC3ED +:1031D000FC4289FB7360043031B70C4017D5010005 +:1031E0001305854F9105EFD02FB4EFD02FA2F5B581 +:1031F00097D701009387872B88430C409105EFD028 +:103200002FB4E5B751C1411106C622C426C24AC037 +:103210007370043097D40100938404289C40B9EB68 +:1032200099C23C4D9CC2B2878946704D74CD2E87A1 +:103230002A846386D70663FCF6048D456387B7004E +:1032400091456395B7006300D60C38CC8547630F72 +:10325000F60405449C4081CB97D7010093878725CE +:103260009C43FC43A9E32285B24022449244024994 +:103270004101828097D701009387C72398439C43DD +:103280007C4385077CC369BF7370043001A0854609 +:10329000E39ED7FA3C4D33E7B70038CD45BF3C4DF0 +:1032A00085073CCD65B7EF20F05B75BF130944007F +:1032B0004A85EFD0EFAB17D701001307271E5C54E8 +:1032C00018436376F70017D701002329F71C5147ED +:1032D000B387E70217D501001305852ECA853E95F1 +:1032E000EFD08FA41C5481C77370043001A097D70E +:1032F00001009387271C9C435854DC57E3FBE7F4F9 +:10330000EFD0CF90B9B70144B1B739CD011122CC7C +:1033100026CA4AC84EC652C456C206CEBA89368A92 +:10332000B2842E892A84EFD04F9AAA8A63050A00B4 +:103330003C4C2320FA00784C89477CCC6389F4020A +:1033400063F4970C8D466387D40091466396D4004E +:10335000630AF70A232C24058547631EF7061C54CD +:1033600099CB7370043001A07370043001A03C4C01 +:1033700085073CCCD5B797D70100938767109C434E +:10338000ADEB930444002685EFD08F9E17D7010044 +:103390001307C7105C5418436376F70017D7010072 +:1033A000232EF70E5147B387E70217D50100130507 +:1033B0002521A6853E95EFD02F9797D701009387BB +:1033C000670F9C435854DC5763F7E7006385090097 +:1033D000854723A0F90005445685EFD0AF8E22859E +:1033E000F2406244D2444249B249224A924A0561BB +:1033F00082809305840117D501001305652BEFD05A +:10340000AF9265BF0144C9BF8547E397F4F43C4CD4 +:1034100033E92701232C240581B74DC1011122CCAA +:1034200026CA2A844AC806CE4EC6AE84EFD0EF899B +:103430008947744C7CCC3C4C05472A8985073CCC99 +:103440006395E6061C5481C77370043001A097D7BA +:1034500001009387E7029C43ADE7930944004E8542 +:10346000EFD00F9117D70100130747035C5418439F +:103470006376F70017D701002322F7025147B3877D +:10348000E70217D501001305A513CE853E95EFD0B1 +:10349000AF8997D701009387E7019C435854DC57C5 +:1034A00063F5E70099C085479CC06244F240D2446E +:1034B000B2494A85424905616FD0CF8073700430AC +:1034C00001A09305840117D501001305651EEFD0F7 +:1034D000AF85C1B7AA8729C57370043017D701001B +:1034E000130787FB14439DE2F04F8946014563159E +:1034F000D60023AE070405451C4381CB97D70100B6 +:10350000938747FB9843784315E3828097D6010061 +:10351000938646FA904294427442850674C2E9B793 +:1035200097D70100938707F99C437DB7984394434D +:103530007C43FD177CC3FC42E9FB7360043082804E +:103540005D71A6C2CAC052DC56DA5AD85ED686C6AB +:10355000A2C44EDE62D466D26AD017D90100130924 +:1035600069F617DB0100130B2BF597D40100938448 +:10357000E4F497DA0100938AAAF4054AA54B83275D +:103580000900984363010712DC4783A90700EFE0B5 +:10359000EFF6EFE0AFF783270B002A8C6362F50AA2 +:1035A00097D7010023AAA7F001466370351B884016 +:1035B000B3858941EFD0FFBDEFE05F99630105124C +:1035C0008840814601462C00EFD00FEC4DD9A24730 +:1035D000E3C807FEC24983A7490189C7138549008B +:1035E000EFC01FF9EFE08FF283270B002A8C636D89 +:1035F000F508A24717D70100232087EDE3E2FBFC83 +:10360000B317FA0013F70721631A071613F7770C9D +:103610006318071E93F70702C5D74E85EF00F01118 +:1036200045B7DC47C04783AC0700930944004E858B +:10363000EFC01FF45C50228582975C4C6384470B7B +:1036400083270900984371FF03A70A0097D601005A +:1036500023A486E797D6010023A4F6E697D70100B6 +:1036600023A2E7E6EFE09F8EA1BFDC47C04703AD92 +:103670000700930C44006685EFC09FEF5C502285E5 +:1036800082975C4C6386470983270900984371FF42 +:1036900003A70A0097D6010023A4F6E297D70100FA +:1036A00023A2E7E2B9B7EFE06FE5EFE02FE683276B +:1036B0000B002A8CE366F5F883A70A00884081494D +:1036C0009043B385894117D70100232787DF13363D +:1036D0001600EFD01FACEFE07F87E31305EEEFC0DD +:1036E000FFD2F9BD1C4CCE85E69763E9FC049C40F3 +:1036F00089CF02CA66CC22CEEFF00FE8884081461F +:1037000001464C08EFD02F8805FD7370043001A0EE +:103710001C4CE685EA97636CFD049C4089CF02CA85 +:103720006ACC22CEEFF04FE58840814601464C0836 +:10373000EFD06F8531F97370043001A003250900C3 +:103740005CC000C8EFC0DFDFE5BDEFE03F8083274E +:103750000900DC47C047930C44006685EFC05FE179 +:103760005C4C638F47035C502285829791BD032593 +:1037700009005CC000C8EFC0BFDC39B7B24723AC5A +:10378000F900A5CFE29723A2F90023A83901938578 +:103790004900636FFC0403A50A00EFC07FDA0DB592 +:1037A0001C4C00C83387F90058C0636DEC02330C21 +:1037B0003C416363FC029C4089CF02CA4ECC22CEBE +:1037C000EFF08FDB8840814601464C08EFC0BFFB1D +:1037D00059F97370043001A003A50A00E685EFC013 +:1037E0003FD651B703250900E685EFC07FD5A5BFB9 +:1037F00003250900EFC0DFD4E1B37370043001A0EA +:10380000B24783A6890123A839013387D70023A2B1 +:10381000E9006364EC04B307FC4063EBD70483A7BF +:1038200049024E85829783A7C901E39B47D983A6A6 +:103830008901B2479840B69711CF02CA3ECC4ECE0E +:10384000EFF08FD38840814601464C08EFC0BFF3AC +:10385000E31805D67370043001A06374FC00E370B4 +:10386000F7FC0325090093854900EFC07FCD89BB94 +:1038700003A50A0093854900EFC09FCC91B3411185 +:1038800022C406C626C24AC017D40100130404C3CA +:10389000EFF04FE31C4095CBEFF00FE51C409DC3CC +:1038A000814801488147094781461306004097D562 +:1038B00001009385A5B0170500001305A5C8EFD03A +:1038C0007F9A39E97370043001A017D901001309F8 +:1038D000E9E14A85EFC0BFC397D40100938444E275 +:1038E0002685EFC0DFC20146B145114597D70100DB +:1038F00023AA27BD97D7010023A497BCEFC01FDCE4 +:1039000017D70100232CA7BA11CD97D501009385B5 +:1039100065AAEFD0CFFC49B7B24022449244024995 +:10392000410182807370043001A0A5C9797156CA23 +:10393000AA8A1305C00222D426D24AD04ECE52CC37 +:1039400006D65AC85EC63A89B689328AAE84E9215B +:103950002A8405C9EFF00FD797D70100938707B6E0 +:103960009C439DCFEFF04FD8130544002320540112 +:1039700004CC232E44012320340323222403EFC04C +:103980005FBA2285B250225492540259F249624AD7 +:10399000D24A424BB24B456182807370043001A021 +:1039A00097DB0100938B8BD45E85EFC05FB617DB8E +:1039B0000100130BEBD45A85EFC07FB50146B1452A +:1039C000114597D7010023AF77AF97D7010023A9FF +:1039D00067AFEFC0BFCE17D701002321A7AE01C943 +:1039E00097D501009385059DEFD06FEFA5BF73704C +:1039F000043001A039C5011122CC06CE26CA17D445 +:103A000001001304A4AB832804002A8801456385C0 +:103A10000802BA842EC2368732C442C6954663C5B0 +:103A2000B602EFF06FB58947630BF5020840814697 +:103A300001464C00EFC03FD5F2406244D2440561DC +:103A400082807370043001A04C0081463A8646851E +:103A5000EFD0AF88F2406244D244056182800840D2 +:103A6000814626864C00EFC01FD2F9B719C1084124 +:103A700082807370043001A001E57370043001A0EE +:103A8000411106C622C42A84EFF0CFC3484833341C +:103A9000A000EFF06FC52285B24022444101828030 +:103AA00001E57370043001A0411122C42A8406C6C6 +:103AB000EFF04FC10050EFF02FC3B24022852244F7 +:103AC0004101828001E57370043001A0411122C4DC +:103AD00026C22E84AA8406C6EFF0CFBE80D0224430 +:103AE000B240924441016FF02FC04111714522C490 +:103AF00006C61D262A8411C5232005001105EFC026 +:103B00001FA12285B24022444101828001CDB70726 +:103B100000FFED8F81C77370043001A099E57370C9 +:103B2000043001A07370043001A0011122CC4AC8F6 +:103B30004EC652C456C206CE26CABA893689B28A41 +:103B40002E842A8AEFF04FA311E563850900737074 +:103B5000043001A0EFE08F9A83240A00B377940029 +:103B60006316090295C763870A009347F4FFE58F40 +:103B70002320FA00EFE08FBDF240624426854249DF +:103B8000D244B249224A924A05618280E30DF4FC94 +:103B9000E38209FEB3355001E20563050900B7076A +:103BA0000004DD8D4E86C18D13054A00EFE0FFE66F +:103BB000EFE0CFB919E1EFC07F85EFF0CFB59317F4 +:103BC0006500AA8963D80700B7040001FD14B3F4A7 +:103BD00099005DB7EFF00FAF83290A00B377340186 +:103BE000631E090089CB63880A009347F4FFB3F78B +:103BF00037012320FA00EFF02FAFF9B7E31DF4FEF1 +:103C0000DDB701C9B70700FFED8F99C773700430A6 +:103C100001A07370043001A0411122C42A8406C699 +:103C200026C24AC02E89EFF0EFA904409345F9FF60 +:103C3000B3F795001CC0EFF02FABB24022442685AD +:103C40000249924441018280411122C42A8406C65D +:103C5000EFC0BF870040EFC0FF86B24022852244FC +:103C60004101828009C9370700FFB3F7E50099C712 +:103C70007370043001A07370043001A06F204040C5 +:103C8000B70700FFF18F81C77370043001A001E610 +:103C90007370043001A0011122CC4AC84EC652C430 +:103CA00006CE26CA3689AE892A8A3284EFF0CF8CB6 +:103CB00021E1630509007370043001A0EFE00F8477 +:103CC00083240A00CE855285B3E49900613FB3771F +:103CD00094006307F40683240A00EFE02FA7F24064 +:103CE000624426854249D244B249224A0561828013 +:103CF000EFE0CF8083240A00CE855285B3E43401FF +:103D00009537B3F78400638D8702E30609FCB70596 +:103D100000054A86C18D13054A00EFE01FD0EFE091 +:103D2000EFA219E1EFC08FEEEFF0EF9E9317650061 +:103D3000AA8463DF0700B7070001FD17FD8C45B7B4 +:103D400083270A001344F4FFE18F2320FA0071B7A0 +:103D5000EFF04F9783240A00B377940063978700AE +:103D60009347F4FFE58F2320FA00EFF0EF97E1B7D8 +:103D7000411122C406C62A8426C2EFD03FF85C4017 +:103D800085C308489304C4006316950015A008482D +:103D900063009502B7050002EFE03FE55C40E5FBFC +:103DA0002285612A2244B240924441016FE00F9A79 +:103DB0007370043001A009C9370700FFB3F7E500AD +:103DC00099C77370043001A07370043001A06F2094 +:103DD000202B01C9B70700FFED8F99C7737004301E +:103DE00001A07370043001A0411122C426C206C68E +:103DF0002A84AE84EFF00F8D184093C7F4FFF98F3B +:103E00001CC02244B240924441016FF0EF8D19C1B1 +:103E1000084D828001458280411122C406C62A8451 +:103E2000EFD0DFED97C701009387076A9C43A1EBB2 +:103E3000E56717D701001307278EF11797C6010012 +:103E400023AAE66817C701002324F76817D70100E3 +:103E50002326F78C97C701009387476717D7010080 +:103E6000232CF78A854717C701002327076617C742 +:103E70000100232D076417C701002327F76419E801 +:103E8000EFE0CF8CEF10600701442285B24022445E +:103E9000410182801305840093773500C1EFE56707 +:103EA0001307F5FFE917E3EDE7FC97C601009386DA +:103EB00066629C4229A0984311C7BE86BA87D84340 +:103EC000E36BA7FE17C7010013074760E38AE7FA11 +:103ED000984341461384870098C2D843B306A7404D +:103EE0006378D6023388A7002322D800C8C383256D +:103EF000480097C601009386E65D11A0BA869842F5 +:103F00005043E36DB6FE2320E80023A00601D8430A +:103F100097C701009387875F9C43998F17C701005C +:103F20002326F75EEFE08F8231DC2285B240224407 +:103F300041018280719911059DB729C9411122C49F +:103F400006C62A84EFD09FDB930584FF0326C4FFB7 +:103F500017C701001307075811A03E871C43D4431D +:103F6000E3EDC6FE232CF4FE0CC397C70100938734 +:103F7000E75998438327C4FF2244B240BA9717C732 +:103F800001002325F75841016FD05FFC828097C75D +:103F900001009387A7578843828082803367B500EA +:103FA0000D8BAA871DE79308D6FFAA982A87AE86AD +:103FB0006371150303A8060011079106232E07FF5E +:103FC000E36A17FF9347F5FFC697F19B9107BE95EC +:103FD000AA972A9663F3C70A938645001388470079 +:103FE000B3B6D70033B8050133E7F50093C6160022 +:103FF000134818000D8BB3E6060113371700758FB1 +:10400000330EF6409386170029CF1337AE0031EBFD +:1040100013532E002E88BE8601478328080005070B +:10402000110823A016019106E36967FE1377CEFFFE +:10403000BA95BA976302EE0483C60500138717008A +:104040002380D700637AC70283C615001387270031 +:10405000A380D7006372C70203C725002381E7004E +:104060008280B307F640AE97850503C7F5FF850646 +:10407000238FE6FEE39AF5FE82808280B367C50057 +:104080008D8BB308C500E9C36371150FB307A0405A +:104090008D8B13873700954693F5F50F13081500A0 +:1040A0003383A8407D166373D70015476363E60C1E +:1040B000D5CF2300B5000547638DE700A300B50009 +:1040C0000D47130825006396E70013083500230108 +:1040D000B500139685004D8E13970501518F33035C +:1040E000F34093968501D98E13562300AA97014772 +:1040F00094C305079107E36DC7FE1377C3FFB307AA +:10410000E8006307E3062380B70013871700637C8A +:104110001703A380B7001387270063761703238153 +:10412000B7001387370063701703A381B7001387A5 +:104130004700637A17012382B7001387570063741F +:104140001701A382B700828093F5F50F13978500BE +:10415000D98D139705014D8FE37715FFAA87910736 +:1041600023AEE7FEE3ED17FF828082802A8895B7B1 +:104170008280AA8749BF834705002A8799C705051A +:1041800083470500EDFF198D82800145828005057A +:104190008347F5FF850503C7F5FF91C7E389E7FE70 +:1041A0003385E74082808147E5BFAA87850503C73D +:1041B000F5FF8507A38FE7FE75FB82808346050028 +:1041C000930700026397F600050583460500E38D1B +:1041D000F6FE938756FD93F7D70F85C7AA878145CB +:1041E00085CE01458507138606FD1317250083C676 +:1041F00007003A9506053295F5F699C13305A040BA +:104200008280938536FD8346150093B5150093078C +:104210001500E1FA0145D5B7014582809C41238014 +:10422000A7009C4185079CC1828097C601009386A8 +:10423000E62A9C42175703001307C750138617003E +:10424000BA972380A70097C5010023A9C528A947CD +:104250006308F500930700046305F60001458280BA +:1042600075DE370610F005078347F7FF2320F6F0C9 +:104270009C42FD1797C5010023A2F526EDF70145E5 +:1042800082804D712322911423202115232A51135A +:10429000232481132326111423248114232E311364 +:1042A000232C4113232861132326711323229113F6 +:1042B0002320A113232EB111AA842E8932C6130CF8 +:1042C0005002A54A8347090063808703B9CB804029 +:1042D00011A0A1CB2300F40080400509050480C093 +:1042E00083470900E39787FF0346190093081900E5 +:1042F000C686130D0002FD597D5A0148130550056D +:104300009307D6FD93F7F70F138916006363F52A19 +:1043100017C70100130707CF8A07BA979C43BA97BC +:1043200082878320C1140324811483244114032928 +:1043300001148329C113032A8113832A4113032BF8 +:104340000113832BC112032C8112832C4112032DE4 +:104350000112832DC11171618280A14C02C4854775 +:1043600063D50715B2478040938B770093F68BFF98 +:1043700003AB060083A94600938786003EC6668687 +:1043800081465A85CE85EF1070122AC88147638511 +:104390003723930D4101854B668681465A85CE852C +:1043A000EF10E05A814666862A8BAE89EF10101016 +:1043B000A24723A0AD0093861B00910D63843701B3 +:1043C000B68BD9BFE37E9BFF63DD4601A2872380C6 +:1043D000A70180407D1A930714009CC03E84E3C867 +:1043E00046FF93962B001C08BE9631A8938777054D +:1043F0002300F4008040FD1B93871B00050480C050 +:10440000F116E351F0EC9C42E3E2FAFE93870703D6 +:10441000C5B79C409306000332472380D7009C40D9 +:1044200093064700C14C1386170090C013068007FF +:10443000A380C700804002C4BA87050480C003ABD4 +:104440000700814936C625BFB24783AC0700938B6E +:10445000470063840C1C635F40199307D002631903 +:10446000FD1683C70C0085C363DC09189440850CD6 +:104470007D1A2380F6008040050480C083C70C00AD +:10448000E5F7635D40018040930700022300F400DC +:1044900080407D1A050480C0E31A0AFE5EC61DB581 +:1044A00002C48547A94CE3CF07EBB247804093860F +:1044B000470071B703C61600CA8699B59C4093069B +:1044C00050022380D7008040050480C0E5BBC14C6A +:1044D00002C471B5B24703C61600CA8683A9070095 +:1044E00091073EC6E35E0AE04E8AFD5911BD03C640 +:1044F0001600130DD002CA8621B59347FAFFFD8737 +:1045000003C61600337AFA00CA86DDBB03C616005E +:10451000130D0003CA86EDB383C51600930906FD8B +:10452000CA86938705FD2E86E3EEFAFA9397290053 +:10453000BE9985068609AE9983C50600938909FD53 +:10454000938705FD2E86E3F3FAFE69BF32479C4050 +:10455000144311073AC62380D7008040050480C069 +:1045600095B3854763D3070BB24793867700E19AEB +:10457000938786003EC683A946009C4263DC090AF5 +:1045800094401306D002B307F0402380C600804059 +:10459000B336F000B3093041050480C03E8BB389C7 +:1045A000D940A94C02C4E1BB03C616000508CA865F +:1045B00081BB9C40468921B7E37D9BDD8547814BCC +:1045C0008546E3C547E131BD97CC0100938C8CDF74 +:1045D000CE856685EF00907D330AAA40635C40017A +:1045E00080402300A40180407D1A050480C0E31AA6 +:1045F0000AFE014A83C70C00E38207EAE3C809E622 +:10460000FD197D57E394E9E6ADBDB24793864700B7 +:104610009C4336C693D9F74195B76356400193073B +:10462000D002E313FDFA97CC0100938CACD9930729 +:1046300080021DBD3E8BA94C02C4804089B34D71E0 +:10464000232E3113B70900409387F9FF23248114E7 +:1046500023229114232C4113232A511323261114AE +:10466000232021152328611323267113232481136A +:10467000232291132320A113232EB1112A8A2EC4A1 +:1046800017C40100130484E5975A0300938A8A0B28 +:1046900097C40100938484AC3EC69305500229451B +:1046A00013080004B70610F083470A006386B704B6 +:1046B000C1C71840050A13061700569797C801008E +:1046C00023AEC8E02300F7006382A706E31E06FDC1 +:1046D000175703001307070705078347F7FF23A0B2 +:1046E000F6F01C40FD1717C601002329F6DEEDF792 +:1046F00083470A00E39EB7FA83461A0013051A009F +:104700002A87930B00027D5B7D5C01489305500571 +:10471000A5489387D6FD93F7F70F130A170063E7B1 +:10472000F5348A07A6979C43A697828717570300FC +:104730001307470155F28DBF8320C1140324811450 +:1047400083244114032901148329C113032A8113EB +:10475000832A4113032B0113832BC112032C8112D3 +:10476000832C4112032D0112832DC11171618280AE +:10477000A14C014D854763DB0719A2479D07E19BCB +:1047800083AD070003AB4700138787003AC46686F2 +:1047900081466E85DA85EF1060512AC863066D3553 +:1047A000130941018549668681466E85DA85EF10D9 +:1047B000001A66868146AA8D2E8BEF10204F23208B +:1047C000A90085091109E3106DFFE3FE9DFD1306A5 +:1047D0000004B70610F063D189031C40138717004B +:1047E000D69797C5010023ABE5CE23807701630CF4 +:1047F000C7047D1CE3C389FFB2476800A548B386A0 +:10480000F9008A061C08BE962948130300043706DF +:1048100010F0E384A6E89C429305000363F4F800DB +:10482000930570051840AE9793051700569717CE5D +:1048300001002325BECA2300F7006380072B638C89 +:104840006526F116F9B7175703001307A7EF0507F9 +:104850008347F7FF23A0F6F01C40FD1797C5010022 +:1048600023AEF5C6EDF77D1C71B71C40130600049E +:104870001387170097C5010023A2E5C6B386FA0087 +:10488000930500032380B6006300C73E890797C6DF +:10489000010023A5F6C45697930680072300D7008E +:1048A00017570300130707EAB70610F0639EC70007 +:1048B00005078347F7FF23A0F6F01C40FD1717C636 +:1048C0000100232DF6C0EDF7A247C14C014D910721 +:1048D0002247014B832D07003EC455BDA24783AC40 +:1048E000070013894700638F0C3A635480219307B4 +:1048F000D002639EFB2E03C70C00BA85631007200D +:1049000025AC8547A94C014DE3C907E7A2479107AC +:10491000C1B7A24783461700528703AB0700910730 +:104920003EC4E3580CDE5A8C7D5BE5B3834617002A +:10493000930BD0025287F1BB9347FCFFFD87834660 +:104940001700337CFC005287E9B383461700930BB2 +:10495000000352877DBB03461700138B06FD528769 +:10496000930706FDB286E3EEF8FA93172B00DA9769 +:1049700005078607B29703460700138B07FD9307C9 +:1049800006FDB286E3F3F8FE69BFA2451C40294646 +:10499000984193861700D69717C501002320D5B4F8 +:1049A0002380E700138945006301C72A93070004A9 +:1049B0006387F6204AC4D5B1854763DF0729A2473C +:1049C00013877700619B930787003EC4032B470042 +:1049D0001C43635B0B30184013060004930617005A +:1049E00097C5010023ACD5AE56979305D00223009E +:1049F000B7006388C62CB307F0403337F000330BA1 +:104A00006041BE8D330BEB40A94C014D49B3834649 +:104A1000170005085287F5B9834617005287D5B9A4 +:104A20001C40930600041387170017C601002327B4 +:104A3000E6AAD697130650022380C700E31FD7C407 +:104A400017570300130707D0B70610F00507834771 +:104A5000F7FF23A0F6F01C40FD1717C60100232F17 +:104A6000F6A6EDF71DB9C14C014D29B31C409306C4 +:104A700000042A8A1387170017C601002320E6A620 +:104A8000D697130650022380C700E318D7C01757E4 +:104A90000300130727CBB70610F005078347F7FF7E +:104AA00023A0F6F01C40FD1717C601002328F6A22C +:104AB000EDF72A8ADDB6175703001307A7C80507C5 +:104AC0008347F7FF2320F6F01C40FD1797C5010030 +:104AD00023A6F5A0EDF7F1162DBB1757030013071A +:104AE00067C6F1FDF11635B3E3FC9DCB8549C5B131 +:104AF000014C03C70C00BA85E30E07EAFD582945AF +:104B000013080004B70610F063570B0A1C40138605 +:104B10001700D69717C301002322C39C2380E70008 +:104B20006384A50663040609850C03C70C007D1C7D +:104B3000BA8579FBE35080E91C4093050002130617 +:104B40000004B70610F021A07D1CE3050CE61387D6 +:104B50001700D6972380B70017C501002320E598DA +:104B6000BA87E313C7FE175703001307A7BD05074E +:104B70008347F7FF23A0F6F01C40FD1717C501007F +:104B8000232EF594EDF7C9B717570300130787BB1A +:104B900041DE05078347F7FF23A0F6F01C40FD1711 +:104BA00017C60100232CF692EDF7BDBF175703007F +:104BB000130747B9F9BF7D1BE31A1BF5A5BF1757AC +:104BC0000300130727B8B70610F005078347F7FF60 +:104BD00023A0F6F01C40FD1717C601002320F69015 +:104BE000EDF74AC45DBC97BC0100938CAC7DDA85BF +:104BF0006685EF00B01B330CAC40E35C80EF1C40DB +:104C000013060004B70610F021A07D1CE3020CEE91 +:104C100013871700D6972380770197C5010023AF2C +:104C2000E58ABA87E313C7FE17570300130787B156 +:104C300005078347F7FF23A0F6F01C40FD1797C533 +:104C4000010023ADF588EDF7C9B717570300130727 +:104C500067AFB5FA4AC491B4A247138747009C4393 +:104C60003AC413DBF741B5B317570300130787ADF9 +:104C7000B70610F005078347F7FF23A0F6F01C40A6 +:104C8000FD1717C60100232BF684EDF7854717C7DC +:104C900001002325F7849307800717570300230398 +:104CA000F7AA1DB1635680019307D002E39DFBF282 +:104CB00097BC0100938C0C7113078002930580024E +:104CC00035BD975603009386E6A7370610F0850694 +:104CD00003C7F6FF2320E6F018407D1797B50100C3 +:104CE00023AEE57E6DF701BBBE8DA94C014D45B4E9 +:104CF000B70710F023A0A7F201A0397113034102F6 +:104D00002ED29A8506CE32D436D63AD83EDA42DC56 +:104D100046DE1AC62D32F2400145216182805D7166 +:104D20001303810322D42AC632DC2A841A8668003F +:104D300006D6BEC236DEBAC0C2C4C6C61ACEEFF0B0 +:104D40004FD4B247238007003245B250018D225420 +:104D500061618280B70710F083A647F403A607F4C9 +:104D600003A747F4E31AD7FE8566938606FAB29640 +:104D700033B6C600B305E60023A4D7F423A6B7F4E0 +:104D80008280B70710F003A747F403A607F483A6B1 +:104D900047F4E31AD7FE8566938606FAB29633B6D1 +:104DA000C60023A4D7F4B305E60023A6B7F49307FF +:104DB000000873A047308280411122C406C65144C6 +:104DC0007D14552575FCB240224441018280411179 +:104DD00022C406C697B701009387C77017B40100B5 +:104DE0001304047098431C401306F07C9306470696 +:104DF0009387470697B5010023A6D56E97B50100A6 +:104E000023A0F56E6346D600B24022444101828061 +:104E10001307478997B7010023A6E76CEF00C0721C +:104E20008547631FF500184085679387F77601452E +:104E3000E3DCE7FC753D2244B24041016FC01FEB4B +:104E400017B50100130585584D3D094555352244D8 +:104E5000B24041016FC09FE917B501001305055924 +:104E600069BD17B501001305E55841BD411122C4C4 +:104E700026C206C6856417B401001304245893871C +:104E800084380100FD17F5FF2285EFF01FE7C5BF4D +:104E9000411106C622C4792317070000130707F241 +:104EA000814605469305200317B501001305855576 +:104EB000EFE0BFA72A84EFC07FE52A86228522443F +:104EC000B24001478146854541016FE0BFB24111C3 +:104ED00006C69D2D054781476316E500B2403E8515 +:104EE0004101828017B501001305454EEFF0FFE048 +:104EF000B24089473E85410182807370043001A031 +:104F000082807370043001A097B601009386865E9C +:104F10009C4237575A01130757E3B387E7028507C7 +:104F2000139517009CC245818280411106C697B730 +:104F300001009387275D8843814609468145EFE05C +:104F40006FACEFD03FF817B701001307275B1C4386 +:104F500085071CC3B24041016FD01FF9397106DECD +:104F600022DC26DA4AD84ED652D456D25AD05ECE59 +:104F700062CCEFC0BFD92A84930640063000814539 +:104F80007D55EFE00F91AA84EFC05FD8018D9307A4 +:104F9000300663E6A70097B7010023AD075681C42A +:104FA0007370043001A0A24781C77370043001A060 +:104FB00017B40100130404550840E165740011465C +:104FC0009385156AEFE00FA485476305F5007370BC +:104FD000043001A0B249638509007370043001A058 +:104FE000EFC0DFD22A8993064006300081457D5507 +:104FF000EFE02F8AAA84EFC07FD1330525419307C4 +:10500000300663F6A70097B7010023A50750854730 +:105010006385F4007370043001A0A24AE1679387AE +:10502000176A6385FA007370043001A017BA010093 +:10503000130A8A4D83270A000840814685071146D6 +:10504000D68517B701002321F74CEFE0AF9B63052E +:1050500095007370043001A008400569814611462F +:105060009305395BEFE00F9A01C57370043001A01E +:105070008146300081457D55EFE0AF8163059500A5 +:105080007370043001A0A24563855501737004302C +:1050900001A0084081460D46EFE0CF9663059500DC +:1050A0007370043001A0084081460D469305395BBA +:1050B000EFE04F95630595007370043001A08146C1 +:1050C000300081457D55EFD0DFFC6305950073709E +:1050D000043001A0A2471309395B6385270173706F +:1050E000043001A00840E165814601469385156AB8 +:1050F000EFE04F9185476305F5007370043001A020 +:105100008146300081457D55EFD0BFF82247856745 +:105110009387375B6305F7007370043001A09544F3 +:1051200005490840814609468145EFE0AF8DFD14F1 +:10513000631E2501FDF48146300081457D55EFD089 +:105140005FF5630825017370043001A073700430AB +:1051500001A0224785679387875B6305F70073701B +:10516000043001A08146300081450145EFD07FF237 +:1051700001C57370043001A08146300081457D5522 +:10518000EFD03FF18544FD5A0840A685814605468B +:10519000EFE04F878146300081450145EFD07FEF3A +:1051A00085472A89631EF500A24785098604E39D89 +:1051B00057FD930700026388F9007370043001A063 +:1051C0007370043001A09306400630008945EFD08B +:1051D0005FEC01C57370043001A0084081460146B0 +:1051E000FD55EFE02F828146300081450145EFD02B +:1051F0005FEA630525017370043001A0A244F957EA +:105200006385F4007370043001A0084081460146B4 +:105210008145EFD03FFF8146300089450145EFD001 +:105220005FE7A247638597007370043001A0814651 +:10523000300081450145EFD0DFE501C573700430D2 +:1052400001A0A244F1576385F4007370043001A0FB +:105250000840740005468145EFD0DFFAA247638518 +:1052600097007370043001A03000FD558146014560 +:10527000EFD03FE20840740005468145EFD09FF82B +:105280003249630509007370043001A09D498544CB +:105290000840A68574000546EFD0DFF6B247FD1939 +:1052A00063942703336999008604E39309FE8146DA +:1052B000300081457D55EFD0DFDD0145EFE08FA166 +:1052C00019C57370043001A07370043001A0084048 +:1052D000E165740011469385156AEFD0BFF2014570 +:1052E000EFE04F9F85476305F5007370043001A020 +:1052F0000145EFE02F9E01C57370043001A08327A4 +:105300000A0081460146850781457D5517B7010092 +:10531000232CF71EEFD0FFD717070000130727C174 +:10532000814601469305A00517B501001305C50E7A +:10533000EFE0AFDF37595A01A16497B7010023AD01 +:10534000A71A97BB0100938BEB1A17BC0100130C33 +:10535000AC1A97B901009389A91A130959E3FD14EE +:10536000130BA005A94A83A70B0003250C007D574A +:10537000B387270381469145850713D60701658EBC +:105380003376660317B401002326F41663735601BF +:105390002946EFE02FE683A70B000145B3872703DB +:1053A000850713D40701658C3374640317B70100B4 +:1053B0002322F714637354012944A285EFD0DFB888 +:1053C000814501C983A70900850717B70100232973 +:1053D000F7120145EFD05FB7A28501C983A7090085 +:1053E000850717B70100232DF7100545EFD0DFB56E +:1053F00083A70900FD55AA97054517B7010023218A +:10540000F710EFD07FB403A7090083270A002A977B +:10541000850797B6010023A5E60E17B701002325DF +:10542000F70E91B7411197B701009387A70D014778 +:105430008148014881461306004097B501009385D5 +:1054400065FE170500001305A5B106C6EFB09FE184 +:10545000B24097070000938767AB17B70100232B73 +:10546000F7084101828097B701009387E7089C43C2 +:10547000ADC397B701009387A7079C431307100399 +:1054800085076353F704011122CC06CE17B401003F +:105490001304C4051C4017B70100232B070405475C +:1054A000638FE70609476386E7048DC797B7010056 +:1054B0009387C7049C43F2406244850717B70100F5 +:1054C000232EF7020561828017B701002322F7021D +:1054D0008280828097B701009387C7028843814505 +:1054E000EFD0BFF31C40850717B701002320F7005A +:1054F00075BF97B701009387E70088431304F00F47 +:10550000014774000946814522C6EFD01FE0B2472B +:105510006389870297B7010023AA07FC41BF97B7A9 +:105520000100938727FE884301478146094681454C +:10553000EFD0BFDD1C40850717B701002328F7FA1D +:10554000B5B77370043001A097B701009387C7FB0C +:1055500097B60100938646F9984394426383E60424 +:105560009C4317B701002321F7F897B701009387F1 +:10557000E7F817B701001307A7F89C431843637CAB +:10558000F700998F15476378F70097B7010023A3B9 +:1055900007F80145828097B701009387A7F78843F2 +:1055A000828097B7010023A707F6C1B7AA95AA87FB +:1055B0006385B70003C7070001E73385A7408280F2 +:1055C0008507FDB7814863DA05003305A040B3378E +:1055D000A000B305B0409D8DFD5863D90600330689 +:1055E000C040B337C000B306D0409D8E32883683AA +:1055F000AA872E87639F061C97B60100938686CBE9 +:1056000063F1C50C416E6377C60B130EF00F637325 +:10561000CE002143335E6600F29603CE06001A9E4A +:10562000130300023303C341630C0300B395650009 +:10563000335EC501331866003367BE00B3176500DB +:10564000135E0801B355C70313150801418193D6B2 +:1056500007013376C703B305B5024206D18E63F85E +:10566000B600C29663E5060163F3B600C2968D8E5E +:1056700033F7C603C207C183B3D6C603B306D50248 +:1056800013150701C98F63F8D700C29763E50701B7 +:1056900063F3D700C297958F33D567008145638A3E +:1056A0000800B307A0403337F000B305B0403E8593 +:1056B000998D8280370E00014143E36DC6F5614349 +:1056C00091BF01E605483358680241676373E808F3 +:1056D0001307F00F63730701214333576800BA962D +:1056E00003C606001A96130300023303C34063196E +:1056F0000306B3850541935608011315080141813E +:1057000013D6070133F7D502B3D5D5024207518F1F +:10571000B305B5026378B700429763650701637309 +:10572000B7004297B305B74033F7D502C207C1832C +:10573000B3D5D502B305B50213150701C98F63F8B8 +:10574000B700C29763E5070163F3B700C2978D8F77 +:10575000A1B7370700014143E361E8F86143B5BFF2 +:105760003318680033DEC500935E08013356C50068 +:10577000B31765003355DE03B39565004D8E931561 +:105780000801C181935606013377DE033385A502F4 +:105790004207558F6378A7004297636507016373DB +:1057A000A7004297B306A74033F7D60342064182CB +:1057B000B3D6D6034207B386D502B365C70063F8F4 +:1057C000D500C29563E5050163F3D500C295958DBB +:1057D0001DB7E3E6D5EC416863F50605930EF00FBF +:1057E00033B8DE000E0833DE060117B301001303E1 +:1057F00063AC7293834E0300130E0002C29E330EFD +:10580000DE4163170E0263E4B6006369C500B307A7 +:10581000C540958D3335F5003387A5403E85BA8563 +:10582000BDBD370300014148E3EF66FA614865BF3B +:105830003357D601B396C601D98EB357D50133D7A6 +:10584000D501B395C501CD8F93D506013373B7024A +:10585000139F0601135F0F0113D807013316C6010A +:105860003315C5013357B702420333680301B30F41 +:10587000EF023A83637CF80136981303F7FF6367FE +:10588000D8006375F8011303E7FF36983308F84131 +:10589000B37FB8023358B802C20FB3050F03139F8A +:1058A0000701135F0F0133EFEF014287637CBF00F5 +:1058B000369F1307F8FF6367DF006375BF001307A8 +:1058C000E8FF369F4203C16F3363E3009387FFFF16 +:1058D00013580601330FBF40B375F3001353030190 +:1058E000F18F3387F502B307F302B3850503330362 +:1058F0000303BE95135807012E986373F8007E9337 +:10590000C167FD17935508013378F80042087D8F71 +:105910009A9542976366BF00631BBF006379E500F9 +:105920003306C7403337C700958D998D32873307CB +:10593000E5403335E500B305BF40898DB397D50108 +:105940003357C70133E5E700B3D5C50189BBAE873F +:10595000328836872A836396062097B80100938899 +:10596000689563FEC50A41676374E60A1307F00F82 +:105970003337C7000E07B356E600B69883C6080053 +:10598000369793060002998E99CAB397D70033577A +:10599000E5003318D600B365F7003313D50013556F +:1059A0000801B3D7A50213160801418293560301DB +:1059B00033F7A502B305F6024207D98E3E8763FC92 +:1059C000B600C2961387F7FF63E7060163F5B600DA +:1059D0001387E7FFC2968D8EB3F7A60242031353D7 +:1059E0000301B3D6A602C20733E36700B305D602AC +:1059F0003685637BB30042931385F6FF636603012C +:105A00006374B3001385E6FF4207498F81454DA8B3 +:105A1000B70600014147E360D6F66147A9BF01E63A +:105A2000854633D8C602C166637ED8089306F00F58 +:105A300063F306012147B356E800B69883C6080011 +:105A4000BA9613070002158F49E7B38707418545CA +:105A5000135608019318080193D8080193560301BF +:105A600033F7C702B3D7C7024207D98E3385F8028E +:105A70003E8763FCA600C2961387F7FF63E7060123 +:105A800063F5A6001387E7FFC296898EB3F7C602B7 +:105A9000420313530301B3D6C602C20733E36700C0 +:105AA000B388D8023685637B130142931385F6FFD2 +:105AB00063660301637413011385E6FF4207498F90 +:105AC0003A858280B70600014147E366D8F6614710 +:105AD0009DB73318E800B3D5D7003313E500B356AC +:105AE000D50013550801B397E70033F7A502B3E8D3 +:105AF000F60093170801C18313D60801B3D5A50298 +:105B00004207518FB386B7022E86637CD700429737 +:105B10001386F5FF636707016375D7001386E5FFFA +:105B20004297B306D74033F7A602C20893D80801BC +:105B3000B3D6A6024207B385D702B36717013687EB +:105B400063FCB700C2971387F6FF63E7070163F5AD +:105B5000B7001387E6FFC2978D8F93150601D98D85 +:105B6000C5BD63E2D514416763FEE6021308F00F7A +:105B70003337D8000E0733D8E60097A50100938588 +:105B80006573C29503C80500930500023A98B38572 +:105B9000054185E10547E3E5F6F23335C5001347D6 +:105BA000150039BFB70500014147E3E6B6FC614780 +:105BB000D9B733570601B396B600D98E93DE0601E6 +:105BC00033D70701B378D703B397B700335805012C +:105BD0003363F80093970601C1831358030133160A +:105BE000B6003357D703C20833E80801338FE70202 +:105BF0003A8E637CE8013698130EF7FF6367D8008E +:105C00006375E801130EE7FF36983308E841B3786F +:105C1000D8033358D803C208B38E07039317030180 +:105C2000C183B3E7F800428763FCD701B697130737 +:105C3000F8FF63E7D70063F5D7011307E8FFB697CE +:105C4000420EB387D741C16E3367EE001388FEFF63 +:105C50003373070193580701337806014182330EED +:105C600003033388080393560E013303C3024293A0 +:105C70009A96B388C80263F30601F69813D6060114 +:105C8000B29863E01703E39317D9C167FD17FD8E40 +:105C9000C206337EFE003315B500F2968145E371EE +:105CA000D5E27D17A5B38145014719BDB288368776 +:105CB000AA872E886398061C97A601009386865FA4 +:105CC00063F8C50A4163637E66081303F00F6373CC +:105CD000C30021473353E6009A9603CE0600130310 +:105CE00000023A9E3303C341630C0300B395650081 +:105CF000335EC501B31866003368BE00B317650094 +:105D000013D608013377C80213950801418193D651 +:105D100007013358C8024207D98E3308050363F8D8 +:105D20000601C69663E5160163F30601C696B386BF +:105D3000064133F7C602C207C183B3D6C602B30613 +:105D4000D50213150701C98F63F8D700C69763E51D +:105D5000170163F3D700C697958F33D56700814548 +:105D60008280370300014147E36666F661479DB7CD +:105D700001E60546B3581603416663F3C8081306E7 +:105D8000F00F63731601214733D6E800B29603CEB5 +:105D90000600130300023A9E3303C341631903064E +:105DA000B385154113D7080113950801418113D616 +:105DB0000701B3F6E502B3D5E502C206D18EB305FD +:105DC000B50263F8B600C69663E5160163F3B60044 +:105DD000C696B385B640B3F6E502C207C183B3D514 +:105DE000E502B305B50213950601C98F63F8B70044 +:105DF000C69763E5170163F3B700C6978D8FB1BFF0 +:105E0000370600014147E3E1C8F86147B5BFB398E1 +:105E1000680033D7C501B3176500335EC50113D5DC +:105E20000801B376A702B3956500336EBE00939563 +:105E30000801C18113560E013357A702C206D18E45 +:105E40003387E50263F8E600C69663E5160163F35F +:105E5000E600C6963386E640B376A602420E135E8F +:105E60000E013356A602C2063386C502B3E5C6014B +:105E700063F8C500C69563E5150163F3C500C695D3 +:105E8000918D0DB7E3EED5EC416763F5E604930E13 +:105E9000F00F33B7DE000E0733D3E60097A80100FA +:105EA000938848419A9883CE0800130E0002BA9E48 +:105EB000330EDE4163170E0263E4B6006369C5006A +:105EC000B307C540958D3335F5003388A5403E8531 +:105ED000C28579B5B70800014147E3EF16FB61477A +:105EE00065BF3357D601B396C601D98EB357D501D6 +:105EF00033D7D501B395C501CD8F93D50601337343 +:105F0000B702139F0601135F0F0193D807013316E1 +:105F1000C6013315C5013357B7024203B3681301F5 +:105F20003308EF023A8363FC0801B6981303F7FFC6 +:105F300063E7D80063F508011303E7FFB698B38859 +:105F40000841B3FFB802B3D8B802C20FB3051F03AC +:105F5000139F0701135F0F0133EFEF014687637C47 +:105F6000BF00369F1387F8FF6367DF006375BF00CC +:105F70001387E8FF369F4203C1683363E3009387CA +:105F8000F8FF13580601330FBF40B375F3001353E6 +:105F90000301F18F3387F502B307F302B3850503DD +:105FA00033030303BE95135807012E986373F8005B +:105FB0004693C167FD17935508013378F8004208EE +:105FC0007D8F9A9542976366BF00631BBF0063791C +:105FD000E5003306C7403337C700958D998D32876A +:105FE0003307E5403335E500B305BF40898DB397EE +:105FF000D5013357C70133E5E700B3D5C5018DB3EC +:1060000097A701009387074B944317A70100130735 +:1060100027491843C8C2637BE50017A7010013078F +:10602000E74808438C4391056FA08FD1411122C4EA +:1060300006C617A701001307A7472A8408438C4305 +:106040009105EFA0EFCF97A701009387A7439C434B +:106050006376F40097A7010023A68742B24022444A +:106060004101828097A701009387C74498439443D6 +:106070007C43FD177CC3FC4299E37360043082804B +:10608000011122CC26CA2A84AE844AC84EC652C404 +:1060900056C206CEEFB09FC61C4003290401B709C3 +:1060A0000001B3E59700930AC4000CC08144FD19B8 +:1060B000370A00021840638C2A03032609004A8528 +:1060C000B3654701B376360193175600758F0329E0 +:1060D000490063C4070001E7F1BFE39DE6FC9317A5 +:1060E000760063D30700D58CEFC03FB0E1B793C40F +:1060F000F4FFF98C04C0EFB07FE50840F240624441 +:10610000D2444249B249224A924A056182804111F1 +:1061100006C622C4EFE0DFD717F7FFFF130767CBF0 +:10612000814605469305400617A501001305052E77 +:10613000EFD0AFFF19C92A84EFB05FBD2A860147AF +:10614000814685452285EFD0FF8AEFB0BF95B240EA +:08615000224401454101828057 +:02000004800278 +:10000000B444FEFFB245FEFFBC44FEFFB245FEFF16 +:10001000B245FEFFB245FEFFB245FEFFD444FEFFEF +:10002000B245FEFFB245FEFFEE44FEFFFA44FEFF7E +:10003000B245FEFF0C45FEFF1845FEFF1845FEFFCA +:100040001845FEFF1845FEFF1845FEFF1845FEFF48 +:100050001845FEFF1845FEFF1845FEFFB245FEFF9E +:10006000B245FEFFB245FEFFB245FEFFB245FEFFC0 +:10007000B245FEFFB245FEFFB245FEFFB245FEFFB0 +:10008000B245FEFFB245FEFFB245FEFFB245FEFFA0 +:10009000B245FEFFB245FEFFB245FEFFB245FEFF90 +:1000A000B245FEFFB245FEFFB245FEFFB245FEFF80 +:1000B000B245FEFFB245FEFFB245FEFFB245FEFF70 +:1000C000B245FEFFB245FEFFB245FEFFB245FEFF60 +:1000D000B245FEFFB245FEFFB245FEFFB245FEFF50 +:1000E000B245FEFFB245FEFFB245FEFFB245FEFF40 +:1000F000B245FEFFB245FEFFB245FEFFB245FEFF30 +:100100004C45FEFF6245FEFFB245FEFFB245FEFFD5 +:10011000B245FEFFB245FEFFB245FEFFB245FEFF0F +:10012000B245FEFFA845FEFFB245FEFFB245FEFF09 +:100130005A43FEFF1244FEFFB245FEFFB245FEFFEA +:100140004844FEFFB245FEFFA044FEFFB245FEFF5D +:10015000B245FEFFCE44FEFFC048FEFF1449FEFF3D +:10016000C848FEFF1449FEFF1449FEFF1449FEFF74 +:100170001449FEFFBA47FEFF1449FEFF1449FEFF73 +:10018000D447FEFFE047FEFF1449FEFFF247FEFFA3 +:10019000FE47FEFFFE47FEFFFE47FEFFFE47FEFF57 +:1001A000FE47FEFFFE47FEFFFE47FEFFFE47FEFF47 +:1001B000FE47FEFF1449FEFF1449FEFF1449FEFFEF +:1001C0001449FEFF1449FEFF1449FEFF1449FEFFC7 +:1001D0001449FEFF1449FEFF1449FEFF1449FEFFB7 +:1001E0001449FEFF1449FEFF1449FEFF1449FEFFA7 +:1001F0001449FEFF1449FEFF1449FEFF1449FEFF97 +:100200001449FEFF1449FEFF1449FEFF1449FEFF86 +:100210001449FEFF1449FEFF1449FEFF1449FEFF76 +:100220001449FEFF1449FEFF1449FEFF1449FEFF66 +:100230001449FEFF1449FEFF1449FEFF1449FEFF56 +:100240001449FEFF1449FEFF1449FEFF1449FEFF46 +:100250001449FEFF1449FEFF3248FEFF6048FEFFCE +:100260001449FEFF1449FEFF1449FEFF1449FEFF26 +:100270001449FEFF1449FEFF1449FEFFB648FEFF75 +:100280001449FEFF1449FEFF1846FEFF1247FEFF09 +:100290001449FEFF1449FEFF8447FEFF1449FEFF88 +:1002A000AA47FEFF1449FEFF1449FEFF0E49FEFF58 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D000205461736B4E6F74696679207461736B1E +:1003E00073200D0A000000006D69616F750A00003E +:1003F0006D69616F75320A0068756E6772790A00FF +:1004000054696D6572320000436865636B54696DB1 +:10041000657200004E6F74696669657200000000C5 +:0C0420004E6F746966696564000000009E +:10042C001000000000000000017A5200017C010164 +:10043C001B0D020010000000180000007C51FEFF94 +:10044C008A03000000000000100000002C000000D7 +:10045C00F254FEFF5E0300000000000010000000DC +:10046C00400000003C58FEFF540300000000000058 +:0C050800FC8F0100090000000100000051 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/blocktim_rv32i_O0.hex b/VexRiscv/src/test/resources/freertos/blocktim_rv32i_O0.hex new file mode 100644 index 0000000..94aae0d --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/blocktim_rv32i_O0.hex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diff --git a/VexRiscv/src/test/resources/freertos/blocktim_rv32i_O3.hex b/VexRiscv/src/test/resources/freertos/blocktim_rv32i_O3.hex new file mode 100644 index 0000000..5c5d1a3 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/blocktim_rv32i_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/blocktim_rv32ic_O0.hex b/VexRiscv/src/test/resources/freertos/blocktim_rv32ic_O0.hex new file mode 100644 index 0000000..44d8547 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/blocktim_rv32ic_O0.hex @@ -0,0 +1,1536 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900061B6170502001305E536979503009385B1 +:1000A000256801461122170502001305E53397055F +:1000B00002009385E5330146C52817A50300130503 +:1000C000656697B503009385A56537B6ABAB130698 +:1000D000B6BAD92817B103001301C164EF40407DBF +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2421B23A02200F322103463 +:1002400096DEEF006030170102000321E119024140 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E21523A0120023A2BD +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40804D170102000321E2 +:10033000A10B0241F65273901234B722000093824F +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A20783A0C4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A242F923A0220086DEEF1059 +:10046000B04017010200032121F80241F6527390B7 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F0961730020309707BF +:1004C0000200938727F39843FD576305F700737085 +:1004D000043001A07370043001A041112AC6B24754 +:1004E000739047300100410182804111F327403071 +:1004F0003EC673F04330B2473E85410182804111D0 +:100500002AC62EC432C2B247F1173EC62247B247AE +:1005100098C3B247938787FA3EC61247B24798C33B +:10052000B247A1173EC68E873E87B24798C3B247EF +:10053000D1173EC6170700001307A7F8B24798C3A4 +:10054000B2473E8541018280411106C6EF40A0239B +:10055000EF10D014AA8799C3EF1010310100B240F8 +:100560004101828041112AC6B24713878700B247F2 +:10057000D8C3B2477D5798C7B24713878700B247A1 +:10058000D8C7B24713878700B24798CBB24723A09A +:10059000070001004101828041112AC6B24723A809 +:1005A000070001004101828001112AC62EC4B24712 +:1005B000DC433ECEA2477247D8C3F2479847A247D2 +:1005C00098C7F2479C472247D8C3F247224798C7AB +:1005D000A247324798CBB2479C4313871700B247D4 +:1005E00098C301000561828001112AC62EC4A2476A +:1005F0009C433ECC6247FD576316F700B2479C4BC5 +:100600003ECE31A8B247A1073ECE21A0F247DC433F +:100610003ECEF247DC439C436247E379F7FEF24764 +:10062000D843A247D8C3A247DC43224798C7A24772 +:10063000724798C7F2472247D8C3A247324798CBA0 +:10064000B2479C4313871700B24798C30100056166 +:10065000828001112AC6B2479C4B3ECEB247DC4392 +:100660003247184798C7B2479C4732475843D8C3C8 +:10067000F247DC4332476316F700B2479847F24728 +:10068000D8C3B24723A80700F2479C431387F7FF5C +:10069000F24798C3F2479C433E8505618280797199 +:1006A00006D622D42AC62EC4B2473ECEF24781E7F0 +:1006B0007370043001A0EF202057F2478043F247C7 +:1006C000D85FF247BC43BE853A85EF50E041AA8728 +:1006D0003307F400F247D8C3F24723AC0702F247CE +:1006E0009843F24798C7F2478043F247DC5F13878D +:1006F000F7FFF247BC43BE853A85EF50E03EAA873C +:100700003307F400F247D8C7F2477D57F8C3F247E2 +:100710007D57B8C7A24799EFF2479C4B8DC7F24768 +:10072000C1073E85EF1090402A878547631DF7007B +:10073000F13911A8F247C1073E852D35F24793875D +:1007400047023E850535EF20005185473E85B25072 +:10075000225445618280797106D62AC62EC4B2879A +:10076000A303F10002CCB24781E77370043001A00B +:10077000A24799E302CE01A8A2453245EF50C03608 +:10078000AA8785073ECEF247938747053E85EF301F +:10079000805C2ACAD24785CFA24789E7D247524711 +:1007A00098C331A0D24713874705D24798C3D24791 +:1007B0003247D8DFD2472247B8C385455245C535B1 +:1007C000D247034771002388E704D2473ECCE24773 +:1007D00081E77370043001A0E2473E85B250456165 +:1007E0008280797106D6AA87A307F1001305400518 +:1007F000EF3060562ACEF247B9CFF24723A2070066 +:10080000F24723A00700F24723A40700F24723A6DC +:100810000700F24723AC0702F2470547D8DFF2474B +:1008200023A00704F2477D57F8C3F2477D57B8C7A6 +:10083000F2470347F1002388E704F247C1073E85EA +:100840001533F247938747023E85293B814601468F +:10085000814572453122F2473E85B2504561828022 +:10086000797106D622D42AC6B2473ECCE24781E748 +:100870007370043001A0E247C043EF20001DAA8737 +:100880006313F402E247DC471387F7FFE247D8C758 +:10089000E247DC4791E78146014681456245C92030 +:1008A00085473ECE11A002CEF2473E85B25022547B +:1008B00045618280797106D622D42AC62EC4B247F9 +:1008C0003ECCE24781E77370043001A0E247C043A9 +:1008D000EF20A017AA87631BF400E247DC471387C9 +:1008E0001700E247D8C785473ECE0DA08146224675 +:1008F00081456245252E2ACE724785476318F70049 +:10090000E247DC4713871700E247D8C7F2473E8526 +:10091000B250225445618280797106D62AC62EC40F +:10092000B24781E77370043001A02247B24763F5F4 +:10093000E7007370043001A0094681453245213D2E +:100940002ACEF24781C7F247224798DFF24781E774 +:100950007370043001A0F2473E85B2504561828039 +:10096000397106DE2AC62EC432C236C002D6B2475C +:100970003ED4A25781E77370043001A0A24781E7FB +:10098000A257BC4399E3854711A0814781E7737063 +:10099000043001A0024789476317F700A257D85FC8 +:1009A00085476314F700854711A0814781E773707D +:1009B000043001A0EF20C00AAA8799E3924799E387 +:1009C000854711A0814781E77370043001A0EF20B3 +:1009D000A025A257985FA257DC5F6366F700024725 +:1009E0008947631DF7020246A245225565232AD294 +:1009F000A257DC5389CFA257938747023E85EF1059 +:100A0000F0122A8785476317F700093421A092570F +:100A100091C3ED3AEF202024854771A8924789E7DA +:100A2000EF206023814741A8B25799E77C083E85B3 +:100A3000EF10702785473ED6EF20E021EF10401AD7 +:100A4000EF20801EA257F843FD576315F700A25709 +:100A500023A20704A257B847FD576315F700A25712 +:100A600023A40704EF20201F58007C08BA853E8588 +:100A7000EF107026AA879DEB2255EF006071AA87C0 +:100A80008DC3A257C1071247BA853E85EF10E070AB +:100A900022551525EF108016AA87E39A07F2BD327A +:100AA0003DB72255092DEF10601515B722552125A8 +:100AB000EF10C01481473E85F25021618280797128 +:100AC00006D62AC62EC432C236C0B2473ECCE24752 +:100AD00081E77370043001A0A24781E7E247BC437D +:100AE00099E3854711A0814781E77370043001A025 +:100AF000024789476317F700E247D85F85476314C9 +:100B0000F700854711A0814781E77370043001A089 +:100B1000E93AAA873ECAE247985FE247DC5F63662C +:100B2000F700024789476315F7040246A24562456C +:100B30009521E247B847FD576313F702E247DC53BC +:100B40008DC7E247938747023E85EF10207EAA8734 +:100B500089CF924799CB9247054798C339A0E2477E +:100B6000BC4713871700E247B8C785473ECE11A0A0 +:100B700002CED2473E859532F2473E85B25045615E +:100B80008280797106D62AC62EC4B2473ECCE2478F +:100B900081E77370043001A0E247BC4381C77370E2 +:100BA000043001A0E2479C4381E7E247DC4399E33C +:100BB000854711A0814781E77370043001A0353269 +:100BC000AA873ECAE247985FE247DC5F6377F70493 +:100BD000E2479C5F13871700E24798DFE247B84778 +:100BE000FD576313F702E247DC538DC7E247938753 +:100BF00047023E85EF108073AA8789CFA24799CB21 +:100C0000A247054798C339A0E247BC47138717009E +:100C1000E247B8C785473ECE11A002CED2473E85F7 +:100C20006D38F2473E85B25045618280397106DEEB +:100C30002AC62EC432C236C002D6B2473ED4A2570C +:100C400081E77370043001A0A24781E7A257BC433B +:100C500099E3854711A0814781E77370043001A0B3 +:100C6000EF101060AA8799E3924799E3854711A096 +:100C7000814781E77370043001A0EF10F07AA2572A +:100C80009C5FB5CBA257DC473ED2A2452255F92E38 +:100C9000824795EFA2579C5F1387F7FFA25798DF13 +:100CA000A2579C4391E7EF2060022A87A257D8C33E +:100CB000A2579C4B8DCFA257C1073E85EF1000670E +:100CC0002A8785476315F702EFF04FF40DA0A2576E +:100CD0001257D8C7A257DC5399CBA2579387470224 +:100CE0003E85EF10A064AA8799C3EFF02FF2EF10B2 +:100CF000907685475DA8924789E7EF10D0758147C8 +:100D00006DA0B25799E77C083E85EF10C079854702 +:100D10003ED6EF105074EF00B06CEF10F070A25799 +:100D2000F843FD576315F700A25723A20704A25703 +:100D3000B847FD576315F700A25723A40704EF1027 +:100D4000907158007C08BA853E85EF10C078AA875C +:100D5000A1EB2255CD2EAA879DCFA2579C4391EBA4 +:100D6000EF10906CA257DC433E85EF107052EF10ED +:100D7000906EA257938747021247BA853E85EF10BF +:100D8000C04122550D2EEF007067AA87E39707EE4A +:100D9000EFF0CFE7DDB52255392EEF003066F1BD1B +:100DA0002255112EEF00906581473E85F25021615A +:100DB0008280797106D62AC62EC432C2B2473ECC92 +:100DC000E24781E77370043001A0A24781E7E24760 +:100DD000BC4399E3854711A0814781E773700430D4 +:100DE00001A0EFF08FF0AA873ECAE2479C5FA1CB3B +:100DF000A2456245A52CE2479C5F1387F7FFE247B7 +:100E000098DFE247F843FD576312F702E2479C4B35 +:100E100085C7E247C1073E85EF104051AA8789CFB9 +:100E2000924799CB9247054798C339A0E247FC43C4 +:100E300013871700E247F8C385473ECE11A002CEC4 +:100E4000D2473E85EFF06FE9F2473E85B2504561EB +:100E50008280797106D62AC62EC4B2473ECCE247BC +:100E600081E77370043001A0A24781E7E247BC43E9 +:100E700099E3854711A0814781E77370043001A091 +:100E8000E247BC4381E77370043001A0EFF0EFE567 +:100E9000AA873ECAE2479C5F89CFE247DC473EC84B +:100EA000A2456245E122E2474247D8C785473ECE88 +:100EB00011A002CED2473E85EFF02FE2F2473E85E9 +:100EC000B25045618280797106D62AC6B24781E761 +:100ED0007370043001A0EF103055B2479C5F3ECED6 +:100EE000EF107057F2473E85B250456182807971AC +:100EF00006D62AC6B2473ECEF24781E77370043069 +:100F000001A0EF107052F247D85FF2479C5FB30721 +:100F1000F7403ECCEF103054E2473E85B250456179 +:100F2000828001112AC6B24781E77370043001A0A4 +:100F3000B2479C5F3ECEF2473E8505618280797163 +:100F400006D62AC6B2473ECEF24781E77370043018 +:100F500001A07245E5247245EF20F0720100B25005 +:100F60004561828041112AC6B247FC473E85410156 +:100F7000828041112AC62EC4B2472247F8C7010019 +:100F80004101828041112AC6B24783C707053E85C9 +:100F900041018280797106D62AC62EC432C202CEA1 +:100FA000B247BC4391EFB2479C43D5E7B247DC431D +:100FB0003E85EF10903B2ACEB24723A2070061A8DE +:100FC000924785EFB2479847B247BC433E86A24559 +:100FD0003A85EF20F079B2479847B247BC433E9735 +:100FE000B24798C7B2479847B247DC436365F706F4 +:100FF000B2479843B24798C7B9A8B247D847B24753 +:10100000BC433E86A2453A85EF209076B247D8474A +:10101000B247BC43B307F0403E97B247D8C7B24788 +:10102000D847B2479C43637BF700B247D843B247E7 +:10103000BC43B307F0403E97B247D8C71247894731 +:10104000631BF700B2479C5F99C7B2479C5F138749 +:10105000F7FFB24798DFB2479C5F13871700B2478C +:1010600098DFF2473E85B25045618280011106CE7D +:101070002AC62EC4B247BC439DCBB247D847B2471D +:10108000BC433E97B247D8C7B247D847B247DC43C4 +:101090006366F700B2479843B247D8C7B247D8470C +:1010A000B247BC433E86BA852245EF20706C0100F2 +:1010B000F24005618280011106CE2AC6EF10D036BB +:1010C00025A0B247DC5395C7B247938747023E85B8 +:1010D000EF10C025AA8799C3EF104049B247BC471B +:1010E0001387F7FFB247B8C7B247BC47E34BF0FCE2 +:1010F00011A00100B2477D57B8C7EF10D035EF10EF +:10110000B0321DA0B2479C4B8DC7B247C1073E8588 +:10111000EF10C021AA8799C3EF104045B247FC43A6 +:101120001387F7FFB247F8C3B247FC43E34CF0FC28 +:1011300011A00100B2477D57F8C3EF10D031010074 +:10114000F24005618280797106D62AC6EF10D02D53 +:10115000B2479C5F81E785473ECE11A002CEEF10DB +:10116000902FF2473E85B2504561828001112AC618 +:10117000B24781E77370043001A0B2479C5F81E7FA +:1011800085473ECE11A002CEF2473E8505618280A2 +:10119000797106D62AC6EF103029B247985FB24758 +:1011A000DC5F6315F70085473ECE11A002CEEF103D +:1011B000902AF2473E85B2504561828001112AC6CD +:1011C000B24781E77370043001A0B247985FB2471D +:1011D000DC5F6315F70085473ECE11A002CEF247D3 +:1011E0003E850561828001112AC62EC402CE81A0EF +:1011F000178703001307074FF2478E07BA979C43E0 +:1012000085E7178703001307E74DF2478E07BA9764 +:10121000224798C3178703001307C74CF2478E076E +:10122000BA973247D8C301A8F24785073ECE724726 +:101230009D47E3FFE7FA01000561828001112AC69C +:1012400002CE0DA8178703001307C749F2478E0780 +:10125000BA97DC433247631CF70017870300130774 +:101260006748F2478E07BA9723A0070001A8F24704 +:1012700085073ECE72479D47E3F6E7FC0100056116 +:101280008280797106D62AC62EC432C2B2473ECEBB +:10129000EF109019F247F843FD576315F700F24736 +:1012A00023A20704F247B847FD576315F700F2473A +:1012B00023A40704EF10301AF2479C5F89EBF24732 +:1012C000938747021246A2453E85EF00907E724505 +:1012D000DD330100B25045618280397106DE2ACECD +:1012E0002ECC36C83AC63EC442C246C0B287231B83 +:1012F000F100F24781E77370043001A03247A5473F +:1013000063F5E7007370043001A0835761019245D3 +:101310003E85EF1080512AD4A257638F0712A2573F +:10132000985B83566101B7070040FD17B6978A079F +:10133000BA973ED29257F19B3ED292578D8B81C77E +:101340007370043001A0835761013E8782463246A4 +:10135000E2452255EF10E0274246F2451255EFF0E4 +:101360000F9A2A87A25798C3A24781C7A24722573C +:1013700098C3EF10700B97F701009387E7239C4306 +:101380001387170097F701009387072398C397F7F0 +:101390000100938767059C4395E397F701009387C6 +:1013A000A704225798C397F701009387E720984333 +:1013B0008547631AF702EF10C02C35A097F701009C +:1013C000938747209C4385E397F701009387C701E4 +:1013D0009C43DC5732476368F70097F70100938717 +:1013E000A700225798C397F701009387A71E9C4335 +:1013F0001387170097F701009387C71D98C397F7C6 +:1014000001009387271D9843A257B8C7A257D85702 +:1014100097F701009387C71A9C4363F9E700A25727 +:10142000D85797F701009387A71998C3A257D857A1 +:10143000BA878A07BA978A0717F701001307470484 +:101440003E97A2579107BE853A85EFF0EF95854705 +:101450003ED6EF10500019A0FD573ED632578547B3 +:101460006313F70297F701009387C7159C4381CF59 +:1014700097F70100938747F79C43DC57324763F4A3 +:10148000E700EFE0BFF8B2573E85F250216182805D +:10149000797106D62AC6EF102079B24799E797F7F7 +:1014A0000100938767F49C4311A0B2473ECEF247F8 +:1014B00091073E85EFF0EF99F2479C5791C7F247AD +:1014C000E1073E85EFF0EF98F2479107BE8517F5EB +:1014D00001001305A50BEFF02F8D97F701009387FF +:1014E000270C9C431387170097F701009387470B3E +:1014F00098C397F701009387E70D9C431387170064 +:1015000097F701009387070D98C3EF10C07497F702 +:1015100001009387270B9C439DCB97F7010093878E +:10152000A7EC9C437247631EF70097F7010093876F +:10153000E70A9C4381C77370043001A0EFE01FED00 +:1015400039A0EF10606EEF10A04BEF10C0700100DB +:10155000B25045618280797106D62AC62EC402CE69 +:10156000B24781E77370043001A0A24781E773702E +:10157000043001A097F70100938747069C4381C779 +:101580007370043001A0A92D97F70100938707031A +:101590009C433ECCB2479C432247BA973ECAB247CF +:1015A0009C436247637EF700B2479C435247637493 +:1015B000F7025247E24763F0E70285473ECE21A893 +:1015C000B2479C4352476366F7005247E24763F4D1 +:1015D000E70085473ECEB247524798C3F24789CFCE +:1015E00097F70100938747E09C4391073E85EFF012 +:1015F0004F865245EF10001B11252AC8C24799E3B8 +:10160000EFE0DFE00100B25045618280797106D6DB +:101610002AC602CEB247A9C397F70100938707FCF9 +:101620009C4381C77370043001A05D2B97F70100C4 +:101630009387C7F89C433247BA973ECC97F701008F +:10164000938787DA9C4391073E85EFF08F80624550 +:10165000EF10401565232ACEF24799E3EFE01FDB38 +:101660000100B25045618280797106D62AC6B24720 +:101670003ECCE24781E77370043001A097F7010088 +:10168000938787D69C4362476314F70002CEBDA0C0 +:10169000EF108059E247DC4B3ECAEF10C05B97F772 +:1016A00001009387E7EC9C435247630AF70097F7E2 +:1016B0000100938727EC9C4352476315F700894745 +:1016C0003ECE2DA8524797F701009387A7ED631BE5 +:1016D000F700E2479C5781E78D473ECE05A089473A +:1016E0003ECE29A8524797F70100938727EA631552 +:1016F000F70091473ECE19A085473ECEF2473E8582 +:10170000B25045618280797106D62AC6EF10C05169 +:10171000B24799E797F70100938707CD9C4311A043 +:10172000B2473ECEF247DC573ECCEF10C052E24704 +:101730003E85B25045618280797106D62AC6EFE0B7 +:10174000DFDAAA873ECEB24799E797F70100938781 +:10175000A7C99C4311A0B2473ECCE247DC573ECA22 +:10176000F2473E85EFE07FD7D2473E85B2504561D4 +:101770008280797106D62AC62EC402CE2247A5479A +:1017800063F5E7007370043001A02247A54763F4B6 +:10179000E700A5473EC4EF102049B24799E797F705 +:1017A0000100938767C49C4311A0B2473ECCE24737 +:1017B000BC4B3ECA5247A247630AF70E2247D247A4 +:1017C00063F6E70297F70100938707C29C436247DD +:1017D0006308F70297F70100938707C19C43DC5722 +:1017E0002247636FF70085473ECE19A897F701009F +:1017F000938787BF9C4362476314F70085473ECEBB +:10180000E247DC573EC8E247B84BE247DC57631576 +:10181000F700E2472247D8D7E2472247B8CBE24752 +:101820009C4F63C707002947A2471D8FE24798CF07 +:10183000E247D44B4247BA878A07BA978A0717F715 +:1018400001001307E7C3BA976394F600854711A018 +:101850008147B9C7E24791073E85EFE09FDFE24746 +:10186000D85797F701009387A7D59C4363F9E70002 +:10187000E247D85797F70100938787D498C3E24788 +:10188000D857BA878A07BA978A0717F7010013074C +:1018900027BF3E97E2479107BE853A85EFE0DFD04C +:1018A000724785476314F700EFE05FB6EF10A03A88 +:1018B0000100B25045618280797106D62AC6EF10C8 +:1018C000A036B24799E797F701009387E7B19C43A9 +:1018D00011A0B2473ECEF24791073E85EFE07FD799 +:1018E000F2479C5791C7F247E1073E85EFE07FD66C +:1018F000F2479107BE8517F501001305A5CAEFE071 +:10190000BFCAEF10403597F701009387E7AD9C43BE +:1019100072476319F70497F701009387A7CA9C439E +:1019200089CF97F70100938767CB9C4381C773707A +:10193000043001A0EFE09FAD91A097F701009387DD +:1019400067C6984397F70100938707C79C436319BD +:10195000F70097F70100938727A923A0070039A86C +:10196000212729A897F701009387C7C59C4399C7EA +:10197000EF10802BEF10C008EF10E02D0100B250E7 +:101980004561828001112AC602CEB2473ECCB247E1 +:1019900081E77370043001A0E247D84B97F701004C +:1019A000938747C06314F700854711A0814795C30B +:1019B000E247985797F70100938707BC630BF7003E +:1019C000E2479C5799E3854711A0814799C3854712 +:1019D0003ECEF2473E8505618280797106D62AC6E1 +:1019E000B2473ECEB24781E77370043001A0F247A0 +:1019F000C9C797F701009387279F9C437247630EDF +:101A0000F706EF1060227245B53F2A8785476314B9 +:101A1000F706F24791073E85EFE0BFC3F247D8577C +:101A200097F701009387C7B99C4363F9E700F24732 +:101A3000D85797F701009387A7B898C3F247D857AC +:101A4000BA878A07BA978A0717F70100130747A3CF +:101A50003E97F2479107BE853A85EFE0FFB4F24723 +:101A6000D85797F70100938727989C43DC57636406 +:101A7000F700EFE0BF99EF10001E0100B250456182 +:101A80008280797106D62AC602CEB2473ECCB247D2 +:101A900081E77370043001A0EFE03FA5AA873ECA3A +:101AA0006245CD352A8785476314F70897F701000B +:101AB0009387C7B29C43A5E7E247D85797F7010041 +:101AC000938787929C43DC576364F70085473ECE3B +:101AD000E24791073E85EFE0DFB7E247D85797F737 +:101AE00001009387E7AD9C4363F9E700E247D857CD +:101AF00097F701009387C7AC98C3E247D857BA87D6 +:101B00008A07BA978A0717F70100130767973E9766 +:101B1000E2479107BE853A85EFE01FA911A8E24789 +:101B2000E107BE8517F50100130505A5EFE0DFA766 +:101B3000D2473E85EFE07F9AF2473E85B25045613D +:101B40008280011106CE81480148814701478146C4 +:101B50001306004097E501009385C54A171500005C +:101B6000130585A5EFF06FF72AC632478547631541 +:101B7000F700EF10C06D2AC6324785476319F70298 +:101B80007370043097F70100938707A57D5798C3BA +:101B900097F70100938707A3054798C397F70100BC +:101BA0009387C7A123A00700EFE0AFEF31A0B247B2 +:101BB00081E77370043001A00100F240056182806A +:101BC000411106C67370043097F701009387879F11 +:101BD00023A00700EFE02FFB0100B240410182800B +:101BE00097F701009387879F9C431387170097F708 +:101BF00001009387A79E98C301008280011106CE41 +:101C000002C697F701009387679D9C4381E7737035 +:101C1000043001A0EF10400197F701009387079C63 +:101C20009C431387F7FF97F701009387279B98C37F +:101C300097F701009387879A9C436390071097F763 +:101C40000100938767979C436389070E59A097F714 +:101C5000010093876792DC47DC473EC4A247E10757 +:101C60003E85EFE01F9FA24791073E85EFE07F9EF4 +:101C7000A247D85797F70100938787949C4363F94D +:101C8000E700A247D85797F701009387679398C357 +:101C9000A247D857BA878A07BA978A0717E7010079 +:101CA0001307077E3E97A2479107BE853A85EFE06E +:101CB000BF8FA247D85797E701009387E7729C43ED +:101CC000DC576368F70097F7010093872790054773 +:101CD00098C397F701009387278A9C43ADFB97F73A +:101CE00001009387678E9C438DCF35A0452AAA8734 +:101CF00099C797F701009387678D054798C397F7B2 +:101D000001009387678C9C431387F7FF97F70100C7 +:101D10009387878B98C397F701009387E78A9C4343 +:101D2000F1F797F701009387678A98438547631611 +:101D3000F70085473EC6EFE06FEDEF00D071B24788 +:101D40003E85F24005618280011106CEEF00D06D24 +:101D500097F70100938787869C433EC6EF00B06FDC +:101D6000B2473E85F24005618280011106CEEFE068 +:101D7000CFF7AA873EC697F70100938727849C4335 +:101D80003EC4B2473E85EFE04FF5A2473E85F240A4 +:101D90000561828097F70100938707829C433E8507 +:101DA0008280797106D62AC62EC432C202CEA947D5 +:101DB0003ECC3D3597F70100938707809C4322472F +:101DC0006368F70CE247FD173ECCF24796073247AF +:101DD000B306F7006247BA878A07BA978A0717E7F8 +:101DE00001001307E769BA970546BE853685EF00FF +:101DF000902A2A87F247BA973ECEE247E1F7F247A8 +:101E0000960732473E9797E70100938767769C4392 +:101E10000946BE853A85EF0010282A87F247BA970F +:101E20003ECEF247960732473E9797E701009387E9 +:101E300067749C430946BE853A85EF00D0252A8702 +:101E4000F247BA973ECEF24796073247BA97114605 +:101E500097E50100938585733E85EF00D0232A879F +:101E6000F247BA973ECEF24796073247BA970D46E9 +:101E700097E50100938505733E85EF00D0212A8701 +:101E8000F247BA973ECE924781C7924723A00700F8 +:101E9000B533F2473E85B25045618280797106D6EE +:101EA00002CE97E70100938767739C4363950718F9 +:101EB00097E70100938787709C431387170097E784 +:101EC00001009387A76F98C397E701009387076F77 +:101ED0009C433ECCE247B9EF97E7010093874769FF +:101EE0009C439C4381C77370043001A097E70100B5 +:101EF000938707689C433ECA97E7010093878767EB +:101F0000984397E701009387A76698C397E7010076 +:101F100093874766524798C397E701009387476BBB +:101F20009C431387170097E701009387676A98C35C +:101F3000EF00102D97E701009387076A9C436247E3 +:101F40006365F70C97E70100938787629C439C4386 +:101F500099E3854711A0814781CB97E701009387DB +:101F6000A7677D5798C355A097E7010093874760FF +:101F70009C43DC47DC473EC8C247DC433EC6624761 +:101F8000B2476379F70097E701009387E764324728 +:101F900098C3A5A8C24791073E85EFE08FEBC247E3 +:101FA0009C5791C7C247E1073E85EFE08FEAC247E1 +:101FB000D85797E701009387A7609C4363F9E70030 +:101FC000C247D85797E701009387875F98C3C247F6 +:101FD000D857BA878A07BA978A0717E70100130705 +:101FE000274A3E97C2479107BE853A85EFE0CFDB8F +:101FF000C247D85797E701009387073F9C43DC57B8 +:10200000E362F7F485473ECE35BF97E7010093873B +:10201000A73D9C43D85797E6010093866646BA874A +:102020008A07BA978A07B6979843854763F1E7020C +:1020300085473ECE29A897E701009387E7589C4340 +:102040001387170097E701009387075898C397E70E +:1020500001009387A7579C4399C385473ECEF2471B +:102060003E85B25045618280011106CE97E701009E +:102070009387C7569C4381CB97E701009387075509 +:10208000054798C339A297E701009387275423A0F7 +:10209000070097E70100938727359C439C5B3EC66A +:1020A000B7A7A5A59387575A3EC4B2479C4322477A +:1020B0006314F702B24791079C432247631EF7005F +:1020C000B247A1079C4322476318F700B247B10704 +:1020D0009C4322476308F70497E701009387C730C2 +:1020E000984397E70100938727309C4393874703E2 +:1020F000BE853A85EF20B00A35A097E701009387A7 +:10210000274C9C4381E77370043001A097E70100DE +:102110009387074B9C431387F7FF97E7010093874B +:10212000274A98C397E7010093878749984397E622 +:1021300001009386E634BA878A07BA978A07B6976A +:102140009C43C5DF97E70100938787479843BA8789 +:102150008A07BA978A0717E7010013076732BA9709 +:102160003EC29247DC43D8439247D8C39247D843F4 +:102170009247A1076317F7009247DC43D843924781 +:10218000D8C39247DC43D84797E701009387C72518 +:1021900098C30100F24005618280797106D62AC693 +:1021A0002EC4B24781E77370043001A097E70100A5 +:1021B000938787239C43E107BE853245EFE0CFC27A +:1021C00097E70100938747229C4391073E85EFE004 +:1021D0004FC82247FD576310F70297E70100938726 +:1021E000A7209C439107BE8517E501001305853B99 +:1021F000EFE08FBB19A897E701009387273C9C432A +:102200002247BA973ECE7245712B0100B25045610C +:102210008280797106D62AC62EC432C2B24781E7BF +:102220007370043001A097E701009387273B9C431C +:1022300081E77370043001A097E701009387C71A04 +:102240009C43A24637070080558F98CF97E701003F +:10225000938787199C43E107BE853245EFE0CFB4F1 +:1022600097E70100938747189C4391073E85EFE06D +:102270004FBE1247FD576310F70297E7010093879F +:10228000A7169C439107BE8517E50100130585310C +:10229000EFE08FB119A897E70100938727329C439D +:1022A0001247BA973ECE7245F5290100B2504561FA +:1022B0008280797106D62AC62EC432C2B24781E71F +:1022C0007370043001A097E701009387E7119C43E6 +:1022D000E107BE853245EFE02FAD97E70100938718 +:1022E000A7109C4391073E85EFE0AFB612478547A4 +:1022F0006310F70297E701009387070F9C4391074C +:10230000BE8517E501001305E529EFE0EFA919A83F +:1023100097E701009387872A9C432247BA973ECECE +:10232000724549210100B25045618280797106D61B +:102330002AC6B247DC47DC473ECCE24781E77370F0 +:10234000043001A0E247E1073E85EFE08FB097E758 +:1023500001009387A7289C43A1EBE24791073E85A4 +:10236000EFE02FAFE247D85797E701009387472563 +:102370009C4363F9E700E247D85797E7010093874A +:10238000272498C3E247D857BA878A07BA978A079B +:1023900017E701001307C70E3E97E2479107BE8576 +:1023A0003A85EFE06FA011A8E247E107BE8517E587 +:1023B00001001305651CEFE02F9FE247D85797E710 +:1023C0000100938767029C43DC5763FBE700854766 +:1023D0003ECE97E701009387671F054798C311A07A +:1023E00002CEF2473E85B25045618280797106D6B1 +:1023F0002AC62EC497E701009387471E9C4381E7B6 +:102400007370043001A02247B70700805D8FB24788 +:1024100098C3B247DC473ECCE24781E77370043093 +:1024200001A03245EFE0EFA2E24791073E85EFE0E1 +:102430004FA2E247D85797E70100938767189C435C +:1024400063F9E700E247D85797E7010093874717FA +:1024500098C3E247D857BA878A07BA978A0717E717 +:1024600001001307E7013E97E2479107BE853A85D1 +:10247000EFE08F93E247D85797E701009387C7F6BD +:102480009C43DC5763FBE70085473ECE97E701009E +:102490009387C713054798C311A002CEF2473E8524 +:1024A000B2504561828041112AC6B24781E77370FC +:1024B000043001A097E70100938787119843B24742 +:1024C00098C397E701009387670F9843B247D8C333 +:1024D000010041018280797106D62AC62EC4B24716 +:1024E00081E77370043001A0A24781E77370043064 +:1024F00001A01D2F97E701009387470C9C433ECC1A +:10250000A2479843FD576314F70002CE91A8B24743 +:10251000984397E701009387A70B9C43630AF70052 +:10252000B247DC4362476365F70085473ECE0DA89E +:10253000B247DC4362471D8FA2479C436370F7029A +:10254000A2479843B247D443E247B387F6403E9749 +:10255000A24798C33245813F02CE19A085473ECE9F +:10256000DD2DF2473E85B2504561828097E701003C +:102570009387C705054798C30100828001112AC6C9 +:10258000B24799C7B2473ECCE247FC473ECE11A0C6 +:1025900002CEF2473E850561828001112AC62EC413 +:1025A000B24791C7B2473ECEF2472247F8C7010073 +:1025B00005618280011106CE2AC6852297E70100B7 +:1025C000938707EC98438547E3F9E7FEEFD01FE4D4 +:1025D000EDB7397106DE2ACE2ECC32CA36C8BA879C +:1025E0002317F10002D625A06247B257BA9703C756 +:1025F0000700F246B257B697238AE7026247B257FE +:10260000BA9783C7070089CBB25785073ED63257A2 +:10261000BD47E3FBE7FC11A00100F247A3810704DB +:102620005247A54763F4E700A5473ECAF247524721 +:10263000D8D7F2475247B8CBF24723AA0704F2474C +:1026400091073E85EFD05FF5F247E1073E85EFD079 +:10265000BFF4F247724798CB2947D2471D8FF24704 +:1026600098CFF2477247D8D3F24723A20704F24724 +:1026700023AC0704F24723AE07040100F2502161A6 +:102680008280011106CE02C60DA03247BA878A07A2 +:10269000BA978A0717E70100130787DEBA973E85C6 +:1026A000EFD05FECB24785073EC63247A547E3FE51 +:1026B000E7FC17E50100130525E9EFD0BFEA17E5B0 +:1026C00001001305A5E9EFD0FFE917E501001305A7 +:1026D000A5EAEFD03FE917E50100130525EBEFD0A0 +:1026E0007FE817E501001305E5EBEFD0BFE797E7BB +:1026F00001009387E7E717E701001307E7E498C3B2 +:1027000097E70100938707E717E70100130707E53D +:1027100098C30100F24005618280011106CE8DA8A8 +:10272000EFF00FCC97E70100938747E69C4393B700 +:10273000170093F7F70F3EC6EFF04FCCB247A9EB67 +:10274000E52197E70100938767E4DC47DC473EC457 +:10275000A24791073E85EFD0DFEF97E7010093870F +:10276000A7E59C431387F7FF97E701009387C7E42A +:1027700098C397E701009387A7E29C431387F7FF6D +:1027800097E701009387C7E198C3F1212245912C77 +:1027900097E701009387C7E09C43D9F30100F2401B +:1027A00005618280011106CE2AC697E70100938752 +:1027B000A7C39C433247D8C397E70100938707E03C +:1027C0009C4332476372F70297E70100938787DAE9 +:1027D000984397E70100938727C19C439107BE85E3 +:1027E0003A85EFD07FE035A897E70100938747D877 +:1027F000984397E70100938727BF9C439107BE85C5 +:102800003A85EFD07FDE97E701009387E7DC9C43B2 +:1028100032476378F70097E701009387E7DB324799 +:1028200098C30100F24005618280797106D6AA87BB +:102830002EC42317F100A24789EB8357E1008A07D2 +:102840003E85EF104051AA8711A0A2473ECCE24737 +:1028500085C313050006EF1000502ACEF24789C742 +:10286000F247624798DB31A06245EF10C06111A0CA +:1028700002CEF24781CFF247985B8357E1008A0787 +:102880003E869305500A3A85EF104075F2473E8523 +:10289000B25045618280397106DE22DC2AC62EC420 +:1028A00032C202D6A2479C43638D070EA2473ED494 +:1028B000A257DC43D843A257D8C3A257D843A25744 +:1028C000A1076317F700A257DC43D843A257D8C328 +:1028D000A257DC43DC473ED2A2473ED08257DC43BE +:1028E000D8438257D8C38257D8438257A10763176A +:1028F000F7008257DC43D8438257D8C38257DC4362 +:10290000DC473ECEB25796073247BA97724798C314 +:10291000B25796073247BA97724713074703D8C38F +:10292000B25796073247BA977247384798C7B25797 +:1029300096073247BA971247D8C7B2579607324719 +:10294000BA977247585798CB12478D47631BF700C9 +:10295000F2479C5799C7B25796073247BA9709472B +:10296000D8C7B25796073247BA977247384BD8CB79 +:10297000B25796073247BA9723AC0700F247945BE9 +:10298000B257960732473304F70036850D20AA87E1 +:10299000231EF400B25785073ED672479257E31DB7 +:1029A000F7F2B2573E85F2506254216182800111E4 +:1029B0002AC602CE39A0B24785073EC6F247850730 +:1029C0003ECEB24703C707009307500AE305F7FE60 +:1029D000F24789833ECEF247C207C1833E85056137 +:1029E0008280011106CE2AC6B2479C5B3E85EF105D +:1029F00080493245EF1020490100F2400561828094 +:102A0000411197E701009387A7B69C439C4399E344 +:102A1000854711A0814781CB97E701009387C7BB0A +:102A20007D5798C305A097E70100938767B49C433F +:102A3000DC47DC473EC6B247D84397E7010093879F +:102A4000A7B998C3010041018280411197E70100B5 +:102A5000938787999C433EC6B2473E854101828059 +:102A6000411197E701009387E7B59C4381E78547CC +:102A70003EC619A897E70100938747B69C4381E7B4 +:102A800089473EC611A002C6B2473E8541018280F9 +:102A9000797106D62AC6B2473ECEB247F9C3F2478D +:102AA000D85797E70100938727949C43DC57637AB4 +:102AB000F70AF2479C4F63CC070097E70100938722 +:102AC000A7929C43DC5729471D8FF24798CFF247C6 +:102AD000D44BF247D857BA878A07BA978A0717E7BD +:102AE00001001307E799BA976394F600854711A090 +:102AF0008147A5C3F24791073E85EFD09FB597E781 +:102B000001009387678E9C43D857F247D8D7F24786 +:102B1000D85797E701009387A7AA9C4363F9E7007A +:102B2000F247D85797E70100938787A998C3F247E0 +:102B3000D857BA878A07BA978A0717E70100130799 +:102B400027943E97F2479107BE853A85EFD0DFA5DF +:102B500009A897E70100938727899C43D857F24734 +:102B6000D8D70100B25045618280797106D62AC655 +:102B7000B2473ECC02CEB247DDC397E70100938750 +:102B8000A7869C4362476305F7007370043001A079 +:102B9000E247FC4B81E77370043001A0E247FC4B35 +:102BA0001387F7FFE247F8CBE247D857E247BC4B21 +:102BB0006307F706E247FC4BBDE3E24791073E851A +:102BC000EFD03FA9E247B84BE247D8D7E247DC57FE +:102BD00029471D8FE24798CFE247D85797E7010072 +:102BE0009387079E9C4363F9E700E247D85797E72E +:102BF00001009387E79C98C3E247D857BA878A07B2 +:102C0000BA978A0717E70100130787873E97E247BD +:102C10009107BE853A85EFD03F9985473ECEF24772 +:102C20003E85B250456182807370043097E70100A1 +:102C3000938747999C4391CF97D701009387C77A91 +:102C40009C43F8430507F8C397D701009387C779DA +:102C50009C430100828097E701009387A7969C43DD +:102C60008DCB97D70100938727789C43FC4395C36E +:102C700097D70100938747779C43F8437D17F8C3A4 +:102C800097D70100938747769C43FC4399E3736091 +:102C9000043001008280411197D701009387C774E7 +:102CA0009C439C4F3EC697D701009387E7739C4394 +:102CB000D85797D70100938727739C43A94633873A +:102CC000E64098CFB2473E854101828097D7010008 +:102CD000938787719C4389CB97D701009387C770EF +:102CE0009C43F84B0507F8CB97D701009387C76F34 +:102CF0009C433E858280797106D62AC62EC42D3724 +:102D000097D701009387476E9C43BC4FADE397D79D +:102D100001009387676D9C430547F8CFA247A1CB7D +:102D200097D701009387476C9C4391073E85EFD06E +:102D30005F922247FD576310F70297D701009387F0 +:102D4000A76A9C439107BE8517E501001305858599 +:102D5000EFD09F8519A897E70100938727869C43AA +:102D60002247BA973ECE7245353CEFD02FEAE53583 +:102D7000653D97D70100938727679C43BC4F3ECCA6 +:102D8000E2479DC3B24789CB97D701009387C765B8 +:102D90009C4323AC070409A897D701009387C76415 +:102DA0009C43B84F7D17B8CF97D701009387C7636F +:102DB0009C4323AE07044535E2473E85B25045614A +:102DC0008280797106D62AC62EC432C236C0A93D89 +:102DD00097D70100938747619C43F84F8947630D5C +:102DE000F70697D70100938727609C43B44F32477B +:102DF0001347F7FF758FB8CF97D701009387C75E4A +:102E00009C430547F8CF8247A1CB97D70100938712 +:102E1000A75D9C4391073E85EFD0BF830247FD57D6 +:102E20006310F70297D701009387075C9C439107D3 +:102E3000BE8517D501001305E576EFD0EFF619A88A +:102E400097D70100938787779C430247BA973ECC78 +:102E50006245893AEFD08FDBFD3BF933924789CB4E +:102E600097D70100938747589C43B84F924798C320 +:102E700097D70100938747579C43F84F85476314C2 +:102E8000F70002CE31A897D701009387E7559C43FE +:102E9000B44F22471347F7FF758FB8CF85473ECE13 +:102EA00097D70100938747549C4323AE07046533AB +:102EB000F2473E85B25045618280797106D62AC6B6 +:102EC0002EC432C236C085473ECEB24781E773700A +:102ED000043001A0B2473ECC813B824789C7E2471C +:102EE000B84F824798C3E247FC4F3ECAE2470947C2 +:102EF000F8CF1247914763EEE704924713972700F4 +:102F000097D7010093878710BA97984397D7010006 +:102F10009387C70FBA978287E247B84FA2475D8F62 +:102F2000E247B8CF3DA0E247BC4F13871700E24706 +:102F3000B8CF05A0E2472247B8CF21A8524789471A +:102F40006306F700E2472247B8CF21A002CE11A0C6 +:102F5000010052478547631AF706E24791073E850D +:102F6000EFD02FEFE247D85797D7010093874765F7 +:102F70009C4363F9E700E247D85797D7010093874E +:102F8000276498C3E247D857BA878A07BA978A074F +:102F900017D701001307C74E3E97E2479107BE853A +:102FA0003A85EFD06FE0E2479C5781C773700430D9 +:102FB00001A0E247D85797D701009387E7429C4387 +:102FC000DC5763F4E700EFD06FC47131F2473E8500 +:102FD000B25045618280397106DE2ACE2ECC32CACB +:102FE00036C83AC685473ED6F24781E7737004304B +:102FF00001A0F2473ED4EFD04FCFAA873ED2C247BE +:1030000089C7A257B84FC24798C3A257FC4F3ED0BA +:10301000A2570947F8CF5247914763EEE704D247DA +:103020001397270097D70100938787FFBA97984394 +:1030300097D701009387C7FEBA978287A257B84FE8 +:10304000E2475D8FA257B8CF3DA0A257BC4F138770 +:103050001700A257B8CF05A0A2576247B8CF21A842 +:10306000025789476306F700A2576247B8CF21A0ED +:1030700002D611A0010002578547631DF708A25729 +:103080009C5781C77370043001A097D701009387C4 +:10309000E7549C43A1EBA25791073E85EFD06FDB2D +:1030A000A257D85797D70100938787519C4363F95C +:1030B000E700A257D85797D701009387675098C366 +:1030C000A257D857BA878A07BA978A0717D7010035 +:1030D0001307073B3E97A2579107BE853A85EFD06D +:1030E000AFCC11A8A257E107BE8517D50100130583 +:1030F000A548EFD06FCBA257D85797D70100938739 +:10310000A72E9C43DC5763F7E700B24781C7B2475D +:10311000054798C392573E85EFD02FBCB2573E85E6 +:10312000F25021618280797106D62AC62EC4B24738 +:1031300081E77370043001A0B2473ECEEFD0EFBA02 +:10314000AA873ECCF247FC4F3ECAF2470947F8CF68 +:10315000F247BC4F13871700F247B8CF5247854755 +:10316000631DF708F2479C5781C77370043001A0B4 +:1031700097D70100938787469C43A1EBF2479107BD +:103180003E85EFD00FCDF247D85797D701009387F0 +:1031900027439C4363F9E700F247D85797D70100CC +:1031A0009387074298C3F247D857BA878A07BA97D6 +:1031B0008A0717D701001307A72C3E97F2479107FC +:1031C000BE853A85EFD04FBE11A8F247E107BE8514 +:1031D00017D501001305453AEFD00FBDF247D85778 +:1031E00097D70100938747209C43DC5763F7E7009C +:1031F000A24781C7A247054798C3E2473E85EFD063 +:10320000CFAD0100B25045618280797106D62AC6E1 +:10321000B2473ECCE24799E797D701009387C71C96 +:103220009C4311A0E2473ECC0134E247F84F894766 +:103230006318F700E24723AE070485473ECE11A08E +:1032400002CE113CF2473E85B250456182800111A9 +:1032500006CE02C61D2B97D701009387673B9C4380 +:103260009DC38148014881470947814613060040B4 +:1032700097D50100938505DC170500001305051B94 +:10328000EFE0AF852AC6B24781E77370043001A032 +:10329000B2473E85F24005618280397106DE2ACE52 +:1032A0002ECC32CA36C83AC6E24799E302D62DA8D8 +:1032B0001305C002EF00302A2AD6B25795C7F12174 +:1032C000B257724798C3B257624798CFB257524726 +:1032D000D8CFB257424798D3B2573247D8D3B25714 +:1032E00091073E85EFD04FABE24781E77370043022 +:1032F00001A0B2573E85F25021618280397106DE0D +:103300002ACE2ECC32CA36C83AC602D6F24781E758 +:103310007370043001A097D701009387672F9C43F7 +:10332000B5CBE2473ED0D2473ED2F2473ED46247C9 +:10333000954763C4E704EFF0AFF22A87894763102B +:10334000F70297D701009387A72C9C43181081465A +:103350003246BA853E85EFD0AFE02AD625A897D76A +:1033600001009387E72A9C43181081460146BA85DD +:103370003E85EFD0EFDE2AD631A897D7010093879C +:1033800027299C43181081464246BA853E85EFD0D6 +:103390000FF32AD6B2573E85F25021618280011187 +:1033A0002AC6B2473ECEB24781E77370043001A00F +:1033B000F2479C433E8505618280797106D62AC614 +:1033C0002EC497D70100938727249C43DC47DC4712 +:1033D0003ECEF24791073E85EFD0AFA7F247D84FD8 +:1033E0008547631BF702F247984FB247BA97B24638 +:1033F0002246BE857245B9222A878547631EF7009B +:1034000001478146324681457245CD3D2ACCE2478F +:1034100081E77370043001A0F247DC537245829754 +:103420000100B25045618280797106D62AC63C08F7 +:103430003E8541282ACEE247BE8572451920692281 +:10344000FDB7797106D62AC62EC4EFE06FF93C08A5 +:103450003E8545282ACEE247B9EFA24799EB32478D +:10346000F24763E8E700EFE06FF9F2453245B13724 +:10347000A9A0A24781CF97D70100938727199C4322 +:103480009C4393B7170093F7F70F3EC497D70100FB +:103490009387071894433247F247B307F740224611 +:1034A000BE853685EFD0FFDDEFE04FF5AA8791E7C7 +:1034B000EFC0DFF519A0EFE06FF40100B2504561F5 +:1034C000828001112AC697D701009387E7139C4396 +:1034D0009C4393B7170093F7F70F3E87B24798C303 +:1034E000B2479C4391EB97D701009387E7119C4328 +:1034F000DC479C433ECE11A002CEF2473E850561DB +:103500008280797106D62AC6EFE01F842ACE97D72B +:103510000100938727109C4372476377F700692A5D +:10352000B247054798C321A0B24723A0070097D709 +:1035300001009387270E724798C3F2473E85B25029 +:1035400045618280797106D62AC62EC432C236C041 +:1035500002CEB2472247D8C3B247324798CB224760 +:10356000924763E8E702124782471D8FB2479C4F9C +:103570006365F70085473ECE99A097D701009387F2 +:10358000E7089843B2479107BE853A85EFD0CF85CB +:103590003DA0124782476379F7002247824763655F +:1035A000F70085473ECE21A897D701009387C7052E +:1035B0009843B2479107BE853A85EFD0EF82F24734 +:1035C0003E85B25045618280797106D6D9A0A24766 +:1035D00063C0070CC2473ECEF247DC4B91C7F247AF +:1035E00091073E85EFD0EF865C003E85193F2ACCDF +:1035F000A2472547636FF7081397270097D7010065 +:103600009387C7A3BA97984397D70100938707A3D7 +:10361000BA9782873247F2479C4FBA973247BA86A9 +:103620006246BE857245393F2A8785476313F70690 +:10363000F247DC5372458297F247D84F8547631AA9 +:10364000F7043247F2479C4FBA97014781463E86BE +:103650008145724565312ACAD24785EF73700430BF +:1036600001A03247F24798CFF2479C4F81E7737031 +:10367000043001A0F247984FE247BA97E24662460B +:10368000BE857245C13531A07245EF00C07F11A0E3 +:10369000010097D701009387A7F79C433800814624 +:1036A0000146BA853E85EFD06FD8AA87E39107F22D +:1036B0000100B25045618280797106D671A097D71A +:1036C0000100938767F49C43DC479C433ECC97D72B +:1036D0000100938767F39C43DC47DC473ECAD2472F +:1036E00091073E85EFC0FFF6D247DC5352458297E3 +:1036F000D247D84F85476319F704D2479C4F62479A +:10370000BA973EC84247E24763F4E702D2474247CE +:10371000D8C3D247524798CB97D701009387C7EEBB +:103720009843D2479107BE853A85EFC0FFEB29A8A1 +:1037300001478146624681455245C9362AC6B2478D +:1037400081E77370043001A097D701009387C7EB1E +:103750009C439C43ADF797D701009387E7EA9C43CE +:103760003ECE97D70100938767EA984397D7010029 +:10377000938787E998C397D70100938727E9724712 +:1037800098C30100B25045618280411106C6EFF036 +:10379000AFC997D701009387A7E79C43C9E717D51F +:1037A00001001305E5E3EFC0FFDB17D501001305AA +:1037B00065E4EFC03FDB97D701009387E7E417D7B5 +:1037C00001001307E7E198C397D70100938707E447 +:1037D00017D70100130707E298C30146B145114509 +:1037E000EFC07FF72A8797D70100938767E298C3D6 +:1037F00097D701009387C7E19C4381E7737004303A +:1038000001A097D701009387A7E09C4389CF97D762 +:1038100001009387E7DF9C4397D50100938585845A +:103820003E85EFD05F9CEFF00FC30100B240410135 +:103830008280797106D62AC6B2473ECEB24781E76A +:103840007370043001A0EFF02FBEF247DC4BB337AA +:10385000F00093F7F70F3ECCEFF0EFBFE2473E8565 +:10386000B25045618280797106D62AC6B2473ECEF3 +:10387000B24781E77370043001A0EFF0EFBAF2476E +:103880009C533ECCEFF02FBDE2473E85B2504561E0 +:103890008280797106D62AC62EC4B2473ECEB24780 +:1038A00081E77370043001A0EFF00FB8F2472247B0 +:1038B00098D3EFF04FBA0100B250456182800111F8 +:1038C00006CE714549292AC6B24789CBB24723A003 +:1038D0000700B24791073E85EFC0DFC8B2473E857B +:1038E000F24005618280397106DE2AC62EC432C2DA +:1038F00036C0B2473ED402D21247B70700FFF98F55 +:1039000081C77370043001A0924781E7737004305F +:1039100001A0EFF0EF94AA8799E3824799E38547E6 +:1039200011A0814781E77370043001A0EFE04FAB35 +:10393000A2579C433ED0A245324585240257A24758 +:103940005D8F9247F98F12476310F7020257A24723 +:10395000D98F3ED6A2579843924793C7F7FF7D8FE2 +:10396000A25798C302C025A0824799CFA257938639 +:1039700047001247B7070005D98F0246BE85368536 +:10398000EFE03F8902D621A0A2579C433ED6EFE04C +:10399000EFA62ACE8247B1CBF24799E3EFC01FA72B +:1039A000EFF06FAF2AD63257B7070002F98F85EBD9 +:1039B000EFF08FA7A2579C433ED632579247F98F1C +:1039C0001247631AF700A2579843924793C7F7FF2D +:1039D0007D8FA25798C3EFF00FA885473ED232578C +:1039E000B7070001FD17F98F3ED6B2573E85F2505A +:1039F000216182805D7186C62ACE2ECC32CA36C83D +:103A00003AC6F2473EDA02DC02D8F24781E7737029 +:103A1000043001A06247B70700FFF98F81C77370B8 +:103A2000043001A0E24781E77370043001A0EFF099 +:103A30002F83AA8799E3B24799E3854711A081476D +:103A400081E77370043001A0EFE08F99D2579C4357 +:103A50003ED64246E245325575242AD4A25799CF24 +:103A6000B2573EDE02C6D247A9CBD2579843E247AF +:103A700093C7F7FF7D8FD25798C381A0B24781E7E4 +:103A8000B2573EDE1DA8D24791C76257B707000163 +:103A9000D98F3EDCC24791C76257B7070004D98F60 +:103AA0003EDCD257938647006247E257D98F3246B1 +:103AB000BE853685EFE0EFF502DEEFE02F942AD2E7 +:103AC000B247B9CB925799E3EFC05F94EFF0AF9C48 +:103AD0002ADE7257B7070002F98F8DEBEFF0CF9413 +:103AE000D2579C433EDE4246E2457255212CAA87BE +:103AF00099CBD24789CBD2579843E24793C7F7FF78 +:103B00007D8FD25798C3EFF00F9502D87257B70741 +:103B10000001FD17F98F3EDEF2573E85B640616128 +:103B20008280797106D62AC62EC4B2473ECEB247ED +:103B300081E77370043001A02247B70700FFF98FB7 +:103B400081C77370043001A0EFF00F8EF2479C43E1 +:103B50003ECCF2479843A24793C7F7FF7D8FF247C9 +:103B600098C3EFF04F8FE2473E85B25045618280A7 +:103B7000797106D62AC6B2473ECEEFC01F97AA87F4 +:103B80003ECCF2479C433ECAE2473E85EFC0FF94DD +:103B9000D2473E85B250456182805D7186C62AC695 +:103BA0002EC402DCB2473ED802DAB24781E7737016 +:103BB000043001A02247B70700FFF98F81C7737057 +:103BC000043001A0C25791073ED6B257A1073ED498 +:103BD000EFE00F81B257DC473EDEC2579843A24761 +:103BE0005D8FC25798C3BDA8F257DC433ED2F2574F +:103BF0009C433ED002DA0257B70700FFF98F3ECE52 +:103C00000257B7070001FD17F98F3ED07247B7077B +:103C10000004F98F89EBC25798438257F98F89CFF7 +:103C200085473EDA11A8C25798438257F98F025749 +:103C30006314F70085473EDAD2579DC37247B70732 +:103C40000001F98F89C762578257D98F3EDCC2576E +:103C50009843B7070002D98FBE857255EFE00FF980 +:103C600092573EDE7257A257E310F7F8C2579843B7 +:103C7000E25793C7F7FF7D8FC25798C3EFD01FF865 +:103C8000C2579C433E85B64061618280797106D6F9 +:103C90002AC6B2473ECEF24791073ECCEFD05FF442 +:103CA0000DA0E247D847E247A1076315F7007370FC +:103CB000043001A0E247DC47B70500023E85EFE093 +:103CC000EFF2E2479C43F1FF7245752AEFD01FF3F4 +:103CD0000100B25045618280011106CE2AC62EC471 +:103CE000A24532455D3D0100F2400561828001112F +:103CF00006CE2AC62EC4A245324525350100F24023 +:103D00000561828001112AC62EC432C202CE9247BA +:103D100081EB3247A247F98F81CF85473ECE09A874 +:103D20003247A247F98F22476314F70085473ECEFA +:103D3000F2473E850561828001112AC6B2473ECC1A +:103D4000B24799E302CE21A0E2479C4F3ECEF24714 +:103D50003E8505618280397106DE2AC602D2EFD027 +:103D60003FE8976703009387278C9C4381EB55229C +:103D7000976703009387478B054798C3B24789CF5E +:103D8000A1473E87B247BA973EC6B2478D8B89C7D7 +:103D9000B247F19B91073EC6B247F9CB3247E56780 +:103DA000ED1763E7E70C976703009387E7863ED438 +:103DB00097670300938747869C433ED631A0B2574E +:103DC0003ED4B2579C433ED6B257DC43324763F5EC +:103DD000E700B2579C43E5F7325797670300938794 +:103DE00027846307F708A2579C432147BA973ED21E +:103DF000B2579843A25798C3B257D843B247B307B4 +:103E0000F740214706076378F7043257B247BA975D +:103E10003ECEB257D843B2471D8FF247D8C3B257F0 +:103E20003247D8C3F247DC433ECC97570300938711 +:103E3000A77E3ED021A082579C433ED082579C4310 +:103E4000DC436247E3E9E7FE82579843F24798C3B1 +:103E50008257724798C397C701009387275A9843A0 +:103E6000B257DC431D8F97C701009387275998C32A +:103E7000EFD0DFD8925799E3EF00D03192573E85CB +:103E8000F25021618280797106D62AC6B2473ECCB3 +:103E9000B247BDC3A147B307F0406247BA973ECCD3 +:103EA000E2473ECAEFD0DFD3D247DC433EC8975744 +:103EB0000300938767763ECE21A0F2479C433ECE17 +:103EC000F2479C43DC434247E3E9E7FEF24798436D +:103ED000D24798C3F247524798C3D247D84397C7AF +:103EE00001009387A7519C433E9797C70100938792 +:103EF000E75098C3EFD09FD00100B2504561828057 +:103F000097C701009387874F9C433E8582800100BD +:103F10008280411197C7010093874770F19B3EC68D +:103F2000975703009387476F324798C3975703000B +:103F30009387876E23A20700975703009387476EE6 +:103F400065677117D8C3975703009387676D23A0E0 +:103F50000700B2473EC4A24765677117D8C3A2479E +:103F6000175703001307C76B98C3010041018280F4 +:103F700001112AC62EC432C2A2473ECEB2473ECC61 +:103F80003247A247D98F8D8BA1E319A8F2479843F6 +:103F9000E24798C3E24791073ECCF24791073ECEF5 +:103FA0009247F5173247BA976247E361F7FE29A8AF +:103FB0007247930717003ECEE2479386170036CC30 +:103FC000034707002380E70032479247BA976247CA +:103FD000E360F7FEB2473E850561828001112AC683 +:103FE0002EC432C232479247D98F8D8B9DEFA247A4 +:103FF00093F7F70F3ECAD247A2075247D98F3ECA5E +:10400000D247C2075247D98F3ECAB2473ECE39A0E7 +:10401000F247138747003ACE524798C33247924738 +:10402000BA977247E366F7FE1DA0B2473ECC11A8CF +:10403000E247138717003ACC22471377F70F238004 +:10404000E70032479247BA976247E363F7FEB24709 +:104050003E850561828001112AC6B2473ECE21A06D +:10406000F24785073ECEF24783C70700F5FB72474C +:10407000B247B307F7403E850561828001112AC629 +:104080002EC4B247138717003AC683C70700A30F91 +:10409000F100A247138717003AC483C70700230F14 +:1040A000F1008347F10199C70347F1018347E1011B +:1040B000E309F7FC0347F1018347E101B307F74048 +:1040C0003E850561828001112AC62EC4B2473ECECC +:1040D00001002247930717003EC4F247938617005A +:1040E00036CE034707002380E70083C70700F5F3B8 +:1040F000B2473E850561828001112AC602CE02CCFC +:1041000021A0B24785073EC6B24703C70700930701 +:104110000002E308F7FEB24703C707009307D00287 +:104120006309F700B24703C707009307B0026311A2 +:10413000F704B24783C70700938737FD93B717008B +:1041400093F7F70F3ECCB24785073EC615A07247DE +:10415000BA878A07BA9786073ECEB24713871700F9 +:104160003AC683C70700938707FD7247BA973ECECA +:10417000B24783C70700E1FFE24789C7F247B307A9 +:10418000F04011A0F2473E850561828001112AC6E8 +:10419000975703009387074B9C4393861700175745 +:1041A00003001307274A14C332471377F70F9756B4 +:1041B00003009386264DB6972380E7003247A94730 +:1041C000630BF700975703009387C74798439307FC +:1041D00000046315F704975703009387A74A3ECE60 +:1041E00005A8F247138717003ACE03C70700B707A1 +:1041F00010F0938707F098C397570300938787447D +:104200009C431387F7FF975703009387A74398C3EF +:1042100097570300938707439C43E1F781473E8507 +:10422000056182804D7123261114232481142322D9 +:10423000911423202115232E3113232C4113232ADB +:1042400051132ACE2ECC32C836CA3AC63EC442C218 +:1042500023260112B2473E8A814AC24752485286FB +:10426000D6863E85C285EF102038AA872E883E86E6 +:10427000C2868327C112138717002326E1123287D3 +:104280008A07141AB69723AEE7EEB2473E848144FC +:10429000D247268763E8E702D24726876396E7007E +:1042A000C247228763E0E702B2473E8981494A86D6 +:1042B000CE864245D245EF007055AA872E883EC86B +:1042C00042CA49BF010029A0F247E2451245829740 +:1042D000A2471387F7FF3AC40327C112E346F7FE4C +:1042E00025A88327C1128A07181ABA9703A7C7EF10 +:1042F0008327C1128A07141AB69783A6C7EFA5476A +:1043000063F5D7009307700519A093070003BA97C8 +:104310007247E2453E8502978327C1121387F7FF54 +:104320002326E112E34FF0FA01008320C114032495 +:10433000811483244114032901148329C113032AFE +:104340008113832A41137161828041112AC62EC4D0 +:10435000A2460547635ED700B2479C439D07E19B99 +:1043600093868700324714C303A847009C4335A0B7 +:10437000224719CB3247184313064700B24690C272 +:104380001843BA87014811A832471843130647005B +:10439000B24690C21843BA8701483E85C2854101A2 +:1043A000828041112AC62EC4A2460547635ED7000B +:1043B000B2479C439D07E19B93868700324714C315 +:1043C00003A847009C4305A8224701CF3247184362 +:1043D00013064700B24690C21843BA877D873A88D1 +:1043E00019A83247184313064700B24690C2184333 +:1043F000BA877D873A883E85C285410182803971BE +:1044000006DE22DC26DA2AC62EC432C236C011A845 +:1044100063070424924785073EC2B247A24522851E +:104420008297924783C707003E8493075002E311A7 +:10443000F4FE924785073EC292473EC893070002AA +:10444000A30BF100FD573ECEFD573ECC02D0924764 +:10445000138717003AC283C707003E849307D4FD31 +:10446000130750056364F71E1397270097C70100D1 +:10447000938747C0BA97984397C70100938787BF30 +:10448000BA9782879307D002A30BF100C9B79307AD +:104490000003A30BF10065BF02CC6247BA878A070D +:1044A000BA978607A297938707FD3ECC924783C7AA +:1044B00007003E849307F00263D6870293079003B8 +:1044C00063C28702924785073EC2C1BF82471387F6 +:1044D00047003AC09C433ECC39A0F247E3D907F6E7 +:1044E00002CEB5B70100F247E3D307F6E2473ECE6E +:1044F000FD573ECCA9BF825785073ED089BF824772 +:10450000138747003AC09C433247A2453E85029735 +:10451000B1A28247138747003AC0844389E497C415 +:1045200001009384A4B4F2476357F0040347710178 +:104530009307D0026301F704E247BE852685EF00AA +:10454000B02A2A87F247998F3ECE11A8834771017E +:104550003247A2453E850297F247FD173ECEF2470D +:10456000E346F0FE09A8B247A2452285829785045A +:10457000F247FD173ECE83C704003E841DC0E247CC +:10458000E3C307FEE247FD173ECCE247E3DD07FC4D +:1045900009A8B247A245130500028297F247FD170A +:1045A0003ECEF247E347F0FE55A88A8782553E8506 +:1045B000CD3BAA872E883ED442D6A2573258C28716 +:1045C00063D90702B247A2451305D0028297A255CC +:1045D000325681470148B386B740368533B5A700C8 +:1045E0003307C840B307A7403E87B6873A883ED412 +:1045F00042D6A9473ED21DA8A9473ED215A0A14741 +:104600003ED239A885473ED0B247A24513050003E4 +:104610008297B247A245130580078297C1473ED2D1 +:104620008A8782553E8515332AD42ED61257834762 +:1046300071013E88F2472256B256A2453245DD3618 +:1046400031A8B247A2452285829709A8B247A24560 +:10465000130550028297C2473EC20100D9B3010040 +:10466000F2506254D2542161828041112AC6B707A8 +:1046700010F0938707F2324798C301A05D7106D608 +:104680002AC62EDA32DC36DEBAC0BEC2C2C4C6C604 +:104690009C0891173ECEF247BE86324681451705EB +:1046A00000001305E5AEA13B81473E85B250616134 +:1046B000828001112AC62EC41EC2A2473ECEF247F6 +:1046C0009C4332471377F70F2380E700F2479C4360 +:1046D00013871700F24798C30100056182801D719E +:1046E00006DE2AC62EC4B2C4B6C6BAC8BECAC2CC7A +:1046F000C6CE9C103ED25C084C081706000013067C +:1047000086FB7D779346F7FF0565130505803388A3 +:10471000A5003378E8001368783B23A007013295A1 +:10472000698F13677733D8C333F7D50093154701E3 +:1047300037870300130737394D8F98C73377D60073 +:10474000931647013707030013077706558FD8C71D +:104750000F100000B2473ED69C10A1173ED422573E +:104760005C083E857C00BA862246BE854939B24740 +:1047700023800700B2473E87B257B307F7403E8514 +:10478000F250256182804111370510F0130545F480 +:1047900008412AC6370510F0130505F408412AC45C +:1047A000370510F0130545F40841B248E39EA8FC14 +:1047B00032452A8381431317030081462245AA8587 +:1047C0000146B3E7B6003368C700370710F013059A +:1047D00087F48565938505FA0146B386B700B688E8 +:1047E000B3B8F8003307C800B387E8003E87B68740 +:1047F0003A881CC123220501010041018280411138 +:1048000006C651379307000873A047300100B24035 +:1048100041018280011106CE2AC617C50100130589 +:10482000E59AEFF0BFE50100F240056182800111D9 +:1048300006CE2AC617C501001305C599EFF01FE47F +:104840000100F24005618280797106D62AC602CE47 +:1048500029A00100F24785073ECE724785679387FE +:104860007738E3D8E7FE17C5010013052597EFF069 +:10487000FFE0F1BF411106C60D2A0100B24041011F +:104880008280011106CE02C6D5252A878547630C92 +:10489000F70017C501001305E594EFF03FDEB247BE +:1048A00093E727003EC6B2473E85F240056182800D +:1048B000011106CE02C67D3F1707000013076704EB +:1048C000814605469305400617C50100130545932B +:1048D000EFE0BF9C2AC6B24799CBEFD0EFC6AA87BC +:1048E000014781463E8685453245EFE03FA102C43F +:1048F000EFD02FA581473E85F24005618280797116 +:1049000006D62AC697C70100938747AE9C431387F4 +:10491000470697C70100938767AD98C397C7010003 +:10492000938707AD9C431387470697C7010093877A +:1049300027AC98C397C70100938747AB9843930769 +:10494000F07C63D3E70497C70100938727AA9C43B1 +:104950001387078397C70100938747A998C3153723 +:104960002ACEF24799EB97C70100938767A898432F +:1049700085679387F77663DBE700F2473E85EFF0C4 +:10498000DFCEEFD0EFA321A0010011A00100B250B3 +:10499000456182807370043001A001008280411162 +:1049A0002AC62EC47370043001A0411106C6014608 +:1049B00091451545EFB03FDA2A8797570300938753 +:1049C00067D098C3975703009387C7CF9C4397C579 +:1049D00001009385A5833E85EFC0FF808148014893 +:1049E00081471D4781461306004097C50100938506 +:1049F0002583170500001305C503EFC01F8E8148EE +:104A0000014897570300938727CC1947814613061F +:104A1000004097C501009385258117050000130507 +:104A20008541EFC09F8B0100B24041018280397106 +:104A300006DE2AC602D0A5A882572947B317F70079 +:104A40003ED6EFD06FB02AD497570300938787C71D +:104A50009C43780881463256BA853E85EFC00F9D4B +:104A6000AA8799C7975703009387C7C6054798C376 +:104A7000EFD08FAD2A87A257B307F7403ED2125727 +:104A8000B2576378F700975703009387A7C4054789 +:104A900098C3B257BD07125763F8E7009757030052 +:104AA000938747C3054798C3825785073ED002576F +:104AB0009147E3D3E7F802D015A8975703009387EF +:104AC00067C09C43181081460146BA853E85EFB009 +:104AD0003FE92A8785476308F700975703009387C4 +:104AE00067BF054798C3825785073ED00257914755 +:104AF000E3D5E7FC02D0A5A882572947B317F700F2 +:104B00003ED6EFD06FA42AD497570300938787BB74 +:104B10009C43181081463256BA853E85EFB05FE45B +:104B2000AA8799C7975703009387C7BA054798C3C1 +:104B3000EFD08FA12A87A257B307F7403ED2125772 +:104B4000B2576378F700975703009387A7B80547D4 +:104B500098C3B257BD07125763F8E7009757030091 +:104B6000938747B7054798C3825785073ED00257BA +:104B70009147E3D3E7F8975703009387E7B523A05E +:104B8000070097570300938727B49C433E85EFC0E7 +:104B9000DFE421A05145EFC07FA79757030093871B +:104BA000A7B3984393075005E316F7FE5145EFC0AE +:104BB000FFA597570300938727B223A0070002D0D1 +:104BC000C1A0975703009387E7AF9C4378088146BD +:104BD0000146BA853E85EFC06F852A878547630801 +:104BE000F700975703009387E7AE054798C3975799 +:104BF0000300938727AD9C43181081460146BA8570 +:104C00003E85EFB0FFD52A8785476308F7009757A1 +:104C10000300938727AC054798C3975703009387F2 +:104C2000A7AB9843930750056318F7009757030005 +:104C3000938747AA054798C3975703009387C7A848 +:104C40009C43A5453E85EFC0DFB29757030093878D +:104C5000A7A89843930750056318F70097570300D8 +:104C6000938747A7054798C3975703009387C7A51E +:104C70009C4399453E85EFC0DFAF825785073ED004 +:104C800002579147E3DFE7F221A05145EFC01F989B +:104C900097570300938747A4984393075005E3165B +:104CA000F7FE5145EFC09F96975703009387C7A221 +:104CB00023A0070002D015A897570300938787A069 +:104CC0009C43780881460146BA853E85EFB01FF6C1 +:104CD0002A8785476308F700975703009387879FC4 +:104CE000054798C3825785073ED002579147E3D5C1 +:104CF000E7FC975703009387279D9C433E85EFC0B1 +:104D0000DFCD21A05145EFC07F90975703009387D7 +:104D1000A79C984393075005E316F7FE5145EFC053 +:104D2000FF8E975703009387279B23A0070002D08D +:104D3000C1A0975703009387E7989C4318108146BA +:104D40000146BA853E85EFB0BFC12A878547630813 +:104D5000F700975703009387E797054798C397573E +:104D60000300938727969C43780881460146BA85BD +:104D70003E85EFB0BFEB2A8785476308F70097575A +:104D8000030093872795054798C397570300938798 +:104D9000A7949843930750056318F70097570300AB +:104DA00093874793054798C3975703009387C79105 +:104DB0009C43A5453E85EFC0DF9B97570300938733 +:104DC000A7919843930750056318F700975703007E +:104DD00093874790054798C3975703009387C78EDB +:104DE0009C4399453E85EFC0DF98825785073ED0AA +:104DF00002579147E3DFE7F221A05145EFC01F8141 +:104E0000975703009387478D984393075005E31600 +:104E1000F7FE5145EFC08FFF975703009387078B2D +:104E20009C4313871700975703009387278A98C3DB +:104E300011B1797106D62AC60145EFC0FFA7EFC0B0 +:104E4000BFF02ACE02CA975703009387E78813075B +:104E5000500598C3975703009387C7869C4358080B +:104E600081461306F00ABA853E85EFB07FAFAA8768 +:104E700099C7975703009387E785054798C3EFC005 +:104E8000BFEC2A87F247B307F7403ECC624793074F +:104E9000E00A63E8E700975703009387A783054775 +:104EA00098C362479307E00B63F8E7009757030046 +:104EB00093874782054798C3975703009387C78115 +:104EC0001307500598C30145EFC01F9FEFC0DFE7F0 +:104ED0002ACE97570300938727801307500598C35E +:104EE000974703009387077E9C4358088146130623 +:104EF000F00ABA853E85EFB07FD3AA8799C7974756 +:104F000003009387277D054798C3EFC0FFE32A87F7 +:104F1000F247B307F7403ECC62479307E00A63E8E5 +:104F2000E700974703009387E77A054798C36247EE +:104F30009307E00B63F8E7009747030093878779AF +:104F4000054798C3974703009387077913075005D0 +:104F500098C3974703009387A7779C43138717004D +:104F6000974703009387C77698C3F9B541118547E2 +:104F70003EC697470300938767759843974703009A +:104F80009387C7759C436313F70002C697470300D6 +:104F900093870774984397470300938767749C43EC +:104FA0006313F70002C6974703009387A7729843DD +:104FB00085476313F70002C697470300938747713D +:104FC0009843974703009387A77198C397470300B7 +:104FD0009387C76F9843974703009387277098C3B9 +:104FE000B2473E8541018280B305B50093070500B5 +:104FF0006386B70003C70700631607003385A74021 +:1050000067800000938717006FF09FFE130101FD7A +:1050100023229102232A51012326110223248102F3 +:1050200023202103232E3101232C41012328610158 +:105030002326710123248101232291012320A10130 +:10504000930A050093840500639E06381304060046 +:105050009309050017B901001309891D63F8C512EA +:10506000B7070100138B05006378F6101307F00FE4 +:105070003337C70013173700B357E6003309F90079 +:10508000834609003387E60093060002B386E640B4 +:10509000638C0600B394D40033D7EA003314D600EF +:1050A000336B9700B399DA00935A040193850A0091 +:1050B00013050B00EF00902A1309050093850A00E1 +:1050C000931B040113050B00EF00D02493DB0B01AD +:1050D000930405009305050013850B00EF00D02015 +:1050E0001319090193D70901B367F900138A040062 +:1050F00063FEA700B3878700138AF4FF63E8870085 +:1051000063F6A700138AE4FFB3878700B384A74040 +:1051100093850A0013850400EF005024130905004D +:1051200093850A0013850400EF00D01E93990901AE +:1051300093040500930505001319090113850B005D +:1051400093D90901EF00501AB3693901138604009D +:1051500063FCA900B30934011386F4FF63E68900F8 +:1051600063F4A9001386E4FF13140A013364C40036 +:10517000130A00006F000013B707000113070001B6 +:10518000E36CF6EE130780016FF01FEF138A060041 +:10519000631A06009305000013051000EF00901736 +:1051A00013040500B7070100637EF4129307F00FA4 +:1051B00063F48700130A8000B35744013309F900F0 +:1051C000034709009306000233074701B386E64010 +:1051D00063940612B3848440130A1000135B040125 +:1051E00093050B0013850400EF0050171309050009 +:1051F00093050B0013850400931B0401EF0090112D +:1052000093DB0B01930405009305050013850B0048 +:10521000EF00900D1319090193D70901B367F90045 +:10522000938A040063FEA700B3878700938AF4FF84 +:1052300063E8870063F6A700938AE4FFB3878700DB +:10524000B384A74093050B0013850400EF001011F1 +:105250001309050093050B0013850400EF00900B64 +:105260009399090193040500930505001319090199 +:1052700013850B0093D90901EF001007B3693901B9 +:105280001386040063FCA900B30934011386F4FFFC +:1052900063E6890063F4A9001386E4FF13940A010E +:1052A0003364C4001305040093050A008320C1027F +:1052B0000324810283244102032901028329C101BD +:1052C000032A8101832A4101032B0101832BC100A1 +:1052D000032C8100832C4100032D010013010103E5 +:1052E00067800000B7070001130A0001E366F4ECD1 +:1052F000130A80016FF05FEC3314D40033DAE4005A +:10530000B399DA0033D7EA00935A0401B394D40076 +:1053100093850A0013050A00336B9700EF00100411 +:105320001309050093850A0013050A00931B040165 +:10533000EF00407E93DB0B0193040500930505000D +:1053400013850B00EF00407A1319090113570B0165 +:105350003367E900138A0400637EA70033078700E0 +:10536000138AF4FF636887006376A700138AE4FF5B +:1053700033078700B304A74093850A001385040010 +:10538000EF00C07D1309050093850A001385040012 +:10539000EF004078930405009305050013850B008A +:1053A000EF00807413170B0113570701131909013C +:1053B000B367E9001387040063FEA700B387870083 +:1053C0001387F4FF63E8870063F6A7001387E4FF01 +:1053D000B3878700131A0A01B384A740336AEA002F +:1053E0006FF0DFDF63ECD51EB707010063F4F6044E +:1053F0001307F00FB335D7009395350033D7B600B8 +:1054000097B701009387C7E2B387E70003C7070098 +:10541000130A00023307B700330AEA4063160A0290 +:1054200013041000E3E096E833B6CA0013441600F4 +:105430006FF05FE7B707000193050001E3E0F6FCBA +:10544000930580016FF09FFBB35CE600B3964601C5 +:10545000B3ECDC0033D4E40093DB0C01B3974401DC +:1054600033D7EA0093850B0013050400336BF70074 +:10547000B3194601EF00806E1309050093850B00F8 +:1054800013050400139C0C01EF00C068135C0C01B1 +:10549000930405009305050013050C00EF00C0649C +:1054A0001319090113570B013367E9001384040032 +:1054B000637EA700330797011384F4FF63689701A5 +:1054C0006376A7001384E4FF33079701B304A74072 +:1054D00093850B0013850400EF0040681309050055 +:1054E00093850B0013850400EF00C0629304050050 +:1054F0009305050013050C00EF00005F93170B01E7 +:105500001319090193D70701B367F9001386040043 +:1055100063FEA700B38797011386F4FF63E8970142 +:1055200063F6A7001386E4FFB38797011314040101 +:10553000B70B01003364C4001389FBFF337D2401E2 +:1055400033F92901B384A7409305090013050D0021 +:10555000EF008059935C040193050900130B0500CB +:1055600013850C00EF00405893D90901130C050076 +:105570009385090013850C00EF00005713090500FF +:105580009385090013050D00EF00005633058501D2 +:1055900093570B013385A7006374850133097901A3 +:1055A00093570501B387270163E6F402E392F4BC45 +:1055B000B70701009387F7FF3375F5001315050151 +:1055C000337BFB0033964A0133056501130A000063 +:1055D000E37AA6CC1304F4FF6FF09FB9130A00001E +:1055E000130400006FF01FCC130101FB232481047E +:1055F00023229104232E3103232291032326110415 +:1056000023202105232C4103232A5103232861034E +:1056100023267103232481032320A103232EB10118 +:10562000930C05009389050013040500938405007D +:10563000639E062613090600138A060097BA010026 +:10564000938A0ABF63F4C514B70701006376F612A4 +:105650009307F00F63F4C700130A8000B3574601A5 +:10566000B38AFA0003C70A00130500023307470193 +:10567000330AE540630C0A00B395490133D7EC00C7 +:1056800033194601B364B70033944C01935A0901AE +:1056900093850A0013850400EF00404C9309050030 +:1056A00093850A00131B090113850400EF0080464F +:1056B000135B0B019305050013050B00EF00C042BF +:1056C0009399090193570401B3E7F90063FAA7001E +:1056D000B387270163E6270163F4A700B387270197 +:1056E000B384A74093850A0013850400EF000047A8 +:1056F0009309050093850A0013850400EF0080419B +:1057000013140401930505009399090113050B0077 +:1057100013540401EF00403D33E48900637AA40090 +:1057200033042401636624016374A4003304240158 +:105730003304A44033554401930500008320C10481 +:105740000324810483244104032901048329C10320 +:10575000032A8103832A4103032B0103832BC10204 +:10576000032C8102832C4102032D0102832DC101F0 +:105770001301010567800000B7070001130A00014B +:10578000E36EF6EC130A80016FF05FED631A06001A +:105790009305000013051000EF00C0371309050042 +:1057A000B7070100637AF90E9307F00F63F427013E +:1057B000130A8000B3574901B38AFA0003C70A00ED +:1057C00013050002B384294133074701330AE5403A +:1057D000E30E0AEA33194901B3DAE900B395490146 +:1057E00033D7EC0093540901336BB70013850A00DB +:1057F00093850400EF00803693090500938504002B +:10580000931B090113850A00EF00C03093DB0B01E5 +:105810009305050013850B00EF00002D93990901F6 +:1058200093570B01B3E7F90033944C0163FAA700D7 +:10583000B387270163E6270163F4A700B387270135 +:10584000B38AA7409385040013850A00EF00003156 +:10585000930905009385040013850A00EF00802B4F +:105860009305050013850B00EF00002893150B012D +:105870009399090193D50501B3E5B90063FAA50031 +:10588000B385250163E6250163F4A500B3852501F1 +:10589000B384A5406FF09FDFB7070001130A000132 +:1058A000E36AF9F0130A80016FF0DFF0E3E8D5E86E +:1058B000B707010063FCF604930BF00F33B5DB0070 +:1058C0001315350033D7A60097B701009387479685 +:1058D000B387E70083CB070093050002B38BAB00CF +:1058E000338B7541631E0B0263E4360163EACC001F +:1058F0003384CC40B386D94033B58C00B384A64002 +:1059000013050400938504006FF05FE3B7070001FF +:1059100013050001E3E8F6FA130580016FF09FFA22 +:10592000B3966601335D7601336DDD0033D47901C2 +:10593000B395690133DC7C0193540D01336CBC00D9 +:105940001305040093850400B31A6601EF000021DB +:10595000130A0500938504001305040033996C01B4 +:10596000931C0D01EF00001B93DC0C0113040500D8 +:105970009305050013850C00EF000017131A0A01A8 +:1059800013570C013367EA00130A0400637EA70073 +:105990003307A701130AF4FF6368A7016376A70022 +:1059A000130AE4FF3307A701B309A7409385040056 +:1059B00013850900EF00801A938504001304050085 +:1059C00013850900EF0000159305050093040500F9 +:1059D00013850C00EF00401193150C011314040102 +:1059E00093D50501B365B4001387040063FEA500D9 +:1059F000B385A5011387F4FF63E8A50163F6A5004D +:105A00001387E4FFB385A501131A0A01B70C01003F +:105A1000336AEA001384FCFFB3778A0033F48A0008 +:105A2000B384A54013850700930504002326F100E5 +:105A3000135A0A01EF00400B930905009305040077 +:105A400013050A00EF00400A13DC0A01930D05005C +:105A500093050C0013050A00EF0000098327C1001D +:105A6000130A050093050C0013850700EF00C0071B +:105A70003305B50113D709013307A7006374B701D4 +:105A8000330A9A01B70701009387F7FF935507017F +:105A90003377F70013170701B3F7F900B385450112 +:105AA000B307F70063E6B400639EB400637CF900BB +:105AB00033865741B3B7C700B385A541B385F540D9 +:105AC00093070600B307F9403339F900B385B440B2 +:105AD000B385254133947501B3D767013365F4006D +:105AE000B3D565016FF09FC51306050013050000CF +:105AF00093F61500638406003305C50093D51500A1 +:105B000013161600E39605FE678000006340050645 +:105B100063C6050613860500930505001305F0FF0F +:105B2000630C060293061000637AB6006358C00047 +:105B30001316160093961600E36AB6FE13050000CE +:105B400063E6C500B385C5403365D50093D616001E +:105B500013561600E39606FE67800000938200004D +:105B6000EFF05FFB13850500678002003305A0405E +:105B700063D80500B305B0406FF0DFF9B305B0405E +:105B800093820000EFF01FF93305A0406780020008 +:105B90009382000063CA0500634C0500EFF09FF795 +:105BA0001385050067800200B305B040E35805FE89 +:105BB0003305A040EFF01FF63305B04067800200C8 +:02000004800278 +:1000000049444C4500000000482FFEFF102FFEFF22 +:100010001E2FFEFF2C2FFEFF342FFEFF5830FEFF59 +:100020002030FEFF2E30FEFF3C30FEFF4430FEFF4E +:10003000546D722053766300DC35FEFFDC35FEFF25 +:10004000DC35FEFF5A36FEFF2A36FEFF5036FEFF35 +:10005000DC35FEFFDC35FEFF5A36FEFF2A36FEFF9A +:10006000546D725100000000286E756C6C29000000 +:10007000DE43FEFFDC45FEFFD245FEFFDC45FEFF12 +:10008000DC45FEFFDC45FEFFDC45FEFF5C44FEFF79 +:10009000DC45FEFFDC45FEFF1444FEFF6A44FEFF24 +:1000A000DC45FEFF1E44FEFF2844FEFF2844FEFF01 +:1000B0002844FEFF2844FEFF2844FEFF2844FEFF9C +:1000C0002844FEFF2844FEFF2844FEFFDC45FEFFD7 +:1000D000DC45FEFFDC45FEFFDC45FEFFDC45FEFFA8 +:1000E000DC45FEFFDC45FEFFDC45FEFFDC45FEFF98 +:1000F000DC45FEFFDC45FEFFDC45FEFFDC45FEFF88 +:10010000DC45FEFFDC45FEFFDC45FEFFDC45FEFF77 +:10011000DC45FEFFDC45FEFFDC45FEFFDC45FEFF67 +:10012000DC45FEFFDC45FEFFDC45FEFFDC45FEFF57 +:10013000DC45FEFFDC45FEFFDC45FEFFDC45FEFF47 +:10014000DC45FEFFDC45FEFFDC45FEFFDC45FEFF37 +:10015000DC45FEFFDC45FEFFDC45FEFFDC45FEFF27 +:10016000DC45FEFFDC45FEFFDC45FEFFDC45FEFF17 +:100170008E44FEFF3A45FEFFDC45FEFFDC45FEFFF8 +:10018000DC45FEFFDC45FEFFDC45FEFFDC45FEFFF7 +:10019000DC45FEFF8644FEFFDC45FEFFDC45FEFF3E +:1001A0008E45FEFF9445FEFFDC45FEFFDC45FEFF6D +:1001B000A244FEFFDC45FEFF8845FEFFDC45FEFF56 +:1001C000DC45FEFFAC45FEFF6D69616F750A0000FE +:1001D0006D69616F75320A0068756E6772790A0021 +:1001E0004572726F7220696E20626C6F636B746906 +:1001F0006D207461736B73200D0A000043686563A2 +:100200006B54696D65720000426C6F636B5F54697B +:100210006D655F517565756500000000425465733A +:100220007431000042546573743200000001020210 +:10023000030303030404040404040404050505057E +:10024000050505050505050505050505060606065A +:10025000060606060606060606060606060606063E +:10026000060606060606060606060606070707072A +:10027000070707070707070707070707070707070E +:1002800007070707070707070707070707070707FE +:1002900007070707070707070707070707070707EE +:1002A00007070707070707070707070708080808DA +:1002B00008080808080808080808080808080808BE +:1002C00008080808080808080808080808080808AE +:1002D000080808080808080808080808080808089E +:1002E000080808080808080808080808080808088E +:1002F000080808080808080808080808080808087E +:10030000080808080808080808080808080808086D +:10031000080808080808080808080808080808085D +:0C03200008080808080808080808080871 +:10032C001000000000000000017A5200017C010165 +:10033C001B0D02004C00000018000000C44CFEFF16 +:10034C00DC05000000440E3070890395078101889C +:10035C000292049305940696089709980A990B9AA9 +:10036C000C0370020AC144C844C944D244D344D4D7 +:10037C0044D544D644D744D844D944DA440E004436 +:10038C000B00000050000000680000005052FEFFFF +:10039C000005000000440E507488028903930599EF +:1003AC000B810192049406950796089709980A9A6E +:1003BC000C9B0D0320010AC144C844C944D244D348 +:1003CC0044D444D544D644D744D844D944DA44DB45 +:0803DC00440E00440B00000078 +:1003F000AAAAAAAA08000000FC8F010009000000B8 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/blocktim_rv32ic_O3.hex b/VexRiscv/src/test/resources/freertos/blocktim_rv32ic_O3.hex new file mode 100644 index 0000000..f658056 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/blocktim_rv32ic_O3.hex @@ -0,0 +1,1722 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900061D3170502001305E55697950300938574 +:1000A000257E0146112217050200130525499705F3 +:1000B00002009385E5500146C52817A503001305E6 +:1000C000657C97B503009385A57B37B6ABAB13066C +:1000D000B6BAD92817B103001301C17AEF60604E98 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2C23323A02200F3221034CB +:1002400096DEEF00002B170102000321613202410C +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E23523A0120023A29D +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40702717010200032118 +:1003300021240241F65273901234B72200009382B6 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A22783A0A4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2C21123A0220086DEEF20B1 +:10046000602E170102000321A1100241F652739081 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF409007EF10900A01E597 +:10050000B24041018280B24041016F20A023930795 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30B0207E +:1005B0008280411122C406C62A8426C2EF2030124E +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF2040586DD5EF2020783C44FD173C +:1005F0003CC43C44E344F0FEFD573CC4EF20701083 +:10060000EF20F00D7C40635BF0021C4885CB930427 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2000546DD5EF20E0735C +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20B00B29CD0111E8 +:1006500022CC2A8406CE26CA4AC84EC62E89EF204E +:10066000100824404C5C832904002685EF50105765 +:100670007D57232C0402B3079540CE974E9578C042 +:1006800048C0232434015CC438C4631109021C48E7 +:100690008DE7EF201007F2406244D2444249B2494C +:1006A0000545056182807370043001A013050401C3 +:1006B000B93D13054402A13DE9BF13050401EF2034 +:1006C000804A8547E317F5FC9133E1B701E5737084 +:1006D000043001A0011126CA4AC84EC606CE22CC5B +:1006E000AA842E89B289A5C9EF50504F130555052C +:1006F000EF3020742A8435C5930745051CC144DCBE +:1007000023202405EF20A07D24404C5C0329040015 +:100710002685EF50B04CFD56232C0402B3079540BC +:100720003307A900CA9774C058C05CC434C4130509 +:10073000040123242401E13B13054402C93BEF20BB +:10074000407C230834052285F2406244D244424969 +:10075000B2490561828013054005EF30806D2A841F +:1007600001E57370043001A000C051BF01E5737052 +:10077000043001A06375B5007370043001A041110D +:1007800022C409462E84814506C6893711C500DD7D +:10079000B2402244410182807370043001A05D7137 +:1007A00086C6A2C4A6C2CAC04EDE52DC56DA5AD8E9 +:1007B0005ED632C6630A051C6382051C89476399AD +:1007C000F600585D85476305F7007370043001A09B +:1007D0003689AE842A84EF20C05B11E5B24781C719 +:1007E0007370043001A0EF20806F185C5C5C014BDB +:1007F000894BFD59130A0401930A44026365F70CFF +:1008000063077919B2476381072463150B00280831 +:10081000EF208048EF20E06EEF10604FEF20206C5B +:100820007C4063943701232204043C4463943701E1 +:1008300023240404EF20E06C6C002808EF20E0473C +:10084000631E051EEF20A069185C5C5C630CF70A50 +:10085000EF20206BEF20A0683C44634FF00039A8E4 +:100860005685EF20403019C1EF2020503C44FD1741 +:100870003CC43C446354F0005C50FDF32324340535 +:10088000EF202068EF20A0657C40634FF00039A87E +:100890005285EF20402D19C1EF20204D7C40FD17DF +:1008A0007CC07C406354F0001C48FDF323223405D7 +:1008B000EF202065EF10A06A054BEF204062185C26 +:1008C0005C5CE37FF7F230406305060C631F09129E +:1008D0000844A685EF30206E1C4434405840B6973B +:1008E0001CC463EAE70A1C5C14405850850714C412 +:1008F0001CDC5DC713054402EF20E0268547631129 +:10090000F50AC9A8EF20E05FB2455285EF206007E5 +:10091000EF20E05C3C44634FF00039A85685EF209F +:10092000802419C1EF2060443C44FD173CC43C4482 +:100930006354F0005C50FDF323243405EF20605C29 +:10094000EF20E0597C40634FF00039A85285EF203A +:10095000802119C1EF2060417C40FD177CC07C40A4 +:100960006354F0001C48FDF323223405EF20605946 +:10097000EF10E05E31F1593C054B81B73C41E38F0C +:1009800007E27370043001A07370043001A030409E +:1009900021E61C4085C71C5C585085071CDC39FBD0 +:1009A000EF2020560545B640264496440649F259A4 +:1009B000625AD25A425BB25B616182804840EF204A +:1009C00060491C5C58502322040085071CDCE3139B +:1009D00007F279D5253CE9B74844A685EF30A05DFC +:1009E0003C4058441440B307F0403E9758C4637CE1 +:1009F000D7025840BA975CC409475C506306E902C5 +:100A0000185C050718DCC9DFF5B54844A685EF304A +:100A1000805A3C4058441440B307F0403E9758C4B5 +:100A2000E37CD7FCF9B75C50185C79DB185C7D1768 +:100A300018DC185C050718DCA5D76DBD2285953E2E +:100A4000EF10E051014585B7EF20A04B0145A1BF54 +:100A5000411126C2AA841305400522C406C6EF3000 +:100A6000403D2A8415CD232C0502FD577CC1054746 +:100A7000410558DC3CC42322040023200400232425 +:100A80000400232604002320040423089404413492 +:100A900013054402AD3C8146014681452285013360 +:100AA0002285B24022449244410182800DC5411109 +:100AB00022C426C206C64AC0032945002A84EF2064 +:100AC000802C8144630CA900B2402244268502494F +:100AD0009244410182807370043001A05C448544DB +:100AE000FD175CC4F5F381460146814522854539F1 +:100AF000E1BF31CDA1C9011122CC26CA4AC852C4D6 +:100B000006CE4EC68947B68432892E8A2A846399D6 +:100B1000F600585D8547630FF7047370043001A039 +:100B20006D32185C5C5CAA896366F70281444E856D +:100B3000513AF240624426854249D244B249224A9F +:100B4000056182803C41C5DB7370043001A0737085 +:100B5000043001A0304041E21C40D5CB1C5C7D57E5 +:100B600085071CDC3C446387E7083C4485448507D3 +:100B70003CC475BF993A185C5C5CAA89636DF70246 +:100B8000304079DA4844D285EF30E0423C40584466 +:100B90001440B307F0403E9758C46375D7005840DF +:100BA000BA975CC48947E39BF4FA1C5CC5DB1C5C08 +:100BB000FD171CDC65B7304045D24844D285EF3084 +:100BC000803F3C4058441440B307F0403E9758C41F +:100BD000E367D7FCD9BFDDF40844D285EF30A03DF0 +:100BE0001C4434405840B6971CC4E3E9E7F61C4067 +:100BF0001CC4ADB75C5085449DDB13054402EF1067 +:100C0000907615D5E30509F2232099000DB74840E9 +:100C1000EF2040242322040091B721CD3C4181C71D +:100C20007370043001A01C41A1CB011122CC26CA53 +:100C30002A844AC84EC606CE2E894138185C5C5CB0 +:100C4000AA898144637DF7001C5C7D5785071CDC05 +:100C50003C446388E7023C44854485073CC44E8598 +:100C60009530F240624426854249D244B24905613A +:100C700082807370043001A05C41C5DB7370043066 +:100C800001A05C5099E38544D9BF13054402EF10DD +:100C9000906D75D9E30909FE85472320F900E5B772 +:100CA000397106DE22DC26DA4AD84ED652D456D224 +:100CB00032C66302051C638A051AB68AAE892A8485 +:100CC000EF20200D11E5B24781C77370043001A0F9 +:100CD000EF20E0201C5C63920714B247638E070A82 +:100CE0002808EF10707BFD5413094402130A040115 +:100CF000EF202021EF10A001EF20601E7C406394C4 +:100D00009700232204043C446394970023240404A2 +:100D1000EF20201F6C002808EF10307A63130518AD +:100D2000EF20E01B1C5CADCFEF20A01DEF20201BAF +:100D30003C44634FF00039A84A85EF10D06219C1D6 +:100D4000EF20A0023C44FD173CC43C446354F00037 +:100D50005C50FDF324C4EF20C01AEF2040187C4003 +:100D6000634FF00039A85285EF10F05F19C1EF1002 +:100D7000D07F7C40FD177CC07C406354F0001C4851 +:100D8000FDF364C0EF20E017EF10601DEF20201589 +:100D90001C5CC1E7B247A9FFEF20A016014555A092 +:100DA000EF2020161C40DDC7B2454A85EF10703D8C +:100DB000EF20E0123C44634FF00039A84A85EF1061 +:100DC000905A19C1EF10707A3C44FD173CC43C4462 +:100DD0006354F0005C50FDF324C4EF208012EF2038 +:100DE00000107C40634FF00039A85285EF10B057D7 +:100DF00019C1EF1090777C40FD177CC07C40635494 +:100E0000F0001C48FDF364C0EF20A00FEF10201588 +:100E100031C9EF20C00C1C5CB5DFCE85228544446F +:100E2000EFF04FF7639C0A041C5C1840FD171CDCB4 +:100E300001E7EF20801148C01C48A1EFEF20600CB3 +:100E40000545F2506254D2544259B259225A925A2C +:100E500021618280EF20A0084840EF109075EF20BC +:100E6000400A99B7EFF08FDA6DB73C41E38707E4AA +:100E70007370043001A07370043001A05C5044C44E +:100E8000D5DF13054402EF10104E4DD9EFF00FD807 +:100E900075B713050401EF10104D8547E310F5FAFF +:100EA000F5B72285EFF0EFF0EF10600B014551BF71 +:100EB0001DC9411122C44AC006C626C244412E891A +:100EC0002A84EF10506C6383A40281464A868145D0 +:100ED0002285F93385476300F502B24022449244EB +:100EE0000249410182807370043001A05C440545D1 +:100EF00085075CC4DDB75C4485075CC4F9BF15CDCC +:100F0000ADC9011122CC26CA4AC84EC652C42A8491 +:100F100006CE3289AE89EFF04FDB1C5C2A8A814411 +:100F200085E35285EFF00FDAF240624426854249AC +:100F3000D244B249224A056182807370043001A014 +:100F4000304001CE4C445C40B2954CC463E4F500A3 +:100F50000C404CC44E85EF3000061C5C7D57FD17DD +:100F60001CDC7C40638CE7007C40854485077CC0AA +:100F70004DBF3C41D9D77370043001A01C4899E3A0 +:100F8000854445B713050401EF10F03D75D9E30919 +:100F900009FE85472320F900E5B721C93C41A1CDD1 +:100FA000A1CB011122CC26CA4AC852C42A8406CE3B +:100FB0004EC62E8AEFF06FD11C5C2A89814491CBFA +:100FC0008329C400D2852285EFF0CFDC8544232617 +:100FD00034014A85EFF00FCFF24062442685424942 +:100FE000D244B249224A056182807370043001A064 +:100FF0007370043001A0EDDF7370043001A001E5CF +:101000007370043001A0411122C42A8406C6EF1077 +:10101000106D005CEF10F06EB24022852244410159 +:10102000828001E57370043001A0411106C622C41C +:101030002A84EF10D06A1C5C405C1D8CEF10706C31 +:101040002285B24022444101828019C1085D82801C +:101050007370043001A01DCD978703009387877EAE +:10106000D843630CE502D847630CE504D84B63070B +:10107000E504D84F6302E504D853630DE502D85761 +:101080006308E502D85B6303E502D85F630EE50001 +:101090006F20506C7370043001A001470E07BA979F +:1010A00023A007006F20106B1D47CDBF1947FDB768 +:1010B0001547EDB71147DDB70D47CDB70947F9BF64 +:1010C0000547E9BF684582806CC5828003450505F8 +:1010D000828009C5085D1335150082807370043065 +:1010E00001A019C51C5D485D1D8D1335150082805A +:1010F0007370043001A0978703009387A774984307 +:1011000005C3984705C7984B05C7984F05C798531F +:1011100005C7985705C7985B15C7985F15C38280A8 +:1011200001470E07BA978CC3C8C382800547D5BF55 +:101130000947C5BF0D47F5B71147E5B71547D5B7FF +:101140001D47C5B71947F1BF978703009387876F7E +:10115000D8436308E502D847630BE502D84B630A1E +:10116000E502D84F6309E502D8536308E502D85772 +:101170006307E502D85B6308E502D85F6303A70253 +:10118000828001470E07BA9723A007008280054797 +:10119000D5BF0947C5BF0D47F5B71147E5B7154797 +:1011A000D5B71D47C5B71947F1BF411122C426C2A3 +:1011B0004AC02A8406C6AE843289EF105052784065 +:1011C000FD576314F700232204043844FD576314C9 +:1011D000F70023240404EF10D0521C5C99E74A86E0 +:1011E000A68513054402EF10D00CEF10504F3C447D +:1011F000635BF0025C5085CB9304440209A83C4435 +:10120000FD173CC43C446350F0025C5089CF2685F6 +:10121000EF1070156DD5EF1050353C44FD173CC4F0 +:101220003C44E344F0FEFD573CC4EF10904DEF10FA +:10123000104B7C40635BF0021C4885CB9304040197 +:1012400009A87C40FD177CC07C406350F0021C481C +:1012500089CF2685EF1030116DD5EF1010317C400D +:10126000FD177CC07C40E344F0FEFD577CC0224467 +:10127000B2409244024941016F10B0481DC17971DA +:101280004ECE52CC06D63E8A22D426D24AD056CA58 +:101290005AC85EC6A547BA8963F8E7007370043080 +:1012A00001A07370043001A02A8BAE843289B68B02 +:1012B000C28A6307082213050006EF2090372A84AC +:1012C000630B05200A09232855034A869305500A13 +:1012D0005685EF20505C03C704001C587119230A7F +:1012E000E40203C704003E991379C9FF79C783C795 +:1012F0001400A30AF40283C71400E1C383C72400C7 +:10130000230BF40283C72400CDCB83C73400A30B87 +:10131000F40283C73400D5C383C74400230CF4020E +:1013200083C74400D9CB83C75400A30CF40283C7FE +:101330005400C1C783C76400230DF40283C764004F +:10134000ADCF83C77400A30DF40283C77400B5C783 +:1013500083C78400230EF40283C78400B9CF83C7F8 +:101360009400A30EF40283C79400A1CB83C7A4000A +:10137000230FF40283C7A400A9C383C7B400A30F3B +:10138000F40283C7B40095CB83C7C4002300F404E0 +:1013900083C7C4009DC383C7D400A300F40483C7DC +:1013A000D40081CF83C7E4002301F40483C7E400A1 +:1013B00089C783C7F400A301F404930A4400568547 +:1013C000A30104042326340323283405232A040418 +:1013D000EFF02F9513058401EFF0AF94A947B38781 +:1013E0003741232C040400C81CCC40D02322040421 +:1013F000232E04045E86DA854A85EFF0AF8D08C09F +:1014000063040A0023208A007370043017F9010076 +:10141000130949148327090097F4010093844415A4 +:1014200091C798409C407C4385077CC317F7010017 +:10143000130707131C43850797F6010023A2F61232 +:101440009C40C5CB8327090017FB0100130B4B23DE +:1014500089EB9C40DC5763E6F90097F7010023A96C +:10146000871097F701009387E70D9C4317F701005A +:101470001307870E48541843850797F6010023A3E6 +:10148000F60C3CC46376A70097F7010023A6A70CCF +:10149000D145EF40B074D6855A95EFF0EF88832799 +:1014A000090081C79C40FC43B5E38327090005443C +:1014B00099C79C40DC5763F43701EFE03FF5228584 +:1014C000B250225492540259F249624AD24A424BD3 +:1014D000B24B456182805685EF20D0277D54C5B739 +:1014E00013152600EF20F014AA8AE31605DC7D54BC +:1014F000F9B797F7010023AD8706184385476301C5 +:10150000F70217FB0100130BAB17A1BF98409440E3 +:101510007C43FD177CC3FC42C9FB7360043071B788 +:10152000014A17FB0100130BAB15A94B5285D1459E +:10153000EF40D06A050A5A95EFE07FFDE3187AFF85 +:1015400097FB0100938B4B205E85EFE05FFC17FA61 +:101550000100130AAA205285EFE07FFB17F5010076 +:1015600013050521EFE0BFFA17F5010013058521EA +:10157000EFE0FFF917F5010013050522EFE03FF951 +:1015800097F7010023A477FF97F7010023AE47FDEB +:10159000C9BD411122C406C626C24AC02A8473703E +:1015A000043097F401009384E4FA9C40F9E311E4D9 +:1015B00097F701009387C7FB8043130944004A85CE +:1015C000EFE01FFB1C5489C713058401EFE05FFAAD +:1015D000CA8517F501001305E51AEFE0FFF497F748 +:1015E0000100938727F8984397F70100938787F5C1 +:1015F0009C43050797F6010023A6E6F69840850769 +:1016000097F6010023A0F6F401CB97F7010093872A +:1016100027F69843784349EF9C40B1C797F70100FC +:10162000938707F59843630F8708737004309840D9 +:1016300039EB17F70100130767F314439442A5E24F +:101640007D5797F6010023ADE6EE984009CF984309 +:10165000784311CB984394437C43FD177CC3FC42F1 +:1016600099E373600430B24022449244024941013C +:10167000828097F701009387A7EF98439C437C43B0 +:1016800085077CC32DB794439843F8420507F8C2F9 +:1016900017F70100130787ED14439442D5D218437E +:1016A00058475847584397F6010023ABE6E871BF07 +:1016B000984394437C43FD177CC3FC42B1FF7360A5 +:1016C000043099BF97F70100938747E79C4381C790 +:1016D0007370043001A02244B24092440249410197 +:1016E0006FE0DFD241C517F70100130767E8144325 +:1016F0008147638BA606411106C622C426C27370B9 +:10170000043097F601009386E6E49C4291C71043AB +:101710001C437C4285077CC29C42AA84404981C705 +:101720001C43FC43B9E797F70100938727E49C43E8 +:10173000638B870497F70100938707E39C436384D7 +:10174000870497F70100938727056309F40297F749 +:101750000100938727036301F4028547B2402244C6 +:1017600092443E85410182803E85828073700430C0 +:1017700001A0EF40705A45BF9147CDB79C5493B735 +:1017800017008907E1BF8947D1BF7370043097F70D +:101790000100938727DC984309EF15C59C43485502 +:1017A00081CB97F701009387A7DC9843784315E333 +:1017B000828017F701001307A7DB14431843F84290 +:1017C0000507F8C261FD17F70100130767DA084340 +:1017D000F1B7984394437C43FD177CC3FC42E9FB7B +:1017E000736004308280411122C42A8406C6EFE06F +:1017F000DFCD11E497F70100938787D780434054EA +:10180000EFE05FCCB2402285224441018280A547AF +:1018100063F5B7007370043001A0011122CC06CE2D +:1018200026CA4AC84EC652C42A847370043017F9B7 +:101830000100130929D283270900CDEF71C43C4868 +:101840006388F50817F70100130787D263FCB70018 +:101850001443638C860C18434457B3B4950093C467 +:10186000140029A00443818C93B41400585463835A +:10187000E70A1C4C2CC863C60700A947B385B740CC +:101880000CCC93172700BA97584897F90100938911 +:1018900029DF8A07CE97631AF702130A440052859C +:1018A000EFE01FCD97F70100938707CB48549C4387 +:1018B00063F6A70097F7010023A0A7CAD145EF4020 +:1018C000F031D2854E95EFE03FC699C0EFE01FB4EE +:1018D0008327090081CB97F70100938767C99C4351 +:1018E000FC439DEBF2406244D2444249B249224A51 +:1018F0000561828097F70100938787C798439C43CF +:101900007C4385077CC305FC97F70100938747C696 +:10191000804335B74CD4B1BF6244F240D24442490F +:10192000B249224A05616F40303F814481B725C1E9 +:10193000011126CA97F40100938484C39C4006CE0B +:1019400022CC4AC84EC6638DA7027370043017F9C3 +:101950000100130929C08327090091C798409C40C2 +:101960007C4385077CC3584997F701009387C7E2FA +:101970006302F7028327090081C79C40FC43A5EB63 +:10198000F2406244D2444249B24905618280737098 +:10199000043001A01C5517F70100130767DDE38B26 +:1019A000E7FCE9FB930945002A844E85EFE05FBC24 +:1019B00097F70100938747BA48549C4363F6A70002 +:1019C00097F7010023AAA7B8D145EF40302197F738 +:1019D00001009387E7CA3E95CE85EFE0FFB49C40B7 +:1019E0005854DC57E368F7F8EFE05FA261B7624450 +:1019F000F240D2444249B24905616F40F03115CD01 +:101A0000011122CC26CA4EC62A8406CE4AC8EFE06F +:101A1000DFAB584897F70100938707D8AA8981441C +:101A20006300F7024E85EFE0FFA9F240624426858D +:101A30004249D244B249056182807370043001A0EA +:101A40001C5417F501001305A5D2E38DA7FCF9FB83 +:101A500097F70100938787AE9C43A9EB97F70100A6 +:101A6000938707B19C43445413094400DC574A85CB +:101A7000B3B4F400EFE0DFAF97F701009387C7AD91 +:101A800048549C4393C4140063F6A70097F70100E1 +:101A900023A4A7ACD145EF40701497F701009387BA +:101AA00027BECA853E95EFE03FA8ADBF93058401F0 +:101AB000EFE09FA785BF0111056506CE22CC26CA9F +:101AC0004AC84EC652C456C25AC0EF208036630E72 +:101AD000051AAA8413050006EF20A0352A84630A9C +:101AE000051C05669305500A04D92685EF20A05AE7 +:101AF00004588567F117BE94B7474C4593879744C0 +:101B0000130A44005CD85285230C0402A301040488 +:101B10002326040223280404232A0404EFE07FA0E0 +:101B200013058401EFE0FF9FA947232C0404F198DB +:101B300000C81CCC40D023220404232E04040146F8 +:101B4000971500009385E5B12685EFE0BF9808C0A2 +:101B50007370043017F901001309C99F8327090026 +:101B600097F401009384C4A091C798409C407C43A3 +:101B700085077CC317F701001307879E1C43850761 +:101B800097F6010023AEF69C9C40C9C38327090049 +:101B900097F901009389C9AE81EB9C40DC5789E736 +:101BA00097F7010023A6879C97F7010093878799F1 +:101BB000984397F601009386269A5C549442050751 +:101BC00017F601002320E69838C463F6F60017F7ED +:101BD00001002323F798139527003E950A05D28527 +:101BE0004E95EFE07F948327090081C79C40FC431A +:101BF000DDE78327090091C39C40EF10F04985473A +:101C00006305F50C59E17370043001A097F70100EA +:101C100023A087961843854797F90100938949A621 +:101C2000E314F7F8CE8A17FB0100130BEBB15685CE +:101C3000D10AEFE0DF8DE31C5BFF17F50100130510 +:101C4000A5B0EFE0DF8C97FA0100938A2AB15685A0 +:101C5000EFE0FF8B17F50100130585B1EFE03F8B37 +:101C600017F50100130505B2EFE07F8A17F50100B3 +:101C7000130585B2EFE0BF8997F7010023A8678FAE +:101C800097F7010023A2578F05B7F2406244D24470 +:101C90004249B249224A924A024B056182809840E9 +:101CA00094407C43FD177CC3FC42A1F77360043071 +:101CB00089B76244F2404249B249224A924A024BF1 +:101CC0002685D24405616F20E02873700430FD57EB +:101CD00017F701002326F786624497F7010023AB2C +:101CE000A786F240D2444249B249224A924A024B64 +:101CF00097F7010023A4078605616FE08FDA737000 +:101D0000043097F7010023A707846FE0CFE717F7A8 +:101D100001001307A7821C4385071CC382807370D0 +:101D2000043097F701009387E782984305E317F79C +:101D300001001307A78208439C4381CB97F701005A +:101D4000938707839843784301EF828017F7010058 +:101D50001307078214431843F8420507F8C2C1BFAE +:101D6000984394437C43FD177CC3FC42F9FF7360A6 +:101D700004308280411106C622C4EFE00FF597E7D8 +:101D800001009387A77D8043EFE0CFF3B240228527 +:101D900022444101828097E701009387677C884352 +:101DA0008280797106D622D426D24AD04ECE52CC29 +:101DB00056CA5AC85EC697E70100938727789C43A6 +:101DC000B1EF17E70100130767791C43850797E612 +:101DD000010023A5F678832A0700638F0A0697E798 +:101DE00001009387E7759C43814463F1FA0897F9F2 +:101DF00001009389E98817EA0100130A6A778327AB +:101E00000A008546D85793172700BA978A07BE99C4 +:101E100083A7090063FFF600854421A897E7010026 +:101E2000938707739C438144850717E701002321AB +:101E3000F77297E70100938767719C4391C38544CC +:101E4000B2502254268502599254F249624AD24A2B +:101E5000424BB24B4561828017E4010013040471C8 +:101E60001C409C43DDC37370043001A017E40100E3 +:101E70001304C46F97F901009389898017EA010060 +:101E8000130A0A6F17EB0100130B0B6D1C409C43E8 +:101E9000B5C31C40DC4703A9C70083274900930B47 +:101EA00049005E8563EEFA04EFE08FEC8327890238 +:101EB0001305890199C3EFE0AFEB8327C90203271C +:101EC0000B00DE85139527003E950A054E95637637 +:101ED000F70017E701002321F768EFE0EFE483271D +:101EE0000A000327C902DC57E362F7FA1C40854465 +:101EF0009C43C5F3FD5717E701002323F76401B79F +:101F000017E70100232EF762DDBD17E70100130775 +:101F1000A7651C40184397E6010023A9E66417E76C +:101F200001002323F76497E701009387E7619C434F +:101F3000850717E701002329F7601C409C4399E7B8 +:101F4000FD5717E70100232DF75E51BD1C40DC470C +:101F5000DC47DC4317E701002324F75E49B597E728 +:101F600001009387A75D984301E77370043001A0D7 +:101F7000011106CE22CC26CA4AC84EC652C456C249 +:101F80005AC07370043097E401009384A45C9840B5 +:101F900061EB98437D1797E6010023A1E65A9C4325 +:101FA000D9EB97E701009387A75B9C43C9C797EAE2 +:101FB0000100938AEA6C17EA0100130AEA5917E94B +:101FC00001001309E95A854919A83385EA00EFE0B1 +:101FD000AFD5832709005854DC576379F70483A7EA +:101FE0000A0FC1CF83A7CA0FC04713058401EFE0D2 +:101FF0002FD8130B44005A85EFE08FD75C5483260B +:102000000A00DA85139527003E950A052A8756951A +:10201000E3FDF6FA17E701002320F754EFE0CFD0F5 +:10202000832709005854DC57E36BF7FA97E7010060 +:1020300023AE37516DB701449C4081CB97E7010037 +:10204000938707539C43FC4395E72285F240624403 +:10205000D2444249B249224A924A024B05618280E7 +:1020600017E701001307C75014431843F842050748 +:10207000F8C205B7EF40404AC9BF17E40100130496 +:10208000244D1C4095C31C40054999CF193B09C5F7 +:1020900097E7010023AC274B1C40FD1717E7010011 +:1020A0002328F74A1C40FDF397E701009387074A6E +:1020B00098438547E311F7F8EFE04FB50544ADBF0E +:1020C00011CD81E57370043001A017E701001307FB +:1020D000E7461C4399C77370043001A07370043045 +:1020E00001A0411122C406C614431841850617E613 +:1020F00001002325D64497E60100938626469442A4 +:102100003304B70000C163F7E602637FE40063FDB8 +:10211000860097E701009387A74588431105EFE004 +:102120002FC52285EF4000391D3D01C9B240224430 +:1021300041018280E36FE4FCD9BF2244B2404101F7 +:102140006FE0CFAC11C917E701001307273F1C430D +:1021500091C77370043001A06FE04FAB411106C608 +:1021600022C41843AA87050797E6010023A8E63C86 +:1021700097E601009386C63F17E701001307073E65 +:10218000184388423304F7001105EFE06FBE228543 +:10219000EF404032E93311E52244B24041016FE0A3 +:1021A000EFA6B240224441018280411122C406C6FA +:1021B00097E70100938787389C430144850717E719 +:1021C0000100232DF73697E70100938767399C4379 +:1021D00063E0F51217EE0100130E4E5697E2010070 +:1021E0009382424901440147854F1303500A21A0BD +:1021F000311E638DC20B83278EFFBA86F5DB8327E2 +:10220000CEFFDC43232EFEFE638AC70F03AFC70059 +:10221000DC432A97814E232EFEFE6385C70783A8E1 +:10222000C70093874803232017015CC383A7880452 +:102230002326F7011CC783A7C8021CCB83A7080568 +:10224000232C07005CCB03A8080383470800639096 +:102250006704C287850783C60700B3850741E38B00 +:1022600066FE8981C205C181231EB700850E130752 +:10227000070263061F038327CEFFDC43232EFEFEE7 +:10228000E39FC7F983274E00232EFEFE49BF8145F9 +:10229000231EB700850E13070702E31E1FFD769469 +:1022A00013175400311EBA86E397C2F597E7010071 +:1022B0009387C72B03AE070083270E00639D070E8D +:1022C00097E701009387472A03AE070083270E0094 +:1022D0006392071817EE0100130E8E3A83274E10F3 +:1022E0009DE383278E116395072019C223200600E2 +:1022F000BD312285B24022444101828083274E00B5 +:10230000232EFEFE21B783278E1017EF0100130F37 +:10231000EF47DC4317E701002328F7466380E733E4 +:1023200083A2C700DC43AA96814E17E70100232D44 +:10233000F744914F1303500A6388E70783A8C70047 +:102340009387480323A01601DCC283A7880423A631 +:10235000F6019CC683A7C8029CCA83A7080523ACC4 +:102360000600DCCA03A80803834708006391672AB4 +:10237000C287850703C70700B3850741E30B67FEE4 +:1023800093D72500C207C183239EF600850E93864E +:1023900006026386122983278E10DC4317E70100AB +:1023A0002324F73EE39CE7F983270E1117E701008A +:1023B000232CF73C61B783274E00130F8E00D443C4 +:1023C0002322DE006388E629B307E500D84283A212 +:1023D000C600814E2322EE00894F1303500A630684 +:1023E000EF068328C7001387480323A01701D8C32B +:1023F00003A7880423A6F70198C703A7C80298CBB0 +:1024000003A7080523AC0700D8CB03A8080303479C +:1024100008006318671C4287050783460700B30559 +:102420000741E38B66FE13D7250042074183239EB5 +:10243000E700850E93870702638D121B83264E00EB +:10244000D8422322EE00E31EEFF80327CE0023221A +:10245000EE0041BF83274E00130F8E00DC43232282 +:10246000FE006384E71F83A2C700DC43AA96814E67 +:102470002322FE00894F1303500A6306FF0683A838 +:10248000C7009387480323A01601DCC283A78804F2 +:1024900023A6F6019CC683A7C8029CCA83A7080589 +:1024A00023AC0600DCCA03A8080383470800639630 +:1024B0006710C287850703C70700B3850741E30B91 +:1024C00067FE93D72500C207C183239EF600850EC1 +:1024D00093860602638B120F83274E00DC43232270 +:1024E000FE00E31EFFF88327CE002322FE0041BF3B +:1024F0008327CE1197EE0100938E8E2ADC4317E7D7 +:102500000100232DF7286384D71383AFC700DC4372 +:102510003307D500014397E6010023A1F6280D4FAC +:1025200089429308500A6387D707C8479307450332 +:1025300008C35CC33C452326E7011CC75C551CCB84 +:102540001C5599C3232657003C49232C07005CCB1C +:10255000032805038347080063951705C28785078D +:1025600083C60700B3850741E38B16FF93D7250089 +:10257000C207C183231EF700050313070702638AFE +:10258000AF028327CE11DC4397E6010023A8F62093 +:10259000E39DD7F983274E1297E6010023A0F6208A +:1025A00069B78147231EF700050313070702E39A63 +:1025B000AFFC1A94E31C06D225BB8147239EF6008C +:1025C000850E93860602E39912F117EE0100130EB1 +:1025D0002E0B83274E10769493165400E38307D076 +:1025E0001DB30147239EE700850E93870702E397FB +:1025F00012E597E70100938727F703AE070076946B +:102600009316540083270E00E38607CCA1B58147BB +:10261000239EF600850E93860602E39E12D783273B +:102620008E11769493165400E38107CCD1B583279D +:102630004E1217E701002323F716C1BD83270E11A1 +:1026400017E701002322F714E1B98327CE002322E4 +:10265000FE0011BD8326CE002322DE00B5B37971C2 +:1026600026D24AD04ECE52CC56CA5AC85EC606D6DC +:1026700022D497E90100938969EC17E90100130955 +:10268000290017EA0100130AEAEC97EA0100938A8D +:102690002AEE97EB0100938BABEC97E40100938457 +:1026A00064EC054B21A883A7090003244910850782 +:1026B00017E701002324F7E85D3011E89C40E5F7B7 +:1026C00083270900E37CFBFEEFD05FD4C5BF7370A6 +:1026D000043083270A00B9E383270911C047130593 +:1026E0004400EFD0FFE883A70B00FD1717E70100B8 +:1026F0002328F7E69C40FD1717E701002324F7E69F +:1027000083270A0089C783A70A00FC4385E308588A +:10271000EF1050042285EF10F0034DB703A70A0015 +:1027200083A70A007C4385077CC37DB703A70A0003 +:1027300083A60A007C43FD177CC3FC42E9FB73605F +:102740000430F1B797E70100938747DF9C43DDE74B +:10275000411106C622C426C297E7010023A807DE5E +:1027600017E701001307C7E01C43B7A6A5A593868A +:10277000565A9C5B8C43639BD500D0436318B600CC +:1027800094476395C600DC476388D70008430C4331 +:1027900093854503EF20807317E701001307C7DB1C +:1027A000144317E401001304A4ED11A81C43A9CF9E +:1027B0001C43FD1797E6010023A0F6DA1443939714 +:1027C0002600B6978A07A2979C43EDD30843931738 +:1027D0002500AA978A073307F4005443A107A2975C +:1027E000C44244C36385F402DC44B240224417E788 +:1027F0000100232FF7D6924441018280854717E7D5 +:1028000001002325F7D482807370043001A0C440F6 +:10281000D145EF30B03C229544C1F9B701114AC807 +:1028200006CE22CC26CA4EC62A897370043097E49D +:102830000100938424D29C4017E40100130444D384 +:10284000D9E76314090003290400930949004E8560 +:10285000EFD01FD28327890289C713058901EFD0E2 +:102860003FD1CE8517E50100130505F3EFD0DFCB8F +:102870009C4081C71C40FC43ADE31C40638B270791 +:102880009C409DCF737004309C40C1EB97E70100E2 +:102890009387C7CD9843184325EBFD5717E70100F1 +:1028A0002320F7CA9C4089CF1C40FC4391CB1840A1 +:1028B00014407C43FD177CC3FC4299E373600430F1 +:1028C000F2406244D2444249B249056182801840D4 +:1028D0001C407C4385077CC3ADB7184014407C4343 +:1028E000FD177CC3FC42D1FB736004301C40E399AC +:1028F00027F99C40A1C397E70100938727C49C4315 +:10290000ADCB7370043001A09C43DC47DC47DC4353 +:1029100017E701002326F7C271B718401C407C431B +:1029200085077CC397E70100938747C49843184302 +:102930002DD7D9BF97E70100938787C217E701001A +:10294000130707D49C4303278711631DF700F24048 +:10295000624497E7010023AD07C0D2444249B2491F +:10296000056182806244F240D2444249B249056125 +:10297000D1BB6244F240D2444249B24905616FD0B2 +:10298000FFA83DC9411126C297E40100938444BECB +:102990004AC02E898C4006C622C4E105EFD05FBA3A +:1029A00088401105EFD0DFBCFD57630AF90497E7B3 +:1029B00001009387A7BA804398409C434A9440C340 +:1029C000636CF40497E70100938747BA88438C400F +:1029D0009105EFD0FFB697E70100938767B69C4358 +:1029E0006376F40097E7010023AC87B4B240224439 +:1029F00092440249410182807370043001A08C40EE +:102A00002244B2409244024917E501001305C5D89B +:102A1000910541016FD05FB197E701009387C7B47B +:102A2000884322448C40B2409244024991054101BE +:102A30006FD01FB111C997E70100938727B09C435E +:102A400099E77370043001A07370043001A0411144 +:102A500026C297E401009384A4B19840AE878C40CD +:102A6000B7060080D58F06C622C41CCFE10532848C +:102A7000EFD09FAB88401105EFD09FAFFD57630E9D +:102A8000F40097E70100938767AD8843B240924412 +:102A90002295224441016F30F0218C402244B24003 +:102AA000924417E50100130525CF910541016FD030 +:102AB000BFA725CD411126C297E40100938444AB02 +:102AC0004AC02E898C4006C622C4E1053284EFD06C +:102AD000BFA588401105EFD0BFA98547630AF4045C +:102AE00097E70100938787A7804398409C434A94C7 +:102AF00040C3636CF40497E70100938727A78843DA +:102B00008C409105EFD0DFA397E70100938747A39F +:102B10009C436376F40097E7010023A387A2B240A9 +:102B2000224492440249410182807370043001A022 +:102B30008C402244B2409244024917E5010013053B +:102B4000A5C5910541016FD03F9E97E7010093878E +:102B5000A7A1884322448C40B24092440249910587 +:102B600041016FD0FF9D411106C622C426C25C45BB +:102B7000C04735CC930484012685EFD07F9F97E72B +:102B800001009387A79B9C43B5E7930444002685E7 +:102B9000EFD01F9E97E701009387079C58549C43F2 +:102BA00063F6E70097E7010023A8E79A9317270049 +:102BB000BA978A0717E50100130585ACA6853E95EF +:102BC000EFD09F9697E701009387879A9C435854CC +:102BD0000145DC5763F8E700854717E70100232725 +:102BE000F7960545B24022449244410182807370B9 +:102BF000043001A0A68517E50100130565B7EFD0E5 +:102C0000BF92C9B797E70100938747939C4381E739 +:102C10007370043001A0411106C622C426C2B70752 +:102C200000804045CD8F1CC12DC4EFD07F9493040C +:102C300044002685EFD0DF9397E701009387C79183 +:102C400058549C4363F6E70097E7010023A6E790FA +:102C500093172700BA978A0717E50100130545A2C5 +:102C60003E95A685EFD05F8C97E70100938747904C +:102C70009C4358540145DC5763F8E700854717E744 +:102C800001002325F78C0545B240224492444101BE +:102C900082807370043001A011CD97E70100938703 +:102CA000A78A984397E701009387478B9C4318C1F5 +:102CB0005CC182807370043001A055C1D1CD411137 +:102CC00006C622C42A877370043097E801009388EF +:102CD000688883A7080091CB97E70100938747890D +:102CE00094439C43FC428507FCC217E30100130395 +:102CF000E3869C4103260300FD560144638FD702FF +:102D000017EE0100130E4E8403250E000328070062 +:102D100054436305A80005446371D6023308D640C6 +:102D20000544637CF80003250E00918F0326030001 +:102D3000B6979CC108C350C3014483A7080081CB48 +:102D400097E701009387C7829C43FC4381EF22856C +:102D5000B2402244410182807370043001A073703C +:102D6000043001A0EF30407BDDB7854717D7010065 +:102D7000232EF77C828019C16845828001458280BC +:102D800011C16CC5828097D701009387677E884305 +:102D9000828097D701009387E77B9C43054589CBC9 +:102DA00097D7010093878779884313351500060567 +:102DB000828031CD011126CA97D401009384447BCF +:102DC0009C4006CE22CC4AC84EC65855DC57637983 +:102DD000F7021C4D63C707009C40D457A947958F45 +:102DE0001CCD93172700BA97584917E9010013091A +:102DF00029898A07CA97630DF7009C40DC575CD588 +:102E0000F2406244D2444249B249056182808280E4 +:102E1000930945002A844E85EFD08FF59C4017D743 +:102E20000100130767731843DC575CD46376F7001F +:102E300017D701002322F772139527003E956244AD +:102E40000A05F240D244CE854A95B249424905610D +:102E50006FD08FED19CD411106C622C426C297D777 +:102E600001009387E77080436307A4007370043008 +:102E700001A0014582807C4881E77370043001A085 +:102E800054543848FD177CC86383E60099C7014550 +:102E9000B24022449244410182809304440026853A +:102EA000EFD00FED284897D701009387E76A984342 +:102EB000A947898F48D41CCC6376A70097D7010017 +:102EC00023ACA768D145EF30605197D701009387B5 +:102ED000277B3E95A685EFD02FE5054555BF73703E +:102EE000043097D701009387E7669C4391CB97D72F +:102EF00001009387E76798439C437C4385077CC325 +:102F0000828097D701009387E7649C438DC397D74E +:102F100001009387E7659843784311CB9843944326 +:102F20007C43FD177CC3FC4299E3736004308280CC +:102F300097D701009387C763904394439843A94769 +:102F4000D456084E958F1CCF828097D70100938767 +:102F50002762984309C79443F84A0507F8CA88438B +:102F6000828079714AD006D622D426D24ECE2A89C2 +:102F70007370043097D401009384C45D9C4017D4CF +:102F800001001304E45EBDE31C40BC4F89E71C4014 +:102F90000547F8CFD1E19C4081C71C40FC43F1EBD1 +:102FA000737004309C408DEF1C40A84F11C5630422 +:102FB00009021C4023AC07041C4023AE07049C40BC +:102FC00081C71C40FC438DEBB250225492540259ED +:102FD000F2494561828018403C4FFD173CCFE9BF64 +:102FE00018401C407C4385077CC37DBF18401C40B3 +:102FF0007C4385077CC349BF184014407C43FD17C0 +:103000007CC3FC42F1F373600430B25022549254FA +:103010000259F2494561828008402EC61105EFD061 +:103020002FD5B245FD576380F50697D701009387EA +:10303000E75283A9070018409C43AE992322370129 +:1030400063ECF90497D701009387475288430C40FB +:103050009105EFD0EFCE97D701009387674E9C4341 +:1030600063F6F90097D7010023AC374DEFD00FBAC4 +:103070001DB7184014407C43FD177CC3FC428DF300 +:103080007360043031BF0C4017D501001305C570C3 +:103090009105EFD06FC9D9BF97D701009387C74C6F +:1030A00088430C409105EFD0AFC9C9B779714AD0B8 +:1030B0004ECE06D622D426D252CC2E89B289737037 +:1030C000043097D401009384E4489C4017D4010055 +:1030D0001304044AF9EF18408947784F630CF7004E +:1030E0001C401345F5FFB84F798DA8CF1C4005470C +:1030F000F8CFADE69C4081C71C40FC43F5E37370FC +:1031000004309C40B1E7638609001C40BC4F23A0FB +:10311000F900184085470145784F630BF7001C40C4 +:103120001349F9FF0545B84F3379E90023AC27056A +:103130001C4023AE07049C4081C71C40FC43C1E3F4 +:10314000B250225492540259F249624A4561828037 +:1031500018401C407C4385077CC375B7084036C6C1 +:103160001105EFD0EFC0B246FD576385F60897D73B +:1031700001009387A73E03AA070018409C43369A94 +:10318000232247016363FA0897D701009387073E1C +:1031900088430C409105EFD0AFBA97D701009387D1 +:1031A000273A9C436370FA0697D7010023AA473950 +:1031B00091A818401C407C4385077CC329BF184058 +:1031C00014407C43FD177CC3FC42BDFB736004309C +:1031D000B250225492540259F249624A45618280A7 +:1031E000184014407C43FD177CC3FC4289FB73608C +:1031F000043031B70C4017D501001305E55991058E +:10320000EFD08FB2EFD08FA0F5B597D7010093879D +:10321000A73588430C409105EFD08FB2E5B751C177 +:10322000411106C622C426C24AC07370043097D426 +:103230000100938424329C40B9EB99C23C4D9CC25E +:10324000B2878946704D74CD2E872A846386D7064F +:1032500063FCF6048D456387B70091456395B7001D +:103260006300D60C38CC8547630FF60405449C40B8 +:1032700081CB97D701009387A72F9C43FC43A9E3F9 +:103280002285B2402244924402494101828097D76C +:1032900001009387E72D98439C437C4385077CC3BB +:1032A00069BF7370043001A08546E39ED7FA3C4D98 +:1032B00033E7B70038CD45BF3C4D85073CCD65B7FA +:1032C000EF30802575BF130944004A85EFD04FAA1F +:1032D00097D701009387472848549C4363F6A7007B +:1032E00097D7010023AAA726D145EF30200F97D703 +:1032F00001009387E7383E95CA85EFD0EFA21C54B2 +:1033000081C77370043001A097D7010093874726C7 +:103310009C435854DC57E3FBE7F4EFD02F8FB9B749 +:103320000144B1B739CD011122CC26CA4AC84EC6D4 +:1033300052C456C206CEBA89368AB2842E892A84ED +:10334000EFD0AF98AA8A63050A003C4C2320FA000C +:10335000784C89477CCC6389F40263F4970C8D46E2 +:103360006387D40091466396D400630AF70A232C3E +:1033700024058547631EF7061C5499CB73700430EF +:1033800001A07370043001A03C4C85073CCCD5B73C +:1033900097D701009387871A9C43ADEB93044400B1 +:1033A0002685EFD0EF9C97D701009387E71A485402 +:1033B0009C4363F6A70097D7010023AFA718D14518 +:1033C000EF30C00197D701009387872BA6853E95E4 +:1033D000EFD08F9597D70100938787199C43585456 +:1033E000DC5763F7E70063850900854723A0F900F0 +:1033F00005445685EFD00F8D2285F2406244D244B9 +:103400004249B249224A924A056182809305840169 +:1034100017D501001305C535EFD00F9165BF0144E5 +:10342000C9BF8547E397F4F43C4C33E92701232CCB +:10343000240581B74DC1011122CC26CA2A844EC66B +:1034400006CE4AC8AE84EFD04F888947744C7CCCF6 +:103450003C4C0547AA8985073CCC6395E6061C547D +:1034600081C77370043001A097D701009387070DBF +:103470009C43ADE7130944004A85EFD06F8F97D77F +:1034800001009387670D48549C4363F6A70097D7C4 +:10349000010023A3A70CD145EF20507497D701005A +:1034A0009387071ECA853E95EFD00F8897D70100F6 +:1034B0009387070C9C435854DC5763F5E70099C089 +:1034C00085479CC06244F240D24442494E85B2498D +:1034D00005616FC03FFF7370043001A09305840144 +:1034E00017D501001305C528EFD00F84C1B7AA87EF +:1034F00029C57370043017D701001307A7051443BB +:103500009DE2F04F894601456315D60023AE0704BE +:1035100005451C4381CB97D70100938767059843E6 +:10352000784315E3828097D6010093866604904223 +:1035300094427442850674C2E9B797D70100938715 +:1035400027039C437DB7984394437C43FD177CC37A +:10355000FC42E9FB7360043082805D71A6C2CAC080 +:1035600052DC56DA5AD85ED686C6A2C44EDE62D483 +:1035700066D26AD017D901001309890017DB010050 +:10358000130B4BFF97D40100938404FF97DA0100DB +:10359000938ACAFE054AA54B832709009843630115 +:1035A0000712DC4783A90700EFE06FF6EFE02FF783 +:1035B00083270B002A8C6362F50A97D7010023AB9F +:1035C000A7FA01466370351B8840B3858941EFD067 +:1035D000DFBDEFE0DF9863010512884081460146B8 +:1035E0002C00EFD0EFEB4DD9A247E3C807FEC2494C +:1035F00083A7490189C713854900EFC07FF7EFE032 +:103600000FF283270B002A8C636DF508A24717D7AA +:103610000100232187F7E3E2FBFCB317FA0013F75D +:103620000721631A071613F7770C6318071E93F721 +:103630000702C5D74E85EF00F01145B7DC47C047FC +:1036400083AC0700930944004E85EFC07FF25C50C5 +:10365000228582975C4C6384470B8327090098433B +:1036600071FF03A70A0097D6010023A586F197D61C +:10367000010023A5F6F097D7010023A3E7F0EFE0C0 +:103680001F8EA1BFDC47C04703AD0700930C440069 +:103690006685EFC0FFED5C50228582975C4C6386A7 +:1036A000470983270900984371FF03A70A0097D6AB +:1036B000010023A5F6EC97D7010023A3E7ECB9B7E7 +:1036C000EFE0EFE4EFE0AFE583270B002A8CE36641 +:1036D000F5F883A70A00884081499043B385894162 +:1036E00017D70100232887E913361600EFD0FFAB68 +:1036F000EFE0FF86E31305EEEFC05FD1F9BD1C4C90 +:10370000CE85E69763E9FC049C4089CF02CA66CC6B +:1037100022CEEFF00FE88840814601464C08EFD0FA +:103720000F8805FD7370043001A01C4CE685EA97F4 +:10373000636CFD049C4089CF02CA6ACC22CEEFF0B4 +:103740004FE58840814601464C08EFD04F8531F95E +:103750007370043001A0032509005CC000C8EFC0ED +:103760003FDEE5BDEFE0AFFF83270900DC47C04740 +:10377000930C44006685EFC0BFDF5C4C638F47034A +:103780005C502285829791BD032509005CC000C86A +:10379000EFC01FDB39B7B24723ACF900A5CFE297E2 +:1037A00023A2F90023A8390193854900636FFC0423 +:1037B00003A50A00EFC0DFD80DB51C4C00C8338745 +:1037C000F90058C0636DEC02330C3C416363FC02AA +:1037D0009C4089CF02CA4ECC22CEEFF08FDB8840CE +:1037E000814601464C08EFC09FFB59F973700430C5 +:1037F00001A003A50A00E685EFC09FD451B70325B9 +:103800000900E685EFC0DFD3A5BF03250900EFC09F +:103810003FD3E1B37370043001A0B24783A689019E +:1038200023A839013387D70023A2E9006364EC049D +:10383000B307FC4063EBD70483A749024E85829708 +:1038400083A7C901E39B47D983A68901B247984062 +:10385000B69711CF02CA3ECC4ECEEFF08FD3884040 +:10386000814601464C08EFC09FF3E31805D67370FC +:10387000043001A06374FC00E370F7FC0325090029 +:1038800093854900EFC0DFCB89BB03A50A00938570 +:103890004900EFC0FFCA91B3411122C406C626C237 +:1038A0004AC017D40100130424CDEFF04FE31C40AD +:1038B00095CBEFF00FE51C409DC38148014881473F +:1038C000094781461306004097D50100938505AF4F +:1038D000170500001305A5C8EFD05F9A39E973708A +:1038E000043001A017D90100130949EC4A85EFC043 +:1038F0001FC297D401009384A4EC2685EFC03FC17A +:103900000146B145114597D7010023AB27C797D78B +:10391000010023A597C6EFC07FDB17D70100232D39 +:10392000A7C411CD97D501009385C5A8EFD0AFFCF2 +:1039300049B7B240224492440249410182807370E7 +:10394000043001A0A5C9797156CAAA8A1305C0021C +:1039500022D426D24AD04ECE52CC06D65AC85EC603 +:103960003A89B689328AAE84E9212A8405C9EFF002 +:103970000FD797D70100938727C09C439DCFEFF0C7 +:103980004FD8130544002320540104CC232E4401B6 +:103990002320340323222403EFC0BFB82285B25072 +:1039A000225492540259F249624AD24A424BB24BD3 +:1039B000456182807370043001A097DB0100938B16 +:1039C000EBDE5E85EFC0BFB417DB0100130B4BDFEE +:1039D0005A85EFC0DFB30146B145114597D70100C5 +:1039E00023A077BB97D7010023AA67B9EFC01FCEEA +:1039F00017D701002322A7B801C997D501009385E5 +:103A0000659BEFD04FEFA5BF7370043001A039C59F +:103A1000011122CC06CE26CA17D401001304C4B566 +:103A2000832804002A88014563850802BA842EC2CF +:103A3000368732C442C6954663C5B602EFF06FB50D +:103A40008947630BF5020840814601464C00EFC0F0 +:103A50001FD5F2406244D244056182807370043005 +:103A600001A04C0081463A864685EFD08F88F2400F +:103A70006244D244056182800840814626864C001B +:103A8000EFC0FFD1F9B719C10841828073700430CB +:103A900001A001E57370043001A0411106C622C4E3 +:103AA0002A84EFF0CFC348483334A000EFF06FC54D +:103AB0002285B24022444101828001E573700430C6 +:103AC00001A0411122C42A8406C6EFF04FC1005064 +:103AD000EFF02FC3B240228522444101828001E5EC +:103AE0007370043001A0411122C426C22E84AA841E +:103AF00006C6EFF0CFBE80D02244B24092444101CE +:103B00006FF02FC04111714522C406C61D262A84BC +:103B100011C5232005001105EFC07F9F2285B2400B +:103B200022444101828001CDB70700FFED8F81C79C +:103B30007370043001A099E57370043001A07370B4 +:103B4000043001A0011122CC4AC84EC652C456C24C +:103B500006CE26CABA893689B28A2E842A8AEFF01E +:103B60004FA311E5638509007370043001A0EFE0F5 +:103B70000F9A83240A00B37794006316090295C74D +:103B800063870A009347F4FFE58F2320FA00EFE0F4 +:103B90000FBDF240624426854249D244B249224ACE +:103BA000924A05618280E30DF4FCE38209FEB3359D +:103BB0005001E20563050900B7070004DD8D4E865C +:103BC000C18D13054A00EFE0FFE6EFE04FB919E1C0 +:103BD000EFC0DF83EFF0CFB593176500AA8963D8F4 +:103BE0000700B7040001FD14B3F499005DB7EFF0CE +:103BF0000FAF83290A00B3773401631E090089CB14 +:103C000063880A009347F4FFB3F737012320FA00D3 +:103C1000EFF02FAFF9B7E31DF4FEDDB701C9B70729 +:103C200000FFED8F99C77370043001A073700430EA +:103C300001A0411122C42A8406C626C24AC02E8988 +:103C4000EFF0EFA904409345F9FFB3F795001CC0CE +:103C5000EFF02FABB2402244268502499244410145 +:103C60008280411122C42A8406C6EFC01F8600400C +:103C7000EFC05F85B240228522444101828009C99C +:103C8000370700FFB3F7E50099C77370043001A050 +:103C90007370043001A06F20F009B70700FFF18FA7 +:103CA00081C77370043001A001E67370043001A075 +:103CB000011122CC4AC84EC652C406CE26CA368945 +:103CC000AE892A8A3284EFF0CF8C21E163050900A6 +:103CD0007370043001A0EFE08F8383240A00CE8547 +:103CE0005285B3E49900613FB37794006307F4060B +:103CF00083240A00EFE0AFA6F240624426854249E1 +:103D0000D244B249224A05618280EFE04F80832489 +:103D10000A00CE855285B3E434019537B3F78400A9 +:103D2000638D8702E30609FCB70500054A86C18D4D +:103D300013054A00EFE01FD0EFE06FA219E1EFC0DA +:103D4000EFECEFF0EF9E93176500AA8463DF0700A6 +:103D5000B7070001FD17FD8C45B783270A00134400 +:103D6000F4FFE18F2320FA0071B7EFF04F9783241F +:103D70000A00B3779400639787009347F4FFE58FB9 +:103D80002320FA00EFF0EF97E1B7411122C406C6F5 +:103D90002A8426C2EFD0BFF75C4085C3084893044D +:103DA000C4006316950015A0084863009502B70586 +:103DB0000002EFE03FE55C40E5FB2285612A2244FA +:103DC000B240924441016FE08F997370043001A0BA +:103DD00009C9370700FFB3F7E50099C773700430CE +:103DE00001A07370043001A06F20C07401C9B7072F +:103DF00000FFED8F99C77370043001A07370043019 +:103E000001A0411122C426C206C62A84AE84EFF066 +:103E10000F8D184093C7F4FFF98F1CC02244B240A5 +:103E2000924441016FF0EF8D19C1084D8280014528 +:103E30008280411122C406C62A84EFD05FED97C765 +:103E40000100938727749C43A1EBE56717D7010016 +:103E500013078798F11797C6010023ABE67217C7BF +:103E600001002325F77217D701002329F79697C77A +:103E700001009387677117D70100232FF7948547B7 +:103E800017C701002328077017C70100232E076EEC +:103E900017C701002328F76E19E8EFE04F8CEF10E9 +:103EA000600201442285B240224441018280130510 +:103EB000840093773500C1EFE5671307F5FFE91735 +:103EC000E3EDE7FC97C601009386866C9C4229A02F +:103ED000984311C7BE86BA87D843E36BA7FE17C7BE +:103EE00001001307676AE38AE7FA9843414613849F +:103EF000870098C2D843B306A7406378D6023388B8 +:103F0000A7002322D800C8C38325480097C6010014 +:103F10009386066811A0BA8698425043E36DB6FEB8 +:103F20002320E80023A00601D84397C70100938708 +:103F300067699C43998F17C701002325F768EFE055 +:103F40000F8231DC2285B240224441018280719986 +:103F500011059DB729C9411122C406C62A84EFD094 +:103F60001FDB930584FF0326C4FF17C70100130757 +:103F7000276211A03E871C43D443E3EDC6FE232CE9 +:103F8000F4FE0CC397C701009387C7639843832748 +:103F9000C4FF2244B240BA9717C701002324F76236 +:103FA00041016FD0DFFB828097C701009387876153 +:103FB0008843828082803367B5000D8BAA871DE716 +:103FC0009308D6FFAA982A87AE866371150303A8C3 +:103FD000060011079106232E07FFE36A17FF934798 +:103FE000F5FFC697F19B9107BE95AA972A9663F3B2 +:103FF000C70A9386450013884700B3B6D70033B885 +:10400000050133E7F50093C61600134818000D8B21 +:10401000B3E6060113371700758F330EF64093860B +:10402000170029CF1337AE0031EB13532E002E8823 +:10403000BE860147832808000507110823A0160142 +:104040009106E36967FE1377CEFFBA95BA976302CC +:10405000EE0483C60500138717002380D700637A18 +:10406000C70283C6150013872700A380D700637299 +:10407000C70203C725002381E7008280B307F6400B +:10408000AE97850503C7F5FF8506238FE6FEE39A05 +:10409000F5FE82808280B367C5008D8BB308C500B2 +:1040A000E9C36371150FB307A0408D8B13873700E9 +:1040B000954693F5F50F130815003383A8407D1638 +:1040C0006373D70015476363E60CD5CF2300B500B3 +:1040D0000547638DE700A300B5000D4713082500D1 +:1040E0006396E700130835002301B5001396850099 +:1040F0004D8E13970501518F3303F340939685013D +:10410000D98E13562300AA97014794C30507910738 +:10411000E36DC7FE1377C3FFB307E8006307E30649 +:104120002380B70013871700637C1703A380B700B1 +:1041300013872700637617032381B700138737009F +:1041400063701703A381B70013874700637A1701D1 +:104150002382B7001387570063741701A382B70047 +:10416000828093F5F50F13978500D98D139705017C +:104170004D8FE37715FFAA87910723AEE7FEE3EDA6 +:1041800017FF828082802A8895B78280AA8749BFDC +:10419000834705002A8799C7050583470500EDFF7A +:1041A000198D82800145828005058347F5FF8505CD +:1041B00003C7F5FF91C7E389E7FE3385E7408280B7 +:1041C0008147E5BFAA87850503C7F5FF8507A38F4C +:1041D000E7FE75FB82808346050093070002639724 +:1041E000F600050583460500E38DF6FE938756FD30 +:1041F00093F7D70F85C7AA87814585CE01458507E7 +:10420000138606FD1317250083C607003A95060599 +:104210003295F5F699C13305A0408280938536FD2D +:104220008346150093B5150093071500E1FA014583 +:10423000D5B7014582809C412380A7009C4185071A +:104240009CC1828097C60100938606359C42175711 +:1042500003001307275B13861700BA972380A70074 +:1042600097C5010023AAC532A9476308F500930743 +:1042700000046305F6000145828075DE370610F004 +:1042800005078347F7FF2320F6F09C42FD1797C5EB +:10429000010023A3F530EDF7014582804D71232203 +:1042A000911423202115232A51132324811323261B +:1042B000111423248114232E3113232C411323287A +:1042C000611323267113232291132320A113232E7C +:1042D000B111AA842E8932C6130C5002A54A834715 +:1042E000090063808703B9CB804011A0A1CB2300D4 +:1042F000F40080400509050480C083470900E39766 +:1043000087FF0346190093081900C686130D0002A3 +:10431000FD597D5A0148130550059307D6FD93F7C3 +:10432000F70F138916006363F52A17C701001307F7 +:1043300067CD8A07BA979C43BA9782878320C114B6 +:104340000324811483244114032901148329C113F4 +:10435000032A8113832A4113032B0113832BC112D8 +:10436000032C8112832C4112032D0112832DC111C4 +:1043700071618280A14C02C4854763D50715B2479D +:104380008040938B770093F68BFF03AB060083A9E5 +:104390004600938786003EC6668681465A85CE854E +:1043A000EF10D0332AC8814763853723930D41012D +:1043B000854B668681465A85CE85EF1060548146CE +:1043C00066862A8BAE89EF107031A24723A0AD001C +:1043D00093861B00910D63843701B68BD9BFE37EB2 +:1043E0009BFF63DD4601A2872380A70180407D1AE1 +:1043F000930714009CC03E84E3C846FF93962B00AD +:104400001C08BE9631A8938777052300F4008040EE +:10441000FD1B93871B00050480C0F116E351F0ECEF +:104420009C42E3E2FAFE93870703C5B79C409306DC +:10443000000332472380D7009C4093064700C14CBD +:104440001386170090C013068007A380C700804022 +:1044500002C4BA87050480C003AB0700814936C691 +:1044600025BFB24783AC0700938B470063840C1CC5 +:10447000635F40199307D0026319FD1683C70C00D0 +:1044800085C363DC09189440850C7D1A2380F600EF +:104490008040050480C083C70C00E5F7635D4001E0 +:1044A0008040930700022300F40080407D1A050439 +:1044B00080C0E31A0AFE5EC61DB502C48547A94C3A +:1044C000E3CF07EBB24780409386470071B703C63E +:1044D0001600CA8699B59C40930650022380D700E7 +:1044E0008040050480C0E5BBC14C02C471B5B24731 +:1044F00003C61600CA8683A9070091073EC6E35E7D +:104500000AE04E8AFD5911BD03C61600130DD002F4 +:10451000CA8621B59347FAFFFD8703C61600337A92 +:10452000FA00CA86DDBB03C61600130D0003CA8657 +:10453000EDB383C51600930906FDCA86938705FD72 +:104540002E86E3EEFAFA93972900BE99850686092E +:10455000AE9983C50600938909FD938705FD2E86D4 +:10456000E3F3FAFE69BF32479C40144311073AC691 +:104570002380D7008040050480C095B3854763D36E +:10458000070BB24793867700E19A938786003EC671 +:1045900083A946009C4263DC090A94401306D002BA +:1045A000B307F0402380C6008040B336F000B30963 +:1045B0003041050480C03E8BB389D940A94C02C468 +:1045C000E1BB03C616000508CA8681BB9C4046892C +:1045D00021B7E37D9BDD8547814B8546E3C547E1F8 +:1045E00031BD97CC0100938CECDDCE856685EF0064 +:1045F0007059330AAA40635C400180402300A40143 +:1046000080407D1A050480C0E31A0AFE014A83C770 +:104610000C00E38207EAE3C809E6FD197D57E3943D +:10462000E9E6ADBDB247938647009C4336C693D9B1 +:10463000F74195B7635640019307D002E313FDFAA3 +:1046400097CC0100938C0CD8930780021DBD3E8B44 +:10465000A94C02C4804089B34D71232E3113B70990 +:1046600000409387F9FF2324811423229114232CE3 +:104670004113232A51132326111423202115232803 +:1046800061132326711323248113232291132320E2 +:10469000A113232EB1112A8A2EC417C401001304BA +:1046A000A4EF975A0300938AEA1597C401009384F4 +:1046B000E4AA3EC693055002294513080004B70634 +:1046C00010F083470A006386B704C1C71840050A83 +:1046D00013061700569797C8010023AFC8EA2300B6 +:1046E000F7006382A706E31E06FD175703001307B2 +:1046F000671105078347F7FF23A0F6F01C40FD175D +:1047000017C60100232AF6E8EDF783470A00E39E67 +:10471000B7FA83461A0013051A002A87930B000282 +:104720007D5B7D5C014893055005A5489387D6FDC8 +:1047300093F7F70F130A170063E7F5348A07A69774 +:104740009C43A6978287175703001307A70B55F2C0 +:104750008DBF8320C11403248114832441140329B1 +:1047600001148329C113032A8113832A4113032BC4 +:104770000113832BC112032C8112832C4112032DB0 +:104780000112832DC11171618280A14C014D8547B9 +:1047900063DB0719A2479D07E19B83AD070003ABCD +:1047A0004700138787003AC4668681466E85DA859E +:1047B000EF10C0722AC863066D351309410185499F +:1047C000668681466E85DA85EF108013668681469F +:1047D000AA8D2E8BEF1080702320A9008509110966 +:1047E000E3106DFFE3FE9DFD13060004B70610F015 +:1047F00063D189031C4013871700D69797C5010022 +:1048000023ACE5D823807701630CC7047D1CE3C388 +:1048100089FFB2476800A548B386F9008A061C08DC +:10482000BE96294813030004370610F0E384A6E877 +:104830009C429305000363F4F8009305700518404B +:10484000AE9793051700569717CE01002326BED4C6 +:104850002300F7006380072B638C6526F116F9B7F8 +:1048600017570300130707FA05078347F7FF23A02D +:10487000F6F01C40FD1797C5010023AFF5D0EDF70A +:104880007D1C71B71C40130600041387170097C5E1 +:10489000010023A3E5D0B386FA009305000323802B +:1048A000B6006300C73E890797C6010023A6F6CE6F +:1048B0005697930680072300D70017570300130766 +:1048C00067F4B70610F0639EC70005078347F7FF3C +:1048D00023A0F6F01C40FD1717C60100232EF6CAD0 +:1048E000EDF7A247C14C014D91072247014B832DA3 +:1048F00007003EC455BDA24783AC0700138947009B +:10490000638F0C3A635480219307D002639EFB2E81 +:1049100003C70C00BA856310072025AC8547A94C56 +:10492000014DE3C907E7A2479107C1B7A2478346F4 +:104930001700528703AB070091073EC4E3580CDE13 +:104940005A8C7D5BE5B383461700930BD0025287E8 +:10495000F1BB9347FCFFFD8783461700337CFC00C7 +:104960005287E9B383461700930B000352877DBB40 +:1049700003461700138B06FD5287930706FDB28688 +:10498000E3EEF8FA93172B00DA9705078607B2973C +:1049900003460700138B07FD930706FDB286E3F37A +:1049A000F8FE69BFA2451C4029469841938617002E +:1049B000D69717C501002321D5BE2380E7001389B0 +:1049C00045006301C72A930700046387F6204AC4A1 +:1049D000D5B1854763DF0729A24713877700619B1D +:1049E000930787003EC4032B47001C43635B0B30D7 +:1049F0001840130600049306170097C5010023AD65 +:104A0000D5B856979305D0022300B7006388C62C0B +:104A1000B307F0403337F000330B6041BE8D330BEA +:104A2000EB40A94C014D49B3834617000508528756 +:104A3000F5B9834617005287D5B91C409306000488 +:104A40001387170017C601002328E6B4D69713066C +:104A500050022380C700E31FD7C417570300130772 +:104A600067DAB70610F005078347F7FF23A0F6F0D3 +:104A70001C40FD1717C601002320F6B2EDF71DB943 +:104A8000C14C014D29B31C40930600042A8A1387A8 +:104A9000170017C601002321E6B0D697130650026F +:104AA0002380C700E318D7C017570300130787D523 +:104AB000B70610F005078347F7FF23A0F6F01C4068 +:104AC000FD1717C601002329F6ACEDF72A8ADDB6DB +:104AD00017570300130707D305078347F7FF232062 +:104AE000F6F01C40FD1797C5010023A7F5AAEDF7C6 +:104AF000F1162DBB175703001307C7D0F1FDF116B0 +:104B000035B3E3FC9DCB8549C5B1014C03C70C000F +:104B1000BA85E30E07EAFD58294513080004B706D5 +:104B200010F063570B0A1C4013861700D69717C363 +:104B300001002323C3A62380E7006384A506630442 +:104B40000609850C03C70C007D1CBA8579FBE35070 +:104B500080E91C409305000213060004B70610F01C +:104B600021A07D1CE3050CE613871700D697238050 +:104B7000B70017C501002321E5A2BA87E313C7FEDA +:104B800017570300130707C805078347F7FF23A03C +:104B9000F6F01C40FD1717C50100232FF59EEDF719 +:104BA000C9B7175703001307E7C541DE0507834759 +:104BB000F7FF23A0F6F01C40FD1717C60100232DB8 +:104BC000F69CEDF7BDBF175703001307A7C3F9BF46 +:104BD0007D1BE31A1BF5A5BF17570300130787C2F8 +:104BE000B70610F005078347F7FF23A0F6F01C4037 +:104BF000FD1717C601002321F69AEDF74AC45DBCE4 +:104C000097BC0100938C0C7CDA856685EF00807779 +:104C1000330CAC40E35C80EF1C4013060004B70685 +:104C200010F021A07D1CE3020CEE13871700D6972D +:104C30002380770197C5010023A0E596BA87E31387 +:104C4000C7FE175703001307E7BB05078347F7FFA6 +:104C500023A0F6F01C40FD1797C5010023AEF59286 +:104C6000EDF7C9B7175703001307C7B9B5FA4AC418 +:104C700091B4A247138747009C433AC413DBF74122 +:104C8000B5B3175703001307E7B7B70610F00507CA +:104C90008347F7FF23A0F6F01C40FD1717C601005D +:104CA000232CF68EEDF7854717C701002326F78ED4 +:104CB00093078007175703002306F7B41DB1635607 +:104CC00080019307D002E39DFBF297BC0100938C17 +:104CD0006C6F130780029305800235BD9756030061 +:104CE000938646B2370610F0850603C7F6FF2320E9 +:104CF000E6F018407D1797C5010023AFE5886DF7F2 +:104D000001BBBE8DA94C014D45B4B70710F023A0DF +:104D1000A7F201A03971130341022ED29A8506CE63 +:104D200032D436D63AD83EDA42DC46DE1AC62D32C6 +:104D3000F2400145216182805D711303810322D419 +:104D40002AC632DC2A841A86680006D6BEC236DE3F +:104D5000BAC0C2C4C6C61ACEEFF04FD4B247238041 +:104D600007003245B250018D225461618280B7073D +:104D700010F083A647F403A607F403A747F4E31A49 +:104D8000D7FE8566938606FAB29633B6C600B3059B +:104D9000E60023A4D7F423A6B7F48280B70710F067 +:104DA00003A747F403A607F483A647F4E31AD7FE44 +:104DB0008566938606FAB29633B6C60023A4D7F466 +:104DC000B305E60023A6B7F49307000873A04730A5 +:104DD0008280411122C406C697B701009387477CA1 +:104DE00017B401001304847B98431C401306F07C25 +:104DF000930647069387470697B5010023A2D57A05 +:104E000097B5010023ACF5786346D600B240224442 +:104E1000410182801307478997B7010023A2E778F1 +:104E2000FD298547631FF500184085679387F7764E +:104E30000145E3DDE7FCD13D2244B24041016FC0B2 +:104E40001FEC17B5010013056558E9350945753D97 +:104E50002244B24041016FC09FEA17B5010013051B +:104E6000A5586FF03FEB17B50100130565586FF0BB +:104E70007FEA411122C426C206C6856417B4010028 +:104E800013048457938784380100FD17F5FF2285AA +:104E9000EFF05FE8C5BF21A9411106C649210547CA +:104EA00081476316E500B2403E854101828017B517 +:104EB00001001305A551EFF0FFE5B24089473E859B +:104EC000410182807370043001A0828073700430CD +:104ED00001A0797126D24AD04ECE52CC56CA5AC8B9 +:104EE00006D622D417BA0100130A8A6D17BB010037 +:104EF000130B4B6C9304500505499309E00A930A80 +:104F0000E00B0145EFD09F91EFC07FE12A8403259C +:104F10000A0081461306F00A6C0002C697B701002A +:104F200023A69768EFB0BF8709C597B7010023A1F3 +:104F30002769EFC0DFDE018D63F4A90063F6AA00E4 +:104F400097B7010023A62767014597B7010023AF54 +:104F50009764EFD0BF8CEFC09FDC2A8403250A0042 +:104F600081461306F00A6C0097B7010023A09764EE +:104F7000EFB01FD309C597B7010023AB2763EFC07C +:104F80001FDA018D63F4A90063F6AA0097B7010048 +:104F900023A0276397B7010023AA976083270B00FC +:104FA000850717B701002327F760A1BF397126DAFB +:104FB0004AD84ED652D456D25AD05ECE62CC66CAA9 +:104FC00006DE22DC6AC817BA0100130A6A5F17B945 +:104FD00001001309A95D97BB0100938B2B5E97BC61 +:104FE0000100938C6C5D294C054B914A85499304D3 +:104FF000500502C401463314CC00EFC05FD22A8DA5 +:1050000003250A00814622866C00EFB07FC909C5DE +:1050100097B7010023AE3759EFC07FD03305A541C4 +:105020009307F4006376850017B7010023223759F0 +:1050300063FDA724224697B7010023AB3757050627 +:1050400032C4E3DACAFA02C403250A0081460146E3 +:105050002C00EFB0CFF46306652597B7010023A9B4 +:105060003755A24785073EC4E3D0FAFE02C4014685 +:105070003314CC00EFC0BFCA2A8D03250A00814635 +:1050800022862C00EFB0AFF109C597B7010023A12C +:105090003753EFC0DFC83305A5419307F4006376AB +:1050A000850017B701002325375163FAA71C224654 +:1050B00097B7010023AE374F050632C4E3DACAFAC8 +:1050C00003A50B0097B7010023A2074EEFC03F8650 +:1050D00083270900638997005145EFD0AF86832766 +:1050E0000900E39B97FE5145EFD0CF8597B70100AC +:1050F00023AE074A02C403250A00814601466C001C +:10510000EFB01FBA814601462C006306650197B7D0 +:10511000010023AF374903250A00EFB04FE8A5454A +:105120006306650197B7010023A437498327090067 +:1051300003A50B006396970097B7010023AA374792 +:10514000EFC0EFEC83270900994503A50B00639698 +:10515000970097B7010023AD3745EFC04FEBA2474B +:1051600085073EC4E3D9FAF88327090051456389CE +:105170009700EFC03FFD832709005145E39B97FE51 +:10518000EFC05FFC97B7010023A2074202C40325CA +:105190000A00814601466C00EFB09FB0630D650FB9 +:1051A00097B7010023A63741A24785073EC4E3D045 +:1051B000FAFE03A50B00EFC08FF783270900638970 +:1051C00097005145EFC01FF883270900E39B97FE26 +:1051D0005145EFC03FF797B7010023A9073C02C430 +:1051E00003250A00814601462C00EFB04FDB8146C3 +:1051F00001466C006306650197B7010023AA373B9F +:1052000003250A00EFB0DFA9A5456306650197B73E +:10521000010023AF37398327090003A50B006396EC +:10522000970097B7010023A53739EFC04FDE8327DA +:105230000900994503A50B006396970097B70100F5 +:1052400023A83737EFC0AFDCA24785073EC4E3D9B8 +:10525000FAF883270900514563899700EFC09FEE54 +:10526000832709005145E39B97FEEFC0BFED83A75D +:105270000C00850717B701002320F7349DBB224699 +:10528000050632C4E3D6CADE25BD2246050632C471 +:10529000E3D3CAD64DBBA24785073EC4E3D9FAEE95 +:1052A00009BFA24785073EC4E3D0FADAC1B3411172 +:1052B00001469145154506C6EFB04FC197B50100AF +:1052C0009385C51497B7010023ACA72EEFB0BFE2BA +:1052D0008148014881471D4781461306004097B524 +:1052E00001009385E51317050000130565CCEFB0A9 +:1052F000FFF8B2408148014897B701009387072C17 +:10530000194781461306004097B501009385C511E2 +:1053100017050000130525BC41016FB03FF617B714 +:1053200001001307672997B601009386A62817B6D0 +:105330000100130626271C4310428C4217B50100BA +:10534000130585260328050001456386C500B3873C +:1053500007413335F00097B70100938767259C43D9 +:1053600094421843FD17B337F000B307F04017B667 +:1053700001002329D6227D8D97B6010023A6E622BF +:1053800082800000B305B500930705006386B7006F +:1053900003C70700631607003385A7406780000036 +:1053A000938717006FF09FFE130101FB23229104E6 +:1053B000232C410323229103232611042324810457 +:1053C00023202105232E3103232A5103232861039F +:1053D00023267103232481032320A103232EB1015B +:1053E000930C0500138A05009304000063DE05009A +:1053F0003305A0403337A000B305B040930C05003F +:10540000338AE5409304F0FF63DA06003306C040B8 +:10541000B337C000B306D040B386F640930A060007 +:105420009389060013840C0013090A00639606286A +:1054300017BB0100130B0BE86370CA16B707010016 +:105440006372F6149307F00F63F4C70093098000AA +:10545000B3573601330BFB0083470B0013050002E3 +:10546000B3873701B309F540638C0900B3153A01DE +:10547000B3D7FC00B31A360133E9B70033943C01CB +:1054800013DB0A0193050B0013050900EF00507CA4 +:10549000130A050093050B00939B0A0113050900ED +:1054A000EF00907693DB0B019305050013850B004D +:1054B000EF00D072131A0A0193570401B367FA0080 +:1054C00063FAA700B387570163E6570163F4A700A7 +:1054D000B38757013389A74093050B0013050900D3 +:1054E000EF001077130A050093050B001305090060 +:1054F000EF0090711314040193050500131A0A01BB +:1055000013850B0013540401EF00506D33648A00BF +:10551000637AA40033045401636654016374A400E5 +:10552000330454013304A440335434019305000080 +:10553000638A040033048040B3378000B305B04071 +:10554000B385F540130504008320C10403248104BE +:1055500083244104032901048329C103032A81030D +:10556000832A4103032B0103832BC102032C8102F5 +:10557000832C4102032D0102832DC101130101057A +:1055800067800000B707000193090001E362F6ECB1 +:10559000930980016FF0DFEB631A060093050000AA +:1055A00013051000EF005066930A0500B7070100CD +:1055B00063FAFA0E9307F00F63F457019309800022 +:1055C000B3D73A01330BFB0083470B0013050002EE +:1055D00033095A41B3873701B309F540E38209EA39 +:1055E000B39A3A01335BFA00B3153A01B3D7FC0022 +:1055F00093DB0A0133E9B70013050B0093850B0019 +:10560000EF001065130A050093850B00139C0A0137 +:1056100013050B00EF00505F135C0C0193050500B0 +:1056200013050C00EF00905B131A0A019357090150 +:10563000B367FA0033943C0163FAA700B3875701BC +:1056400063E6570163F4A700B3875701338BA74084 +:1056500093850B0013050B00EF00905F130A050004 +:1056600093850B0013050B00EF00105A93050500FE +:1056700013050C00EF00905693160901131A0A0146 +:1056800093D60601B366DA0063FAA600B386560124 +:1056900063E6560163F4A600B38656013389A6403B +:1056A0006FF01FDEB707000193090001E3EAFAF08B +:1056B000930980016FF0DFF06376DA0093050A004A +:1056C0006FF01FE7B707010063FAF604930BF00FC2 +:1056D00033B5DB001315350033D7A60097B70100AB +:1056E000938747BDB387E70083CB0700930500028C +:1056F000B38BAB00338B7541631C0B0263E4460133 +:1057000063EACC003384CC40B306DA4033B98C0072 +:1057100033892641930509006FF09FE1B707000127 +:1057200013050001E3EAF6FA130580016FF0DFFAD2 +:10573000B3966601335D7601336DDD00B35D7A01AA +:10574000B3156A0133DC7C0113540D01336CBC00CA +:1057500013850D0093050400B3196601EF00504F47 +:10576000930A05009305040013850D0033996C011D +:10577000931C0D01EF00504993DC0C01130A050046 +:105780009305050013850C00EF005045939A0A011C +:1057900013570C0133E7EA00930D0A00637EA7005C +:1057A0003307A701930DFAFF6368A7016376A7008B +:1057B000930DEAFF3307A701330AA74093050400BE +:1057C00013050A00EF00D04893050400930A050072 +:1057D00013050A00EF00504393050500130405006C +:1057E00013850C00EF00903F93150C01939A0A016A +:1057F00093D50501B3E5BA001307040063FEA500C5 +:10580000B385A5011307F4FF63E8A50163F6A500BE +:105810001307E4FFB385A501939D0D01B70C0100AB +:10582000B3EDED001384FCFFB3F78D0033F4890072 +:10583000338AA54013850700930504002326F10051 +:1058400093DD0D01EF00903993050400930A0500E4 +:1058500013850D00EF00903813DC090193050C004F +:105860002324A10013850D00EF0050378327C100CA +:1058700013040C0093050400130C050013850700A6 +:10588000EF00D0358326810013D70A013305D500F8 +:105890003307A7006374D700330C9C01B7070100DE +:1058A0009387F7FF935507013377F7001317070125 +:1058B000B3F7FA00B3858501B307F7006366BA0052 +:1058C000631EBA00637CF90033863741B3B7C70063 +:1058D000B385A541B385F54093070600B307F940AA +:1058E0003339F900B305BA40B385254133947501C6 +:1058F000B3D767013364F400B3D565016FF05FC3BC +:10590000130101FD23229102232A510123261102B2 +:105910002324810223202103232E3101232C410142 +:10592000232861012326710123248101232291016F +:105930002320A101930A050093840500639E063885 +:10594000130406009309050017B90100130989968D +:1059500063F8C512B7070100138B05006378F610D2 +:105960001307F00F3337C70013173700B357E6009C +:105970003309F900834609003387E60093060002E5 +:10598000B386E640638C0600B394D40033D7EA00B4 +:105990003314D600336B9700B399DA00935A04019D +:1059A00093850A0013050B00EF00902A13090500E8 +:1059B00093850A00931B040113050B00EF00D0240C +:1059C00093DB0B01930405009305050013850B0081 +:1059D000EF00D0201319090193D70901B367F9002B +:1059E000138A040063FEA700B3878700138AF4FFBD +:1059F00063E8870063F6A700138AE4FFB387870094 +:105A0000B384A74093850A0013850400EF00502457 +:105A10001309050093850A0013850400EF00D01ECA +:105A200093990901930405009305050013190901D1 +:105A300013850B0093D90901EF00501AB36939019E +:105A40001386040063FCA900B30934011386F4FF34 +:105A500063E6890063F4A9001386E4FF13140A01C6 +:105A60003364C400130A00006F000013B70700017D +:105A700013070001E36CF6EE130780016FF01FEFD0 +:105A8000138A0600631A0600930500001305100030 +:105A9000EF00901713040500B7070100637EF412AE +:105AA0009307F00F63F48700130A8000B357440193 +:105AB0003309F90003470900930600023307470141 +:105AC000B386E64063940612B3848440130A100040 +:105AD000135B040193050B0013850400EF005017BE +:105AE0001309050093050B0013850400931B0401A3 +:105AF000EF00901193DB0B01930405009305050063 +:105B000013850B00EF00900D1319090193D70901BC +:105B1000B367F900938A040063FEA700B387870088 +:105B2000938AF4FF63E8870063F6A700938AE4FF93 +:105B3000B3878700B384A74093050B001385040047 +:105B4000EF0010111309050093050B0013850400E5 +:105B5000EF00900B9399090193040500930505004C +:105B60001319090113850B0093D90901EF001007E0 +:105B7000B36939011386040063FCA900B309340139 +:105B80001386F4FF63E6890063F4A9001386E4FF3B +:105B900013940A013364C4001305040093050A003A +:105BA0008320C102032481028324410203290102CC +:105BB0008329C101032A8101832A4101032B0101A9 +:105BC000832BC100032C8100832C4100032D010095 +:105BD0001301010367800000B7070001130A0001E9 +:105BE000E366F4EC130A80016FF05FEC3314D40029 +:105BF00033DAE400B399DA0033D7EA00935A0401A8 +:105C0000B394D40093850A0013050A00336B970000 +:105C1000EF0010041309050093850A0013050A001C +:105C2000931B0401EF00407E93DB0B0193040500FE +:105C30009305050013850B00EF00407A1319090145 +:105C400013570B013367E900138A0400637EA70032 +:105C500033078700138AF4FF636887006376A70021 +:105C6000138AE4FF33078700B304A74093850A0033 +:105C700013850400EF00C07D1309050093850A0019 +:105C800013850400EF004078930405009305050098 +:105C900013850B00EF00807413170B0113570701D6 +:105CA00013190901B367E9001387040063FEA70015 +:105CB000B38787001387F4FF63E8870063F6A700C4 +:105CC0001387E4FFB3878700131A0A01B384A74040 +:105CD000336AEA006FF0DFDF63ECD51EB70701001F +:105CE00063F4F6041307F00FB335D700939535002E +:105CF00033D7B60097A701009387C75BB387E70048 +:105D000003C70700130A00023307B700330AEA404B +:105D100063160A0213041000E3E096E833B6CA00E3 +:105D2000134416006FF05FE7B70700019305000109 +:105D3000E3E0F6FC930580016FF09FFBB35CE600A7 +:105D4000B3964601B3ECDC0033D4E40093DB0C01E2 +:105D5000B397440133D7EA0093850B001305040081 +:105D6000336BF700B3194601EF00806E130905008D +:105D700093850B0013050400139C0C01EF00C06811 +:105D8000135C0C01930405009305050013050C003A +:105D9000EF00C0641319090113570B013367E900C1 +:105DA00013840400637EA700330797011384F4FF74 +:105DB000636897016376A7001384E4FF33079701B4 +:105DC000B304A74093850B0013850400EF004068DF +:105DD0001309050093850B0013850400EF00C062D2 +:105DE000930405009305050013050C00EF00005F08 +:105DF00093170B011319090193D70701B367F90032 +:105E00001386040063FEA700B38797011386F4FF8F +:105E100063E8970163F6A7001386E4FFB387970151 +:105E200013140401B70B01003364C4001389FBFF92 +:105E3000337D240133F92901B384A7409305090078 +:105E400013050D00EF008059935C040193050900D0 +:105E5000130B050013850C00EF00405893D909017E +:105E6000130C05009385090013850C00EF00005703 +:105E7000130905009385090013050D00EF00005676 +:105E80003305850193570B013385A70063748501A2 +:105E90003309790193570501B387270163E6F402BB +:105EA000E392F4BCB70701009387F7FF3375F50061 +:105EB00013150501337BFB0033964A013305650159 +:105EC000130A0000E37AA6CC1304F4FF6FF09FB925 +:105ED000130A0000130400006FF01FCC130101FB34 +:105EE0002324810423229104232E310323229103AE +:105EF0002326110423202105232C4103232A5103A7 +:105F00002328610323267103232481032320A10373 +:105F1000232EB101930C050093890500130405009D +:105F200093840500639E062613090600138A060063 +:105F300097AA0100938A0A3863F4C514B7070100D1 +:105F40006376F6129307F00F63F4C700130A80001C +:105F5000B3574601B38AFA0003C70A0013050002CB +:105F600033074701330AE540630C0A00B395490142 +:105F700033D7EC0033194601B364B70033944C01B6 +:105F8000935A090193850A0013850400EF00404CE1 +:105F90009309050093850A00131B0901138504006A +:105FA000EF008046135B0B019305050013050B0002 +:105FB000EF00C0429399090193570401B3E7F90038 +:105FC00063FAA700B387270163E6270163F4A700FC +:105FD000B3872701B384A74093850A001385040083 +:105FE000EF0000479309050093850A00138504001C +:105FF000EF008041131404019305050093990901F2 +:1060000013050B0013540401EF00403D33E48900F5 +:10601000637AA40033042401636624016374A4003A +:10602000330424013304A440335544019305000094 +:106030008320C1040324810483244104032901042F +:106040008329C103032A8103832A4103032B01030C +:10605000832BC102032C8102832C4102032D0102F8 +:10606000832DC1011301010567800000B7070001FE +:10607000130A0001E36EF6EC130A80016FF05FED86 +:10608000631A06009305000013051000EF00C037E7 +:1060900013090500B7070100637AF90E9307F00FA3 +:1060A00063F42701130A8000B3574901B38AFA0049 +:1060B00003C70A0013050002B384294133074701CF +:1060C000330AE540E30E0AEA33194901B3DAE9007D +:1060D000B395490133D7EC0093540901336BB700F2 +:1060E00013850A0093850400EF00803693090500AC +:1060F00093850400931B090113850A00EF00C0304B +:1061000093DB0B019305050013850B00EF00002DB9 +:106110009399090193570B01B3E7F90033944C01AC +:1061200063FAA700B387270163E6270163F4A7009A +:10613000B3872701B38AA7409385040013850A001B +:10614000EF000031930905009385040013850A00D0 +:10615000EF00802B9305050013850B00EF0000284E +:1061600093150B019399090193D50501B3E5B90086 +:1061700063FAA500B385250163E6250163F4A50054 +:10618000B3852501B384A5406FF09FDFB7070001F9 +:10619000130A0001E36AF9F0130A80016FF0DFF0DF +:1061A000E3E8D5E8B707010063FCF604930BF00FB2 +:1061B00033B5DB001315350033D7A60097A70100D0 +:1061C0009387470FB387E70083CB0700930500024F +:1061D000B38BAB00338B7541631E0B0263E4360156 +:1061E00063EACC003384CC40B386D94033B58C000D +:1061F000B384A64013050400938504006FF05FE3A9 +:10620000B707000113050001E3E8F6FA1305800162 +:106210006FF09FFAB3966601335D7601336DDD0052 +:1062200033D47901B395690133DC7C0193540D01BA +:10623000336CBC001305040093850400B31A660197 +:10624000EF000021130A05009385040013050400E4 +:1062500033996C01931C0D01EF00001B93DC0C01C2 +:10626000130405009305050013850C00EF000017CB +:10627000131A0A0113570C013367EA00130A0400CA +:10628000637EA7003307A701130AF4FF6368A70121 +:106290006376A700130AE4FF3307A701B309A740F9 +:1062A0009385040013850900EF00801A938504008C +:1062B0001304050013850900EF0000159305050080 +:1062C0009304050013850C00EF00401193150C0199 +:1062D0001314040193D50501B365B40013870400BA +:1062E00063FEA500B385A5011387F4FF63E8A5014C +:1062F00063F6A5001387E4FFB385A501131A0A010D +:10630000B70C0100336AEA001384FCFFB3778A00FC +:1063100033F48A00B384A540138507009305040075 +:106320002326F100135A0A01EF00400B93090500E0 +:106330009305040013050A00EF00400A13DC0A016C +:10634000930D050093050C0013050A00EF000009EA +:106350008327C100130A050093050C00138507006D +:10636000EF00C0073305B50113D709013307A700B4 +:106370006374B701330A9A01B70701009387F7FFE7 +:10638000935507013377F70013170701B3F7F900A7 +:10639000B3854501B307F70063E6B400639EB4001C +:1063A000637CF90033865741B3B7C700B385A54175 +:1063B000B385F54093070600B307F9403339F90078 +:1063C000B385B440B385254133947501B3D76701D4 +:1063D0003365F400B3D565016FF09FC51306050062 +:1063E0001305000093F61500638406003305C5000D +:1063F00093D5150013161600E39605FE678000007E +:106400006340050663C6050613860500930505006F +:106410001305F0FF630C060293061000637AB600C2 +:106420006358C0001316160093961600E36AB6FE72 +:106430001305000063E6C500B385C5403365D5008C +:1064400093D6160013561600E39606FE67800000EA +:1064500093820000EFF05FFB138505006780020068 +:106460003305A04063D80500B305B0406FF0DFF9F5 +:10647000B305B04093820000EFF01FF93305A04050 +:10648000678002009382000063CA0500634C050028 +:10649000EFF09FF71385050067800200B305B04059 +:1064A000E35805FE3305A040EFF01FF63305B0407A +:1064B0006780020097A701009387870B944317A773 +:1064C00001001307A7091843C8C2637BE50017A79B +:1064D00001001307670908438C4391056FA04F869D +:1064E000411122C406C617A70100130727082A84F2 +:1064F00008438C439105EFA0AF8497A701009387D1 +:1065000027049C436376F40097A7010023AA87021F +:10651000B24022444101828097A70100938747053A +:10652000984394437C43FD177CC3FC4299E373601A +:1065300004308280011122CC26CA2A84AE844AC843 +:106540004EC652C456C206CEEFB06FFC1C400329A3 +:106550000401B7090001B3E59700930AC4000CC019 +:106560008144FD19370A00021840638C2A03032670 +:1065700009004A85B3654701B37636019317560083 +:10658000758F0329490063C4070001E7F1BFE39D4C +:10659000E6FC9317760063D30700D58CEFC08FE637 +:1065A000E1B793C4F4FFF98C04C0EFB05F9B0840DF +:1065B000F2406244D2444249B249224A924A0561B9 +:1065C0008280411106C622C4EFE07FCE17F7FFFF9D +:1065D00013076780814605469305400617A501000D +:1065E000130505E2EFD00FB619C92A84EFB02FF3D7 +:1065F0002A860147814685452285EFD04FC1EFB0FD +:0C6600008FCBB240224401454101828052 +:02000004800278 +:10000000CE44FEFFCC45FEFFD644FEFFCC45FEFFAE +:10001000CC45FEFFCC45FEFFCC45FEFFEE44FEFF87 +:10002000CC45FEFFCC45FEFF0845FEFF1445FEFF14 +:10003000CC45FEFF2645FEFF3245FEFF3245FEFF62 +:100040003245FEFF3245FEFF3245FEFF3245FEFFE0 +:100050003245FEFF3245FEFF3245FEFFCC45FEFF36 +:10006000CC45FEFFCC45FEFFCC45FEFFCC45FEFF58 +:10007000CC45FEFFCC45FEFFCC45FEFFCC45FEFF48 +:10008000CC45FEFFCC45FEFFCC45FEFFCC45FEFF38 +:10009000CC45FEFFCC45FEFFCC45FEFFCC45FEFF28 +:1000A000CC45FEFFCC45FEFFCC45FEFFCC45FEFF18 +:1000B000CC45FEFFCC45FEFFCC45FEFFCC45FEFF08 +:1000C000CC45FEFFCC45FEFFCC45FEFFCC45FEFFF8 +:1000D000CC45FEFFCC45FEFFCC45FEFFCC45FEFFE8 +:1000E000CC45FEFFCC45FEFFCC45FEFFCC45FEFFD8 +:1000F000CC45FEFFCC45FEFFCC45FEFFCC45FEFFC8 +:100100006645FEFF7C45FEFFCC45FEFFCC45FEFF6D +:10011000CC45FEFFCC45FEFFCC45FEFFCC45FEFFA7 +:10012000CC45FEFFC245FEFFCC45FEFFCC45FEFFA1 +:100130007443FEFF2C44FEFFCC45FEFFCC45FEFF82 +:100140006244FEFFCC45FEFFBA44FEFFCC45FEFFF5 +:10015000CC45FEFFE844FEFFDA48FEFF2E49FEFFD5 +:10016000E248FEFF2E49FEFF2E49FEFF2E49FEFF0C +:100170002E49FEFFD447FEFF2E49FEFF2E49FEFF0B +:10018000EE47FEFFFA47FEFF2E49FEFF0C48FEFF3A +:100190001848FEFF1848FEFF1848FEFF1848FEFFEB +:1001A0001848FEFF1848FEFF1848FEFF1848FEFFDB +:1001B0001848FEFF2E49FEFF2E49FEFF2E49FEFF86 +:1001C0002E49FEFF2E49FEFF2E49FEFF2E49FEFF5F +:1001D0002E49FEFF2E49FEFF2E49FEFF2E49FEFF4F +:1001E0002E49FEFF2E49FEFF2E49FEFF2E49FEFF3F +:1001F0002E49FEFF2E49FEFF2E49FEFF2E49FEFF2F +:100200002E49FEFF2E49FEFF2E49FEFF2E49FEFF1E +:100210002E49FEFF2E49FEFF2E49FEFF2E49FEFF0E +:100220002E49FEFF2E49FEFF2E49FEFF2E49FEFFFE +:100230002E49FEFF2E49FEFF2E49FEFF2E49FEFFEE +:100240002E49FEFF2E49FEFF2E49FEFF2E49FEFFDE +:100250002E49FEFF2E49FEFF4C48FEFF7A48FEFF66 +:100260002E49FEFF2E49FEFF2E49FEFF2E49FEFFBE +:100270002E49FEFF2E49FEFF2E49FEFFD048FEFF0D +:100280002E49FEFF2E49FEFF3246FEFF2C47FEFFA1 +:100290002E49FEFF2E49FEFF9E47FEFF2E49FEFF20 +:1002A000C447FEFF2E49FEFF2E49FEFF2849FEFFF0 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020626C6F636B74696D207461736B732042 +:1003E0000D0A00006D69616F750A00006D69616F2B +:1003F00075320A0068756E6772790A004368656332 +:100400006B54696D65720000426C6F636B5F546979 +:100410006D655F5175657565000000004254657338 +:0C04200074310000425465737432000017 +:10042C001000000000000000017A5200017C010164 +:10043C001B0D02005000000018000000604FFEFF72 +:10044C005805000000440E507489039406990B81E2 +:10045C0001880292049305950796089709980A9AC1 +:10046C000C9B0D036C010AC144C844C944D244D34B +:10047C0044D444D544D644D744D844D944DA44DB94 +:10048C00440E00440B0000004C0000006C00000007 +:10049C006454FEFFDC05000000440E3070890395A7 +:1004AC00078101880292049305940696089709988F +:1004BC000A990B9A0C0370020AC144C844C944D26D +:1004CC0044D344D444D544D644D744D844D944DA4C +:1004DC00440E00440B00000050000000BC00000063 +:1004EC00F059FEFF0005000000440E50748802898C +:1004FC00039305990B81019204940695079608972E +:10050C0009980A9A0C9B0D0320010AC144C844C9DE +:10051C0044D244D344D444D544D644D744D844D903 +:0C052C0044DA44DB440E00440B000000E5 +:0805C000FC8F0100090000009E +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/blocktim_rv32im_O3.hex b/VexRiscv/src/test/resources/freertos/blocktim_rv32im_O3.hex new file mode 100644 index 0000000..37800a5 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/blocktim_rv32im_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/blocktim_rv32imac_O3.hex b/VexRiscv/src/test/resources/freertos/blocktim_rv32imac_O3.hex new file mode 100644 index 0000000..fb8bcd5 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/blocktim_rv32imac_O3.hex @@ -0,0 +1,1598 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000A1C7170502001305E54A9795030093854C +:1000A000257201461122170502001305653D9705CB +:1000B0000200938525450146C52817A503001305B1 +:1000C000657097B503009385A56F37B6ABAB130684 +:1000D000B6BAD92817B103001301C16EEF50905E74 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2022823A02200F322103496 +:1002400096DEEF00002B170102000321A1260241D8 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E22923A0120023A2A9 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40D025170102000321BA +:1003300061180241F65273901234B7220000938282 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A21B83A0B0 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2020623A0220086DEEF207C +:10046000402D170102000321E1040241F65273906E +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF40F005EF10700901E55A +:10050000B24041018280B24041016F2080229307B6 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30101F1F +:1005B0008280411122C406C62A8426C2EF209010F0 +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF20A0566DD5EF2080763C44FD1780 +:1005F0003CC43C44E344F0FEFD573CC4EF20D00E25 +:10060000EF20500C7C40635BF0021C4885CB9304C8 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2060526DD5EF2040729F +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20100A29C5411151 +:1006500022C426C22A8406C6AE84EF20B0063440E7 +:100660005C5C1840232C0402B387F6027D5670C0F0 +:1006700018C430C4B386D740BA9736975CC058C404 +:1006800091EC1C489DE3EF203006B2402244924496 +:100690000545410182807370043001A013050401F7 +:1006A000BD3513054402A535F9BF13050401EF203C +:1006B000E0498547E319F5FC913BF1B701E573701B +:1006C000043001A0011126CA4AC84EC606CE22CC6B +:1006D000B2892E89AA84A5C53305B502130555052F +:1006E000EF3080732A8435C1930745051CC144DC73 +:1006F00023202405EF20007D34405C5C18407D56AB +:10070000B387F602232C040270C018C430C413054A +:100710000401B386D740BA9736975CC058C4C53B2E +:1007200013054402ED33EF20207C23083405228595 +:10073000F2406244D2444249B249056182801305C5 +:100740004005EF30606D2A8401E57370043001A02C +:1007500000C071BF01E57370043001A06375B5007E +:100760007370043001A0411122C409462E848145D2 +:1007700006C6A93711C500DDB2402244410182807E +:100780007370043001A05D7186C6A2C4A6C2CAC03F +:100790004EDE52DC56DA5AD85ED632C6630A051CE3 +:1007A0006382051C89476399F600585D8547630598 +:1007B000F7007370043001A03689AE842A84EF20DC +:1007C000A05B11E5B24781C77370043001A0EF2030 +:1007D000606F185C5C5C014B894BFD59130A040186 +:1007E000930A44026365F70C63077919B247638182 +:1007F000072463150B002808EF206048EF20C06E27 +:10080000EF10C04FEF20006C7C406394370123222F +:1008100004043C446394370123240404EF20C06C97 +:100820006C002808EF20C047631E051EEF2080697A +:10083000185C5C5C630CF70AEF20006BEF208068AB +:100840003C44634FF00039A85685EF20203019C191 +:10085000EF2000503C44FD173CC43C446354F0007E +:100860005C50FDF323243405EF200068EF20806501 +:100870007C40634FF00039A85285EF20202D19C12C +:10088000EF20004D7C40FD177CC07C406354F0009D +:100890001C48FDF323223405EF200065EF10006BA8 +:1008A000054BEF202062185C5C5CE37FF7F2304080 +:1008B0006305060C631F09120844A685EF30006E1D +:1008C0001C4434405840B6971CC463EAE70A1C5CD9 +:1008D00014405850850714C41CDC5DC7130544023E +:1008E000EF20C02685476311F50AC9A8EF20C05F35 +:1008F000B2455285EF204007EF20C05C3C44634F77 +:10090000F00039A85685EF20602419C1EF2040443B +:100910003C44FD173CC43C446354F0005C50FDF380 +:1009200023243405EF20405CEF20C0597C40634F06 +:10093000F00039A85285EF20602119C1EF20404115 +:100940007C40FD177CC07C406354F0001C48FDF3E4 +:1009500023223405EF204059EF10405F31F17D3400 +:10096000054B81B73C41E38F07E27370043001A06F +:100970007370043001A0304021E61C4085C71C5C28 +:10098000585085071CDC39FBEF2000560545B64062 +:10099000264496440649F259625AD25A425BB25BE7 +:1009A000616182804840EF2040491C5C58502322FE +:1009B000040085071CDCE31307F279D5813CE9B715 +:1009C0004844A685EF30805D3C4058441440B3074E +:1009D000F0403E9758C4637CD7025840BA975CC435 +:1009E00009475C506306E902185C050718DCC9DF9B +:1009F000F5B54844A685EF30605A3C405844144051 +:100A0000B307F0403E9758C4E37CD7FCF9B75C507D +:100A1000185C79DB185C7D1718DC185C050718DC9E +:100A2000A5D76DBD22857136EF104052014585B7BF +:100A3000EF20804B0145A1BF411126C2AA841305B6 +:100A4000400522C406C6EF30203D2A8415CD232C54 +:100A50000502FD577CC10547410558DC3CC42322F3 +:100A60000400232004002324040023260400232060 +:100A7000040423089404613C13054402493C814664 +:100A800001468145228501332285B24022449244A9 +:100A9000410182800DC5411122C426C206C64AC04A +:100AA000032945002A84EF20602C8144630CA900AF +:100AB000B2402244268502499244410182807370EB +:100AC000043001A05C448544FD175CC4F5F3814605 +:100AD0000146814522854539E1BF31CDA1C90111CA +:100AE00022CC26CA4AC852C406CE4EC68947B6840E +:100AF00032892E8A2A846399F600585D8547630FF0 +:100B0000F7047370043001A0C932185C5C5CAA89D8 +:100B10006366F70281444E857532F2406244268551 +:100B20004249D244B249224A056182803C41C5DB38 +:100B30007370043001A07370043001A0304041E2B2 +:100B40001C40D5CB1C5C7D5785071CDC3C4463876F +:100B5000E7083C44854485073CC475BFBD32185C3A +:100B60005C5CAA89636DF702304079DA4844D2852B +:100B7000EF30C0423C4058441440B307F0403E9729 +:100B800058C46375D7005840BA975CC48947E39B43 +:100B9000F4FA1C5CC5DB1C5CFD171CDC65B730403F +:100BA00045D24844D285EF30603F3C405844144021 +:100BB000B307F0403E9758C4E367D7FCD9BFDDF4D4 +:100BC0000844D285EF30803D1C4434405840B697ED +:100BD0001CC4E3E9E7F61C401CC4ADB75C50854477 +:100BE0009DDB13054402EF10707615D5E30509F27D +:100BF000232099000DB74840EF2020242322040031 +:100C000091B721CD3C4181C77370043001A01C41D4 +:100C1000A1CB011122CC26CA2A844AC84EC606CED0 +:100C20002E896530185C5C5CAA898144637DF7007D +:100C30001C5C7D5785071CDC3C446388E7023C4410 +:100C4000854485073CC44E85B538F240624426850C +:100C50004249D244B249056182807370043001A0D8 +:100C60005C41C5DB7370043001A05C5099E385449E +:100C7000D9BF13054402EF10706D75D9E30909FE61 +:100C800085472320F900E5B7397106DE22DC26DA34 +:100C90004AD84ED652D456D232C66302051C638A55 +:100CA000051AB68AAE892A84EF20000D11E5B247F5 +:100CB00081C77370043001A0EF20C0201C5C6392D8 +:100CC0000714B247638E070A2808EF10507BFD54C3 +:100CD00013094402130A0401EF200021EF1000025F +:100CE000EF20401E7C4063949700232204043C4480 +:100CF0006394970023240404EF20001F6C0028084D +:100D0000EF10107A63130518EF20C01B1C5CADCFE9 +:100D1000EF20801DEF20001B3C44634FF00039A8FA +:100D20004A85EF10B06219C1EF2080023C44FD17E4 +:100D30003CC43C446354F0005C50FDF324C4EF20F9 +:100D4000A01AEF2020187C40634FF00039A852858C +:100D5000EF10D05F19C1EF10B07F7C40FD177CC051 +:100D60007C406354F0001C48FDF364C0EF20C017C2 +:100D7000EF10C01DEF2000151C5CC1E7B247A9FFB2 +:100D8000EF208016014555A0EF2000161C40DDC75E +:100D9000B2454A85EF10503DEF20C0123C44634FEE +:100DA000F00039A84A85EF10705A19C1EF10507A37 +:100DB0003C44FD173CC43C446354F0005C50FDF3DC +:100DC00024C4EF206012EF20E00F7C40634FF0005E +:100DD00039A85285EF10905719C1EF1070777C40F9 +:100DE000FD177CC07C406354F0001C48FDF364C0D8 +:100DF000EF20800FEF10801531C9EF20A00C1C5C94 +:100E0000B5DFCE8522854444EFF0CFF8639C0A0419 +:100E10001C5C1840FD171CDC01E7EF20601148C086 +:100E20001C48A1EFEF20400C0545F2506254D2540B +:100E30004259B259225A925A21618280EF20800889 +:100E40004840EF107075EF20200A99B7EFF00FDCE3 +:100E50006DB73C41E38707E47370043001A0737001 +:100E6000043001A05C5044C4D5DF13054402EF10E8 +:100E7000F04D4DD9EFF08FD975B713050401EF1080 +:100E8000F04C8547E310F5FAF5B72285EFF06FF2E5 +:100E9000EF10C00B014551BF1DC9411122C44AC00A +:100EA00006C626C244412E892A84EF10306C638323 +:100EB000A40281464A8681452285F933854763002D +:100EC000F502B2402244924402494101828073708B +:100ED000043001A05C44054585075CC4DDB75C4473 +:100EE00085075CC4F9BF15CDADC9011122CC26CA56 +:100EF0004AC84EC652C42A8406CE3289AE89EFF063 +:100F0000CFDC1C5C2A8A814485E35285EFF08FDBBD +:100F1000F240624426854249D244B249224A0561E0 +:100F200082807370043001A0304001CE4C445C409C +:100F3000B2954CC463E4F5000C404CC44E85EF30D0 +:100F4000E0051C5C7D57FD171CDC7C40638CE700D2 +:100F50007C40854485077CC04DBF3C41D9D7737028 +:100F6000043001A01C4899E3854445B713050401EA +:100F7000EF10D03D75D9E30909FE85472320F9001C +:100F8000E5B721C93C41A1CDA1CB011122CC26CA94 +:100F90004AC852C42A8406CE4EC62E8AEFF0EFD23B +:100FA0001C5C2A89814491CB8329C400D285228587 +:100FB000EFF04FDE8544232634014A85EFF08FD0D1 +:100FC000F240624426854249D244B249224A056130 +:100FD00082807370043001A07370043001A0EDDFD3 +:100FE0007370043001A001E57370043001A0411159 +:100FF00022C42A8406C6EF10F06C005CEF10D06E9D +:10100000B240228522444101828001E573700430A0 +:1010100001A0411106C622C42A84EF10B06A1C5CEC +:10102000405C1D8CEF10506C2285B240224441017F +:10103000828019C1085D82807370043001A01DCDCB +:101040009787030093870774D843630CE502D8475A +:10105000630CE504D84B6307E504D84F6302E5044D +:10106000D853630DE502D8576308E502D85B6303E4 +:10107000E502D85F630EE5006F20306C73700430BA +:1010800001A001470E07BA9723A007006F20F06A5E +:101090001D47CDBF1947FDB71547EDB71147DDB760 +:1010A0000D47CDB70947F9BF0547E9BF68458280BD +:1010B0006CC5828003450505828009C5085D13352E +:1010C000150082807370043001A019C51C5D485D55 +:1010D0001D8D1335150082807370043001A0978731 +:1010E00003009387276A984305C3984705C7984B21 +:1010F00005C7984F05C7985305C7985705C7985B0C +:1011000015C7985F15C3828001470E07BA978CC335 +:10111000C8C382800547D5BF0947C5BF0D47F5B78E +:101120001147E5B71547D5B71D47C5B71947F1BFF3 +:101130009787030093870765D8436308E502D8477C +:10114000630BE502D84B630AE502D84F6309E50259 +:10115000D8536308E502D8576307E502D85B6308F4 +:10116000E502D85F6303A702828001470E07BA97A2 +:1011700023A0070082800547D5BF0947C5BF0D479B +:10118000F5B71147E5B71547D5B71D47C5B7194797 +:10119000F1BF411122C426C24AC02A8406C6AE84C9 +:1011A0003289EF1030527840FD576314F700232244 +:1011B00004043844FD576314F70023240404EF109B +:1011C000B0521C5C99E74A86A68513054402EF10CD +:1011D000B00CEF10304F3C44635BF0025C5085CBA9 +:1011E0009304440209A83C44FD173CC43C446350AA +:1011F000F0025C5089CF2685EF1050156DD5EF10A9 +:1012000030353C44FD173CC43C44E344F0FEFD57FC +:101210003CC4EF10704DEF10F04A7C40635BF0026D +:101220001C4885CB9304040109A87C40FD177CC0B1 +:101230007C406350F0021C4889CF2685EF101011C6 +:101240006DD5EF10F0307C40FD177CC07C40E3444E +:10125000F0FEFD577CC02244B24092440249410155 +:101260006F10904805C579714ECE52CC06D63E8A95 +:1012700022D426D24AD056CA5AC85EC662C4A547EE +:10128000BA8963F8E7007370043001A0737004300A +:1012900001A0AA8AAE843289B68B428B63090822E8 +:1012A00013050006EF2050372A84630D05200A0934 +:1012B000232865034A869305500A5A85EF20105C5F +:1012C00003C704001C587119230AE40203C7040071 +:1012D0003E991379C9FF79C783C71400A30AF402A2 +:1012E00083C71400E1C383C72400230BF40283C720 +:1012F0002400CDCB83C73400A30BF40283C7340092 +:10130000D5C383C74400230CF40283C74400D9CB60 +:1013100083C75400A30CF40283C75400C1C783C71A +:101320006400230DF40283C76400ADCF83C774004B +:10133000A30DF40283C77400B5C783C78400230ECE +:10134000F40283C78400B9CF83C79400A30EF402CC +:1013500083C79400A1CB83C7A400230FF40283C7E3 +:10136000A400A9C383C7B400A30FF40283C7B400C9 +:1013700095CB83C7C4002300F40483C7C4009DC376 +:1013800083C7D400A300F40483C7D40081CF83C7EC +:10139000E4002301F40483C7E40089C783C7F40091 +:1013A000A301F404130B44005A85A301040423266B +:1013B000340323283405232A0404EFF08F96130501 +:1013C0008401EFF00F96A947B3873741232C04041B +:1013D00000C81CCC40D023220404232E04045E86C3 +:1013E000D6854A85EFF00F8F08C063040A002320DA +:1013F0008A007370043017F901001309E909832783 +:10140000090097F401009384E40A91C798409C4036 +:101410007C4385077CC317F701001307A7081C430B +:10142000850797F6010023AFF6069C40D5CB8327AE +:10143000090017FA0100130AAA1889EB9C40DC572F +:1014400063E6F90097F7010023A6870697F70100E6 +:1014500093878703984397F60100938626045C548C +:101460009442050717F601002320E60238C463F60C +:10147000F60017F701002323F7025147B387E7026D +:10148000DA853305FA00EFF02F8A8327090081C738 +:101490009C40FC43BDE383270900054499C79C4059 +:1014A000DC5763F43701EFE07FF62285B250225417 +:1014B00092540259F249624AD24A424BB24B224CF0 +:1014C000456182805A85EF2050277D54F9BF13155E +:1014D0002600EF2070142A8BE31405DC7D54F1B74D +:1014E00097F7010023A887FC184385476301F7029B +:1014F00017FA0100130ACA0C91BF984094407C432C +:10150000FD177CC3FC42C1FB7360043069B7814A9C +:1015100017FA0100130ACA0A514CA94B33858A03F2 +:10152000850A5295EFE0BFFEE39A7AFF97FB010030 +:10153000938B8B155E85EFE09FFD97FA0100938AF0 +:10154000EA155685EFE0BFFC17F5010013054516B7 +:10155000EFE0FFFB17F501001305C516EFE03FFBB9 +:1015600017F5010013054517EFE07FFA97F7010023 +:1015700023A077F597F7010023AA57F3C1BD4111C6 +:1015800022C406C626C24AC02A847370043097F467 +:101590000100938464F09C40F9E311E497F70100A3 +:1015A000938747F18043130944004A85EFE05FFCCD +:1015B0001C5489C713058401EFE09FFBCA8517F50A +:1015C000010013052510EFE03FF697F70100938720 +:1015D000A7ED984397F70100938707EB9C43050716 +:1015E00097F6010023A2E6EC9840850797F60100E4 +:1015F00023ACF6E801CB97F701009387A7EB98435C +:10160000784349EF9C40B1C797F70100938787EA79 +:101610009843630F870873700430984039EB17F7CD +:1016200001001307E7E814439442A5E27D5797F6BB +:10163000010023A9E6E4984009CF9843784311CBF1 +:10164000984394437C43FD177CC3FC4299E3736049 +:101650000430B2402244924402494101828097F70B +:101660000100938727E598439C437C4385077CC30F +:101670002DB794439843F8420507F8C217F70100C5 +:10168000130707E314439442D5D2184358475847E9 +:10169000584397F6010023A7E6DE71BF98439443B1 +:1016A0007C43FD177CC3FC42B1FF7360043099BFDB +:1016B00097F701009387C7DC9C4381C773700430A0 +:1016C00001A02244B2409244024941016FE01FD47C +:1016D00041C517F701001307E7DD14438147638B0A +:1016E000A606411106C622C426C27370043097F6BE +:1016F0000100938666DA9C4291C710431C437C42EA +:1017000085077CC29C42AA84404981C71C43FC4394 +:10171000B9E797F701009387A7D99C43638B8704A8 +:1017200097F70100938787D89C436384870497F7D2 +:101730000100938767FA6309F40297F70100938722 +:1017400067F86301F4028547B240224492443E8523 +:10175000410182803E8582807370043001A0EF4099 +:10176000C06B45BF9147CDB79C5493B7170089070D +:10177000E1BF8947D1BF7370043097F701009387A9 +:10178000A7D1984309EF15C59C43485581CB97F7DE +:101790000100938727D29843784315E3828017F797 +:1017A0000100130727D114431843F8420507F8C274 +:1017B00061FD17F701001307E7CF0843F1B798431E +:1017C00094437C43FD177CC3FC42E9FB7360043007 +:1017D0008280411122C42A8406C6EFE01FCF11E4A3 +:1017E00097F70100938707CD80434054EFE09FCDEA +:1017F000B2402285224441018280A54763F5B700AB +:101800007370043001A0011122CC06CE26CA4AC84A +:101810004EC652C42A847370043017F901001309AC +:10182000A9C783270900D5EF79C43C486389F50827 +:1018300017F70100130707C863FCB7001443638D53 +:10184000860C18434457B3B4950093C4140029A0E0 +:101850000443818C93B4140058546384E70A1C4CED +:101860002CC863C60700A947B385B7400CCC9317B3 +:101870002700BA97584897F90100938969D48A07D5 +:10188000CE97631BF702130A44005285EFE05FCE48 +:1018900017F70100130787C05C5418436376F700FD +:1018A00017F70100232CF7BE5147B387E702D28513 +:1018B0003385F900EFE05FC799C0EFE03FB58327BC +:1018C000090081CB97F701009387C7BE9C43FC4377 +:1018D0009DEBF2406244D2444249B249224A05613A +:1018E000828097F701009387E7BC98439C437C4331 +:1018F00085077CC31DF897F701009387A7BB80433A +:101900002DB74CD4A9BF6244F240D2444249B249F7 +:10191000224A05616F40605081443DBF25C10111DD +:1019200026CA97F401009384E4B89C4006CE22CCEA +:101930004AC84EC6638DA7027370043017F90100C0 +:10194000130989B58327090091C798409C407C43BF +:1019500085077CC3584997F701009387E7D763024F +:10196000F7028327090081C79C40FC43A5EBF240A6 +:101970006244D2444249B2490561828073700430A6 +:1019800001A01C5517F70100130787D2E38BE7FC72 +:10199000E9FB930945002A844E85EFE07FBD17F7E8 +:1019A00001001307A7AF5C5418436376F70017F7DD +:1019B00001002325F7AE5147B387E70217F5010071 +:1019C000130505C0CE853E95EFE01FB69C405854E8 +:1019D000DC57E368F7F8EFE07FA361B76244F240B9 +:1019E000D2444249B24905616F40204315CD0111EF +:1019F00022CC26CA4AC82A8406CE4EC6EFE0FFACE7 +:101A0000584897F70100938727CD2A8981446300BE +:101A1000F7024A85EFE01FABF24062442685424957 +:101A2000D244B249056182807370043001A01C5415 +:101A300017F501001305C5C7E38DA7FCF9FB97F760 +:101A400001009387E7A39C43A9EB97F701009387D5 +:101A500067A69C43445493094400DC574E85B3B4B5 +:101A6000F400EFE0FFB017F70100130727A35C5461 +:101A7000184393C414006376F70017F70100232F6F +:101A8000F7A05147B387E70217F50100130545B3E7 +:101A9000CE853E95EFE05FA9ADBF93058401EFE0F1 +:101AA000BFA885BF0111056506CE22CC26CA4AC84B +:101AB0004EC652C456C25AC0EF200036630E051AF5 +:101AC000AA8413050006EF2020352A84630A051C2A +:101AD00005669305500A04D92685EF20205A04583C +:101AE0008567F117BE94B7474C4593879744130A0F +:101AF00044005CD85285230C0402A301040423266D +:101B0000040223280404232A0404EFE09FA1130500 +:101B10008401EFE01FA1A947232C0404F19800C819 +:101B20001CCC40D023220404232E04040146971524 +:101B300000009385E5B12685EFE0DF9908C073705A +:101B4000043017F90100130929958327090097F438 +:101B500001009384249691C798409C407C4385075C +:101B60007CC317F701001307E7931C43850797F61B +:101B7000010023A9F6929C40C9C38327090097F965 +:101B800001009389E9A381EB9C40DC5789E797F733 +:101B9000010023A1879297F701009387E78E98436E +:101BA00097F601009386868F5C549442050717F6DA +:101BB0000100232BE68C38C463F6F60017F701000A +:101BC000232EF78C139527003E950A05D2854E9556 +:101BD000EFE09F958327090081C79C40FC43DDE728 +:101BE0008327090091C39C40EF1070498547630526 +:101BF000F50C59E17370043001A097F7010023AB95 +:101C0000878A1843854797F901009389699BE314F4 +:101C1000F7F8CE8A17FB0100130B0BA75685D10AE4 +:101C2000EFE0FF8EE31C5BFF17F501001305C5A570 +:101C3000EFE0FF8D97FA0100938A4AA65685EFE000 +:101C40001F8D17F501001305A5A6EFE05F8C17F5B2 +:101C50000100130525A7EFE09F8B17F50100130581 +:101C6000A5A7EFE0DF8A97F7010023A3678597F721 +:101C7000010023AD578305B7F2406244D244424984 +:101C8000B249224A924A024B0561828098409440B0 +:101C90007C43FD177CC3FC42A1F77360043089B715 +:101CA0006244F2404249B249224A924A024B268596 +:101CB000D24405616F20602873700430FD5717E728 +:101CC00001002321F77C624497E7010023A6A77C4B +:101CD000F240D2444249B249224A924A024B97E723 +:101CE000010023AF077A05616FE0AFDB737004304A +:101CF00097E7010023A2077A6FE0EFE817E70100FA +:101D0000130707781C4385071CC382807370043057 +:101D100097E7010093874778984305E317E70100A9 +:101D20001307077808439C4381CB97E7010093870B +:101D300067789843784301EF828017E70100130723 +:101D4000677714431843F8420507F8C2C1BF9843A8 +:101D500094437C43FD177CC3FC42F9FF736004305D +:101D60008280411106C622C4EFE02FF697E70100FA +:101D7000938707738043EFE0EFF4B240228522445B +:101D80004101828097E701009387C7718843828071 +:101D9000797106D622D426D24AD04ECE52CC56CA1B +:101DA0005AC85EC697E701009387876D9C43B1EFE1 +:101DB00017E701001307C76E1C43850797E601006C +:101DC00023A0F66E832A0700638F0A0697E70100B7 +:101DD0009387476B9C43814463F1FA0897E90100BC +:101DE0009389097E17EA0100130ACA6C83270A0047 +:101DF0008546D85793172700BA978A07BE9983A7B5 +:101E0000090063FFF600854421A897E70100938746 +:101E100067689C438144850717E70100232CF76618 +:101E200097E701009387C7669C4391C38544B250EE +:101E30002254268502599254F249624AD24A424BB0 +:101E4000B24B4561828017E40100130464661C40B4 +:101E50009C43DDC37370043001A017E40100130438 +:101E6000246597E901009389A97517EA0100130A0F +:101E70006A6417EB0100130B6B621C409C43B5C3F3 +:101E80001C40DC4703A9C70083274900930B490086 +:101E90005E8563EEFA04EFE0AFED83278902130558 +:101EA000890199C3EFE0CFEC8327C90203270B0018 +:101EB000DE85139527003E950A054E956376F7005B +:101EC00017E70100232CF75CEFE00FE683270A00F9 +:101ED0000327C902DC57E362F7FA1C4085449C43A0 +:101EE000C5F3FD5717E70100232EF75801B717E791 +:101EF00001002329F758DDBD17E701001307075B31 +:101F00001C40184397E6010023A4E65A17E7010096 +:101F1000232EF75897E70100938747579C4385077F +:101F200017E701002324F7561C409C4399E7FD570F +:101F300017E701002328F75451BD1C40DC47DC475C +:101F4000DC4317E70100232FF75249B597E701005B +:101F500093870753984301E77370043001A0011180 +:101F600006CE22CC26CA4AC84EC652C456C25AC051 +:101F70007370043097E4010093840452984061EB3D +:101F800098437D1797E6010023ACE64E9C43D9EBBE +:101F900097E70100938707519C43C9C797EA01005F +:101FA000938A0A6217EA0100130A4A4F17E90100EF +:101FB00013094950854919A83385EA00EFE0CFD6C7 +:101FC000832709005854DC576379F70483A70A0F65 +:101FD000C1CF83A7CA0FC04713058401EFE04FD9D3 +:101FE000130B44005A85EFE0AFD85C5483260A00F7 +:101FF000DA85139527003E950A052A875695E3FD55 +:10200000F6FA17E70100232BF748EFE0EFD183271B +:1020100009005854DC57E36BF7FA97E7010023A94E +:1020200037476DB701449C4081CB97E70100938708 +:1020300067489C43FC4395E72285F2406244D244C2 +:102040004249B249224A924A024B0561828017E70F +:1020500001001307274614431843F8420507F8C246 +:1020600005B7EF30905BC9BF17E401001304844249 +:102070001C4095C31C40054999CF193B09C597E7FA +:10208000010023A727411C40FD1717E70100232368 +:10209000F7401C40FDF397E701009387673F9843A3 +:1020A0008547E311F7F8EFE06FB60544ADBF11CDFA +:1020B00081E57370043001A017E701001307473C66 +:1020C0001C4399C77370043001A07370043001A0E1 +:1020D000411122C406C614431841850617E60100C3 +:1020E0002320D63A97E601009386863B9442330438 +:1020F000B70000C163F7E602637FE40063FD86007A +:1021000097E701009387073B88431105EFE04FC62F +:102110002285EF30504A1D3D01C9B24022444101A1 +:102120008280E36FE4FCD9BF2244B24041016FE0FA +:10213000EFAD11C917E70100130787341C4391C79E +:102140007370043001A06FE06FAC411106C622C469 +:102150001843AA87050797E6010023A3E63297E60E +:1021600001009386263517E70100130767331843EC +:1021700088423304F7001105EFE08FBF2285EF306E +:102180009043E93311E52244B24041016FE00FA8CA +:10219000B240224441018280411122C406C697E721 +:1021A00001009387E72D9C430144850717E7010051 +:1021B0002328F72C97E701009387C72E9C4363E001 +:1021C000F51217EE0100130E6E4B97E20100938299 +:1021D000623E01440147854F1303500A21A0311E7E +:1021E000638DC20B83278EFFBA86F5DB8327CEFF74 +:1021F000DC43232EFEFE638AC70F03AFC700DC4318 +:102200002A97814E232EFEFE6385C70783A8C70049 +:1022100093874803232017015CC383A788042326E0 +:10222000F7011CC783A7C8021CCB83A70805232C72 +:1022300007005CCB03A8080383470800639067048A +:10224000C287850783C60700B3850741E38B66FE17 +:102250008981C205C181231EB700850E13070702BD +:1022600063061F038327CEFFDC43232EFEFEE39F7E +:10227000C7F983274E00232EFEFE49BF8145231E4A +:10228000B700850E13070702E31E1FFD7694131790 +:102290005400311EBA86E397C2F597E70100938791 +:1022A000272103AE070083270E00639D070E97E7E3 +:1022B00001009387A71F03AE070083270E006392D8 +:1022C000071817EE0100130EAE2F83274E109DE363 +:1022D00083278E116395072019C223200600BD3184 +:1022E0002285B24022444101828083274E00232E62 +:1022F000FEFE21B783278E1017EF0100130F0F3D4D +:10230000DC4317E701002321F73C6380E73383A216 +:10231000C700DC43AA96814E17E701002326F73A4F +:10232000914F1303500A6388E70783A8C700938778 +:10233000480323A01601DCC283A7880423A6F60164 +:102340009CC683A7C8029CCA83A7080523AC0600C5 +:10235000DCCA03A80803834708006391672AC28781 +:10236000850703C70700B3850741E30B67FE93D7D3 +:102370002500C207C183239EF600850E93860602C0 +:102380006386122983278E10DC4317E70100232D73 +:10239000F732E39CE7F983270E1117E701002325A5 +:1023A000F73261B783274E00130F8E00D4432322E8 +:1023B000DE006388E629B307E500D84283A2C600A1 +:1023C000814E2322EE00894F1303500A6306EF0665 +:1023D0008328C7001387480323A01701D8C303A786 +:1023E000880423A6F70198C703A7C80298CB03A7C0 +:1023F000080523AC0700D8CB03A80803034708004F +:102400006318671C4287050783460700B305074129 +:10241000E38B66FE13D7250042074183239EE70026 +:10242000850E93870702638D121B83264E00D842C8 +:102430002322EE00E31EEFF80327CE002322EE0056 +:1024400041BF83274E00130F8E00DC432322FE0082 +:102450006384E71F83A2C700DC43AA96814E232230 +:10246000FE00894F1303500A6306FF0683A8C700C6 +:102470009387480323A01601DCC283A7880423A600 +:10248000F6019CC683A7C8029CCA83A7080523AC93 +:102490000600DCCA03A80803834708006396671098 +:1024A000C287850703C70700B3850741E30B67FEB3 +:1024B00093D72500C207C183239EF600850E93861D +:1024C0000602638B120F83274E00DC432322FE009B +:1024D000E31EFFF88327CE002322FE0041BF83279F +:1024E000CE1197EE0100938EAE1FDC4317E701007B +:1024F0002326F71E6384D71383AFC700DC4333075B +:10250000D500014397E6010023AAF61C0D4F89422E +:102510009308500A6387D707C8479307450308C342 +:102520005CC33C452326E7011CC75C551CCB1C55EE +:1025300099C3232657003C49232C07005CCB032872 +:1025400005038347080063951705C287850783C67F +:102550000700B3850741E38B16FF93D72500C20719 +:10256000C183231EF700050313070702638AAF0226 +:102570008327CE11DC4397E6010023A1F616E39DE5 +:10258000D7F983274E1297E6010023A9F61469B7FD +:102590008147231EF700050313070702E39AAFFCE8 +:1025A0001A94E31C06D225BB8147239EF600850EB4 +:1025B00093860602E39912F117EE0100130E4E0006 +:1025C00083274E10769493165400E38307D01DB3EF +:1025D0000147239EE700850E93870702E39712E5E4 +:1025E00097E70100938787EC03AE07007694931674 +:1025F000540083270E00E38607CCA1B58147239EB4 +:10260000F600850E93860602E39E12D783278E116D +:10261000769493165400E38107CCD1B583274E12EC +:1026200017E70100232CF70AC1BD83270E1117E716 +:102630000100232BF708E1B98327CE002322FE00F7 +:1026400011BD8326CE002322DE00B5B3797126D2D8 +:102650004AD04ECE52CC56CA5AC85EC606D622D4EE +:1026600097E901009389C9E117E90100130949F5C8 +:1026700017EA0100130A4AE297EA0100938A8AE303 +:1026800097EB0100938B0BE297E401009384C4E184 +:10269000054B21A883A7090003244910850717E7E4 +:1026A0000100232FF7DC5D3011E89C40E5F783271C +:1026B0000900E37CFBFEEFD07FD5C5BF737004300B +:1026C00083270A00B9E383270911C0471305440093 +:1026D000EFD01FEA83A70B00FD1717E701002323A4 +:1026E000F7DC9C40FD1717E70100232FF7DA83275B +:1026F0000A0089C783A70A00FC4385E30858EF1046 +:10270000D0032285EF1070034DB703A70A0083A7FB +:102710000A007C4385077CC37DB703A70A0083A614 +:102720000A007C43FD177CC3FC42E9FB7360043064 +:10273000F1B797E701009387A7D49C43DDE34111EC +:1027400006C697E7010023A507D417E70100130782 +:1027500067D61C43B7A6A5A59386565A9C5B8C43A7 +:10276000639BD500D0436318B60094476395C600B9 +:10277000DC476388D70008430C4393854503EF206B +:10278000E07297E60100938666D1904217E7010058 +:10279000130707E311A89C42B9CB9C42FD1717E62B +:1027A0000100232DF6CE904293172600B2978A0798 +:1027B000BA979C43EDD3904293172600B2978A07AD +:1027C000B305F700D441A107BA97D442D4C16383BB +:1027D000F602DC46B24017E70100232DF7CC410199 +:1027E0008280854717E701002324F7CA828073702F +:1027F000043001A0D1473306F602D442329754C3C5 +:10280000C9BF01114AC806CE22CC26CA4EC62A89A3 +:102810007370043097E40100938404C89C4017E46B +:102820000100130424C9D9E7631409000329040033 +:10283000930949004E85EFD0BFD38327890289C70A +:1028400013058901EFD0DFD2CE8517E5010013050E +:10285000A5E8EFD07FCD9C4081C71C40FC43ADE391 +:102860001C40638B27079C409DCF737004309C40B5 +:10287000C1EB97E701009387A7C39843184325EB63 +:10288000FD5717E70100232FF7BE9C4089CF1C405E +:10289000FC4391CB184014407C43FD177CC3FC42A1 +:1028A00099E373600430F2406244D2444249B24931 +:1028B0000561828018401C407C4385077CC3ADB70E +:1028C000184014407C43FD177CC3FC42D1FB73606D +:1028D00004301C40E39927F99C40A1C397E701000D +:1028E000938707BA9C43ADCB7370043001A09C431F +:1028F000DC47DC47DC4317E701002325F7B871B755 +:1029000018401C407C4385077CC397E701009387F0 +:1029100027BA984318432DD7D9BF97E7010093876B +:1029200067B817E701001307A7C99C43032787115E +:10293000631DF700F240624497E7010023AC07B63D +:10294000D2444249B249056182806244F240D24495 +:102950004249B2490561F1BB6244F240D244424966 +:10296000B24905616FD09FAA3DC9411126C297E4C3 +:102970000100938424B44AC02E898C4006C622C428 +:10298000E105EFD0FFBB88401105EFD07FBEFD57BA +:10299000630AF90497E70100938787B08043984062 +:1029A0009C434A9440C3636CF40497E70100938707 +:1029B00027B088438C409105EFD09FB897E701007E +:1029C000938747AC9C436376F40097E7010023AB01 +:1029D00087AAB24022449244024941018280737026 +:1029E000043001A08C402244B2409244024917E5D1 +:1029F0000100130565CE910541016FD0FFB297E745 +:102A000001009387A7AA884322448C40B240924495 +:102A10000249910541016FD0BFB211C997E701008A +:102A2000938707A69C4399E77370043001A07370E5 +:102A3000043001A0411126C297E40100938484A7C9 +:102A40009840AE878C40B7060080D58F06C622C45A +:102A50001CCFE1053284EFD03FAD88401105EFD0A7 +:102A60003FB1FD57630EF40097E70100938747A33A +:102A70008843B24092442295224441016F30A033F2 +:102A80008C402244B240924417E501001305C5C4AE +:102A9000910541016FD05FA925CD411126C297E470 +:102AA0000100938424A14AC02E898C4006C622C40A +:102AB000E1053284EFD05FA788401105EFD05FAB0E +:102AC0008547630AF40497E701009387679D804375 +:102AD00098409C434A9440C3636CF40497E7010018 +:102AE0009387079D88438C409105EFD07FA597E79A +:102AF0000100938727999C436376F40097E70100D0 +:102B000023A28798B2402244924402494101828024 +:102B10007370043001A08C402244B24092440249B8 +:102B200017E50100130545BB910541016FD0DF9FFB +:102B300097E7010093878797884322448C40B240EF +:102B400092440249910541016FD09F9F411106C6F1 +:102B500022C426C25C45C04735CC93048401268537 +:102B6000EFD01FA197E70100938787919C43B5E7BA +:102B7000930444002685EFD0BF9F97E70100938719 +:102B8000E79158549C4363F6E70097E7010023A7B9 +:102B9000E79093172700BA978A0717E501001305F6 +:102BA00025A2A6853E95EFD03F9897E70100938731 +:102BB00067909C4358540145DC5763F8E70085470C +:102BC00017E701002326F78C0545B24022449244C2 +:102BD000410182807370043001A0A68517E50100D1 +:102BE000130505ADEFD05F94C9B797E70100938750 +:102BF00027899C4381E77370043001A0411106C608 +:102C000022C426C2B70700804045CD8F1CC12DC409 +:102C1000EFD01F96930444002685EFD07F9597E769 +:102C200001009387A78758549C4363F6E70097E712 +:102C3000010023A5E78693172700BA978A0717E5AF +:102C400001001305E5973E95A685EFD0FF8D97E728 +:102C50000100938727869C4358540145DC5763F84D +:102C6000E700854717E701002324F7820545B240B6 +:102C700022449244410182807370043001A011CD3E +:102C800097E7010093878780984397E70100938730 +:102C900027819C4318C15CC182807370043001A0FD +:102CA00055C1D1CD411106C622C42A8773700430A4 +:102CB00097D801009388487E83A7080091CB97D7C7 +:102CC00001009387277F94439C43FC428507FCC205 +:102CD00017D301001303C37C9C4103260300FD5658 +:102CE0000144638FD70217DE0100130E2E7A0325ED +:102CF0000E000328070054436305A80005446371D0 +:102D0000D6023308D6400544637CF80003250E0044 +:102D1000918F03260300B6979CC108C350C301449A +:102D200083A7080081CB97D701009387A7789C439E +:102D3000FC4381EF2285B2402244410182807370BE +:102D4000043001A07370043001A0EF30000DDDB736 +:102D5000854717D70100232DF772828019C1684576 +:102D600082800145828011C16CC5828097D70100A5 +:102D7000938747748843828097D701009387C771F0 +:102D80009C43054589CB97D701009387676F88439C +:102D9000133515000605828031CD011126CA97D45E +:102DA0000100938424719C4006CE22CC4AC84EC6B2 +:102DB0005855DC576379F7021C4D63C707009C40E8 +:102DC000D457A947958F1CCD93172700BA97584918 +:102DD00017D901001309C97E8A07CA97630DF70046 +:102DE0009C40DC575CD5F2406244D2444249B2492F +:102DF000056182808280930945002A844E85EFD048 +:102E00002FF79C4017D70100130747691843DC5779 +:102E10005CD46376F70017D701002321F768139578 +:102E200027003E9562440A05F240D244CE854A9579 +:102E3000B249424905616FD02FEF19CD411106C645 +:102E400022C426C297D701009387C76680436307D1 +:102E5000A4007370043001A0014582807C4881E7A2 +:102E60007370043001A054543848FD177CC8638344 +:102E7000E60099C70145B240224492444101828054 +:102E8000930444002685EFD0AFEE3C4817D70100ED +:102E90001307C760144329471D8F5CD418CC63F611 +:102EA000F60017D70100232BF75E5147B387E702DF +:102EB00017D501001305C570A6853E95EFD0CFE666 +:102EC000054555BF7370043097D701009387C75CE1 +:102ED0009C4391CB97D701009387C75D98439C4350 +:102EE0007C4385077CC3828097D701009387C75AAC +:102EF0009C438DC397D701009387C75B9843784362 +:102F000011CB984394437C43FD177CC3FC4299E367 +:102F100073600430828097D701009387A75990434C +:102F200094439843A947D456084E958F1CCF82806E +:102F300097D7010093870758984309C79443F84AE5 +:102F40000507F8CA8843828079714AD006D622D410 +:102F500026D24ECE2A897370043097D40100938410 +:102F6000A4539C4017D401001304C454BDE31C4077 +:102F7000BC4F89E71C400547F8CFD1E19C4081C791 +:102F80001C40FC43F1EB737004309C408DEF1C40FF +:102F9000A84F11C5630409021C4023AC07041C4060 +:102FA00023AE07049C4081C71C40FC438DEBB2500C +:102FB000225492540259F2494561828018403C4F94 +:102FC000FD173CCFE9BF18401C407C4385077CC3FC +:102FD0007DBF18401C407C4385077CC349BF184017 +:102FE00014407C43FD177CC3FC42F1F37360043052 +:102FF000B250225492540259F249456182800840ED +:103000002EC61105EFD0CFD6B245FD576380F50629 +:1030100097D701009387C74883A9070018409C43AE +:10302000AE992322370163ECF90497D70100938707 +:10303000274888430C409105EFD08FD097D70100E7 +:10304000938747449C4363F6F90097D7010023AB6D +:103050003743EFD0AFBB1DB7184014407C43FD177A +:103060007CC3FC428DF37360043031BF0C4017D534 +:103070000100130565669105EFD00FCBD9BF97D737 +:1030800001009387A74288430C409105EFD04FCBB6 +:10309000C9B779714AD04ECE06D622D426D252CCA8 +:1030A0002E89B2897370043097D401009384C43E92 +:1030B0009C4017D401001304E43FF9EF18408947FE +:1030C000784F630CF7001C401345F5FFB84F798D1E +:1030D000A8CF1C400547F8CFADE69C4081C71C40F7 +:1030E000FC43F5E3737004309C40B1E7638609004C +:1030F0001C40BC4F23A0F900184085470145784F7C +:10310000630BF7001C401349F9FF0545B84F3379AD +:10311000E90023AC27051C4023AE07049C4081C76F +:103120001C40FC43C1E3B250225492540259F2496C +:10313000624A4561828018401C407C4385077CC3FD +:1031400075B7084036C61105EFD08FC2B246FD579D +:103150006385F60897D701009387873403AA070091 +:1031600018409C43369A232247016363FA0897D795 +:1031700001009387E73388430C409105EFD04FBCA3 +:1031800097D70100938707309C436370FA0697D75F +:10319000010023A9472F91A818401C407C438507B4 +:1031A0007CC329BF184014407C43FD177CC3FC42FC +:1031B000BDFB73600430B250225492540259F2495C +:1031C000624A45618280184014407C43FD177CC3ED +:1031D000FC4289FB7360043031B70C4017D5010005 +:1031E0001305854F9105EFD02FB4EFD02FA2F5B581 +:1031F00097D701009387872B88430C409105EFD028 +:103200002FB4E5B751C1411106C622C426C24AC037 +:103210007370043097D40100938404289C40B9EB68 +:1032200099C23C4D9CC2B2878946704D74CD2E87A1 +:103230002A846386D70663FCF6048D456387B7004E +:1032400091456395B7006300D60C38CC8547630F72 +:10325000F60405449C4081CB97D7010093878725CE +:103260009C43FC43A9E32285B24022449244024994 +:103270004101828097D701009387C72398439C43DD +:103280007C4385077CC369BF7370043001A0854609 +:10329000E39ED7FA3C4D33E7B70038CD45BF3C4DF0 +:1032A00085073CCD65B7EF20503775BF1309440043 +:1032B0004A85EFD0EFAB17D701001307271E5C54E8 +:1032C00018436376F70017D701002329F71C5147ED +:1032D000B387E70217D501001305852ECA853E95F1 +:1032E000EFD08FA41C5481C77370043001A097D70E +:1032F00001009387271C9C435854DC57E3FBE7F4F9 +:10330000EFD0CF90B9B70144B1B739CD011122CC7C +:1033100026CA4AC84EC652C456C206CEBA89368A92 +:10332000B2842E892A84EFD04F9AAA8A63050A00B4 +:103330003C4C2320FA00784C89477CCC6389F4020A +:1033400063F4970C8D466387D40091466396D4004E +:10335000630AF70A232C24058547631EF7061C54CD +:1033600099CB7370043001A07370043001A03C4C01 +:1033700085073CCCD5B797D70100938767109C434E +:10338000ADEB930444002685EFD08F9E17D7010044 +:103390001307C7105C5418436376F70017D7010072 +:1033A000232EF70E5147B387E70217D50100130507 +:1033B0002521A6853E95EFD02F9797D701009387BB +:1033C000670F9C435854DC5763F7E7006385090097 +:1033D000854723A0F90005445685EFD0AF8E22859E +:1033E000F2406244D2444249B249224A924A0561BB +:1033F00082809305840117D501001305652BEFD05A +:10340000AF9265BF0144C9BF8547E397F4F43C4CD4 +:1034100033E92701232C240581B74DC1011122CCAA +:1034200026CA2A844AC806CE4EC6AE84EFD0EF899B +:103430008947744C7CCC3C4C05472A8985073CCC99 +:103440006395E6061C5481C77370043001A097D7BA +:1034500001009387E7029C43ADE7930944004E8542 +:10346000EFD00F9117D70100130747035C5418439F +:103470006376F70017D701002322F7025147B3877D +:10348000E70217D501001305A513CE853E95EFD0B1 +:10349000AF8997D701009387E7019C435854DC57C5 +:1034A00063F5E70099C085479CC06244F240D2446E +:1034B000B2494A85424905616FD0CF8073700430AC +:1034C00001A09305840117D501001305651EEFD0F7 +:1034D000AF85C1B7AA8729C57370043017D701001B +:1034E000130787FB14439DE2F04F8946014563159E +:1034F000D60023AE070405451C4381CB97D70100B6 +:10350000938747FB9843784315E3828097D6010061 +:10351000938646FA904294427442850674C2E9B793 +:1035200097D70100938707F99C437DB7984394434D +:103530007C43FD177CC3FC42E9FB7360043082804E +:103540005D71A6C2CAC052DC56DA5AD85ED686C6AB +:10355000A2C44EDE62D466D26AD017D90100130924 +:1035600069F617DB0100130B2BF597D40100938448 +:10357000E4F497DA0100938AAAF4054AA54B83275D +:103580000900984363010712DC4783A90700EFE0B5 +:10359000EFF6EFE0AFF783270B002A8C6362F50AA2 +:1035A00097D7010023AAA7F001466370351B884016 +:1035B000B3858941EFD0FFBDEFE05F99630105124C +:1035C0008840814601462C00EFD00FEC4DD9A24730 +:1035D000E3C807FEC24983A7490189C7138549008B +:1035E000EFC01FF9EFE08FF283270B002A8C636D89 +:1035F000F508A24717D70100232087EDE3E2FBFC83 +:10360000B317FA0013F70721631A071613F7770C9D +:103610006318071E93F70702C5D74E85EF00F01118 +:1036200045B7DC47C04783AC0700930944004E858B +:10363000EFC01FF45C50228582975C4C6384470B7B +:1036400083270900984371FF03A70A0097D601005A +:1036500023A486E797D6010023A4F6E697D70100B6 +:1036600023A2E7E6EFE09F8EA1BFDC47C04703AD92 +:103670000700930C44006685EFC09FEF5C502285E5 +:1036800082975C4C6386470983270900984371FF42 +:1036900003A70A0097D6010023A4F6E297D70100FA +:1036A00023A2E7E2B9B7EFE06FE5EFE02FE683276B +:1036B0000B002A8CE366F5F883A70A00884081494D +:1036C0009043B385894117D70100232787DF13363D +:1036D0001600EFD01FACEFE07F87E31305EEEFC0DD +:1036E000FFD2F9BD1C4CCE85E69763E9FC049C40F3 +:1036F00089CF02CA66CC22CEEFF00FE8884081461F +:1037000001464C08EFD02F8805FD7370043001A0EE +:103710001C4CE685EA97636CFD049C4089CF02CA85 +:103720006ACC22CEEFF04FE58840814601464C0836 +:10373000EFD06F8531F97370043001A003250900C3 +:103740005CC000C8EFC0DFDFE5BDEFE03F8083274E +:103750000900DC47C047930C44006685EFC05FE179 +:103760005C4C638F47035C502285829791BD032593 +:1037700009005CC000C8EFC0BFDC39B7B24723AC5A +:10378000F900A5CFE29723A2F90023A83901938578 +:103790004900636FFC0403A50A00EFC07FDA0DB592 +:1037A0001C4C00C83387F90058C0636DEC02330C21 +:1037B0003C416363FC029C4089CF02CA4ECC22CEBE +:1037C000EFF08FDB8840814601464C08EFC0BFFB1D +:1037D00059F97370043001A003A50A00E685EFC013 +:1037E0003FD651B703250900E685EFC07FD5A5BFB9 +:1037F00003250900EFC0DFD4E1B37370043001A0EA +:10380000B24783A6890123A839013387D70023A2B1 +:10381000E9006364EC04B307FC4063EBD70483A7BF +:1038200049024E85829783A7C901E39B47D983A6A6 +:103830008901B2479840B69711CF02CA3ECC4ECE0E +:10384000EFF08FD38840814601464C08EFC0BFF3AC +:10385000E31805D67370043001A06374FC00E370B4 +:10386000F7FC0325090093854900EFC07FCD89BB94 +:1038700003A50A0093854900EFC09FCC91B3411185 +:1038800022C406C626C24AC017D40100130404C3CA +:10389000EFF04FE31C4095CBEFF00FE51C409DC3CC +:1038A000814801488147094781461306004097D562 +:1038B00001009385A5B0170500001305A5C8EFD03A +:1038C0007F9A39E97370043001A017D901001309F8 +:1038D000E9E14A85EFC0BFC397D40100938444E275 +:1038E0002685EFC0DFC20146B145114597D70100DB +:1038F00023AA27BD97D7010023A497BCEFC01FDCE4 +:1039000017D70100232CA7BA11CD97D501009385B5 +:1039100065AAEFD0CFFC49B7B24022449244024995 +:10392000410182807370043001A0A5C9797156CA23 +:10393000AA8A1305C00222D426D24AD04ECE52CC37 +:1039400006D65AC85EC63A89B689328AAE84E9215B +:103950002A8405C9EFF00FD797D70100938707B6E0 +:103960009C439DCFEFF04FD8130544002320540112 +:1039700004CC232E44012320340323222403EFC04C +:103980005FBA2285B250225492540259F249624AD7 +:10399000D24A424BB24B456182807370043001A021 +:1039A00097DB0100938B8BD45E85EFC05FB617DB8E +:1039B0000100130BEBD45A85EFC07FB50146B1452A +:1039C000114597D7010023AF77AF97D7010023A9FF +:1039D00067AFEFC0BFCE17D701002321A7AE01C943 +:1039E00097D501009385059DEFD06FEFA5BF73704C +:1039F000043001A039C5011122CC06CE26CA17D445 +:103A000001001304A4AB832804002A8801456385C0 +:103A10000802BA842EC2368732C442C6954663C5B0 +:103A2000B602EFF06FB58947630BF5020840814697 +:103A300001464C00EFC03FD5F2406244D2440561DC +:103A400082807370043001A04C0081463A8646851E +:103A5000EFD0AF88F2406244D244056182800840D2 +:103A6000814626864C00EFC01FD2F9B719C1084124 +:103A700082807370043001A001E57370043001A0EE +:103A8000411106C622C42A84EFF0CFC3484833341C +:103A9000A000EFF06FC52285B24022444101828030 +:103AA00001E57370043001A0411122C42A8406C6C6 +:103AB000EFF04FC10050EFF02FC3B24022852244F7 +:103AC0004101828001E57370043001A0411122C4DC +:103AD00026C22E84AA8406C6EFF0CFBE80D0224430 +:103AE000B240924441016FF02FC04111714522C490 +:103AF00006C61D262A8411C5232005001105EFC026 +:103B00001FA12285B24022444101828001CDB70726 +:103B100000FFED8F81C77370043001A099E57370C9 +:103B2000043001A07370043001A0011122CC4AC8F6 +:103B30004EC652C456C206CE26CABA893689B28A41 +:103B40002E842A8AEFF04FA311E563850900737074 +:103B5000043001A0EFE08F9A83240A00B377940029 +:103B60006316090295C763870A009347F4FFE58F40 +:103B70002320FA00EFE08FBDF240624426854249DF +:103B8000D244B249224A924A05618280E30DF4FC94 +:103B9000E38209FEB3355001E20563050900B7076A +:103BA0000004DD8D4E86C18D13054A00EFE0FFE66F +:103BB000EFE0CFB919E1EFC07F85EFF0CFB59317F4 +:103BC0006500AA8963D80700B7040001FD14B3F4A7 +:103BD00099005DB7EFF00FAF83290A00B377340186 +:103BE000631E090089CB63880A009347F4FFB3F78B +:103BF00037012320FA00EFF02FAFF9B7E31DF4FEF1 +:103C0000DDB701C9B70700FFED8F99C773700430A6 +:103C100001A07370043001A0411122C42A8406C699 +:103C200026C24AC02E89EFF0EFA904409345F9FF60 +:103C3000B3F795001CC0EFF02FABB24022442685AD +:103C40000249924441018280411122C42A8406C65D +:103C5000EFC0BF870040EFC0FF86B24022852244FC +:103C60004101828009C9370700FFB3F7E50099C712 +:103C70007370043001A07370043001A06F20A01B8A +:103C8000B70700FFF18F81C77370043001A001E610 +:103C90007370043001A0011122CC4AC84EC652C430 +:103CA00006CE26CA3689AE892A8A3284EFF0CF8CB6 +:103CB00021E1630509007370043001A0EFE00F8477 +:103CC00083240A00CE855285B3E49900613FB3771F +:103CD00094006307F40683240A00EFE02FA7F24064 +:103CE000624426854249D244B249224A0561828013 +:103CF000EFE0CF8083240A00CE855285B3E43401FF +:103D00009537B3F78400638D8702E30609FCB70596 +:103D100000054A86C18D13054A00EFE01FD0EFE091 +:103D2000EFA219E1EFC08FEEEFF0EF9E9317650061 +:103D3000AA8463DF0700B7070001FD17FD8C45B7B4 +:103D400083270A001344F4FFE18F2320FA0071B7A0 +:103D5000EFF04F9783240A00B377940063978700AE +:103D60009347F4FFE58F2320FA00EFF0EF97E1B7D8 +:103D7000411122C406C62A8426C2EFD03FF85C4017 +:103D800085C308489304C4006316950015A008482D +:103D900063009502B7050002EFE03FE55C40E5FBFC +:103DA0002285612A2244B240924441016FE00F9A79 +:103DB0007370043001A009C9370700FFB3F7E500AD +:103DC00099C77370043001A07370043001A06F2094 +:103DD000800601C9B70700FFED8F99C773700430E3 +:103DE00001A07370043001A0411122C426C206C68E +:103DF0002A84AE84EFF00F8D184093C7F4FFF98F3B +:103E00001CC02244B240924441016FF0EF8D19C1B1 +:103E1000084D828001458280411122C406C62A8451 +:103E2000EFD0DFED97C701009387076A9C43A1EBB2 +:103E3000E56717D701001307278EF11797C6010012 +:103E400023AAE66817C701002324F76817D70100E3 +:103E50002326F78C97C701009387476717D7010080 +:103E6000232CF78A854717C701002327076617C742 +:103E70000100232D076417C701002327F76419E801 +:103E8000EFE0CF8CEF10000201442285B2402244C3 +:103E9000410182801305840093773500C1EFE56707 +:103EA0001307F5FFE917E3EDE7FC97C601009386DA +:103EB00066629C4229A0984311C7BE86BA87D84340 +:103EC000E36BA7FE17C7010013074760E38AE7FA11 +:103ED000984341461384870098C2D843B306A7404D +:103EE0006378D6023388A7002322D800C8C383256D +:103EF000480097C601009386E65D11A0BA869842F5 +:103F00005043E36DB6FE2320E80023A00601D8430A +:103F100097C701009387475F9C43998F17C701009C +:103F20002324F75EEFE08F8231DC2285B240224409 +:103F300041018280719911059DB729C9411122C49F +:103F400006C62A84EFD09FDB930584FF0326C4FFB7 +:103F500017C701001307075811A03E871C43D4431D +:103F6000E3EDC6FE232CF4FE0CC397C70100938734 +:103F7000A75998438327C4FF2244B240BA9717C772 +:103F800001002323F75841016FD05FFC828097C75F +:103F90000100938767578843828082803367B5002A +:103FA0000D8BAA871DE79308D6FFAA982A87AE86AD +:103FB0006371150303A8060011079106232E07FF5E +:103FC000E36A17FF9347F5FFC697F19B9107BE95EC +:103FD000AA972A9663F3C70A938645001388470079 +:103FE000B3B6D70033B8050133E7F50093C6160022 +:103FF000134818000D8BB3E6060113371700758FB1 +:10400000330EF6409386170029CF1337AE0031EBFD +:1040100013532E002E88BE8601478328080005070B +:10402000110823A016019106E36967FE1377CEFFFE +:10403000BA95BA976302EE0483C60500138717008A +:104040002380D700637AC70283C615001387270031 +:10405000A380D7006372C70203C725002381E7004E +:104060008280B307F640AE97850503C7F5FF850646 +:10407000238FE6FEE39AF5FE82808280B367C50057 +:104080008D8BB308C500E9C36371150FB307A0405A +:104090008D8B13873700954693F5F50F13081500A0 +:1040A0003383A8407D166373D70015476363E60C1E +:1040B000D5CF2300B5000547638DE700A300B50009 +:1040C0000D47130825006396E70013083500230108 +:1040D000B500139685004D8E13970501518F33035C +:1040E000F34093968501D98E13562300AA97014772 +:1040F00094C305079107E36DC7FE1377C3FFB307AA +:10410000E8006307E3062380B70013871700637C8A +:104110001703A380B7001387270063761703238153 +:10412000B7001387370063701703A381B7001387A5 +:104130004700637A17012382B7001387570063741F +:104140001701A382B700828093F5F50F13978500BE +:10415000D98D139705014D8FE37715FFAA87910736 +:1041600023AEE7FEE3ED17FF828082802A8895B7B1 +:104170008280AA8749BF834705002A8799C705051A +:1041800083470500EDFF198D82800145828005057A +:104190008347F5FF850503C7F5FF91C7E389E7FE70 +:1041A0003385E74082808147E5BFAA87850503C73D +:1041B000F5FF8507A38FE7FE75FB82808346050028 +:1041C000930700026397F600050583460500E38D1B +:1041D000F6FE938756FD93F7D70F85C7AA878145CB +:1041E00085CE01458507138606FD1317250083C676 +:1041F00007003A9506053295F5F699C13305A040BA +:104200008280938536FD8346150093B5150093078C +:104210001500E1FA0145D5B7014582809C41238014 +:10422000A7009C4185079CC1828097C601009386A8 +:10423000E62A9C42175703001307C750138617003E +:10424000BA972380A70097C5010023A9C528A947CD +:104250006308F500930700046305F60001458280BA +:1042600075DE370610F005078347F7FF2320F6F0C9 +:104270009C42FD1797C5010023A2F526EDF70145E5 +:1042800082804D712322911423202115232A51135A +:10429000232481132326111423248114232E311364 +:1042A000232C4113232861132326711323229113F6 +:1042B0002320A113232EB111AA842E8932C6130CF8 +:1042C0005002A54A8347090063808703B9CB804029 +:1042D00011A0A1CB2300F40080400509050480C093 +:1042E00083470900E39787FF0346190093081900E5 +:1042F000C686130D0002FD597D5A0148130550056D +:104300009307D6FD93F7F70F138916006363F52A19 +:1043100017C70100130707CF8A07BA979C43BA97BC +:1043200082878320C1140324811483244114032928 +:1043300001148329C113032A8113832A4113032BF8 +:104340000113832BC112032C8112832C4112032DE4 +:104350000112832DC11171618280A14C02C4854775 +:1043600063D50715B2478040938B770093F68BFF98 +:1043700003AB060083A94600938786003EC6668687 +:1043800081465A85CE85EF10C06D2AC88147638566 +:104390003723930D4101854B668681465A85CE852C +:1043A000EF104036814666862A8BAE89EF10606B2F +:1043B000A24723A0AD0093861B00910D63843701B3 +:1043C000B68BD9BFE37E9BFF63DD4601A2872380C6 +:1043D000A70180407D1A930714009CC03E84E3C867 +:1043E00046FF93962B001C08BE9631A8938777054D +:1043F0002300F4008040FD1B93871B00050480C050 +:10440000F116E351F0EC9C42E3E2FAFE93870703D6 +:10441000C5B79C409306000332472380D7009C40D9 +:1044200093064700C14C1386170090C013068007FF +:10443000A380C700804002C4BA87050480C003ABD4 +:104440000700814936C625BFB24783AC0700938B6E +:10445000470063840C1C635F40199307D002631903 +:10446000FD1683C70C0085C363DC09189440850CD6 +:104470007D1A2380F6008040050480C083C70C00AD +:10448000E5F7635D40018040930700022300F400DC +:1044900080407D1A050480C0E31A0AFE5EC61DB581 +:1044A00002C48547A94CE3CF07EBB247804093860F +:1044B000470071B703C61600CA8699B59C4093069B +:1044C00050022380D7008040050480C0E5BBC14C6A +:1044D00002C471B5B24703C61600CA8683A9070095 +:1044E00091073EC6E35E0AE04E8AFD5911BD03C640 +:1044F0001600130DD002CA8621B59347FAFFFD8737 +:1045000003C61600337AFA00CA86DDBB03C616005E +:10451000130D0003CA86EDB383C51600930906FD8B +:10452000CA86938705FD2E86E3EEFAFA9397290053 +:10453000BE9985068609AE9983C50600938909FD53 +:10454000938705FD2E86E3F3FAFE69BF32479C4050 +:10455000144311073AC62380D7008040050480C069 +:1045600095B3854763D3070BB24793867700E19AEB +:10457000938786003EC683A946009C4263DC090AF5 +:1045800094401306D002B307F0402380C600804059 +:10459000B336F000B3093041050480C03E8BB389C7 +:1045A000D940A94C02C4E1BB03C616000508CA865F +:1045B00081BB9C40468921B7E37D9BDD8547814BCC +:1045C0008546E3C547E131BD97CC0100938C8CDF74 +:1045D000CE856685EF00F058330AAA40635C40013F +:1045E00080402300A40180407D1A050480C0E31AA6 +:1045F0000AFE014A83C70C00E38207EAE3C809E622 +:10460000FD197D57E394E9E6ADBDB24793864700B7 +:104610009C4336C693D9F74195B76356400193073B +:10462000D002E313FDFA97CC0100938CACD9930729 +:1046300080021DBD3E8BA94C02C4804089B34D71E0 +:10464000232E3113B70900409387F9FF23248114E7 +:1046500023229114232C4113232A511323261114AE +:10466000232021152328611323267113232481136A +:10467000232291132320A113232EB1112A8A2EC4A1 +:1046800017C40100130484E5975A0300938A8A0B28 +:1046900097C40100938484AC3EC69305500229451B +:1046A00013080004B70610F083470A006386B704B6 +:1046B000C1C71840050A13061700569797C801008E +:1046C00023AEC8E02300F7006382A706E31E06FDC1 +:1046D000175703001307070705078347F7FF23A0B2 +:1046E000F6F01C40FD1717C601002329F6DEEDF792 +:1046F00083470A00E39EB7FA83461A0013051A009F +:104700002A87930B00027D5B7D5C01489305500571 +:10471000A5489387D6FD93F7F70F130A170063E7B1 +:10472000F5348A07A6979C43A697828717570300FC +:104730001307470155F28DBF8320C1140324811450 +:1047400083244114032901148329C113032A8113EB +:10475000832A4113032B0113832BC112032C8112D3 +:10476000832C4112032D0112832DC11171618280AE +:10477000A14C014D854763DB0719A2479D07E19BCB +:1047800083AD070003AB4700138787003AC46686F2 +:1047900081466E85DA85EF10C02C2AC863066D3518 +:1047A000130941018549668681466E85DA85EF00E9 +:1047B000707566868146AA8D2E8BEF10802A232085 +:1047C000A90085091109E3106DFFE3FE9DFD1306A5 +:1047D0000004B70610F063D189031C40138717004B +:1047E000D69797C5010023ABE5CE23807701630CF4 +:1047F000C7047D1CE3C389FFB2476800A548B386A0 +:10480000F9008A061C08BE962948130300043706DF +:1048100010F0E384A6E89C429305000363F4F800DB +:10482000930570051840AE9793051700569717CE5D +:1048300001002325BECA2300F7006380072B638C89 +:104840006526F116F9B7175703001307A7EF0507F9 +:104850008347F7FF23A0F6F01C40FD1797C5010022 +:1048600023AEF5C6EDF77D1C71B71C40130600049E +:104870001387170097C5010023A2E5C6B386FA0087 +:10488000930500032380B6006300C73E890797C6DF +:10489000010023A5F6C45697930680072300D7008E +:1048A00017570300130707EAB70610F0639EC70007 +:1048B00005078347F7FF23A0F6F01C40FD1717C636 +:1048C0000100232DF6C0EDF7A247C14C014D910721 +:1048D0002247014B832D07003EC455BDA24783AC40 +:1048E000070013894700638F0C3A635480219307B4 +:1048F000D002639EFB2E03C70C00BA85631007200D +:1049000025AC8547A94C014DE3C907E7A2479107AC +:10491000C1B7A24783461700528703AB0700910730 +:104920003EC4E3580CDE5A8C7D5BE5B3834617002A +:10493000930BD0025287F1BB9347FCFFFD87834660 +:104940001700337CFC005287E9B383461700930BB2 +:10495000000352877DBB03461700138B06FD528769 +:10496000930706FDB286E3EEF8FA93172B00DA9769 +:1049700005078607B29703460700138B07FD9307C9 +:1049800006FDB286E3F3F8FE69BFA2451C40294646 +:10499000984193861700D69717C501002320D5B4F8 +:1049A0002380E700138945006301C72A93070004A9 +:1049B0006387F6204AC4D5B1854763DF0729A2473C +:1049C00013877700619B930787003EC4032B470042 +:1049D0001C43635B0B30184013060004930617005A +:1049E00097C5010023ACD5AE56979305D00223009E +:1049F000B7006388C62CB307F0403337F000330BA1 +:104A00006041BE8D330BEB40A94C014D49B3834649 +:104A1000170005085287F5B9834617005287D5B9A4 +:104A20001C40930600041387170017C601002327B4 +:104A3000E6AAD697130650022380C700E31FD7C407 +:104A400017570300130707D0B70610F00507834771 +:104A5000F7FF23A0F6F01C40FD1717C60100232F17 +:104A6000F6A6EDF71DB9C14C014D29B31C409306C4 +:104A700000042A8A1387170017C601002320E6A620 +:104A8000D697130650022380C700E318D7C01757E4 +:104A90000300130727CBB70610F005078347F7FF7E +:104AA00023A0F6F01C40FD1717C601002328F6A22C +:104AB000EDF72A8ADDB6175703001307A7C80507C5 +:104AC0008347F7FF2320F6F01C40FD1797C5010030 +:104AD00023A6F5A0EDF7F1162DBB1757030013071A +:104AE00067C6F1FDF11635B3E3FC9DCB8549C5B131 +:104AF000014C03C70C00BA85E30E07EAFD582945AF +:104B000013080004B70610F063570B0A1C40138605 +:104B10001700D69717C301002322C39C2380E70008 +:104B20006384A50663040609850C03C70C007D1C7D +:104B3000BA8579FBE35080E91C4093050002130617 +:104B40000004B70610F021A07D1CE3050CE61387D6 +:104B50001700D6972380B70017C501002320E598DA +:104B6000BA87E313C7FE175703001307A7BD05074E +:104B70008347F7FF23A0F6F01C40FD1717C501007F +:104B8000232EF594EDF7C9B717570300130787BB1A +:104B900041DE05078347F7FF23A0F6F01C40FD1711 +:104BA00017C60100232CF692EDF7BDBF175703007F +:104BB000130747B9F9BF7D1BE31A1BF5A5BF1757AC +:104BC0000300130727B8B70610F005078347F7FF60 +:104BD00023A0F6F01C40FD1717C601002320F69015 +:104BE000EDF74AC45DBC97BC0100938CAC7DDA85BF +:104BF0006685EF000077330CAC40E35C80EF1C402F +:104C000013060004B70610F021A07D1CE3020CEE91 +:104C100013871700D6972380770197C5010023AF2C +:104C2000E58ABA87E313C7FE17570300130787B156 +:104C300005078347F7FF23A0F6F01C40FD1797C533 +:104C4000010023ADF588EDF7C9B717570300130727 +:104C500067AFB5FA4AC491B4A247138747009C4393 +:104C60003AC413DBF741B5B317570300130787ADF9 +:104C7000B70610F005078347F7FF23A0F6F01C40A6 +:104C8000FD1717C60100232BF684EDF7854717C7DC +:104C900001002325F7849307800717570300230398 +:104CA000F7AA1DB1635680019307D002E39DFBF282 +:104CB00097BC0100938C0C7113078002930580024E +:104CC00035BD975603009386E6A7370610F0850694 +:104CD00003C7F6FF2320E6F018407D1797B50100C3 +:104CE00023AEE57E6DF701BBBE8DA94C014D45B4E9 +:104CF000B70710F023A0A7F201A0397113034102F6 +:104D00002ED29A8506CE32D436D63AD83EDA42DC56 +:104D100046DE1AC62D32F2400145216182805D7166 +:104D20001303810322D42AC632DC2A841A8668003F +:104D300006D6BEC236DEBAC0C2C4C6C61ACEEFF0B0 +:104D40004FD4B247238007003245B250018D225420 +:104D500061618280B70710F083A647F403A607F4C9 +:104D600003A747F4E31AD7FE8566938606FAB29640 +:104D700033B6C600B305E60023A4D7F423A6B7F4E0 +:104D80008280B70710F003A747F403A607F483A6B1 +:104D900047F4E31AD7FE8566938606FAB29633B6D1 +:104DA000C60023A4D7F4B305E60023A6B7F49307FF +:104DB000000873A047308280411122C406C697B70D +:104DC00001009387277217B401001304647198439C +:104DD0001C401306F07C930647069387470697B559 +:104DE000010023A1D57097B5010023ABF56E634692 +:104DF000D600B2402244410182801307478997B709 +:104E0000010023A1E76EE5298547631FF5001840DF +:104E100085679387F7760145E3DDE7FCD13D2244C2 +:104E2000B24041016FC09FEC17B501001305055A50 +:104E3000E9350945753D2244B24041016FC01FEB81 +:104E400017B501001305455A4DBD17B501001305EF +:104E5000255A65B5411122C426C206C6856417B419 +:104E6000010013046459938784380100FD17F5FF8E +:104E700022856135CDBF21A9411106C649210547CB +:104E800081476316E500B2403E854101828017B537 +:104E900001001305A553EFF05FE6B24089473E8558 +:104EA000410182807370043001A0828073700430ED +:104EB00001A0797126D24AD04ECE52CC56CA5AC8D9 +:104EC00006D622D417BA0100130ACA6317BB010021 +:104ED000130B8B629304500505499309E00A930A6A +:104EE000E00B0145EFD0FF91EFC05FE22A8403257C +:104EF0000A0081461306F00A6C0002C697B701004B +:104F000023A8975EEFB03F8809C597B7010023A398 +:104F1000275FEFC0BFDF018D63F4A90063F6AA002D +:104F200097B7010023A8275D014597B7010023A18A +:104F3000975CEFD01F8DEFC07FDD2A8403250A0028 +:104F400081461306F00A6C0097B7010023A2975A16 +:104F5000EFB09FD309C597B7010023AD2759EFC024 +:104F6000FFDA018D63F4A90063F6AA0097B7010088 +:104F700023A2275997B7010023AC975683270B002C +:104F8000850717B701002329F756A1BF397126DA23 +:104F90004AD84ED652D456D25AD05ECE62CC66CAC9 +:104FA00006DE22DC6AC817BA0100130AAA5517B92F +:104FB00001001309E95397BB0100938B6B5497BC15 +:104FC0000100938CAC53294C054B914A85499304BD +:104FD000500502C401463314CC00EFC03FD32A8DE4 +:104FE00003250A00814622866C00EFB0FFC909C57F +:104FF00097B7010023A03751EFC05FD13305A5411A +:105000009307F4006376850017B701002324374F18 +:1050100063FDA724224697B7010023AD374D05064F +:1050200032C4E3DACAFA02C403250A008146014603 +:105030002C00EFB04FF56306652597B7010023AB51 +:10504000374BA24785073EC4E3D0FAFE02C40146AF +:105050003314CC00EFC09FCB2A8D03250A00814674 +:1050600022862C00EFB02FF209C597B7010023A3C9 +:105070003749EFC0BFC93305A5419307F4006376F4 +:10508000850017B701002327374763FAA71C22467C +:1050900097B7010023A03747050632C4E3DACAFAFE +:1050A00003A50B0097B7010023A40744EFC01F8797 +:1050B00083270900638997005145EFD08F878327A5 +:1050C0000900E39B97FE5145EFD0AF8697B70100EB +:1050D00023A0074202C403250A00814601466C0052 +:1050E000EFB09FBA814601462C006306650197B771 +:1050F000010023A1374103250A00EFB0CFE8A54501 +:105100006306650197B7010023A6373F832709008F +:1051100003A50B006396970097B7010023AC373DBA +:10512000EFC0AFED83270900994503A50B006396F7 +:10513000970097B7010023AF373BEFC00FECA247B2 +:1051400085073EC4E3D9FAF88327090051456389EE +:105150009700EFC01FFE832709005145E39B97FE90 +:10516000EFC03FFD97B7010023A4073802C4032511 +:105170000A00814601466C00EFB01FB1630D650F58 +:1051800097B7010023A83737A24785073EC4E3D06D +:10519000FAFE03A50B00EFC06FF8832709006389AF +:1051A00097005145EFC0FFF883270900E39B97FE66 +:1051B0005145EFC01FF897B7010023AB073202C477 +:1051C00003250A00814601462C00EFB0CFDB814663 +:1051D00001466C006306650197B7010023AC3731C7 +:1051E00003250A00EFB05FAAA5456306650197B7DE +:1051F000010023A137318327090003A50B00639623 +:10520000970097B7010023A7372FEFC00FDF832741 +:105210000900994503A50B006396970097B7010015 +:1052200023AA372DEFC06FDDA24785073EC4E3D91F +:10523000FAF883270900514563899700EFC07FEF93 +:10524000832709005145E39B97FEEFC09FEE83A79C +:105250000C00850717B701002322F72A9DBB2246C1 +:10526000050632C4E3D6CADE25BD2246050632C491 +:10527000E3D3CAD64DBBA24785073EC4E3D9FAEEB5 +:1052800009BFA24785073EC4E3D0FADAC1B3411192 +:1052900001469145154506C6EFB04FC297B50100CE +:1052A0009385C51697B7010023AEA724EFB03FE35F +:1052B0008148014881471D4781461306004097B544 +:1052C00001009385E51517050000130565CCEFB0C7 +:1052D0007FF9B2408148014897B701009387472280 +:1052E000194781461306004097B501009385C51301 +:1052F00017050000130525BC41016FB0BFF617B7B5 +:1053000001001307A71F97B601009386E61E17B684 +:1053100001001306661D1C4310428C4217B50100A4 +:105320001305C51C0328050001456386C500B38726 +:1053300007413335F00097B701009387A71B9C43C3 +:1053400094421843FD17B337F000B307F04017B687 +:105350000100232BD6187D8D97B6010023A8E618EF +:105360008280AA95AA876385B70003C7070001E773 +:105370003385A74082808507FDB7814863DA050041 +:105380003305A040B337A000B305B0409D8DFD5854 +:1053900063D906003306C040B337C000B306D0401F +:1053A0009D8E32883683AA872E87639F061C97B608 +:1053B0000100938626F063F1C50C416E6377C60B3E +:1053C000130EF00F6373CE002143335E6600F29636 +:1053D00003CE06001A9E130300023303C341630C7D +:1053E0000300B3956500335EC5013318660033676B +:1053F000BE00B3176500135E0801B355C70313154C +:105400000801418193D607013376C703B305B5027E +:105410004206D18E63F8B600C29663E5060163F3D7 +:10542000B600C2968D8E33F7C603C207C183B3D6CA +:10543000C603B306D50213150701C98F63F8D70059 +:10544000C29763E5070163F3D700C297958F33D501 +:1054500067008145638A0800B307A0403337F00036 +:10546000B305B0403E85998D8280370E00014143DF +:10547000E36DC6F5614391BF01E605483358680204 +:1054800041676373E8081307F00F63730701214353 +:1054900033576800BA9603C606001A961303000233 +:1054A0003303C34063190306B385054193560801CE +:1054B00013150801418113D6070133F7D502B3D57F +:1054C000D5024207518FB305B5026378B700429702 +:1054D000636507016373B7004297B305B74033F7BD +:1054E000D502C207C183B3D5D502B305B5021315E2 +:1054F0000701C98F63F8B700C29763E5070163F33B +:10550000B700C2978D8FA1B7370700014143E36110 +:10551000E8F86143B5BF3318680033DEC500935E19 +:1055200008013356C500B31765003355DE03B39544 +:1055300065004D8E93150801C1819356060133779E +:10554000DE033385A5024207558F6378A700429793 +:10555000636507016373A7004297B306A74033F75B +:10556000D60342064182B3D6D6034207B386D5029C +:10557000B365C70063F8D500C29563E5050163F321 +:10558000D500C295958D1DB7E3E6D5EC416863F56E +:105590000605930EF00F33B8DE000E0833DE060169 +:1055A00017B30100130303D17293834E0300130E4C +:1055B0000002C29E330EDE4163170E0263E4B600A2 +:1055C0006369C500B307C540958D3335F500338752 +:1055D000A5403E85BA85BDBD370300014148E3EFD4 +:1055E00066FA614865BF3357D601B396C601D98EB6 +:1055F000B357D50133D7D501B395C501CD8F93D519 +:1056000006013373B702139F0601135F0F0113D80E +:1056100007013316C6013315C5013357B7024203DC +:1056200033680301B30FEF023A83637CF8013698C5 +:105630001303F7FF6367D8006375F8011303E7FFEF +:1056400036983308F841B37FB8023358B802C20F16 +:10565000B3050F03139F0701135F0F0133EFEF0132 +:105660004287637CBF00369F1307F8FF6367DF0044 +:105670006375BF001307E8FF369F4203C16F3363B2 +:10568000E3009387FFFF13580601330FBF40B37544 +:10569000F30013530301F18F3387F502B307F302CD +:1056A000B385050333030303BE95135807012E98F2 +:1056B0006373F8007E93C167FD1793550801337833 +:1056C000F80042087D8F9A9542976366BF00631B7E +:1056D000BF006379E5003306C7403337C700958DB7 +:1056E000998D32873307E5403335E500B305BF4078 +:1056F000898DB397D5013357C70133E5E700B3D59B +:10570000C50189BBAE87328836872A836396062017 +:1057100097B80100938808BA63FEC50A41676374AD +:10572000E60A1307F00F3337C7000E07B356E6003B +:10573000B69883C60800369793060002998E99CAD8 +:10574000B397D7003357E5003318D600B365F70099 +:105750003313D50013550801B3D7A502131608015A +:1057600041829356030133F7A502B305F6024207BF +:10577000D98E3E8763FCB600C2961387F7FF63E7B6 +:10578000060163F5B6001387E7FFC2968D8EB3F767 +:10579000A602420313530301B3D6A602C20733E3A2 +:1057A0006700B305D6023685637BB3004293138549 +:1057B000F6FF636603016374B3001385E6FF4207D7 +:1057C000498F81454DA8B70600014147E360D6F6F1 +:1057D0006147A9BF01E6854633D8C602C166637E2C +:1057E000D8089306F00F63F306012147B356E8008B +:1057F000B69883C60800BA9613070002158F49E7CA +:10580000B38707418545135608019318080193D8BB +:1058100008019356030133F7C702B3D7C702420703 +:10582000D98E3385F8023E8763FCA600C2961387A3 +:10583000F7FF63E7060163F5A6001387E7FFC2964B +:10584000898EB3F7C602420313530301B3D6C602CF +:10585000C20733E36700B388D8023685637B130140 +:1058600042931385F6FF6366030163741301138586 +:10587000E6FF4207498F3A858280B706000141471B +:10588000E366D8F661479DB73318E800B3D5D70073 +:105890003313E500B356D50013550801B397E7005D +:1058A00033F7A502B3E8F60093170801C18313D6B6 +:1058B0000801B3D5A5024207518FB386B7022E86E1 +:1058C000637CD70042971386F5FF63670701637512 +:1058D000D7001386E5FF4297B306D74033F7A602F9 +:1058E000C20893D80801B3D6A6024207B385D702EF +:1058F000B3671701368763FCB700C2971387F6FFBB +:1059000063E7070163F5B7001387E6FFC2978D8F42 +:1059100093150601D98DC5BD63E2D514416763FEB9 +:10592000E6021308F00F3337D8000E0733D8E6002D +:1059300097B5010093850598C29503C805009305A6 +:1059400000023A98B385054185E10547E3E5F6F2A3 +:105950003335C5001347150039BFB705000141476E +:10596000E3E6B6FC6147D9B733570601B396B600F4 +:10597000D98E93DE060133D70701B378D703B397E7 +:10598000B700335805013363F80093970601C183CC +:10599000135803013316B6003357D703C20833E850 +:1059A0000801338FE7023A8E637CE8013698130EC4 +:1059B000F7FF6367D8006375E801130EE7FF3698B9 +:1059C0003308E841B378D8033358D803C208B38EFC +:1059D000070393170301C183B3E7F800428763FC11 +:1059E000D701B6971307F8FF63E7D70063F5D70130 +:1059F0001307E8FFB697420EB387D741C16E3367EE +:105A0000EE001388FEFF33730701935807013378C4 +:105A100006014182330E03033388080393560E01B7 +:105A20003303C30242939A96B388C80263F3060114 +:105A3000F69813D60601B29863E01703E39317D9DB +:105A4000C167FD17FD8EC206337EFE003315B5001B +:105A5000F2968145E371D5E27D17A5B381450147F3 +:105A600019BDB2883687AA872E886398061C97B618 +:105A700001009386268463F8C50A4163637E660845 +:105A80001303F00F6373C30021473353E6009A9664 +:105A900003CE0600130300023A9E3303C341630C96 +:105AA0000300B3956500335EC501B3186600336823 +:105AB000BE00B317650013D608013377C8021395EB +:105AC0000801418193D607013358C8024207D98E95 +:105AD0003308050363F80601C69663E5160163F310 +:105AE0000601C696B386064133F7C602C207C183D4 +:105AF000B3D6C602B306D50213150701C98F63F8E2 +:105B0000D700C69763E5170163F3D700C697958F53 +:105B100033D5670081458280370300014147E36642 +:105B200066F661479DB701E60546B3581603416620 +:105B300063F3C8081306F00F63731601214733D6C9 +:105B4000E800B29603CE0600130300023A9E330328 +:105B5000C34163190306B385154113D70801139593 +:105B60000801418113D60701B3F6E502B3D5E5027A +:105B7000C206D18EB305B50263F8B600C69663E5DA +:105B8000160163F3B600C696B385B640B3F6E502D8 +:105B9000C207C183B3D5E502B305B502139506016B +:105BA000C98F63F8B700C69763E5170163F3B700C1 +:105BB000C6978D8FB1BF370600014147E3E1C8F8B2 +:105BC0006147B5BFB398680033D7C501B317650007 +:105BD000335EC50113D50801B376A702B3956500FE +:105BE000336EBE0093950801C18113560E013357E1 +:105BF000A702C206D18E3387E50263F8E600C69697 +:105C000063E5160163F3E600C6963386E640B37695 +:105C1000A602420E135E0E013356A602C20633865A +:105C2000C502B3E5C60163F8C500C69563E5150175 +:105C300063F3C500C695918D0DB7E3EED5EC4167D2 +:105C400063F5E604930EF00F33B7DE000E0733D38F +:105C5000E60097A801009388E8659A9883CE08002B +:105C6000130E0002BA9E330EDE4163170E0263E488 +:105C7000B6006369C500B307C540958D3335F5009F +:105C80003388A5403E85C28579B5B70800014147F4 +:105C9000E3EF16FB614765BF3357D601B396C601E4 +:105CA000D98EB357D50133D7D501B395C501CD8F63 +:105CB00093D506013373B702139F0601135F0F01DB +:105CC00093D807013316C6013315C5013357B70200 +:105CD0004203B36813013308EF023A8363FC0801FF +:105CE000B6981303F7FF63E7D80063F508011303C1 +:105CF000E7FFB698B3880841B3FFB802B3D8B8023B +:105D0000C20FB3051F03139F0701135F0F0133EF8A +:105D1000EF014687637CBF00369F1387F8FF6367F8 +:105D2000DF006375BF001387E8FF369F4203C16839 +:105D30003363E3009387F8FF13580601330FBF4026 +:105D4000B375F30013530301F18F3387F502B307E3 +:105D5000F302B385050333030303BE95135807010C +:105D60002E986373F8004693C167FD179355080199 +:105D70003378F80042087D8F9A9542976366BF009A +:105D8000631BBF006379E5003306C7403337C700A4 +:105D9000958D998D32873307E5403335E500B3059E +:105DA000BF40898DB397D5013357C70133E5E7006D +:105DB000B3D5C5018DB397A701009387A76F94430F +:105DC00017A701001307C76D1843C8C2637BE5001E +:105DD00017A701001307876D08438C4391056FA037 +:105DE0002FF6411122C406C617A701001307476CFE +:105DF0002A8408438C439105EFA08FF497A70100F4 +:105E0000938747689C436376F40097A7010023AB10 +:105E10008766B24022444101828097A701009387A0 +:105E20006769984394437C43FD177CC3FC4299E324 +:105E3000736004308280011122CC26CA2A84AE8489 +:105E40004AC84EC652C456C206CEEFB03FEB1C4005 +:105E500003290401B7090001B3E59700930AC400C0 +:105E60000CC08144FD19370A00021840638C2A03D4 +:105E7000032609004A85B3654701B37636019317B7 +:105E80005600758F0329490063C4070001E7F1BF7D +:105E9000E39DE6FC9317760063D30700D58CEFC033 +:105EA000DFD4E1B793C4F4FFF98C04C0EFC00F8ACC +:105EB0000840F2406244D2444249B249224A924ADE +:105EC00005618280411106C622C4EFF04FBC17F76E +:105ED000FFFF1307A7EE814605469305400617A569 +:105EE00001001305E551EFD05FA419C92A84EFB072 +:105EF000FFE12A860147814685452285EFD09FAF85 +:0E5F0000EFB05FBAB2402244014541018280F9 +:02000004800278 +:10000000B444FEFFB245FEFFBC44FEFFB245FEFF16 +:10001000B245FEFFB245FEFFB245FEFFD444FEFFEF +:10002000B245FEFFB245FEFFEE44FEFFFA44FEFF7E +:10003000B245FEFF0C45FEFF1845FEFF1845FEFFCA +:100040001845FEFF1845FEFF1845FEFF1845FEFF48 +:100050001845FEFF1845FEFF1845FEFFB245FEFF9E +:10006000B245FEFFB245FEFFB245FEFFB245FEFFC0 +:10007000B245FEFFB245FEFFB245FEFFB245FEFFB0 +:10008000B245FEFFB245FEFFB245FEFFB245FEFFA0 +:10009000B245FEFFB245FEFFB245FEFFB245FEFF90 +:1000A000B245FEFFB245FEFFB245FEFFB245FEFF80 +:1000B000B245FEFFB245FEFFB245FEFFB245FEFF70 +:1000C000B245FEFFB245FEFFB245FEFFB245FEFF60 +:1000D000B245FEFFB245FEFFB245FEFFB245FEFF50 +:1000E000B245FEFFB245FEFFB245FEFFB245FEFF40 +:1000F000B245FEFFB245FEFFB245FEFFB245FEFF30 +:100100004C45FEFF6245FEFFB245FEFFB245FEFFD5 +:10011000B245FEFFB245FEFFB245FEFFB245FEFF0F +:10012000B245FEFFA845FEFFB245FEFFB245FEFF09 +:100130005A43FEFF1244FEFFB245FEFFB245FEFFEA +:100140004844FEFFB245FEFFA044FEFFB245FEFF5D +:10015000B245FEFFCE44FEFFC048FEFF1449FEFF3D +:10016000C848FEFF1449FEFF1449FEFF1449FEFF74 +:100170001449FEFFBA47FEFF1449FEFF1449FEFF73 +:10018000D447FEFFE047FEFF1449FEFFF247FEFFA3 +:10019000FE47FEFFFE47FEFFFE47FEFFFE47FEFF57 +:1001A000FE47FEFFFE47FEFFFE47FEFFFE47FEFF47 +:1001B000FE47FEFF1449FEFF1449FEFF1449FEFFEF +:1001C0001449FEFF1449FEFF1449FEFF1449FEFFC7 +:1001D0001449FEFF1449FEFF1449FEFF1449FEFFB7 +:1001E0001449FEFF1449FEFF1449FEFF1449FEFFA7 +:1001F0001449FEFF1449FEFF1449FEFF1449FEFF97 +:100200001449FEFF1449FEFF1449FEFF1449FEFF86 +:100210001449FEFF1449FEFF1449FEFF1449FEFF76 +:100220001449FEFF1449FEFF1449FEFF1449FEFF66 +:100230001449FEFF1449FEFF1449FEFF1449FEFF56 +:100240001449FEFF1449FEFF1449FEFF1449FEFF46 +:100250001449FEFF1449FEFF3248FEFF6048FEFFCE +:100260001449FEFF1449FEFF1449FEFF1449FEFF26 +:100270001449FEFF1449FEFF1449FEFFB648FEFF75 +:100280001449FEFF1449FEFF1846FEFF1247FEFF09 +:100290001449FEFF1449FEFF8447FEFF1449FEFF88 +:1002A000AA47FEFF1449FEFF1449FEFF0E49FEFF58 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020626C6F636B74696D207461736B732042 +:1003E0000D0A00006D69616F750A00006D69616F2B +:1003F00075320A0068756E6772790A004368656332 +:100400006B54696D65720000426C6F636B5F546979 +:100410006D655F5175657565000000004254657338 +:0C04200074310000425465737432000017 +:10042C001000000000000000017A5200017C010164 +:10043C001B0D02001000000018000000324FFEFFE0 +:10044C008A03000000000000100000002C000000D7 +:10045C00A852FEFF5E030000000000001000000028 +:10046C0040000000F255FEFF5403000000000000A5 +:08050400FC8F0100090000005A +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/countsem_rv32i_O0.hex b/VexRiscv/src/test/resources/freertos/countsem_rv32i_O0.hex new file mode 100644 index 0000000..2f3cd53 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/countsem_rv32i_O0.hex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diff --git a/VexRiscv/src/test/resources/freertos/countsem_rv32i_O3.hex b/VexRiscv/src/test/resources/freertos/countsem_rv32i_O3.hex new file mode 100644 index 0000000..f6c5636 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/countsem_rv32i_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/countsem_rv32ic_O0.hex b/VexRiscv/src/test/resources/freertos/countsem_rv32ic_O0.hex new file mode 100644 index 0000000..b524f88 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/countsem_rv32ic_O0.hex @@ -0,0 +1,1486 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900021B7170502001305E53A979503009385EC +:1000A000656C01461122170502001305A53497055A +:1000B00002009385A5340146C52817A50300130542 +:1000C000A56A97B503009385E56937B6ABAB130610 +:1000D000B6BAD92817B1030013010169EF40407D7A +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2021C23A02200F3221034A2 +:1002400096DEEF006030170102000321A11A02417F +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E21923A0120023A2B9 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40804D170102000321E2 +:10033000610C0241F65273901234B722000093828E +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A20B83A0C0 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A202FA23A0220086DEEF1098 +:10046000B040170102000321E1F80241F6527390F7 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F0961730020309707BF +:1004C00002009387E7F39843FD576305F7007370C5 +:1004D000043001A07370043001A041112AC6B24754 +:1004E000739047300100410182804111F327403071 +:1004F0003EC673F04330B2473E85410182804111D0 +:100500002AC62EC432C2B247F1173EC62247B247AE +:1005100098C3B247938787FA3EC61247B24798C33B +:10052000B247A1173EC68E873E87B24798C3B247EF +:10053000D1173EC6170700001307A7F8B24798C3A4 +:10054000B2473E8541018280411106C6EF40A0239B +:10055000EF10D014AA8799C3EF1010310100B240F8 +:100560004101828041112AC6B24713878700B247F2 +:10057000D8C3B2477D5798C7B24713878700B247A1 +:10058000D8C7B24713878700B24798CBB24723A09A +:10059000070001004101828041112AC6B24723A809 +:1005A000070001004101828001112AC62EC4B24712 +:1005B000DC433ECEA2477247D8C3F2479847A247D2 +:1005C00098C7F2479C472247D8C3F247224798C7AB +:1005D000A247324798CBB2479C4313871700B247D4 +:1005E00098C301000561828001112AC62EC4A2476A +:1005F0009C433ECC6247FD576316F700B2479C4BC5 +:100600003ECE31A8B247A1073ECE21A0F247DC433F +:100610003ECEF247DC439C436247E379F7FEF24764 +:10062000D843A247D8C3A247DC43224798C7A24772 +:10063000724798C7F2472247D8C3A247324798CBA0 +:10064000B2479C4313871700B24798C30100056166 +:10065000828001112AC6B2479C4B3ECEB247DC4392 +:100660003247184798C7B2479C4732475843D8C3C8 +:10067000F247DC4332476316F700B2479847F24728 +:10068000D8C3B24723A80700F2479C431387F7FF5C +:10069000F24798C3F2479C433E8505618280797199 +:1006A00006D622D42AC62EC4B2473ECEF24781E7F0 +:1006B0007370043001A0EF202057F2478043F247C7 +:1006C000D85FF247BC43BE853A85EF50600EAA87DB +:1006D0003307F400F247D8C3F24723AC0702F247CE +:1006E0009843F24798C7F2478043F247DC5F13878D +:1006F000F7FFF247BC43BE853A85EF50600BAA87EF +:100700003307F400F247D8C7F2477D57F8C3F247E2 +:100710007D57B8C7A24799EFF2479C4B8DC7F24768 +:10072000C1073E85EF1090402A878547631DF7007B +:10073000F13911A8F247C1073E852D35F24793875D +:1007400047023E850535EF20005185473E85B25072 +:10075000225445618280797106D62AC62EC4B2879A +:10076000A303F10002CCB24781E77370043001A00B +:10077000A24799E302CE01A8A2453245EF504003BB +:10078000AA8785073ECEF247938747053E85EF301F +:10079000805C2ACAD24785CFA24789E7D247524711 +:1007A00098C331A0D24713874705D24798C3D24791 +:1007B0003247D8DFD2472247B8C385455245C535B1 +:1007C000D247034771002388E704D2473ECCE24773 +:1007D00081E77370043001A0E2473E85B250456165 +:1007E0008280797106D6AA87A307F1001305400518 +:1007F000EF3060562ACEF247B9CFF24723A2070066 +:10080000F24723A00700F24723A40700F24723A6DC +:100810000700F24723AC0702F2470547D8DFF2474B +:1008200023A00704F2477D57F8C3F2477D57B8C7A6 +:10083000F2470347F1002388E704F247C1073E85EA +:100840001533F247938747023E85293B814601468F +:10085000814572453122F2473E85B2504561828022 +:10086000797106D622D42AC6B2473ECCE24781E748 +:100870007370043001A0E247C043EF20001DAA8737 +:100880006313F402E247DC471387F7FFE247D8C758 +:10089000E247DC4791E78146014681456245C92030 +:1008A00085473ECE11A002CEF2473E85B25022547B +:1008B00045618280797106D622D42AC62EC4B247F9 +:1008C0003ECCE24781E77370043001A0E247C043A9 +:1008D000EF20A017AA87631BF400E247DC471387C9 +:1008E0001700E247D8C785473ECE0DA08146224675 +:1008F00081456245252E2ACE724785476318F70049 +:10090000E247DC4713871700E247D8C7F2473E8526 +:10091000B250225445618280797106D62AC62EC40F +:10092000B24781E77370043001A02247B24763F5F4 +:10093000E7007370043001A0094681453245213D2E +:100940002ACEF24781C7F247224798DFF24781E774 +:100950007370043001A0F2473E85B2504561828039 +:10096000397106DE2AC62EC432C236C002D6B2475C +:100970003ED4A25781E77370043001A0A24781E7FB +:10098000A257BC4399E3854711A0814781E7737063 +:10099000043001A0024789476317F700A257D85FC8 +:1009A00085476314F700854711A0814781E773707D +:1009B000043001A0EF20C00AAA8799E3924799E387 +:1009C000854711A0814781E77370043001A0EF20B3 +:1009D000A025A257985FA257DC5F6366F700024725 +:1009E0008947631DF7020246A245225565232AD294 +:1009F000A257DC5389CFA257938747023E85EF1059 +:100A0000F0122A8785476317F700093421A092570F +:100A100091C3ED3AEF202024854771A8924789E7DA +:100A2000EF206023814741A8B25799E77C083E85B3 +:100A3000EF10702785473ED6EF20E021EF10401AD7 +:100A4000EF20801EA257F843FD576315F700A25709 +:100A500023A20704A257B847FD576315F700A25712 +:100A600023A40704EF20201F58007C08BA853E8588 +:100A7000EF107026AA879DEB2255EF006071AA87C0 +:100A80008DC3A257C1071247BA853E85EF10E070AB +:100A900022551525EF108016AA87E39A07F2BD327A +:100AA0003DB72255092DEF10601515B722552125A8 +:100AB000EF10C01481473E85F25021618280797128 +:100AC00006D62AC62EC432C236C0B2473ECCE24752 +:100AD00081E77370043001A0A24781E7E247BC437D +:100AE00099E3854711A0814781E77370043001A025 +:100AF000024789476317F700E247D85F85476314C9 +:100B0000F700854711A0814781E77370043001A089 +:100B1000E93AAA873ECAE247985FE247DC5F63662C +:100B2000F700024789476315F7040246A24562456C +:100B30009521E247B847FD576313F702E247DC53BC +:100B40008DC7E247938747023E85EF10207EAA8734 +:100B500089CF924799CB9247054798C339A0E2477E +:100B6000BC4713871700E247B8C785473ECE11A0A0 +:100B700002CED2473E859532F2473E85B25045615E +:100B80008280797106D62AC62EC4B2473ECCE2478F +:100B900081E77370043001A0E247BC4381C77370E2 +:100BA000043001A0E2479C4381E7E247DC4399E33C +:100BB000854711A0814781E77370043001A0353269 +:100BC000AA873ECAE247985FE247DC5F6377F70493 +:100BD000E2479C5F13871700E24798DFE247B84778 +:100BE000FD576313F702E247DC538DC7E247938753 +:100BF00047023E85EF108073AA8789CFA24799CB21 +:100C0000A247054798C339A0E247BC47138717009E +:100C1000E247B8C785473ECE11A002CED2473E85F7 +:100C20006D38F2473E85B25045618280397106DEEB +:100C30002AC62EC432C236C002D6B2473ED4A2570C +:100C400081E77370043001A0A24781E7A257BC433B +:100C500099E3854711A0814781E77370043001A0B3 +:100C6000EF101060AA8799E3924799E3854711A096 +:100C7000814781E77370043001A0EF10F07AA2572A +:100C80009C5FB5CBA257DC473ED2A2452255F92E38 +:100C9000824795EFA2579C5F1387F7FFA25798DF13 +:100CA000A2579C4391E7EF2060022A87A257D8C33E +:100CB000A2579C4B8DCFA257C1073E85EF1000670E +:100CC0002A8785476315F702EFF04FF40DA0A2576E +:100CD0001257D8C7A257DC5399CBA2579387470224 +:100CE0003E85EF10A064AA8799C3EFF02FF2EF10B2 +:100CF000907685475DA8924789E7EF10D0758147C8 +:100D00006DA0B25799E77C083E85EF10C079854702 +:100D10003ED6EF105074EF00B06CEF10F070A25799 +:100D2000F843FD576315F700A25723A20704A25703 +:100D3000B847FD576315F700A25723A40704EF1027 +:100D4000907158007C08BA853E85EF10C078AA875C +:100D5000A1EB2255CD2EAA879DCFA2579C4391EBA4 +:100D6000EF10906CA257DC433E85EF107052EF10ED +:100D7000906EA257938747021247BA853E85EF10BF +:100D8000C04122550D2EEF007067AA87E39707EE4A +:100D9000EFF0CFE7DDB52255392EEF003066F1BD1B +:100DA0002255112EEF00906581473E85F25021615A +:100DB0008280797106D62AC62EC432C2B2473ECC92 +:100DC000E24781E77370043001A0A24781E7E24760 +:100DD000BC4399E3854711A0814781E773700430D4 +:100DE00001A0EFF08FF0AA873ECAE2479C5FA1CB3B +:100DF000A2456245A52CE2479C5F1387F7FFE247B7 +:100E000098DFE247F843FD576312F702E2479C4B35 +:100E100085C7E247C1073E85EF104051AA8789CFB9 +:100E2000924799CB9247054798C339A0E247FC43C4 +:100E300013871700E247F8C385473ECE11A002CEC4 +:100E4000D2473E85EFF06FE9F2473E85B2504561EB +:100E50008280797106D62AC62EC4B2473ECCE247BC +:100E600081E77370043001A0A24781E7E247BC43E9 +:100E700099E3854711A0814781E77370043001A091 +:100E8000E247BC4381E77370043001A0EFF0EFE567 +:100E9000AA873ECAE2479C5F89CFE247DC473EC84B +:100EA000A2456245E122E2474247D8C785473ECE88 +:100EB00011A002CED2473E85EFF02FE2F2473E85E9 +:100EC000B25045618280797106D62AC6B24781E761 +:100ED0007370043001A0EF103055B2479C5F3ECED6 +:100EE000EF107057F2473E85B250456182807971AC +:100EF00006D62AC6B2473ECEF24781E77370043069 +:100F000001A0EF107052F247D85FF2479C5FB30721 +:100F1000F7403ECCEF103054E2473E85B250456179 +:100F2000828001112AC6B24781E77370043001A0A4 +:100F3000B2479C5F3ECEF2473E8505618280797163 +:100F400006D62AC6B2473ECEF24781E77370043018 +:100F500001A07245E5247245EF20F0720100B25005 +:100F60004561828041112AC6B247FC473E85410156 +:100F7000828041112AC62EC4B2472247F8C7010019 +:100F80004101828041112AC6B24783C707053E85C9 +:100F900041018280797106D62AC62EC432C202CEA1 +:100FA000B247BC4391EFB2479C43D5E7B247DC431D +:100FB0003E85EF10903B2ACEB24723A2070061A8DE +:100FC000924785EFB2479847B247BC433E86A24559 +:100FD0003A85EF20F079B2479847B247BC433E9735 +:100FE000B24798C7B2479847B247DC436365F706F4 +:100FF000B2479843B24798C7B9A8B247D847B24753 +:10100000BC433E86A2453A85EF209076B247D8474A +:10101000B247BC43B307F0403E97B247D8C7B24788 +:10102000D847B2479C43637BF700B247D843B247E7 +:10103000BC43B307F0403E97B247D8C71247894731 +:10104000631BF700B2479C5F99C7B2479C5F138749 +:10105000F7FFB24798DFB2479C5F13871700B2478C +:1010600098DFF2473E85B25045618280011106CE7D +:101070002AC62EC4B247BC439DCBB247D847B2471D +:10108000BC433E97B247D8C7B247D847B247DC43C4 +:101090006366F700B2479843B247D8C7B247D8470C +:1010A000B247BC433E86BA852245EF20706C0100F2 +:1010B000F24005618280011106CE2AC6EF10D036BB +:1010C00025A0B247DC5395C7B247938747023E85B8 +:1010D000EF10C025AA8799C3EF104049B247BC471B +:1010E0001387F7FFB247B8C7B247BC47E34BF0FCE2 +:1010F00011A00100B2477D57B8C7EF10D035EF10EF +:10110000B0321DA0B2479C4B8DC7B247C1073E8588 +:10111000EF10C021AA8799C3EF104045B247FC43A6 +:101120001387F7FFB247F8C3B247FC43E34CF0FC28 +:1011300011A00100B2477D57F8C3EF10D031010074 +:10114000F24005618280797106D62AC6EF10D02D53 +:10115000B2479C5F81E785473ECE11A002CEEF10DB +:10116000902FF2473E85B2504561828001112AC618 +:10117000B24781E77370043001A0B2479C5F81E7FA +:1011800085473ECE11A002CEF2473E8505618280A2 +:10119000797106D62AC6EF103029B247985FB24758 +:1011A000DC5F6315F70085473ECE11A002CEEF103D +:1011B000902AF2473E85B2504561828001112AC6CD +:1011C000B24781E77370043001A0B247985FB2471D +:1011D000DC5F6315F70085473ECE11A002CEF247D3 +:1011E0003E850561828001112AC62EC402CE81A0EF +:1011F0001787030013074753F2478E07BA979C439C +:1012000085E71787030013072752F2478E07BA971F +:10121000224798C31787030013070751F2478E0729 +:10122000BA973247D8C301A8F24785073ECE724726 +:101230009D47E3FFE7FA01000561828001112AC69C +:1012400002CE0DA8178703001307074EF2478E073B +:10125000BA97DC433247631CF70017870300130774 +:10126000A74CF2478E07BA9723A0070001A8F247C0 +:1012700085073ECE72479D47E3F6E7FC0100056116 +:101280008280797106D62AC62EC432C2B2473ECEBB +:10129000EF109019F247F843FD576315F700F24736 +:1012A00023A20704F247B847FD576315F700F2473A +:1012B00023A40704EF10301AF2479C5F89EBF24732 +:1012C000938747021246A2453E85EF00907E724505 +:1012D000DD330100B25045618280397106DE2ACECD +:1012E0002ECC36C83AC63EC442C246C0B287231B83 +:1012F000F100F24781E77370043001A03247A5473F +:1013000063F5E7007370043001A0835761019245D3 +:101310003E85EF1080512AD4A257638F0712A2573F +:10132000985B83566101B7070040FD17B6978A079F +:10133000BA973ED29257F19B3ED292578D8B81C77E +:101340007370043001A0835761013E8782463246A4 +:10135000E2452255EF10E0274246F2451255EFF0E4 +:101360000F9A2A87A25798C3A24781C7A24722573C +:1013700098C3EF10700B97F701009387E7279C4302 +:101380001387170097F701009387072798C397F7EC +:101390000100938727069C4395E397F70100938705 +:1013A0006705225798C397F701009387E72498436E +:1013B0008547631AF702EF10C02C35A097F701009C +:1013C000938747249C4385E397F70100938787021F +:1013D0009C43DC5732476368F70097F70100938717 +:1013E0006701225798C397F701009387A7229C4370 +:1013F0001387170097F701009387C72198C397F7C2 +:101400000100938727219843A257B8C7A257D857FE +:1014100097F701009387C71E9C4363F9E700A25723 +:10142000D85797F701009387A71D98C3A257D8579D +:10143000BA878A07BA978A0717F701001307470880 +:101440003E97A2579107BE853A85EFF0EF95854705 +:101450003ED6EF10500019A0FD573ED632578547B3 +:101460006313F70297F701009387C7199C4381CF55 +:1014700097F70100938707F89C43DC57324763F4E2 +:10148000E700EFE0BFF8B2573E85F250216182805D +:10149000797106D62AC6EF102079B24799E797F7F7 +:1014A0000100938727F59C4311A0B2473ECEF24737 +:1014B00091073E85EFF0EF99F2479C5791C7F247AD +:1014C000E1073E85EFF0EF98F2479107BE8517F5EB +:1014D00001001305A50FEFF02F8D97F701009387FB +:1014E00027109C431387170097F701009387470F36 +:1014F00098C397F701009387E7119C431387170060 +:1015000097F701009387071198C3EF10C07497F7FE +:1015100001009387270F9C439DCB97F7010093878A +:1015200067ED9C437247631EF70097F701009387AE +:10153000E70E9C4381C77370043001A0EFE01FEDFC +:1015400039A0EF10606EEF10A04BEF10C0700100DB +:10155000B25045618280797106D62AC62EC402CE69 +:10156000B24781E77370043001A0A24781E773702E +:10157000043001A097F701009387470A9C4381C775 +:101580007370043001A0A92D97F701009387070716 +:101590009C433ECCB2479C432247BA973ECAB247CF +:1015A0009C436247637EF700B2479C435247637493 +:1015B000F7025247E24763F0E70285473ECE21A893 +:1015C000B2479C4352476366F7005247E24763F4D1 +:1015D000E70085473ECEB247524798C3F24789CFCE +:1015E00097F70100938707E19C4391073E85EFF051 +:1015F0004F865245EF10001B11252AC8C24799E3B8 +:10160000EFE0DFE00100B25045618280797106D6DB +:101610002AC602CEB247A9C397F7010093870700F5 +:101620009C4381C77370043001A05D2B97F70100C4 +:101630009387C7FC9C433247BA973ECC97F701008B +:10164000938747DB9C4391073E85EFF08F8062458F +:10165000EF10401565232ACEF24799E3EFE01FDB38 +:101660000100B25045618280797106D62AC6B24720 +:101670003ECCE24781E77370043001A097F7010088 +:10168000938747D79C4362476314F70002CEBDA0FF +:10169000EF108059E247DC4B3ECAEF10C05B97F772 +:1016A00001009387E7F09C435247630AF70097F7DE +:1016B0000100938727F09C4352476315F700894741 +:1016C0003ECE2DA8524797F701009387A7F1631BE1 +:1016D000F700E2479C5781E78D473ECE05A089473A +:1016E0003ECE29A8524797F70100938727EE63154E +:1016F000F70091473ECE19A085473ECEF2473E8582 +:10170000B25045618280797106D62AC6EF10C05169 +:10171000B24799E797F701009387C7CD9C4311A083 +:10172000B2473ECEF247DC573ECCEF10C052E24704 +:101730003E85B25045618280797106D62AC6EFE0B7 +:10174000DFDAAA873ECEB24799E797F70100938781 +:1017500067CA9C4311A0B2473ECCE247DC573ECA61 +:10176000F2473E85EFE07FD7D2473E85B2504561D4 +:101770008280797106D62AC62EC402CE2247A5479A +:1017800063F5E7007370043001A02247A54763F4B6 +:10179000E700A5473EC4EF102049B24799E797F705 +:1017A0000100938727C59C4311A0B2473ECCE24776 +:1017B000BC4B3ECA5247A247630AF70E2247D247A4 +:1017C00063F6E70297F701009387C7C29C4362471D +:1017D0006308F70297F701009387C7C19C43DC5762 +:1017E0002247636FF70085473ECE19A897F701009F +:1017F000938747C09C4362476314F70085473ECEFA +:10180000E247DC573EC8E247B84BE247DC57631576 +:10181000F700E2472247D8D7E2472247B8CBE24752 +:101820009C4F63C707002947A2471D8FE24798CF07 +:10183000E247D44B4247BA878A07BA978A0717F715 +:1018400001001307E7C7BA976394F600854711A014 +:101850008147B9C7E24791073E85EFE09FDFE24746 +:10186000D85797F701009387A7D99C4363F9E700FE +:10187000E247D85797F70100938787D898C3E24784 +:10188000D857BA878A07BA978A0717F7010013074C +:1018900027C33E97E2479107BE853A85EFE0DFD048 +:1018A000724785476314F700EFE05FB6EF10A03A88 +:1018B0000100B25045618280797106D62AC6EF10C8 +:1018C000A036B24799E797F701009387A7B29C43E8 +:1018D00011A0B2473ECEF24791073E85EFE07FD799 +:1018E000F2479C5791C7F247E1073E85EFE07FD66C +:1018F000F2479107BE8517F501001305A5CEEFE06D +:10190000BFCAEF10403597F701009387A7AE9C43FD +:1019100072476319F70497F701009387A7CE9C439A +:1019200089CF97F70100938767CF9C4381C7737076 +:10193000043001A0EFE09FAD91A097F701009387DD +:1019400067CA984397F70100938707CB9C436319B5 +:10195000F70097F701009387E7A923A0070039A8AC +:10196000212729A897F701009387C7C99C4399C7E6 +:10197000EF10802BEF10C008EF10E02D0100B250E7 +:101980004561828001112AC602CEB2473ECCB247E1 +:1019900081E77370043001A0E247D84B97F701004C +:1019A000938747C46314F700854711A0814795C307 +:1019B000E247985797F70100938707C0630BF7003A +:1019C000E2479C5799E3854711A0814799C3854712 +:1019D0003ECEF2473E8505618280797106D62AC6E1 +:1019E000B2473ECEB24781E77370043001A0F247A0 +:1019F000C9C797F701009387E79F9C437247630E1F +:101A0000F706EF1060227245B53F2A8785476314B9 +:101A1000F706F24791073E85EFE0BFC3F247D8577C +:101A200097F701009387C7BD9C4363F9E700F2472E +:101A3000D85797F701009387A7BC98C3F247D857A8 +:101A4000BA878A07BA978A0717F70100130747A7CB +:101A50003E97F2479107BE853A85EFE0FFB4F24723 +:101A6000D85797F701009387E7989C43DC57636446 +:101A7000F700EFE0BF99EF10001E0100B250456182 +:101A80008280797106D62AC602CEB2473ECCB247D2 +:101A900081E77370043001A0EFE03FA5AA873ECA3A +:101AA0006245CD352A8785476314F70897F701000B +:101AB0009387C7B69C43A5E7E247D85797F701003D +:101AC000938747939C43DC576364F70085473ECE7A +:101AD000E24791073E85EFE0DFB7E247D85797F737 +:101AE00001009387E7B19C4363F9E700E247D857C9 +:101AF00097F701009387C7B098C3E247D857BA87D2 +:101B00008A07BA978A0717F701001307679B3E9762 +:101B1000E2479107BE853A85EFE01FA911A8E24789 +:101B2000E107BE8517F50100130505A9EFE0DFA762 +:101B3000D2473E85EFE07F9AF2473E85B25045613D +:101B40008280011106CE81480148814701478146C4 +:101B50001306004097E501009385C54A171500005C +:101B6000130585A5EFF06FF72AC632478547631541 +:101B7000F700EF10C06D2AC6324785476319F70298 +:101B80007370043097F70100938707A97D5798C3B6 +:101B900097F70100938707A7054798C397F70100B8 +:101BA0009387C7A523A00700EFE0AFEF31A0B247AE +:101BB00081E77370043001A00100F240056182806A +:101BC000411106C67370043097F70100938787A30D +:101BD00023A00700EFE02FFB0100B240410182800B +:101BE00097F70100938787A39C431387170097F704 +:101BF00001009387A7A298C301008280011106CE3D +:101C000002C697F70100938767A19C4381E7737031 +:101C1000043001A0EF10400197F70100938707A05F +:101C20009C431387F7FF97F701009387279F98C37B +:101C300097F701009387879E9C436390071097F75F +:101C400001009387679B9C436389070E59A097F710 +:101C5000010093876796DC47DC473EC4A247E10753 +:101C60003E85EFE01F9FA24791073E85EFE07F9EF4 +:101C7000A247D85797F70100938787989C4363F949 +:101C8000E700A247D85797F701009387679798C353 +:101C9000A247D857BA878A07BA978A0717F7010069 +:101CA000130707823E97A2479107BE853A85EFE06A +:101CB000BF8FA247D85797E701009387A7739C432C +:101CC000DC576368F70097F701009387279405476F +:101CD00098C397F701009387278E9C43ADFB97F736 +:101CE0000100938767929C438DCF35A0452AAA8730 +:101CF00099C797F7010093876791054798C397F7AE +:101D00000100938767909C431387F7FF97F70100C3 +:101D10009387878F98C397F701009387E78E9C433B +:101D2000F1F797F701009387678E9843854763160D +:101D3000F70085473EC6EFE06FEDEF00D071B24788 +:101D40003E85F24005618280011106CEEF00D06D24 +:101D500097F701009387878A9C433EC6EF00B06FD8 +:101D6000B2473E85F24005618280011106CEEFE068 +:101D7000CFF7AA873EC697F70100938727889C4331 +:101D80003EC4B2473E85EFE04FF5A2473E85F240A4 +:101D90000561828097F70100938707869C433E8503 +:101DA0008280797106D62AC62EC432C202CEA947D5 +:101DB0003ECC3D3597F70100938707849C4322472B +:101DC0006368F70CE247FD173ECCF24796073247AF +:101DD000B306F7006247BA878A07BA978A0717E7F8 +:101DE00001001307E76DBA970546BE853685EF00FB +:101DF000902A2A87F247BA973ECEE247E1F7F247A8 +:101E0000960732473E9797E701009387677A9C438E +:101E10000946BE853A85EF0010282A87F247BA970F +:101E20003ECEF247960732473E9797E701009387E9 +:101E300067789C430946BE853A85EF00D0252A87FE +:101E4000F247BA973ECEF24796073247BA97114605 +:101E500097E50100938585773E85EF00D0232A879B +:101E6000F247BA973ECEF24796073247BA970D46E9 +:101E700097E50100938505773E85EF00D0212A87FD +:101E8000F247BA973ECE924781C7924723A00700F8 +:101E9000B533F2473E85B25045618280797106D6EE +:101EA00002CE97E70100938767779C4363950718F5 +:101EB00097E70100938787749C431387170097E780 +:101EC00001009387A77398C397E70100938707736F +:101ED0009C433ECCE247B9EF97E701009387476DFB +:101EE0009C439C4381C77370043001A097E70100B5 +:101EF0009387076C9C433ECA97E701009387876BE3 +:101F0000984397E701009387A76A98C397E7010072 +:101F10009387476A524798C397E701009387476FB3 +:101F20009C431387170097E701009387676E98C358 +:101F3000EF00102D97E701009387076E9C436247DF +:101F40006365F70C97E70100938787669C439C4382 +:101F500099E3854711A0814781CB97E701009387DB +:101F6000A76B7D5798C355A097E7010093874764F7 +:101F70009C43DC47DC473EC8C247DC433EC6624761 +:101F8000B2476379F70097E701009387E768324724 +:101F900098C3A5A8C24791073E85EFE08FEBC247E3 +:101FA0009C5791C7C247E1073E85EFE08FEAC247E1 +:101FB000D85797E701009387A7649C4363F9E7002C +:101FC000C247D85797E701009387876398C3C247F2 +:101FD000D857BA878A07BA978A0717E70100130705 +:101FE000274E3E97C2479107BE853A85EFE0CFDB8B +:101FF000C247D85797E701009387C73F9C43DC57F8 +:10200000E362F7F485473ECE35BF97E7010093873B +:10201000673E9C43D85797E601009386664ABA8785 +:102020008A07BA978A07B6979843854763F1E7020C +:1020300085473ECE29A897E701009387E75C9C433C +:102040001387170097E701009387075C98C397E70A +:1020500001009387A75B9C4399C385473ECEF24717 +:102060003E85B25045618280011106CE97E701009E +:102070009387C75A9C4381CB97E701009387075901 +:10208000054798C339A297E701009387275823A0F3 +:10209000070097E701009387E7359C439C5B3EC6AA +:1020A000B7A7A5A59387575A3EC4B2479C4322477A +:1020B0006314F702B24791079C432247631EF7005F +:1020C000B247A1079C4322476318F700B247B10704 +:1020D0009C4322476308F70497E701009387873101 +:1020E000984397E701009387E7309C439387470322 +:1020F000BE853A85EF20B00A35A097E701009387A7 +:1021000027509C4381E77370043001A097E70100DA +:102110009387074F9C431387F7FF97E70100938747 +:10212000274E98C397E701009387874D984397E61A +:1021300001009386E638BA878A07BA978A07B69766 +:102140009C43C5DF97E701009387874B9843BA8785 +:102150008A07BA978A0717E7010013076736BA9705 +:102160003EC29247DC43D8439247D8C39247D843F4 +:102170009247A1076317F7009247DC43D843924781 +:10218000D8C39247DC43D84797E701009387872657 +:1021900098C30100F24005618280797106D62AC693 +:1021A0002EC4B24781E77370043001A097E70100A5 +:1021B000938747249C43E107BE853245EFE0CFC2B9 +:1021C00097E70100938707239C4391073E85EFE043 +:1021D0004FC82247FD576310F70297E70100938726 +:1021E00067219C439107BE8517E501001305853FD4 +:1021F000EFE08FBB19A897E70100938727409C4326 +:102200002247BA973ECE7245712B0100B25045610C +:102210008280797106D62AC62EC432C2B24781E7BF +:102220007370043001A097E701009387273F9C4318 +:1022300081E77370043001A097E701009387871B43 +:102240009C43A24637070080558F98CF97E701003F +:102250009387471A9C43E107BE853245EFE0CFB430 +:1022600097E70100938707199C4391073E85EFE0AC +:102270004FBE1247FD576310F70297E7010093879F +:1022800067179C439107BE8517E501001305853547 +:10229000EFE08FB119A897E70100938727369C4399 +:1022A0001247BA973ECE7245F5290100B2504561FA +:1022B0008280797106D62AC62EC432C2B24781E71F +:1022C0007370043001A097E701009387A7129C4325 +:1022D000E107BE853245EFE02FAD97E70100938718 +:1022E00067119C4391073E85EFE0AFB612478547E3 +:1022F0006310F70297E701009387C70F9C4391078C +:10230000BE8517E501001305E52DEFE0EFA919A83B +:1023100097E701009387872E9C432247BA973ECECA +:10232000724549210100B25045618280797106D61B +:102330002AC6B247DC47DC473ECCE24781E77370F0 +:10234000043001A0E247E1073E85EFE08FB097E758 +:1023500001009387A72C9C43A1EBE24791073E85A0 +:10236000EFE02FAFE247D85797E70100938747295F +:102370009C4363F9E700E247D85797E7010093874A +:10238000272898C3E247D857BA878A07BA978A0797 +:1023900017E701001307C7123E97E2479107BE8572 +:1023A0003A85EFE06FA011A8E247E107BE8517E587 +:1023B000010013056520EFE02F9FE247D85797E70C +:1023C0000100938727039C43DC5763FBE7008547A5 +:1023D0003ECE97E7010093876723054798C311A076 +:1023E00002CEF2473E85B25045618280797106D6B1 +:1023F0002AC62EC497E70100938747229C4381E7B2 +:102400007370043001A02247B70700805D8FB24788 +:1024100098C3B247DC473ECCE24781E77370043093 +:1024200001A03245EFE0EFA2E24791073E85EFE0E1 +:102430004FA2E247D85797E701009387671C9C4358 +:1024400063F9E700E247D85797E701009387471BF6 +:1024500098C3E247D857BA878A07BA978A0717E717 +:1024600001001307E7053E97E2479107BE853A85CD +:10247000EFE08F93E247D85797E70100938787F7FC +:102480009C43DC5763FBE70085473ECE97E701009E +:102490009387C717054798C311A002CEF2473E8520 +:1024A000B2504561828041112AC6B24781E77370FC +:1024B000043001A097E70100938787159843B2473E +:1024C00098C397E70100938767139843B247D8C32F +:1024D000010041018280797106D62AC62EC4B24716 +:1024E00081E77370043001A0A24781E77370043064 +:1024F00001A01D2F97E70100938747109C433ECC16 +:10250000A2479843FD576314F70002CE91A8B24743 +:10251000984397E701009387A70F9C43630AF7004E +:10252000B247DC4362476365F70085473ECE0DA89E +:10253000B247DC4362471D8FA2479C436370F7029A +:10254000A2479843B247D443E247B387F6403E9749 +:10255000A24798C33245813F02CE19A085473ECE9F +:10256000DD2DF2473E85B2504561828097E701003C +:102570009387C709054798C30100828001112AC6C5 +:10258000B24799C7B2473ECCE247FC473ECE11A0C6 +:1025900002CEF2473E850561828001112AC62EC413 +:1025A000B24791C7B2473ECEF2472247F8C7010073 +:1025B00005618280011106CE2AC6852297E70100B7 +:1025C000938707F098438547E3F9E7FEEFD01FE4D0 +:1025D000EDB7397106DE2ACE2ECC32CA36C8BA879C +:1025E0002317F10002D625A06247B257BA9703C756 +:1025F0000700F246B257B697238AE7026247B257FE +:10260000BA9783C7070089CBB25785073ED63257A2 +:10261000BD47E3FBE7FC11A00100F247A3810704DB +:102620005247A54763F4E700A5473ECAF247524721 +:10263000D8D7F2475247B8CBF24723AA0704F2474C +:1026400091073E85EFD05FF5F247E1073E85EFD079 +:10265000BFF4F247724798CB2947D2471D8FF24704 +:1026600098CFF2477247D8D3F24723A20704F24724 +:1026700023AC0704F24723AE07040100F2502161A6 +:102680008280011106CE02C60DA03247BA878A07A2 +:10269000BA978A0717E70100130787E2BA973E85C2 +:1026A000EFD05FECB24785073EC63247A547E3FE51 +:1026B000E7FC17E50100130525EDEFD0BFEA17E5AC +:1026C00001001305A5EDEFD0FFE917E501001305A3 +:1026D000A5EEEFD03FE917E50100130525EFEFD098 +:1026E0007FE817E501001305E5EFEFD0BFE797E7B7 +:1026F00001009387E7EB17E701001307E7E898C3AA +:1027000097E70100938707EB17E70100130707E935 +:1027100098C30100F24005618280011106CE8DA8A8 +:10272000EFF00FCC97E70100938747EA9C4393B7FC +:10273000170093F7F70F3EC6EFF04FCCB247A9EB67 +:10274000E52197E70100938767E8DC47DC473EC453 +:10275000A24791073E85EFD0DFEF97E7010093870F +:10276000A7E99C431387F7FF97E701009387C7E822 +:1027700098C397E701009387A7E69C431387F7FF69 +:1027800097E701009387C7E598C3F1212245912C73 +:1027900097E701009387C7E49C43D9F30100F24017 +:1027A00005618280011106CE2AC697E70100938752 +:1027B00067C49C433247D8C397E70100938707E477 +:1027C0009C4332476372F70297E70100938787DEE5 +:1027D000984397E701009387E7C19C439107BE8523 +:1027E0003A85EFD07FE035A897E70100938747DC73 +:1027F000984397E701009387E7BF9C439107BE8505 +:102800003A85EFD07FDE97E701009387E7E09C43AE +:1028100032476378F70097E701009387E7DF324795 +:1028200098C30100F24005618280797106D6AA87BB +:102830002EC42317F100A24789EB8357E1008A07D2 +:102840003E85EF104051AA8711A0A2473ECCE24737 +:1028500085C313050006EF1000502ACEF24789C742 +:10286000F247624798DB31A06245EF10C06111A0CA +:1028700002CEF24781CFF247985B8357E1008A0787 +:102880003E869305500A3A85EF104075F2473E8523 +:10289000B25045618280397106DE22DC2AC62EC420 +:1028A00032C202D6A2479C43638D070EA2473ED494 +:1028B000A257DC43D843A257D8C3A257D843A25744 +:1028C000A1076317F700A257DC43D843A257D8C328 +:1028D000A257DC43DC473ED2A2473ED08257DC43BE +:1028E000D8438257D8C38257D8438257A10763176A +:1028F000F7008257DC43D8438257D8C38257DC4362 +:10290000DC473ECEB25796073247BA97724798C314 +:10291000B25796073247BA97724713074703D8C38F +:10292000B25796073247BA977247384798C7B25797 +:1029300096073247BA971247D8C7B2579607324719 +:10294000BA977247585798CB12478D47631BF700C9 +:10295000F2479C5799C7B25796073247BA9709472B +:10296000D8C7B25796073247BA977247384BD8CB79 +:10297000B25796073247BA9723AC0700F247945BE9 +:10298000B257960732473304F70036850D20AA87E1 +:10299000231EF400B25785073ED672479257E31DB7 +:1029A000F7F2B2573E85F2506254216182800111E4 +:1029B0002AC602CE39A0B24785073EC6F247850730 +:1029C0003ECEB24703C707009307500AE305F7FE60 +:1029D000F24789833ECEF247C207C1833E85056137 +:1029E0008280011106CE2AC6B2479C5B3E85EF105D +:1029F00080493245EF1020490100F2400561828094 +:102A0000411197E701009387A7BA9C439C4399E340 +:102A1000854711A0814781CB97E701009387C7BF06 +:102A20007D5798C305A097E70100938767B89C433B +:102A3000DC47DC473EC6B247D84397E7010093879F +:102A4000A7BD98C3010041018280411197E70100B1 +:102A50009387479A9C433EC6B2473E854101828098 +:102A6000411197E701009387E7B99C4381E78547C8 +:102A70003EC619A897E70100938747BA9C4381E7B0 +:102A800089473EC611A002C6B2473E8541018280F9 +:102A9000797106D62AC6B2473ECEB247F9C3F2478D +:102AA000D85797E701009387E7949C43DC57637AF4 +:102AB000F70AF2479C4F63CC070097E70100938722 +:102AC00067939C43DC5729471D8FF24798CFF24705 +:102AD000D44BF247D857BA878A07BA978A0717E7BD +:102AE00001001307E79DBA976394F600854711A08C +:102AF0008147A5C3F24791073E85EFD09FB597E781 +:102B000001009387278F9C43D857F247D8D7F247C5 +:102B1000D85797E701009387A7AE9C4363F9E70076 +:102B2000F247D85797E70100938787AD98C3F247DC +:102B3000D857BA878A07BA978A0717E70100130799 +:102B400027983E97F2479107BE853A85EFD0DFA5DB +:102B500009A897E701009387E7899C43D857F24774 +:102B6000D8D70100B25045618280797106D62AC655 +:102B7000B2473ECC02CEB247DDC397E70100938750 +:102B800067879C4362476305F7007370043001A0B8 +:102B9000E247FC4B81E77370043001A0E247FC4B35 +:102BA0001387F7FFE247F8CBE247D857E247BC4B21 +:102BB0006307F706E247FC4BBDE3E24791073E851A +:102BC000EFD03FA9E247B84BE247D8D7E247DC57FE +:102BD00029471D8FE24798CFE247D85797E7010072 +:102BE000938707A29C4363F9E700E247D85797E72A +:102BF00001009387E7A098C3E247D857BA878A07AE +:102C0000BA978A0717E701001307878B3E97E247B9 +:102C10009107BE853A85EFD03F9985473ECEF24772 +:102C20003E85B250456182807370043097E70100A1 +:102C30009387479D9C4391CF97D701009387877BCC +:102C40009C43F8430507F8C397D701009387877A19 +:102C50009C430100828097E701009387A79A9C43D9 +:102C60008DCB97D701009387E7789C43FC4395C3AE +:102C700097D70100938707789C43F8437D17F8C3E3 +:102C800097D70100938707779C43FC4399E37360D0 +:102C9000043001008280411197D701009387877526 +:102CA0009C439C4F3EC697D701009387A7749C43D3 +:102CB000D85797D701009387E7739C43A94633877A +:102CC000E64098CFB2473E854101828097D7010008 +:102CD000938747729C4389CB97D70100938787716D +:102CE0009C43F84B0507F8CB97D701009387877073 +:102CF0009C433E858280797106D62AC62EC42D3724 +:102D000097D701009387076F9C43BC4FADE397D7DC +:102D100001009387276E9C430547F8CFA247A1CBBC +:102D200097D701009387076D9C4391073E85EFD0AD +:102D30005F922247FD576310F70297D701009387F0 +:102D4000676B9C439107BE8517E5010013058589D4 +:102D5000EFD09F8519A897E701009387278A9C43A6 +:102D60002247BA973ECE7245353CEFD02FEAE53583 +:102D7000653D97D701009387E7679C43BC4F3ECCE6 +:102D8000E2479DC3B24789CB97D7010093878766F7 +:102D90009C4323AC070409A897D701009387876554 +:102DA0009C43B84F7D17B8CF97D7010093878764AE +:102DB0009C4323AE07044535E2473E85B25045614A +:102DC0008280797106D62AC62EC432C236C0A93D89 +:102DD00097D70100938707629C43F84F8947630D9B +:102DE000F70697D701009387E7609C43B44F3247BB +:102DF0001347F7FF758FB8CF97D701009387875F89 +:102E00009C430547F8CF8247A1CB97D70100938712 +:102E1000675E9C4391073E85EFD0BF830247FD5715 +:102E20006310F70297D701009387C75C9C43910713 +:102E3000BE8517D501001305E57AEFD0EFF619A886 +:102E400097D701009387877B9C430247BA973ECC74 +:102E50006245893AEFD08FDBFD3BF933924789CB4E +:102E600097D70100938707599C43B84F924798C35F +:102E700097D70100938707589C43F84F8547631401 +:102E8000F70002CE31A897D701009387A7569C433D +:102E9000B44F22471347F7FF758FB8CF85473ECE13 +:102EA00097D70100938707559C4323AE07046533EA +:102EB000F2473E85B25045618280797106D62AC6B6 +:102EC0002EC432C236C085473ECEB24781E773700A +:102ED000043001A0B2473ECC813B824789C7E2471C +:102EE000B84F824798C3E247FC4F3ECAE2470947C2 +:102EF000F8CF1247914763EEE704924713972700F4 +:102F000097D7010093878710BA97984397D7010006 +:102F10009387C70FBA978287E247B84FA2475D8F62 +:102F2000E247B8CF3DA0E247BC4F13871700E24706 +:102F3000B8CF05A0E2472247B8CF21A8524789471A +:102F40006306F700E2472247B8CF21A002CE11A0C6 +:102F5000010052478547631AF706E24791073E850D +:102F6000EFD02FEFE247D85797D7010093874769F3 +:102F70009C4363F9E700E247D85797D7010093874E +:102F8000276898C3E247D857BA878A07BA978A074B +:102F900017D701001307C7523E97E2479107BE8536 +:102FA0003A85EFD06FE0E2479C5781C773700430D9 +:102FB00001A0E247D85797D701009387A7439C43C6 +:102FC000DC5763F4E700EFD06FC47131F2473E8500 +:102FD000B25045618280397106DE2ACE2ECC32CACB +:102FE00036C83AC685473ED6F24781E7737004304B +:102FF00001A0F2473ED4EFD04FCFAA873ED2C247BE +:1030000089C7A257B84FC24798C3A257FC4F3ED0BA +:10301000A2570947F8CF5247914763EEE704D247DA +:103020001397270097D70100938787FFBA97984394 +:1030300097D701009387C7FEBA978287A257B84FE8 +:10304000E2475D8FA257B8CF3DA0A257BC4F138770 +:103050001700A257B8CF05A0A2576247B8CF21A842 +:10306000025789476306F700A2576247B8CF21A0ED +:1030700002D611A0010002578547631DF708A25729 +:103080009C5781C77370043001A097D701009387C4 +:10309000E7589C43A1EBA25791073E85EFD06FDB29 +:1030A000A257D85797D70100938787559C4363F958 +:1030B000E700A257D85797D701009387675498C362 +:1030C000A257D857BA878A07BA978A0717D7010035 +:1030D0001307073F3E97A2579107BE853A85EFD069 +:1030E000AFCC11A8A257E107BE8517D50100130583 +:1030F000A54CEFD06FCBA257D85797D70100938735 +:10310000672F9C43DC5763F7E700B24781C7B2479C +:10311000054798C392573E85EFD02FBCB2573E85E6 +:10312000F25021618280797106D62AC62EC4B24738 +:1031300081E77370043001A0B2473ECEEFD0EFBA02 +:10314000AA873ECCF247FC4F3ECAF2470947F8CF68 +:10315000F247BC4F13871700F247B8CF5247854755 +:10316000631DF708F2479C5781C77370043001A0B4 +:1031700097D701009387874A9C43A1EBF2479107B9 +:103180003E85EFD00FCDF247D85797D701009387F0 +:1031900027479C4363F9E700F247D85797D70100C8 +:1031A0009387074698C3F247D857BA878A07BA97D2 +:1031B0008A0717D701001307A7303E97F2479107F8 +:1031C000BE853A85EFD04FBE11A8F247E107BE8514 +:1031D00017D501001305453EEFD00FBDF247D85774 +:1031E00097D70100938707219C43DC5763F7E700DB +:1031F000A24781C7A247054798C3E2473E85EFD063 +:10320000CFAD0100B25045618280797106D62AC6E1 +:10321000B2473ECCE24799E797D701009387871DD5 +:103220009C4311A0E2473ECC0134E247F84F894766 +:103230006318F700E24723AE070485473ECE11A08E +:1032400002CE113CF2473E85B250456182800111A9 +:1032500006CE02C61D2B97D701009387673F9C437C +:103260009DC38148014881470947814613060040B4 +:1032700097D50100938505DC170500001305051B94 +:10328000EFE0AF852AC6B24781E77370043001A032 +:10329000B2473E85F24005618280397106DE2ACE52 +:1032A0002ECC32CA36C83AC6E24799E302D62DA8D8 +:1032B0001305C002EF00302A2AD6B25795C7F12174 +:1032C000B257724798C3B257624798CFB257524726 +:1032D000D8CFB257424798D3B2573247D8D3B25714 +:1032E00091073E85EFD04FABE24781E77370043022 +:1032F00001A0B2573E85F25021618280397106DE0D +:103300002ACE2ECC32CA36C83AC602D6F24781E758 +:103310007370043001A097D70100938767339C43F3 +:10332000B5CBE2473ED0D2473ED2F2473ED46247C9 +:10333000954763C4E704EFF0AFF22A87894763102B +:10334000F70297D701009387A7309C431810814656 +:103350003246BA853E85EFD0AFE02AD625A897D76A +:1033600001009387E72E9C43181081460146BA85D9 +:103370003E85EFD0EFDE2AD631A897D7010093879C +:10338000272D9C43181081464246BA853E85EFD0D2 +:103390000FF32AD6B2573E85F25021618280011187 +:1033A0002AC6B2473ECEB24781E77370043001A00F +:1033B000F2479C433E8505618280797106D62AC614 +:1033C0002EC497D70100938727289C43DC47DC470E +:1033D0003ECEF24791073E85EFD0AFA7F247D84FD8 +:1033E0008547631BF702F247984FB247BA97B24638 +:1033F0002246BE857245B9222A878547631EF7009B +:1034000001478146324681457245CD3D2ACCE2478F +:1034100081E77370043001A0F247DC537245829754 +:103420000100B25045618280797106D62AC63C08F7 +:103430003E8541282ACEE247BE8572451920692281 +:10344000FDB7797106D62AC62EC4EFE06FF93C08A5 +:103450003E8545282ACEE247B9EFA24799EB32478D +:10346000F24763E8E700EFE06FF9F2453245B13724 +:10347000A9A0A24781CF97D701009387271D9C431E +:103480009C4393B7170093F7F70F3EC497D70100FB +:103490009387071C94433247F247B307F74022460D +:1034A000BE853685EFD0FFDDEFE04FF5AA8791E7C7 +:1034B000EFC0DFF519A0EFE06FF40100B2504561F5 +:1034C000828001112AC697D701009387E7179C4392 +:1034D0009C4393B7170093F7F70F3E87B24798C303 +:1034E000B2479C4391EB97D701009387E7159C4324 +:1034F000DC479C433ECE11A002CEF2473E850561DB +:103500008280797106D62AC6EFE01F842ACE97D72B +:103510000100938727149C4372476377F700692A59 +:10352000B247054798C321A0B24723A0070097D709 +:10353000010093872712724798C3F2473E85B25025 +:1035400045618280797106D62AC62EC432C236C041 +:1035500002CEB2472247D8C3B247324798CB224760 +:10356000924763E8E702124782471D8FB2479C4F9C +:103570006365F70085473ECE99A097D701009387F2 +:10358000E70C9843B2479107BE853A85EFD0CF85C7 +:103590003DA0124782476379F7002247824763655F +:1035A000F70085473ECE21A897D701009387C7092A +:1035B0009843B2479107BE853A85EFD0EF82F24734 +:1035C0003E85B25045618280797106D6D9A0A24766 +:1035D00063C0070CC2473ECEF247DC4B91C7F247AF +:1035E00091073E85EFD0EF865C003E85193F2ACCDF +:1035F000A2472547636FF7081397270097D7010065 +:103600009387C7A3BA97984397D70100938707A3D7 +:10361000BA9782873247F2479C4FBA973247BA86A9 +:103620006246BE857245393F2A8785476313F70690 +:10363000F247DC5372458297F247D84F8547631AA9 +:10364000F7043247F2479C4FBA97014781463E86BE +:103650008145724565312ACAD24785EF73700430BF +:1036600001A03247F24798CFF2479C4F81E7737031 +:10367000043001A0F247984FE247BA97E24662460B +:10368000BE857245C13531A07245EF00C07F11A0E3 +:10369000010097D701009387A7FB9C433800814620 +:1036A0000146BA853E85EFD06FD8AA87E39107F22D +:1036B0000100B25045618280797106D671A097D71A +:1036C0000100938767F89C43DC479C433ECC97D727 +:1036D0000100938767F79C43DC47DC473ECAD2472B +:1036E00091073E85EFC0FFF6D247DC5352458297E3 +:1036F000D247D84F85476319F704D2479C4F62479A +:10370000BA973EC84247E24763F4E702D2474247CE +:10371000D8C3D247524798CB97D701009387C7F2B7 +:103720009843D2479107BE853A85EFC0FFEB29A8A1 +:1037300001478146624681455245C9362AC6B2478D +:1037400081E77370043001A097D701009387C7EF1A +:103750009C439C43ADF797D701009387E7EE9C43CA +:103760003ECE97D70100938767EE984397D7010025 +:10377000938787ED98C397D70100938727ED72470A +:1037800098C30100B25045618280411106C6EFF036 +:10379000AFC997D701009387A7EB9C43C9E717D51B +:1037A00001001305E5E7EFC0FFDB17D501001305A6 +:1037B00065E8EFC03FDB97D701009387E7E817D7AD +:1037C00001001307E7E598C397D70100938707E83F +:1037D00017D70100130707E698C30146B145114505 +:1037E000EFC07FF72A8797D70100938767E698C3D2 +:1037F00097D701009387C7E59C4381E77370043036 +:1038000001A097D701009387A7E49C4389CF97D75E +:1038100001009387E7E39C4397D501009385858456 +:103820003E85EFD05F9CEFF00FC30100B240410135 +:103830008280797106D62AC6B2473ECEB24781E76A +:103840007370043001A0EFF02FBEF247DC4BB337AA +:10385000F00093F7F70F3ECCEFF0EFBFE2473E8565 +:10386000B25045618280797106D62AC6B2473ECEF3 +:10387000B24781E77370043001A0EFF0EFBAF2476E +:103880009C533ECCEFF02FBDE2473E85B2504561E0 +:103890008280797106D62AC62EC4B2473ECEB24780 +:1038A00081E77370043001A0EFF00FB8F2472247B0 +:1038B00098D3EFF04FBA0100B250456182800111F8 +:1038C00006CE714549292AC6B24789CBB24723A003 +:1038D0000700B24791073E85EFC0DFC8B2473E857B +:1038E000F24005618280397106DE2AC62EC432C2DA +:1038F00036C0B2473ED402D21247B70700FFF98F55 +:1039000081C77370043001A0924781E7737004305F +:1039100001A0EFF0EF94AA8799E3824799E38547E6 +:1039200011A0814781E77370043001A0EFE04FAB35 +:10393000A2579C433ED0A245324585240257A24758 +:103940005D8F9247F98F12476310F7020257A24723 +:10395000D98F3ED6A2579843924793C7F7FF7D8FE2 +:10396000A25798C302C025A0824799CFA257938639 +:1039700047001247B7070005D98F0246BE85368536 +:10398000EFE03F8902D621A0A2579C433ED6EFE04C +:10399000EFA62ACE8247B1CBF24799E3EFC01FA72B +:1039A000EFF06FAF2AD63257B7070002F98F85EBD9 +:1039B000EFF08FA7A2579C433ED632579247F98F1C +:1039C0001247631AF700A2579843924793C7F7FF2D +:1039D0007D8FA25798C3EFF00FA885473ED232578C +:1039E000B7070001FD17F98F3ED6B2573E85F2505A +:1039F000216182805D7186C62ACE2ECC32CA36C83D +:103A00003AC6F2473EDA02DC02D8F24781E7737029 +:103A1000043001A06247B70700FFF98F81C77370B8 +:103A2000043001A0E24781E77370043001A0EFF099 +:103A30002F83AA8799E3B24799E3854711A081476D +:103A400081E77370043001A0EFE08F99D2579C4357 +:103A50003ED64246E245325575242AD4A25799CF24 +:103A6000B2573EDE02C6D247A9CBD2579843E247AF +:103A700093C7F7FF7D8FD25798C381A0B24781E7E4 +:103A8000B2573EDE1DA8D24791C76257B707000163 +:103A9000D98F3EDCC24791C76257B7070004D98F60 +:103AA0003EDCD257938647006247E257D98F3246B1 +:103AB000BE853685EFE0EFF502DEEFE02F942AD2E7 +:103AC000B247B9CB925799E3EFC05F94EFF0AF9C48 +:103AD0002ADE7257B7070002F98F8DEBEFF0CF9413 +:103AE000D2579C433EDE4246E2457255212CAA87BE +:103AF00099CBD24789CBD2579843E24793C7F7FF78 +:103B00007D8FD25798C3EFF00F9502D87257B70741 +:103B10000001FD17F98F3EDEF2573E85B640616128 +:103B20008280797106D62AC62EC4B2473ECEB247ED +:103B300081E77370043001A02247B70700FFF98FB7 +:103B400081C77370043001A0EFF00F8EF2479C43E1 +:103B50003ECCF2479843A24793C7F7FF7D8FF247C9 +:103B600098C3EFF04F8FE2473E85B25045618280A7 +:103B7000797106D62AC6B2473ECEEFC01F97AA87F4 +:103B80003ECCF2479C433ECAE2473E85EFC0FF94DD +:103B9000D2473E85B250456182805D7186C62AC695 +:103BA0002EC402DCB2473ED802DAB24781E7737016 +:103BB000043001A02247B70700FFF98F81C7737057 +:103BC000043001A0C25791073ED6B257A1073ED498 +:103BD000EFE00F81B257DC473EDEC2579843A24761 +:103BE0005D8FC25798C3BDA8F257DC433ED2F2574F +:103BF0009C433ED002DA0257B70700FFF98F3ECE52 +:103C00000257B7070001FD17F98F3ED07247B7077B +:103C10000004F98F89EBC25798438257F98F89CFF7 +:103C200085473EDA11A8C25798438257F98F025749 +:103C30006314F70085473EDAD2579DC37247B70732 +:103C40000001F98F89C762578257D98F3EDCC2576E +:103C50009843B7070002D98FBE857255EFE00FF980 +:103C600092573EDE7257A257E310F7F8C2579843B7 +:103C7000E25793C7F7FF7D8FC25798C3EFD01FF865 +:103C8000C2579C433E85B64061618280797106D6F9 +:103C90002AC6B2473ECEF24791073ECCEFD05FF442 +:103CA0000DA0E247D847E247A1076315F7007370FC +:103CB000043001A0E247DC47B70500023E85EFE093 +:103CC000EFF2E2479C43F1FF7245752AEFD01FF3F4 +:103CD0000100B25045618280011106CE2AC62EC471 +:103CE000A24532455D3D0100F2400561828001112F +:103CF00006CE2AC62EC4A245324525350100F24023 +:103D00000561828001112AC62EC432C202CE9247BA +:103D100081EB3247A247F98F81CF85473ECE09A874 +:103D20003247A247F98F22476314F70085473ECEFA +:103D3000F2473E850561828001112AC6B2473ECC1A +:103D4000B24799E302CE21A0E2479C4F3ECEF24714 +:103D50003E8505618280397106DE2AC602D2EFD027 +:103D60003FE897670300938727909C4381EB552298 +:103D7000976703009387478F054798C3B24789CF5A +:103D8000A1473E87B247BA973EC6B2478D8B89C7D7 +:103D9000B247F19B91073EC6B247F9CB3247E56780 +:103DA000ED1763E7E70C976703009387E78A3ED434 +:103DB000976703009387478A9C433ED631A0B2574A +:103DC0003ED4B2579C433ED6B257DC43324763F5EC +:103DD000E700B2579C43E5F7325797670300938794 +:103DE00027886307F708A2579C432147BA973ED21A +:103DF000B2579843A25798C3B257D843B247B307B4 +:103E0000F740214706076378F7043257B247BA975D +:103E10003ECEB257D843B2471D8FF247D8C3B257F0 +:103E20003247D8C3F247DC433ECC97670300938701 +:103E3000A7823ED021A082579C433ED082579C430C +:103E4000DC436247E3E9E7FE82579843F24798C3B1 +:103E50008257724798C397C701009387E75A9843E0 +:103E6000B257DC431D8F97C701009387E75998C36A +:103E7000EFD0DFD8925799E3EF00D03192573E85CB +:103E8000F25021618280797106D62AC6B2473ECCB3 +:103E9000B247BDC3A147B307F0406247BA973ECCD3 +:103EA000E2473ECAEFD0DFD3D247DC433EC8975744 +:103EB00003009387677A3ECE21A0F2479C433ECE13 +:103EC000F2479C43DC434247E3E9E7FEF24798436D +:103ED000D24798C3F247524798C3D247D84397C7AF +:103EE0000100938767529C433E9797C701009387D1 +:103EF000A75198C3EFD09FD00100B2504561828096 +:103F000097C70100938747509C433E8582800100FC +:103F10008280411197C7010093874774F19B3EC689 +:103F20009757030093874773324798C39757030007 +:103F30009387877223A207009757030093874772DE +:103F400065677117D8C3975703009387677123A0DC +:103F50000700B2473EC4A24765677117D8C3A2479E +:103F6000175703001307C76F98C3010041018280F0 +:103F700001112AC62EC432C2A2473ECEB2473ECC61 +:103F80003247A247D98F8D8BA1E319A8F2479843F6 +:103F9000E24798C3E24791073ECCF24791073ECEF5 +:103FA0009247F5173247BA976247E361F7FE29A8AF +:103FB0007247930717003ECEE2479386170036CC30 +:103FC000034707002380E70032479247BA976247CA +:103FD000E360F7FEB2473E850561828001112AC683 +:103FE0002EC432C232479247D98F8D8B9DEFA247A4 +:103FF00093F7F70F3ECAD247A2075247D98F3ECA5E +:10400000D247C2075247D98F3ECAB2473ECE39A0E7 +:10401000F247138747003ACE524798C33247924738 +:10402000BA977247E366F7FE1DA0B2473ECC11A8CF +:10403000E247138717003ACC22471377F70F238004 +:10404000E70032479247BA976247E363F7FEB24709 +:104050003E850561828001112AC6B2473ECE21A06D +:10406000F24785073ECEF24783C70700F5FB72474C +:10407000B247B307F7403E850561828001112AC629 +:104080002EC4B247138717003AC683C70700A30F91 +:10409000F100A247138717003AC483C70700230F14 +:1040A000F1008347F10199C70347F1018347E1011B +:1040B000E309F7FC0347F1018347E101B307F74048 +:1040C0003E850561828001112AC62EC4B2473ECECC +:1040D00001002247930717003EC4F247938617005A +:1040E00036CE034707002380E70083C70700F5F3B8 +:1040F000B2473E850561828001112AC602CE02CCFC +:1041000021A0B24785073EC6B24703C70700930701 +:104110000002E308F7FEB24703C707009307D00287 +:104120006309F700B24703C707009307B0026311A2 +:10413000F704B24783C70700938737FD93B717008B +:1041400093F7F70F3ECCB24785073EC615A07247DE +:10415000BA878A07BA9786073ECEB24713871700F9 +:104160003AC683C70700938707FD7247BA973ECECA +:10417000B24783C70700E1FFE24789C7F247B307A9 +:10418000F04011A0F2473E850561828001112AC6E8 +:10419000975703009387074F9C4393861700175741 +:1041A00003001307274E14C332471377F70F9756B0 +:1041B000030093862651B6972380E7003247A9472C +:1041C000630BF700975703009387C74B98439307F8 +:1041D00000046315F704975703009387A74E3ECE5C +:1041E00005A8F247138717003ACE03C70700B707A1 +:1041F00010F0938707F098C3975703009387874879 +:104200009C431387F7FF975703009387A74798C3EB +:1042100097570300938707479C43E1F781473E8503 +:10422000056182804D7123261114232481142322D9 +:10423000911423202115232E3113232C4113232ADB +:1042400051132ACE2ECC32C836CA3AC63EC442C218 +:1042500023260112B2473E8A814AC24752485286FB +:10426000D6863E85C285EF10A004AA872E883E869A +:10427000C2868327C112138717002326E1123287D3 +:104280008A07141AB69723AEE7EEB2473E848144FC +:10429000D247268763E8E702D24726876396E7007E +:1042A000C247228763E0E702B2473E8981494A86D6 +:1042B000CE864245D245EF00F021AA872E883EC81F +:1042C00042CA49BF010029A0F247E2451245829740 +:1042D000A2471387F7FF3AC40327C112E346F7FE4C +:1042E00025A88327C1128A07181ABA9703A7C7EF10 +:1042F0008327C1128A07141AB69783A6C7EFA5476A +:1043000063F5D7009307700519A093070003BA97C8 +:104310007247E2453E8502978327C1121387F7FF54 +:104320002326E112E34FF0FA01008320C114032495 +:10433000811483244114032901148329C113032AFE +:104340008113832A41137161828041112AC62EC4D0 +:10435000A2460547635ED700B2479C439D07E19B99 +:1043600093868700324714C303A847009C4335A0B7 +:10437000224719CB3247184313064700B24690C272 +:104380001843BA87014811A832471843130647005B +:10439000B24690C21843BA8701483E85C2854101A2 +:1043A000828041112AC62EC4A2460547635ED7000B +:1043B000B2479C439D07E19B93868700324714C315 +:1043C00003A847009C4305A8224701CF3247184362 +:1043D00013064700B24690C21843BA877D873A88D1 +:1043E00019A83247184313064700B24690C2184333 +:1043F000BA877D873A883E85C285410182803971BE +:1044000006DE22DC26DA2AC62EC432C236C011A845 +:1044100063070424924785073EC2B247A24522851E +:104420008297924783C707003E8493075002E311A7 +:10443000F4FE924785073EC292473EC893070002AA +:10444000A30BF100FD573ECEFD573ECC02D0924764 +:10445000138717003AC283C707003E849307D4FD31 +:10446000130750056364F71E1397270097C70100D1 +:10447000938747C0BA97984397C70100938787BF30 +:10448000BA9782879307D002A30BF100C9B79307AD +:104490000003A30BF10065BF02CC6247BA878A070D +:1044A000BA978607A297938707FD3ECC924783C7AA +:1044B00007003E849307F00263D6870293079003B8 +:1044C00063C28702924785073EC2C1BF82471387F6 +:1044D00047003AC09C433ECC39A0F247E3D907F6E7 +:1044E00002CEB5B70100F247E3D307F6E2473ECE6E +:1044F000FD573ECCA9BF825785073ED089BF824772 +:10450000138747003AC09C433247A2453E85029735 +:10451000B1A28247138747003AC0844389E497C415 +:1045200001009384A4B4F2476357F0040347710178 +:104530009307D0026301F704E247BE852685EF00AA +:1045400020772A87F247998F3ECE11A883477101C1 +:104550003247A2453E850297F247FD173ECEF2470D +:10456000E346F0FE09A8B247A2452285829785045A +:10457000F247FD173ECE83C704003E841DC0E247CC +:10458000E3C307FEE247FD173ECCE247E3DD07FC4D +:1045900009A8B247A245130500028297F247FD170A +:1045A0003ECEF247E347F0FE55A88A8782553E8506 +:1045B000CD3BAA872E883ED442D6A2573258C28716 +:1045C00063D90702B247A2451305D0028297A255CC +:1045D000325681470148B386B740368533B5A700C8 +:1045E0003307C840B307A7403E87B6873A883ED412 +:1045F00042D6A9473ED21DA8A9473ED215A0A14741 +:104600003ED239A885473ED0B247A24513050003E4 +:104610008297B247A245130580078297C1473ED2D1 +:104620008A8782553E8515332AD42ED61257834762 +:1046300071013E88F2472256B256A2453245DD3618 +:1046400031A8B247A2452285829709A8B247A24560 +:10465000130550028297C2473EC20100D9B3010040 +:10466000F2506254D2542161828041112AC6B707A8 +:1046700010F0938707F2324798C301A05D7106D608 +:104680002AC62EDA32DC36DEBAC0BEC2C2C4C6C604 +:104690009C0891173ECEF247BE86324681451705EB +:1046A00000001305E5AEA13B81473E85B250616134 +:1046B000828001112AC62EC41EC2A2473ECEF247F6 +:1046C0009C4332471377F70F2380E700F2479C4360 +:1046D00013871700F24798C30100056182801D719E +:1046E00006DE2AC62EC4B2C4B6C6BAC8BECAC2CC7A +:1046F000C6CE9C103ED25C084C081706000013067C +:1047000086FB7D779346F7FF0565130505803388A3 +:10471000A5003378E8001368783B23A007013295A1 +:10472000698F13677733D8C333F7D50093154701E3 +:1047300037870300130737394D8F98C73377D60073 +:10474000931647013707030013077706558FD8C71D +:104750000F100000B2473ED69C10A1173ED422573E +:104760005C083E857C00BA862246BE854939B24740 +:1047700023800700B2473E87B257B307F7403E8514 +:10478000F250256182804111370510F0130545F480 +:1047900008412AC6370510F0130505F408412AC45C +:1047A000370510F0130545F40841B248E39EA8FC14 +:1047B00032452A8381431317030081462245AA8587 +:1047C0000146B3E7B6003368C700370710F013059A +:1047D00087F48565938505FA0146B386B700B688E8 +:1047E000B3B8F8003307C800B387E8003E87B68740 +:1047F0003A881CC123220501010041018280411138 +:1048000006C651379307000873A047300100B24035 +:1048100041018280011106CE2AC617C50100130589 +:10482000E59AEFF0BFE50100F240056182800111D9 +:1048300006CE2AC617C501001305C599EFF01FE47F +:104840000100F24005618280797106D62AC602CE47 +:1048500029A00100F24785073ECE724785679387FE +:104860007738E3D8E7FE17C5010013052597EFF069 +:10487000FFE0F1BF411106C60D2A0100B24041011F +:104880008280011106CE02C675262A878547630CF1 +:10489000F70017C501001305E594EFF03FDEB247BE +:1048A00093E727003EC6B2473E85F240056182800D +:1048B000011106CE02C67D3F1707000013076704EB +:1048C000814605469305400617C50100130545932B +:1048D000EFE0BF9C2AC6B24799CBEFD0EFC6AA87BC +:1048E000014781463E8685453245EFE03FA102C43F +:1048F000EFD02FA581473E85F24005618280797116 +:1049000006D62AC697C70100938707AF9C43138733 +:10491000470697C70100938727AE98C397C7010042 +:104920009387C7AD9C431387470697C701009387BA +:10493000E7AC98C397C70100938707AC98439307E8 +:10494000F07C63D3E70497C701009387E7AA9C43F1 +:104950001387078397C70100938707AA98C3153762 +:104960002ACEF24799EB97C70100938727A998436E +:1049700085679387F77663DBE700F2473E85EFF0C4 +:10498000DFCEEFD0EFA321A0010011A00100B250B3 +:10499000456182807370043001A001008280411162 +:1049A0002AC62EC47370043001A0411106C69305B7 +:1049B000800C1305800CEFB03FF62A879757030051 +:1049C000938787D498C3975703009387E7D3130738 +:1049D000A00AD8C397570300938707D323A40700DF +:1049E00081451305800CEFB03FF32A8797570300EA +:1049F000938787D1D8C7975703009387E7D023A819 +:104A0000070097570300938727D023AA07009757DB +:104A10000300938767CF9C4397B501009385057F7B +:104A20003E85EFC04FFC975703009387E7CDDC47E7 +:104A300097B501009385857E3E85EFC0CFFA9757E5 +:104A40000300938767CC9C4399E7975703009387AC +:104A5000A7CBDC47A9CB814801488147014797563E +:104A60000300938666CA1306004097B5010093853C +:104A7000E57B170500001305C515EFC01F868148AB +:104A8000014881470147975603009386A6C813063D +:104A9000004097B501009385E579170500001305DF +:104AA0004513EFC09F830100B240410182807971BC +:104AB00006D62AC62EC48146014681453245EFB04E +:104AC0003FEA2A8785476318F700975703009387C3 +:104AD00067C3054798C302CE15A8814601468145A4 +:104AE0003245EFC0AF942A8785476308F700975790 +:104AF0000300938727C1054798C3A2479C431387A8 +:104B00001700A24798C3F24785073ECE7247930726 +:104B1000700CE3F4E7FC8146014681453245EFC065 +:104B2000EF902A8785476318F7009757030093870C +:104B300067BD054798C30100B25045618280797115 +:104B400006D62AC62EC48146014681453245EFC0AD +:104B5000EF8D2A8785476318F700975703009387DF +:104B600067BA054798C302CE15A88146014681451C +:104B70003245EFB0FFDE2A8785476308F700975775 +:104B80000300938727B8054798C3A2479C43138720 +:104B90001700A24798C3F24785073ECE7247930796 +:104BA000700CE3F4E7FC8146014681453245EFB0E5 +:104BB0003FDB2A8785476318F700975703009387E1 +:104BC00067B4054798C30100B2504561828079718E +:104BD00006D62AC6B2473ECEF247D8439307A00A6C +:104BE0006319F700F2479843F247A107BE853A855B +:104BF0007D3DF2479C438146014681453E85EFC09D +:104C0000EF822A8785476318F70097570300938739 +:104C100067AF054798C3F2479843F247A107BE859F +:104C20003A85313FF2479843F247A107BE853A855E +:104C3000BD3DD5B7411185473EC6975703009387C1 +:104C400067AC9C4391C302C6975703009387C7ABD9 +:104C50009847975703009387A7AC9C436314F700CA +:104C600002C619A897570300938707AA9847975732 +:104C700003009387E7AA98C3975703009387C7A8B1 +:104C8000D84B975703009387E7A99C436314F70019 +:104C900002C619A897570300938707A7D84B9757C1 +:104CA0000300938727A898C3B2473E8541018280BD +:104CB000B305B500930705006386B70003C7070077 +:104CC000631607003385A7406780000093871700AD +:104CD0006FF09FFE130101FD23229102232A51014F +:104CE000232611022324810223202103232E3101B4 +:104CF000232C4101232861012326710123248101F2 +:104D0000232291012320A101930A05009384050029 +:104D1000639E0638130406009309050017B90100C5 +:104D20001309C95163F8C512B7070100138B0500B9 +:104D30006378F6101307F00F3337C70013173700E7 +:104D4000B357E6003309F900834609003387E600CC +:104D500093060002B386E640638C0600B394D40049 +:104D600033D7EA003314D600336B9700B399DA00D7 +:104D7000935A040193850A0013050B00EF00902A53 +:104D80001309050093850A00931B040113050B000A +:104D9000EF00D02493DB0B0193040500930505007D +:104DA00013850B00EF00D0201319090193D70901D7 +:104DB000B367F900138A040063FEA700B387870076 +:104DC000138AF4FF63E8870063F6A700138AE4FF01 +:104DD000B3878700B384A74093850A001385040036 +:104DE000EF0050241309050093850A001385040081 +:104DF000EF00D01E93990901930405009305050067 +:104E00001319090113850B0093D90901EF00501AFA +:104E1000B36939011386040063FCA900B3093401A6 +:104E20001386F4FF63E6890063F4A9001386E4FFA8 +:104E300013140A013364C400130A00006F00001346 +:104E4000B707000113070001E36CF6EE13078001BA +:104E50006FF01FEF138A0600631A06009305000027 +:104E600013051000EF00901713040500B7070100A9 +:104E7000637EF4129307F00F63F48700130A800037 +:104E8000B35744013309F9000347090093060002B0 +:104E900033074701B386E64063940612B384844027 +:104EA000130A1000135B040193050B001385040023 +:104EB000EF0050171309050093050B00138504003C +:104EC000931B0401EF00901193DB0B019304050089 +:104ED0009305050013850B00EF00900D13190901D0 +:104EE00093D70901B367F900938A040063FEA70012 +:104EF000B3878700938AF4FF63E8870063F6A7000F +:104F0000938AE4FFB3878700B384A74093050B001F +:104F100013850400EF0010111309050093050B0021 +:104F200013850400EF00900B939909019304050089 +:104F3000930505001319090113850B0093D9090185 +:104F4000EF001007B36939011386040063FCA90060 +:104F5000B30934011386F4FF63E6890063F4A90002 +:104F60001386E4FF13940A013364C400130504009C +:104F700093050A008320C102032481028324410295 +:104F8000032901028329C101032A8101832A4101E6 +:104F9000032B0101832BC100032C8100832C4100D2 +:104FA000032D01001301010367800000B707000112 +:104FB000130A0001E366F4EC130A80016FF05FEC62 +:104FC0003314D40033DAE400B399DA0033D7EA00BB +:104FD000935A0401B394D40093850A0013050A0080 +:104FE000336B9700EF0010041309050093850A0046 +:104FF00013050A00931B0401EF00407E93DB0B01B5 +:10500000930405009305050013850B00EF00407A1B +:105010001319090113570B013367E900138A0400C0 +:10502000637EA70033078700138AF4FF6368870055 +:105030006376A700138AE4FF33078700B304A74011 +:1050400093850A0013850400EF00C07D1309050055 +:1050500093850A0013850400EF004078930405004F +:105060009305050013850B00EF00807413170B01E7 +:105070001357070113190901B367E90013870400E7 +:1050800063FEA700B38787001387F4FF63E88700F8 +:1050900063F6A7001387E4FFB3878700131A0A019A +:1050A000B384A740336AEA006FF0DFDF63ECD51EFC +:1050B000B707010063F4F6041307F00FB335D70008 +:1050C0009395350033D7B60097B70100938707173C +:1050D000B387E70003C70700130A00023307B700CE +:1050E000330AEA4063160A0213041000E3E096E86C +:1050F00033B6CA00134416006FF05FE7B70700012C +:1051000093050001E3E0F6FC930580016FF09FFB3F +:10511000B35CE600B3964601B3ECDC0033D4E400A4 +:1051200093DB0C01B397440133D7EA0093850B005E +:1051300013050400336BF700B3194601EF00806ECE +:105140001309050093850B0013050400139C0C0143 +:10515000EF00C068135C0C01930405009305050083 +:1051600013050C00EF00C0641319090113570B015C +:105170003367E90013840400637EA70033079701B7 +:105180001384F4FF636897016376A7001384E4FF38 +:1051900033079701B304A74093850B0013850400E0 +:1051A000EF0040681309050093850B001385040088 +:1051B000EF00C062930405009305050013050C0081 +:1051C000EF00005F93170B011319090193D7070133 +:1051D000B367F9001386040063FEA700B387970145 +:1051E0001386F4FF63E8970163F6A7001386E4FFD4 +:1051F000B387970113140401B70B01003364C40093 +:105200001389FBFF337D240133F92901B384A740BF +:105210009305090013050D00EF008059935C04010C +:1052200093050900130B050013850C00EF0040588F +:1052300093D90901130C05009385090013850C000F +:10524000EF000057130905009385090013050D00B1 +:10525000EF0000563305850193570B013385A700F6 +:10526000637485013309790193570501B3872701D9 +:1052700063E6F402E392F4BCB70701009387F7FFFB +:105280003375F50013150501337BFB0033964A0196 +:1052900033056501130A0000E37AA6CC1304F4FF7A +:1052A0006FF09FB9130A0000130400006FF01FCCC9 +:1052B000130101FB2324810423229104232E3103B3 +:1052C000232291032326110423202105232C4103AB +:1052D000232A5103232861032326710323248103F6 +:1052E0002320A103232EB101930C0500938905000F +:1052F0001304050093840500639E06261309060027 +:10530000138A060097BA0100938A4AF363F4C5141E +:10531000B70701006376F6129307F00F63F4C70036 +:10532000130A8000B3574601B38AFA0003C70A0084 +:105330001305000233074701330AE540630C0A00F6 +:10534000B395490133D7EC0033194601B364B70074 +:1053500033944C01935A090193850A001385040084 +:10536000EF00404C9309050093850A00131B0901C7 +:1053700013850400EF008046135B0B0193050500C5 +:1053800013050B00EF00C0429399090193570401E4 +:10539000B3E7F90063FAA700B387270163E62701A3 +:1053A00063F4A700B3872701B384A74093850A005D +:1053B00013850400EF0000479309050093850A0058 +:1053C00013850400EF0080411314040193050500C8 +:1053D0009399090113050B0013540401EF00403D9C +:1053E00033E48900637AA400330424016366240152 +:1053F0006374A400330424013304A44033554401EE +:10540000930500008320C104032481048324410404 +:10541000032901048329C103032A8103832A410349 +:10542000032B0103832BC102032C8102832C410235 +:10543000032D0102832DC1011301010567800000C6 +:10544000B7070001130A0001E36EF6EC130A8001AE +:105450006FF05FED631A060093050000130510005E +:10546000EF00C03713090500B7070100637AF90E92 +:105470009307F00F63F42701130A8000B357490123 +:10548000B38AFA0003C70A0013050002B384294156 +:1054900033074701330AE540E30E0AEA33194901AD +:1054A000B3DAE900B395490133D7EC00935409010D +:1054B000336BB70013850A0093850400EF00803634 +:1054C0009309050093850400931B090113850A00C5 +:1054D000EF00C03093DB0B019305050013850B0033 +:1054E000EF00002D9399090193570B01B3E7F900E1 +:1054F00033944C0163FAA700B387270163E62701C1 +:1055000063F4A700B3872701B38AA74093850400FB +:1055100013850A00EF00003193090500938504000C +:1055200013850A00EF00802B9305050013850B00FF +:10553000EF00002893150B019399090193D50501FC +:10554000B3E5B90063FAA500B385250163E625013B +:1055500063F4A500B3852501B384A5406FF09FDFF8 +:10556000B7070001130A0001E36AF9F0130A80018A +:105570006FF0DFF0E3E8D5E8B707010063FCF6045D +:10558000930BF00F33B5DB001315350033D7A600AE +:1055900097B70100938787CAB387E70083CB0700DB +:1055A00093050002B38BAB00338B7541631E0B0276 +:1055B00063E4360163EACC003384CC40B386D9403F +:1055C00033B58C00B384A640130504009385040012 +:1055D0006FF05FE3B707000113050001E3E8F6FA97 +:1055E000130580016FF09FFAB3966601335D760173 +:1055F000336DDD0033D47901B395690133DC7C016F +:1056000093540D01336CBC00130504009385040012 +:10561000B31A6601EF000021130A05009385040008 +:105620001305040033996C01931C0D01EF00001B5E +:1056300093DC0C01130405009305050013850C0091 +:10564000EF000017131A0A0113570C013367EA0021 +:10565000130A0400637EA7003307A701130AF4FFAF +:105660006368A7016376A700130AE4FF3307A70165 +:10567000B309A7409385040013850900EF00801A41 +:10568000938504001304050013850900EF0000153D +:10569000930505009304050013850C00EF004011ED +:1056A00093150C011314040193D50501B365B400DF +:1056B0001387040063FEA500B385A5011387F4FFDB +:1056C00063E8A50163F6A5001387E4FFB385A50190 +:1056D000131A0A01B70C0100336AEA001384FCFFB5 +:1056E000B3778A0033F48A00B384A540138507009A +:1056F000930504002326F100135A0A01EF00400B22 +:10570000930905009305040013050A00EF00400A01 +:1057100013DC0A01930D050093050C0013050A0024 +:10572000EF0000098327C100130A050093050C0050 +:1057300013850700EF00C0073305B50113D7090132 +:105740003307A7006374B701330A9A01B707010052 +:105750009387F7FF935507013377F7001317070176 +:10576000B3F7F900B3854501B307F70063E6B4006A +:10577000639EB400637CF90033865741B3B7C7001A +:10578000B385A541B385F54093070600B307F940FB +:105790003339F900B385B440B3852541339475019D +:1057A000B3D767013365F400B3D565016FF09FC5CA +:1057B000130605001305000093F615006384060028 +:1057C0003305C50093D5150013161600E39605FEA4 +:1057D000678000006340050663C605061386050062 +:1057E000930505001305F0FF630C060293061000F5 +:1057F000637AB6006358C00013161600939616001D +:10580000E36AB6FE1305000063E6C500B385C54034 +:105810003365D50093D6160013561600E39606FEA0 +:105820006780000093820000EFF05FFB13850500A6 +:10583000678002003305A04063D80500B305B0407F +:105840006FF0DFF9B305B04093820000EFF01FF96D +:105850003305A040678002009382000063CA050000 +:10586000634C0500EFF09FF7138505006780020089 +:10587000B305B040E35805FE3305A040EFF01FF636 +:085880003305B040678002000F +:02000004800278 +:1000000049444C4500000000482FFEFF102FFEFF22 +:100010001E2FFEFF2C2FFEFF342FFEFF5830FEFF59 +:100020002030FEFF2E30FEFF3C30FEFF4430FEFF4E +:10003000546D722053766300DC35FEFFDC35FEFF25 +:10004000DC35FEFF5A36FEFF2A36FEFF5036FEFF35 +:10005000DC35FEFFDC35FEFF5A36FEFF2A36FEFF9A +:10006000546D725100000000286E756C6C29000000 +:10007000DE43FEFFDC45FEFFD245FEFFDC45FEFF12 +:10008000DC45FEFFDC45FEFFDC45FEFF5C44FEFF79 +:10009000DC45FEFFDC45FEFF1444FEFF6A44FEFF24 +:1000A000DC45FEFF1E44FEFF2844FEFF2844FEFF01 +:1000B0002844FEFF2844FEFF2844FEFF2844FEFF9C +:1000C0002844FEFF2844FEFF2844FEFFDC45FEFFD7 +:1000D000DC45FEFFDC45FEFFDC45FEFFDC45FEFFA8 +:1000E000DC45FEFFDC45FEFFDC45FEFFDC45FEFF98 +:1000F000DC45FEFFDC45FEFFDC45FEFFDC45FEFF88 +:10010000DC45FEFFDC45FEFFDC45FEFFDC45FEFF77 +:10011000DC45FEFFDC45FEFFDC45FEFFDC45FEFF67 +:10012000DC45FEFFDC45FEFFDC45FEFFDC45FEFF57 +:10013000DC45FEFFDC45FEFFDC45FEFFDC45FEFF47 +:10014000DC45FEFFDC45FEFFDC45FEFFDC45FEFF37 +:10015000DC45FEFFDC45FEFFDC45FEFFDC45FEFF27 +:10016000DC45FEFFDC45FEFFDC45FEFFDC45FEFF17 +:100170008E44FEFF3A45FEFFDC45FEFFDC45FEFFF8 +:10018000DC45FEFFDC45FEFFDC45FEFFDC45FEFFF7 +:10019000DC45FEFF8644FEFFDC45FEFFDC45FEFF3E +:1001A0008E45FEFF9445FEFFDC45FEFFDC45FEFF6D +:1001B000A244FEFFDC45FEFF8845FEFFDC45FEFF56 +:1001C000DC45FEFFAC45FEFF6D69616F750A0000FE +:1001D0006D69616F75320A0068756E6772790A0021 +:1001E0004572726F7220696E20636F756E747365ED +:1001F0006D207461736B73200D0A000043686563A2 +:100200006B54696D65720000436F756E74696E673B +:100210005F53656D5F310000436F756E74696E6783 +:100220005F53656D5F320000434E543100000000A3 +:10023000434E543200000000000102020303030396 +:100240000404040404040404050505050505050566 +:100250000505050505050505060606060606060646 +:10026000060606060606060606060606060606062E +:100270000606060606060606070707070707070716 +:1002800007070707070707070707070707070707FE +:1002900007070707070707070707070707070707EE +:1002A00007070707070707070707070707070707DE +:1002B00007070707070707070808080808080808C6 +:1002C00008080808080808080808080808080808AE +:1002D000080808080808080808080808080808089E +:1002E000080808080808080808080808080808088E +:1002F000080808080808080808080808080808087E +:10030000080808080808080808080808080808086D +:10031000080808080808080808080808080808085D +:10032000080808080808080808080808080808084D +:08033000080808080808080885 +:100338001000000000000000017A5200017C010159 +:100348001B0D02004C000000180000008049FEFF51 +:10035800DC05000000440E30708903950781018890 +:100368000292049305940696089709980A990B9A9D +:100378000C0370020AC144C844C944D244D344D4CB +:1003880044D544D644D744D844D944DA440E00442A +:100398000B00000050000000680000000C4FFEFF3A +:1003A8000005000000440E507488028903930599E3 +:1003B8000B810192049406950796089709980A9A62 +:1003C8000C9B0D0320010AC144C844C944D244D33C +:1003D80044D444D544D644D744D844D944DA44DB39 +:0803E800440E00440B0000006C +:1003FC00AAAAAAAA08000000FC8F010009000000AC +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/countsem_rv32ic_O3.hex b/VexRiscv/src/test/resources/freertos/countsem_rv32ic_O3.hex new file mode 100644 index 0000000..c6ec268 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/countsem_rv32ic_O3.hex @@ -0,0 +1,1692 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000E1D2170502001305E552979503009385F9 +:1000A000A57B01461122170502001305E5499705B6 +:1000B0000200938565500146C52817A50300130566 +:1000C000E57997B503009385257937B6ABAB130671 +:1000D000B6BAD92817B1030013014178EF60602F39 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2823423A02200F32210340A +:1002400096DEEF00002B170102000321213302414B +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E23123A0120023A2A1 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40502717010200032138 +:10033000E1240241F65273901234B72200009382F6 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A22383A0A8 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2821223A0220086DEEF20F0 +:10046000602E17010200032161110241F6527390C0 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF407007EF10900A01E5B7 +:10050000B24041018280B24041016F20A023930795 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30B0207E +:1005B0008280411122C406C62A8426C2EF2030124E +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF2040586DD5EF2020783C44FD173C +:1005F0003CC43C44E344F0FEFD573CC4EF20701083 +:10060000EF20F00D7C40635BF0021C4885CB930427 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2000546DD5EF20E0735C +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20B00B29CD0111E8 +:1006500022CC2A8406CE26CA4AC84EC62E89EF204E +:10066000100824404C5C832904002685EF50103884 +:100670007D57232C0402B3079540CE974E9578C042 +:1006800048C0232434015CC438C4631109021C48E7 +:100690008DE7EF201007F2406244D2444249B2494C +:1006A0000545056182807370043001A013050401C3 +:1006B000B93D13054402A13DE9BF13050401EF2034 +:1006C000804A8547E317F5FC9133E1B701E5737084 +:1006D000043001A0011126CA4AC84EC606CE22CC5B +:1006E000AA842E89B289A5C9EF505030130555054B +:1006F000EF3020742A8435C5930745051CC144DCBE +:1007000023202405EF20A07D24404C5C0329040015 +:100710002685EF50B02DFD56232C0402B3079540DB +:100720003307A900CA9774C058C05CC434C4130509 +:10073000040123242401E13B13054402C93BEF20BB +:10074000407C230834052285F2406244D244424969 +:10075000B2490561828013054005EF30806D2A841F +:1007600001E57370043001A000C051BF01E5737052 +:10077000043001A06375B5007370043001A041110D +:1007800022C409462E84814506C6893711C500DD7D +:10079000B2402244410182807370043001A05D7137 +:1007A00086C6A2C4A6C2CAC04EDE52DC56DA5AD8E9 +:1007B0005ED632C6630A051C6382051C89476399AD +:1007C000F600585D85476305F7007370043001A09B +:1007D0003689AE842A84EF20C05B11E5B24781C719 +:1007E0007370043001A0EF20806F185C5C5C014BDB +:1007F000894BFD59130A0401930A44026365F70CFF +:1008000063077919B2476381072463150B00280831 +:10081000EF208048EF20E06EEF10604FEF20206C5B +:100820007C4063943701232204043C4463943701E1 +:1008300023240404EF20E06C6C002808EF20E0473C +:10084000631E051EEF20A069185C5C5C630CF70A50 +:10085000EF20206BEF20A0683C44634FF00039A8E4 +:100860005685EF20403019C1EF2020503C44FD1741 +:100870003CC43C446354F0005C50FDF32324340535 +:10088000EF202068EF20A0657C40634FF00039A87E +:100890005285EF20402D19C1EF20204D7C40FD17DF +:1008A0007CC07C406354F0001C48FDF323223405D7 +:1008B000EF202065EF10A06A054BEF204062185C26 +:1008C0005C5CE37FF7F230406305060C631F09129E +:1008D0000844A685EF30206E1C4434405840B6973B +:1008E0001CC463EAE70A1C5C14405850850714C412 +:1008F0001CDC5DC713054402EF20E0268547631129 +:10090000F50AC9A8EF20E05FB2455285EF206007E5 +:10091000EF20E05C3C44634FF00039A85685EF209F +:10092000802419C1EF2060443C44FD173CC43C4482 +:100930006354F0005C50FDF323243405EF20605C29 +:10094000EF20E0597C40634FF00039A85285EF203A +:10095000802119C1EF2060417C40FD177CC07C40A4 +:100960006354F0001C48FDF323223405EF20605946 +:10097000EF10E05E31F1593C054B81B73C41E38F0C +:1009800007E27370043001A07370043001A030409E +:1009900021E61C4085C71C5C585085071CDC39FBD0 +:1009A000EF2020560545B640264496440649F259A4 +:1009B000625AD25A425BB25B616182804840EF204A +:1009C00060491C5C58502322040085071CDCE3139B +:1009D00007F279D5253CE9B74844A685EF30A05DFC +:1009E0003C4058441440B307F0403E9758C4637CE1 +:1009F000D7025840BA975CC409475C506306E902C5 +:100A0000185C050718DCC9DFF5B54844A685EF304A +:100A1000805A3C4058441440B307F0403E9758C4B5 +:100A2000E37CD7FCF9B75C50185C79DB185C7D1768 +:100A300018DC185C050718DCA5D76DBD2285953E2E +:100A4000EF10E051014585B7EF20A04B0145A1BF54 +:100A5000411126C2AA841305400522C406C6EF3000 +:100A6000403D2A8415CD232C0502FD577CC1054746 +:100A7000410558DC3CC42322040023200400232425 +:100A80000400232604002320040423089404413492 +:100A900013054402AD3C8146014681452285013360 +:100AA0002285B24022449244410182800DC5411109 +:100AB00022C426C206C64AC0032945002A84EF2064 +:100AC000802C8144630CA900B2402244268502494F +:100AD0009244410182807370043001A05C448544DB +:100AE000FD175CC4F5F381460146814522854539F1 +:100AF000E1BF31CDA1C9011122CC26CA4AC852C4D6 +:100B000006CE4EC68947B68432892E8A2A846399D6 +:100B1000F600585D8547630FF7047370043001A039 +:100B20006D32185C5C5CAA896366F70281444E856D +:100B3000513AF240624426854249D244B249224A9F +:100B4000056182803C41C5DB7370043001A0737085 +:100B5000043001A0304041E21C40D5CB1C5C7D57E5 +:100B600085071CDC3C446387E7083C4485448507D3 +:100B70003CC475BF993A185C5C5CAA89636DF70246 +:100B8000304079DA4844D285EF30E0423C40584466 +:100B90001440B307F0403E9758C46375D7005840DF +:100BA000BA975CC48947E39BF4FA1C5CC5DB1C5C08 +:100BB000FD171CDC65B7304045D24844D285EF3084 +:100BC000803F3C4058441440B307F0403E9758C41F +:100BD000E367D7FCD9BFDDF40844D285EF30A03DF0 +:100BE0001C4434405840B6971CC4E3E9E7F61C4067 +:100BF0001CC4ADB75C5085449DDB13054402EF1067 +:100C0000907615D5E30509F2232099000DB74840E9 +:100C1000EF2040242322040091B721CD3C4181C71D +:100C20007370043001A01C41A1CB011122CC26CA53 +:100C30002A844AC84EC606CE2E894138185C5C5CB0 +:100C4000AA898144637DF7001C5C7D5785071CDC05 +:100C50003C446388E7023C44854485073CC44E8598 +:100C60009530F240624426854249D244B24905613A +:100C700082807370043001A05C41C5DB7370043066 +:100C800001A05C5099E38544D9BF13054402EF10DD +:100C9000906D75D9E30909FE85472320F900E5B772 +:100CA000397106DE22DC26DA4AD84ED652D456D224 +:100CB00032C66302051C638A051AB68AAE892A8485 +:100CC000EF20200D11E5B24781C77370043001A0F9 +:100CD000EF20E0201C5C63920714B247638E070A82 +:100CE0002808EF10707BFD5413094402130A040115 +:100CF000EF202021EF10A001EF20601E7C406394C4 +:100D00009700232204043C446394970023240404A2 +:100D1000EF20201F6C002808EF10307A63130518AD +:100D2000EF20E01B1C5CADCFEF20A01DEF20201BAF +:100D30003C44634FF00039A84A85EF10D06219C1D6 +:100D4000EF20A0023C44FD173CC43C446354F00037 +:100D50005C50FDF324C4EF20C01AEF2040187C4003 +:100D6000634FF00039A85285EF10F05F19C1EF1002 +:100D7000D07F7C40FD177CC07C406354F0001C4851 +:100D8000FDF364C0EF20E017EF10601DEF20201589 +:100D90001C5CC1E7B247A9FFEF20A016014555A092 +:100DA000EF2020161C40DDC7B2454A85EF10703D8C +:100DB000EF20E0123C44634FF00039A84A85EF1061 +:100DC000905A19C1EF10707A3C44FD173CC43C4462 +:100DD0006354F0005C50FDF324C4EF208012EF2038 +:100DE00000107C40634FF00039A85285EF10B057D7 +:100DF00019C1EF1090777C40FD177CC07C40635494 +:100E0000F0001C48FDF364C0EF20A00FEF10201588 +:100E100031C9EF20C00C1C5CB5DFCE85228544446F +:100E2000EFF04FF7639C0A041C5C1840FD171CDCB4 +:100E300001E7EF20801148C01C48A1EFEF20600CB3 +:100E40000545F2506254D2544259B259225A925A2C +:100E500021618280EF20A0084840EF109075EF20BC +:100E6000400A99B7EFF08FDA6DB73C41E38707E4AA +:100E70007370043001A07370043001A05C5044C44E +:100E8000D5DF13054402EF10104E4DD9EFF00FD807 +:100E900075B713050401EF10104D8547E310F5FAFF +:100EA000F5B72285EFF0EFF0EF10600B014551BF71 +:100EB0001DC9411122C44AC006C626C244412E891A +:100EC0002A84EF10506C6383A40281464A868145D0 +:100ED0002285F93385476300F502B24022449244EB +:100EE0000249410182807370043001A05C440545D1 +:100EF00085075CC4DDB75C4485075CC4F9BF15CDCC +:100F0000ADC9011122CC26CA4AC84EC652C42A8491 +:100F100006CE3289AE89EFF04FDB1C5C2A8A814411 +:100F200085E35285EFF00FDAF240624426854249AC +:100F3000D244B249224A056182807370043001A014 +:100F4000304001CE4C445C40B2954CC463E4F500A3 +:100F50000C404CC44E85EF3000061C5C7D57FD17DD +:100F60001CDC7C40638CE7007C40854485077CC0AA +:100F70004DBF3C41D9D77370043001A01C4899E3A0 +:100F8000854445B713050401EF10F03D75D9E30919 +:100F900009FE85472320F900E5B721C93C41A1CDD1 +:100FA000A1CB011122CC26CA4AC852C42A8406CE3B +:100FB0004EC62E8AEFF06FD11C5C2A89814491CBFA +:100FC0008329C400D2852285EFF0CFDC8544232617 +:100FD00034014A85EFF00FCFF24062442685424942 +:100FE000D244B249224A056182807370043001A064 +:100FF0007370043001A0EDDF7370043001A001E5CF +:101000007370043001A0411122C42A8406C6EF1077 +:10101000106D005CEF10F06EB24022852244410159 +:10102000828001E57370043001A0411106C622C41C +:101030002A84EF10D06A1C5C405C1D8CEF10706C31 +:101040002285B24022444101828019C1085D82801C +:101050007370043001A01DCD978703009387077C30 +:10106000D843630CE502D847630CE504D84B63070B +:10107000E504D84F6302E504D853630DE502D85761 +:101080006308E502D85B6303E502D85F630EE50001 +:101090006F20506C7370043001A001470E07BA979F +:1010A00023A007006F20106B1D47CDBF1947FDB768 +:1010B0001547EDB71147DDB70D47CDB70947F9BF64 +:1010C0000547E9BF684582806CC5828003450505F8 +:1010D000828009C5085D1335150082807370043065 +:1010E00001A019C51C5D485D1D8D1335150082805A +:1010F0007370043001A09787030093872772984389 +:1011000005C3984705C7984B05C7984F05C798531F +:1011100005C7985705C7985B15C7985F15C38280A8 +:1011200001470E07BA978CC3C8C382800547D5BF55 +:101130000947C5BF0D47F5B71147E5B71547D5B7FF +:101140001D47C5B71947F1BF978703009387076D00 +:10115000D8436308E502D847630BE502D84B630A1E +:10116000E502D84F6309E502D8536308E502D85772 +:101170006307E502D85B6308E502D85F6303A70253 +:10118000828001470E07BA9723A007008280054797 +:10119000D5BF0947C5BF0D47F5B71147E5B7154797 +:1011A000D5B71D47C5B71947F1BF411122C426C2A3 +:1011B0004AC02A8406C6AE843289EF105052784065 +:1011C000FD576314F700232204043844FD576314C9 +:1011D000F70023240404EF10D0521C5C99E74A86E0 +:1011E000A68513054402EF10D00CEF10504F3C447D +:1011F000635BF0025C5085CB9304440209A83C4435 +:10120000FD173CC43C446350F0025C5089CF2685F6 +:10121000EF1070156DD5EF1050353C44FD173CC4F0 +:101220003C44E344F0FEFD573CC4EF10904DEF10FA +:10123000104B7C40635BF0021C4885CB9304040197 +:1012400009A87C40FD177CC07C406350F0021C481C +:1012500089CF2685EF1030116DD5EF1010317C400D +:10126000FD177CC07C40E344F0FEFD577CC0224467 +:10127000B2409244024941016F10B0481DC17971DA +:101280004ECE52CC06D63E8A22D426D24AD056CA58 +:101290005AC85EC6A547BA8963F8E7007370043080 +:1012A00001A07370043001A02A8BAE843289B68B02 +:1012B000C28A6307082213050006EF2090372A84AC +:1012C000630B05200A09232855034A869305500A13 +:1012D0005685EF20505C03C704001C587119230A7F +:1012E000E40203C704003E991379C9FF79C783C795 +:1012F0001400A30AF40283C71400E1C383C72400C7 +:10130000230BF40283C72400CDCB83C73400A30B87 +:10131000F40283C73400D5C383C74400230CF4020E +:1013200083C74400D9CB83C75400A30CF40283C7FE +:101330005400C1C783C76400230DF40283C764004F +:10134000ADCF83C77400A30DF40283C77400B5C783 +:1013500083C78400230EF40283C78400B9CF83C7F8 +:101360009400A30EF40283C79400A1CB83C7A4000A +:10137000230FF40283C7A400A9C383C7B400A30F3B +:10138000F40283C7B40095CB83C7C4002300F404E0 +:1013900083C7C4009DC383C7D400A300F40483C7DC +:1013A000D40081CF83C7E4002301F40483C7E400A1 +:1013B00089C783C7F400A301F404930A4400568547 +:1013C000A30104042326340323283405232A040418 +:1013D000EFF02F9513058401EFF0AF94A947B38781 +:1013E0003741232C040400C81CCC40D02322040421 +:1013F000232E04045E86DA854A85EFF0AF8D08C09F +:1014000063040A0023208A007370043017F9010076 +:10141000130909158327090097F401009384041622 +:1014200091C798409C407C4385077CC317F7010017 +:101430001307C7131C43850797F6010023A8F6126C +:101440009C40C5CB8327090017FB0100130B4B1FE2 +:1014500089EB9C40DC5763E6F90097F7010023AF66 +:10146000871097F701009387A70E9C4317F7010099 +:101470001307470F48541843850797F6010023A91F +:10148000F60C3CC46376A70097F7010023ACA70CC9 +:10149000D145EF40B055D6855A95EFF0EF888327B8 +:1014A000090081C79C40FC43B5E38327090005443C +:1014B00099C79C40DC5763F43701EFE03FF5228584 +:1014C000B250225492540259F249624AD24A424BD3 +:1014D000B24B456182805685EF20D0277D54C5B739 +:1014E00013152600EF20F014AA8AE31605DC7D54BC +:1014F000F9B797F7010023A38708184385476301CD +:10150000F70217FB0100130BAB13A1BF98409440E7 +:101510007C43FD177CC3FC42C9FB7360043071B788 +:10152000014A17FB0100130BAB11A94B5285D145A2 +:10153000EF40D04B050A5A95EFE07FFDE3187AFFA4 +:1015400097FB0100938B4B1C5E85EFE05FFC17FA65 +:101550000100130AAA1C5285EFE07FFB17F501007A +:101560001305051DEFE0BFFA17F501001305851DF2 +:10157000EFE0FFF917F501001305051EEFE03FF955 +:1015800097F7010023AA77FF97F7010023A447FFED +:10159000C9BD411122C406C626C24AC02A8473703E +:1015A000043097F401009384A4FB9C40F9E311E418 +:1015B00097F70100938787FC8043130944004A850D +:1015C000EFE01FFB1C5489C713058401EFE05FFAAD +:1015D000CA8517F501001305E516EFE0FFF497F74C +:1015E00001009387E7F8984397F70100938747F640 +:1015F0009C43050797F6010023ACE6F69840850763 +:1016000097F6010023A6F6F401CB97F70100938724 +:10161000E7F69843784349EF9C40B1C797F701003C +:101620009387C7F59843630F870873700430984019 +:1016300039EB17F70100130727F414439442A5E28E +:101640007D5797F6010023A3E6F0984009CF984311 +:10165000784311CB984394437C43FD177CC3FC42F1 +:1016600099E373600430B24022449244024941013C +:10167000828097F70100938767F098439C437C43EF +:1016800085077CC32DB794439843F8420507F8C2F9 +:1016900017F70100130747EE14439442D5D21843BD +:1016A00058475847584397F6010023A1E6EA71BF0F +:1016B000984394437C43FD177CC3FC42B1FF7360A5 +:1016C000043099BF97F70100938707E89C4381C7CF +:1016D0007370043001A02244B24092440249410197 +:1016E0006FE0DFD241C517F70100130727E9144364 +:1016F0008147638BA606411106C622C426C27370B9 +:10170000043097F601009386A6E59C4291C71043EA +:101710001C437C4285077CC29C42AA84404981C705 +:101720001C43FC43B9E797F701009387E7E49C4328 +:10173000638B870497F701009387C7E39C43638417 +:10174000870497F70100938727016309F40297F74D +:101750000100938727FF6301F4028547B2402244CA +:1017600092443E85410182803E85828073700430C0 +:1017700001A0EF40703B45BF9147CDB79C5493B754 +:1017800017008907E1BF8947D1BF7370043097F70D +:1017900001009387E7DC984309EF15C59C43485542 +:1017A00081CB97F70100938767DD9843784315E372 +:1017B000828017F70100130767DC14431843F842CF +:1017C0000507F8C261FD17F70100130727DB08437F +:1017D000F1B7984394437C43FD177CC3FC42E9FB7B +:1017E000736004308280411122C42A8406C6EFE06F +:1017F000DFCD11E497F70100938747D88043405429 +:10180000EFE05FCCB2402285224441018280A547AF +:1018100063F5B7007370043001A0011122CC06CE2D +:1018200026CA4AC84EC652C42A847370043017F9B7 +:1018300001001309E9D283270900CDEF71C43C48A8 +:101840006388F50817F70100130747D363FCB70057 +:101850001443638C860C18434457B3B4950093C467 +:10186000140029A00443818C93B41400585463835A +:10187000E70A1C4C2CC863C60700A947B385B740CC +:101880000CCC93172700BA97584897F90100938911 +:1018900029DB8A07CE97631AF702130A44005285A0 +:1018A000EFE01FCD97F701009387C7CB48549C43C7 +:1018B00063F6A70097F7010023A6A7CAD145EF401A +:1018C000F012D2854E95EFE03FC699C0EFE01FB40D +:1018D0008327090081CB97F70100938727CA9C4390 +:1018E000FC439DEBF2406244D2444249B249224A51 +:1018F0000561828097F70100938747C898439C430E +:101900007C4385077CC305FC97F70100938707C7D5 +:10191000804335B74CD4B1BF6244F240D24442490F +:10192000B249224A05616F403020814481B725C108 +:10193000011126CA97F40100938444C49C4006CE4A +:1019400022CC4AC84EC6638DA7027370043017F9C3 +:1019500001001309E9C08327090091C798409C4002 +:101960007C4385077CC3584997F701009387C7DEFE +:101970006302F7028327090081C79C40FC43A5EB63 +:10198000F2406244D2444249B24905618280737098 +:10199000043001A01C5517F70100130767D9E38B2A +:1019A000E7FCE9FB930945002A844E85EFE05FBC24 +:1019B00097F70100938707BB48549C4363F6A70041 +:1019C00097F7010023A0A7BAD145EF40300297F75F +:1019D00001009387E7C63E95CE85EFE0FFB49C40BB +:1019E0005854DC57E368F7F8EFE05FA261B7624450 +:1019F000F240D2444249B24905616F40F01215CD20 +:101A0000011122CC26CA4EC62A8406CE4AC8EFE06F +:101A1000DFAB584897F70100938707D4AA89814420 +:101A20006300F7024E85EFE0FFA9F240624426858D +:101A30004249D244B249056182807370043001A0EA +:101A40001C5417F501001305A5CEE38DA7FCF9FB87 +:101A500097F70100938747AF9C43A9EB97F70100E5 +:101A60009387C7B19C43445413094400DC574A850B +:101A7000B3B4F400EFE0DFAF97F70100938787AED0 +:101A800048549C4393C4140063F6A70097F70100E1 +:101A900023AAA7ACD145EF40607597F70100938763 +:101AA00027BACA853E95EFE03FA8ADBF93058401F4 +:101AB000EFE09FA785BF0111056506CE22CC26CA9F +:101AC0004AC84EC652C456C25AC0EF208036630E72 +:101AD000051AAA8413050006EF20A0352A84630A9C +:101AE000051C05669305500A04D92685EF20A05AE7 +:101AF00004588567F117BE94B7474C4593879744C0 +:101B0000130A44005CD85285230C0402A301040488 +:101B10002326040223280404232A0404EFE07FA0E0 +:101B200013058401EFE0FF9FA947232C0404F198DB +:101B300000C81CCC40D023220404232E04040146F8 +:101B4000971500009385E5B12685EFE0BF9808C0A2 +:101B50007370043017F90100130989A08327090065 +:101B600097F40100938484A191C798409C407C43E2 +:101B700085077CC317F701001307479F1C438507A0 +:101B800097F6010023A4F69E9C40C9C38327090051 +:101B900097F901009389C9AA81EB9C40DC5789E73A +:101BA00097F7010023AC879C97F701009387479A2A +:101BB000984397F601009386E69A5C549442050791 +:101BC00017F601002326E69838C463F6F60017F7E7 +:101BD00001002329F798139527003E950A05D28521 +:101BE0004E95EFE07F948327090081C79C40FC431A +:101BF000DDE78327090091C39C40EF10F04985473A +:101C00006305F50C59E17370043001A097F70100EA +:101C100023A687961843854797F90100938949A21F +:101C2000E314F7F8CE8A17FB0100130BEBAD5685D2 +:101C3000D10AEFE0DF8DE31C5BFF17F50100130510 +:101C4000A5ACEFE0DF8C97FA0100938A2AAD5685A8 +:101C5000EFE0FF8B17F50100130585ADEFE03F8B3B +:101C600017F50100130505AEEFE07F8A17F50100B7 +:101C7000130585AEEFE0BF8997F7010023AE678FAC +:101C800097F7010023A8578F05B7F2406244D2446A +:101C90004249B249224A924A024B056182809840E9 +:101CA00094407C43FD177CC3FC42A1F77360043071 +:101CB00089B76244F2404249B249224A924A024BF1 +:101CC0002685D24405616F20E02873700430FD57EB +:101CD00017F70100232CF786624497F7010023A130 +:101CE000A788F240D2444249B249224A924A024B62 +:101CF00097F7010023AA078605616FE08FDA7370FA +:101D0000043097F7010023AD07846FE0CFE717F7A2 +:101D10000100130767831C4385071CC3828073700F +:101D2000043097F701009387A783984305E317F7DB +:101D300001001307678308439C4381CB97F7010099 +:101D40009387C7839843784301EF828017F7010098 +:101D50001307C78214431843F8420507F8C2C1BFEE +:101D6000984394437C43FD177CC3FC42F9FF7360A6 +:101D700004308280411106C622C4EFE00FF597E7D8 +:101D800001009387677E8043EFE0CFF3B240228566 +:101D900022444101828097E701009387277D884391 +:101DA0008280797106D622D426D24AD04ECE52CC29 +:101DB00056CA5AC85EC697E701009387E7789C43E6 +:101DC000B1EF17E701001307277A1C43850797E651 +:101DD000010023ABF678832A0700638F0A0697E792 +:101DE00001009387A7769C43814463F1FA0897F931 +:101DF00001009389E98417EA0100130A2A788327EE +:101E00000A008546D85793172700BA978A07BE99C4 +:101E100083A7090063FFF600854421A897E7010026 +:101E20009387C7739C438144850717E701002327E5 +:101E3000F77297E70100938727729C4391C385440B +:101E4000B2502254268502599254F249624AD24A2B +:101E5000424BB24B4561828017E401001304C47108 +:101E60001C409C43DDC37370043001A017E40100E3 +:101E70001304847097E901009389897C17EA0100B3 +:101E8000130ACA6F17EB0100130BCB6D1C409C4368 +:101E9000B5C31C40DC4703A9C70083274900930B47 +:101EA00049005E8563EEFA04EFE08FEC8327890238 +:101EB0001305890199C3EFE0AFEB8327C90203271C +:101EC0000B00DE85139527003E950A054E95637637 +:101ED000F70017E701002327F768EFE0EFE4832717 +:101EE0000A000327C902DC57E362F7FA1C40854465 +:101EF0009C43C5F3FD5717E701002329F76401B799 +:101F000017E701002324F764DDBD17E7010013077D +:101F100067661C40184397E6010023AFE66417E7A5 +:101F200001002329F76497E701009387A7629C4388 +:101F3000850717E70100232FF7601C409C4399E7B2 +:101F4000FD5717E701002323F76051BD1C40DC4714 +:101F5000DC47DC4317E70100232AF75E49B597E722 +:101F600001009387675E984301E77370043001A016 +:101F7000011106CE22CC26CA4AC84EC652C456C249 +:101F80005AC07370043097E401009384645D9840F4 +:101F900061EB98437D1797E6010023A7E65A9C431F +:101FA000D9EB97E701009387675C9C43C9C797EA21 +:101FB0000100938AEA6817EA0100130AAA5A17E98E +:101FC00001001309A95B854919A83385EA00EFE0F0 +:101FD000AFD5832709005854DC576379F70483A7EA +:101FE0000A0FC1CF83A7CA0FC04713058401EFE0D2 +:101FF0002FD8130B44005A85EFE08FD75C5483260B +:102000000A00DA85139527003E950A052A8756951A +:10201000E3FDF6FA17E701002326F754EFE0CFD0EF +:10202000832709005854DC57E36BF7FA97E7010060 +:1020300023A437536DB701449C4081CB97E701003F +:102040009387C7539C43FC4395E72285F240624443 +:10205000D2444249B249224A924A024B05618280E7 +:1020600017E701001307875114431843F842050787 +:10207000F8C205B7EF40402BC9BF17E401001304B5 +:10208000E44D1C4095C31C40054999CF193B09C537 +:1020900097E7010023A2274D1C40FD1717E7010019 +:1020A000232EF74A1C40FDF397E701009387C74AA8 +:1020B00098438547E311F7F8EFE04FB50544ADBF0E +:1020C00011CD81E57370043001A017E701001307FB +:1020D000A7471C4399C77370043001A07370043084 +:1020E00001A0411122C406C614431841850617E613 +:1020F0000100232BD64497E601009386E6469442DE +:102100003304B70000C163F7E602637FE40063FDB8 +:10211000860097E701009387674688431105EFE043 +:102120002FC52285EF40001A1D3D01C9B24022444F +:1021300041018280E36FE4FCD9BF2244B2404101F7 +:102140006FE0CFAC11C917E701001307E73F1C434D +:1021500091C77370043001A06FE04FAB411106C608 +:1021600022C41843AA87050797E6010023AEE63C80 +:1021700097E601009386864017E701001307C73EE4 +:10218000184388423304F7001105EFE06FBE228543 +:10219000EF404013E93311E52244B24041016FE0C2 +:1021A000EFA6B240224441018280411122C406C6FA +:1021B00097E70100938747399C430144850717E758 +:1021C00001002323F73897E701009387273A9C43C0 +:1021D00063E0F51217EE0100130E4E5297E2010074 +:1021E0009382424501440147854F1303500A21A0C1 +:1021F000311E638DC20B83278EFFBA86F5DB8327E2 +:10220000CEFFDC43232EFEFE638AC70F03AFC70059 +:10221000DC432A97814E232EFEFE6385C70783A8E1 +:10222000C70093874803232017015CC383A7880452 +:102230002326F7011CC783A7C8021CCB83A7080568 +:10224000232C07005CCB03A8080383470800639096 +:102250006704C287850783C60700B3850741E38B00 +:1022600066FE8981C205C181231EB700850E130752 +:10227000070263061F038327CEFFDC43232EFEFEE7 +:10228000E39FC7F983274E00232EFEFE49BF8145F9 +:10229000231EB700850E13070702E31E1FFD769469 +:1022A00013175400311EBA86E397C2F597E7010071 +:1022B0009387872C03AE070083270E00639D070ECC +:1022C00097E701009387072B03AE070083270E00D3 +:1022D0006392071817EE0100130E8E3683274E10F7 +:1022E0009DE383278E116395072019C223200600E2 +:1022F000BD312285B24022444101828083274E00B5 +:10230000232EFEFE21B783278E1017EF0100130F37 +:10231000EF43DC4317E701002328F7426380E733EC +:1023200083A2C700DC43AA96814E17E70100232D44 +:10233000F740914F1303500A6388E70783A8C7004B +:102340009387480323A01601DCC283A7880423A631 +:10235000F6019CC683A7C8029CCA83A7080523ACC4 +:102360000600DCCA03A80803834708006391672AB4 +:10237000C287850703C70700B3850741E30B67FEE4 +:1023800093D72500C207C183239EF600850E93864E +:1023900006026386122983278E10DC4317E70100AB +:1023A0002324F73AE39CE7F983270E1117E701008E +:1023B000232CF73861B783274E00130F8E00D443C8 +:1023C0002322DE006388E629B307E500D84283A212 +:1023D000C600814E2322EE00894F1303500A630684 +:1023E000EF068328C7001387480323A01701D8C32B +:1023F00003A7880423A6F70198C703A7C80298CBB0 +:1024000003A7080523AC0700D8CB03A8080303479C +:1024100008006318671C4287050783460700B30559 +:102420000741E38B66FE13D7250042074183239EB5 +:10243000E700850E93870702638D121B83264E00EB +:10244000D8422322EE00E31EEFF80327CE0023221A +:10245000EE0041BF83274E00130F8E00DC43232282 +:10246000FE006384E71F83A2C700DC43AA96814E67 +:102470002322FE00894F1303500A6306FF0683A838 +:10248000C7009387480323A01601DCC283A78804F2 +:1024900023A6F6019CC683A7C8029CCA83A7080589 +:1024A00023AC0600DCCA03A8080383470800639630 +:1024B0006710C287850703C70700B3850741E30B91 +:1024C00067FE93D72500C207C183239EF600850EC1 +:1024D00093860602638B120F83274E00DC43232270 +:1024E000FE00E31EFFF88327CE002322FE0041BF3B +:1024F0008327CE1197EE0100938E8E26DC4317E7DB +:102500000100232DF7246384D71383AFC700DC4376 +:102510003307D500014397E6010023A1F6240D4FB0 +:1025200089429308500A6387D707C8479307450332 +:1025300008C35CC33C452326E7011CC75C551CCB84 +:102540001C5599C3232657003C49232C07005CCB1C +:10255000032805038347080063951705C28785078D +:1025600083C60700B3850741E38B16FF93D7250089 +:10257000C207C183231EF700050313070702638AFE +:10258000AF028327CE11DC4397E6010023A8F61C97 +:10259000E39DD7F983274E1297E6010023A0F61C8E +:1025A00069B78147231EF700050313070702E39A63 +:1025B000AFFC1A94E31C06D225BB8147239EF6008C +:1025C000850E93860602E39912F117EE0100130EB1 +:1025D0002E0783274E10769493165400E38307D07A +:1025E0001DB30147239EE700850E93870702E397FB +:1025F00012E597E701009387E7F703AE07007694AB +:102600009316540083270E00E38607CCA1B58147BB +:10261000239EF600850E93860602E39E12D783273B +:102620008E11769493165400E38107CCD1B583279D +:102630004E1217E701002323F712C1BD83270E11A5 +:1026400017E701002322F710E1B98327CE002322E8 +:10265000FE0011BD8326CE002322DE00B5B37971C2 +:1026600026D24AD04ECE52CC56CA5AC85EC606D6DC +:1026700022D497E90100938929ED17E90100130994 +:1026800029FC17EA0100130AAAED97EA0100938AD0 +:10269000EAEE97EB0100938B6BED97E401009384D6 +:1026A00024ED054B21A883A70900032449108507C1 +:1026B00017E70100232AF7E85D3011E89C40E5F7B1 +:1026C00083270900E37CFBFEEFD05FD4C5BF7370A6 +:1026D000043083270A00B9E383270911C047130593 +:1026E0004400EFD0FFE883A70B00FD1717E70100B8 +:1026F000232EF7E69C40FD1717E70100232AF7E693 +:1027000083270A0089C783A70A00FC4385E308588A +:10271000EF1050042285EF10F0034DB703A70A0015 +:1027200083A70A007C4385077CC37DB703A70A0003 +:1027300083A60A007C43FD177CC3FC42E9FB73605F +:102740000430F1B797E70100938707E09C43DDE78A +:10275000411106C622C426C297E7010023AE07DE58 +:1027600017E70100130787E11C43B7A6A5A59386C9 +:10277000565A9C5B8C43639BD500D0436318B600CC +:1027800094476395C600DC476388D70008430C4331 +:1027900093854503EF20607317E70100130787DC7B +:1027A000144317E401001304A4E911A81C43A9CFA2 +:1027B0001C43FD1797E6010023A6F6DA144393970E +:1027C0002600B6978A07A2979C43EDD30843931738 +:1027D0002500AA978A073307F4005443A107A2975C +:1027E000C44244C36385F402DC44B240224417E788 +:1027F00001002325F7D8924441018280854717E7DD +:102800000100232BF7D482807370043001A0C440F0 +:10281000D145EF30B01D229544C1F9B701114AC826 +:1028200006CE22CC26CA4EC62A897370043097E49D +:1028300001009384E4D29C4017E40100130404D403 +:10284000D9E76314090003290400930949004E8560 +:10285000EFD01FD28327890289C713058901EFD0E2 +:102860003FD1CE8517E50100130505EFEFD0DFCB93 +:102870009C4081C71C40FC43ADE31C40638B270791 +:102880009C409DCF737004309C40C1EB97E70100E2 +:10289000938787CE9843184325EBFD5717E7010030 +:1028A0002326F7CA9C4089CF1C40FC4391CB18409B +:1028B00014407C43FD177CC3FC4299E373600430F1 +:1028C000F2406244D2444249B249056182801840D4 +:1028D0001C407C4385077CC3ADB7184014407C4343 +:1028E000FD177CC3FC42D1FB736004301C40E399AC +:1028F00027F99C40A1C397E701009387E7C49C4355 +:10290000ADCB7370043001A09C43DC47DC47DC4353 +:1029100017E70100232CF7C271B718401C407C4315 +:1029200085077CC397E70100938707C59843184341 +:102930002DD7D9BF97E70100938747C317E7010059 +:10294000130707D09C4303278711631DF700F2404C +:10295000624497E7010023A307C2D2444249B24927 +:10296000056182806244F240D2444249B249056125 +:10297000D1BB6244F240D2444249B24905616FD0B2 +:10298000FFA83DC9411126C297E40100938404BF0A +:102990004AC02E898C4006C622C4E105EFD05FBA3A +:1029A00088401105EFD0DFBCFD57630AF90497E7B3 +:1029B0000100938767BB804398409C434A9440C37F +:1029C000636CF40497E70100938707BB88438C404E +:1029D0009105EFD0FFB697E70100938727B79C4397 +:1029E0006376F40097E7010023A287B6B240224441 +:1029F00092440249410182807370043001A08C40EE +:102A00002244B2409244024917E501001305C5D49F +:102A1000910541016FD05FB197E70100938787B5BA +:102A2000884322448C40B2409244024991054101BE +:102A30006FD01FB111C997E701009387E7B09C439E +:102A400099E77370043001A07370043001A0411144 +:102A500026C297E40100938464B29840AE878C400C +:102A6000B7060080D58F06C622C41CCFE10532848C +:102A7000EFD09FAB88401105EFD09FAFFD57630E9D +:102A8000F40097E70100938727AE8843B240924451 +:102A90002295224441016F30F0028C402244B24022 +:102AA000924417E50100130525CB910541016FD034 +:102AB000BFA725CD411126C297E40100938404AC41 +:102AC0004AC02E898C4006C622C4E1053284EFD06C +:102AD000BFA588401105EFD0BFA98547630AF4045C +:102AE00097E70100938747A8804398409C434A9406 +:102AF00040C3636CF40497E701009387E7A788431A +:102B00008C409105EFD0DFA397E70100938707A4DE +:102B10009C436376F40097E7010023A987A2B240A3 +:102B2000224492440249410182807370043001A022 +:102B30008C402244B2409244024917E5010013053B +:102B4000A5C1910541016FD03F9E97E70100938792 +:102B500067A2884322448C40B240924402499105C6 +:102B600041016FD0FF9D411106C622C426C25C45BB +:102B7000C04735CC930484012685EFD07F9F97E72B +:102B800001009387679C9C43B5E793044400268526 +:102B9000EFD01F9E97E701009387C79C58549C4332 +:102BA00063F6E70097E7010023AEE79A9317270043 +:102BB000BA978A0717E50100130585A8A6853E95F3 +:102BC000EFD09F9697E701009387479B9C4358540B +:102BD0000145DC5763F8E700854717E70100232D1F +:102BE000F7960545B24022449244410182807370B9 +:102BF000043001A0A68517E50100130565B3EFD0E9 +:102C0000BF92C9B797E70100938707949C4381E778 +:102C10007370043001A0411106C622C426C2B70752 +:102C200000804045CD8F1CC12DC4EFD07F9493040C +:102C300044002685EFD0DF9397E7010093878792C2 +:102C400058549C4363F6E70097E7010023ACE790F4 +:102C500093172700BA978A0717E501001305459EC9 +:102C60003E95A685EFD05F8C97E70100938707918B +:102C70009C4358540145DC5763F8E700854717E744 +:102C80000100232BF78C0545B240224492444101B8 +:102C900082807370043001A011CD97E70100938703 +:102CA000678B984397E701009387078C9C4318C173 +:102CB0005CC182807370043001A055C1D1CD411137 +:102CC00006C622C42A877370043097E801009388EF +:102CD000288983A7080091CB97E701009387078A8B +:102CE00094439C43FC428507FCC217E30100130395 +:102CF000A3879C4103260300FD560144638FD7023E +:102D000017EE0100130E0E8503250E0003280700A1 +:102D100054436305A80005446371D6023308D640C6 +:102D20000544637CF80003250E00918F0326030001 +:102D3000B6979CC108C350C3014483A7080081CB48 +:102D400097E70100938787839C43FC4381EF2285AB +:102D5000B2402244410182807370043001A073703C +:102D6000043001A0EF30405CDDB7854717D7010084 +:102D70002324F77E828019C16845828001458280C4 +:102D800011C16CC5828097D701009387277F884344 +:102D9000828097D701009387A77C9C43054589CB08 +:102DA00097D701009387477A8843133515000605A6 +:102DB000828031CD011126CA97D401009384047C0E +:102DC0009C4006CE22CC4AC84EC65855DC57637983 +:102DD000F7021C4D63C707009C40D457A947958F45 +:102DE0001CCD93172700BA97584917E9010013091A +:102DF00029858A07CA97630DF7009C40DC575CD58C +:102E0000F2406244D2444249B249056182808280E4 +:102E1000930945002A844E85EFD08FF59C4017D743 +:102E20000100130727741843DC575CD46376F7005E +:102E300017D701002328F772139527003E956244A7 +:102E40000A05F240D244CE854A95B249424905610D +:102E50006FD08FED19CD411106C622C426C297D777 +:102E600001009387A77180436307A4007370043047 +:102E700001A0014582807C4881E77370043001A085 +:102E800054543848FD177CC86383E60099C7014550 +:102E9000B24022449244410182809304440026853A +:102EA000EFD00FED284897D701009387A76B984381 +:102EB000A947898F48D41CCC6376A70097D7010017 +:102EC00023A2A76AD145EF30603297D701009387DC +:102ED00027773E95A685EFD02FE5054555BF737042 +:102EE000043097D701009387A7679C4391CB97D76E +:102EF00001009387A76898439C437C4385077CC364 +:102F0000828097D701009387A7659C438DC397D78D +:102F100001009387A7669843784311CB9843944365 +:102F20007C43FD177CC3FC4299E3736004308280CC +:102F300097D7010093878764904394439843A947A8 +:102F4000D456084E958F1CCF828097D70100938767 +:102F5000E762984309C79443F84A0507F8CA8843CB +:102F6000828079714AD006D622D426D24ECE2A89C2 +:102F70007370043097D401009384845E9C4017D40E +:102F800001001304A45FBDE31C40BC4F89E71C4053 +:102F90000547F8CFD1E19C4081C71C40FC43F1EBD1 +:102FA000737004309C408DEF1C40A84F11C5630422 +:102FB00009021C4023AC07041C4023AE07049C40BC +:102FC00081C71C40FC438DEBB250225492540259ED +:102FD000F2494561828018403C4FFD173CCFE9BF64 +:102FE00018401C407C4385077CC37DBF18401C40B3 +:102FF0007C4385077CC349BF184014407C43FD17C0 +:103000007CC3FC42F1F373600430B25022549254FA +:103010000259F2494561828008402EC61105EFD061 +:103020002FD5B245FD576380F50697D701009387EA +:10303000A75383A9070018409C43AE992322370168 +:1030400063ECF90497D701009387075388430C403A +:103050009105EFD0EFCE97D701009387274F9C4380 +:1030600063F6F90097D7010023A2374FEFD00FBACC +:103070001DB7184014407C43FD177CC3FC428DF300 +:103080007360043031BF0C4017D501001305C56CC7 +:103090009105EFD06FC9D9BF97D701009387874DAE +:1030A00088430C409105EFD0AFC9C9B779714AD0B8 +:1030B0004ECE06D622D426D252CC2E89B289737037 +:1030C000043097D401009384A4499C4017D4010094 +:1030D0001304C44AF9EF18408947784F630CF7008E +:1030E0001C401345F5FFB84F798DA8CF1C4005470C +:1030F000F8CFADE69C4081C71C40FC43F5E37370FC +:1031000004309C40B1E7638609001C40BC4F23A0FB +:10311000F900184085470145784F630BF7001C40C4 +:103120001349F9FF0545B84F3379E90023AC27056A +:103130001C4023AE07049C4081C71C40FC43C1E3F4 +:10314000B250225492540259F249624A4561828037 +:1031500018401C407C4385077CC375B7084036C6C1 +:103160001105EFD0EFC0B246FD576385F60897D73B +:1031700001009387673F03AA070018409C43369AD3 +:10318000232247016363FA0897D701009387C73E5C +:1031900088430C409105EFD0AFBA97D701009387D1 +:1031A000E73A9C436370FA0697D7010023A0473B98 +:1031B00091A818401C407C4385077CC329BF184058 +:1031C00014407C43FD177CC3FC42BDFB736004309C +:1031D000B250225492540259F249624A45618280A7 +:1031E000184014407C43FD177CC3FC4289FB73608C +:1031F000043031B70C4017D501001305E555910592 +:10320000EFD08FB2EFD08FA0F5B597D7010093879D +:10321000673688430C409105EFD08FB2E5B751C1B6 +:10322000411106C622C426C24AC07370043097D426 +:1032300001009384E4329C40B9EB99C23C4D9CC29E +:10324000B2878946704D74CD2E872A846386D7064F +:1032500063FCF6048D456387B70091456395B7001D +:103260006300D60C38CC8547630FF60405449C40B8 +:1032700081CB97D70100938767309C43FC43A9E338 +:103280002285B2402244924402494101828097D76C +:1032900001009387A72E98439C437C4385077CC3FA +:1032A00069BF7370043001A08546E39ED7FA3C4D98 +:1032B00033E7B70038CD45BF3C4D85073CCD65B7FA +:1032C000EF30800675BF130944004A85EFD04FAA3E +:1032D00097D701009387072948549C4363F6A700BA +:1032E00097D7010023A0A728D145EF20307097D7AA +:1032F00001009387E7343E95CA85EFD0EFA21C54B6 +:1033000081C77370043001A097D701009387072706 +:103310009C435854DC57E3FBE7F4EFD02F8FB9B749 +:103320000144B1B739CD011122CC26CA4AC84EC6D4 +:1033300052C456C206CEBA89368AB2842E892A84ED +:10334000EFD0AF98AA8A63050A003C4C2320FA000C +:10335000784C89477CCC6389F40263F4970C8D46E2 +:103360006387D40091466396D400630AF70A232C3E +:1033700024058547631EF7061C5499CB73700430EF +:1033800001A07370043001A03C4C85073CCCD5B73C +:1033900097D701009387471B9C43ADEB93044400F0 +:1033A0002685EFD0EF9C97D701009387A71B485441 +:1033B0009C4363F6A70097D7010023A5A71AD14520 +:1033C000EF20D06297D7010093878727A6853E9587 +:1033D000EFD08F9597D701009387471A9C43585495 +:1033E000DC5763F7E70063850900854723A0F900F0 +:1033F00005445685EFD00F8D2285F2406244D244B9 +:103400004249B249224A924A056182809305840169 +:1034100017D501001305C531EFD00F9165BF0144E9 +:10342000C9BF8547E397F4F43C4C33E92701232CCB +:10343000240581B74DC1011122CC26CA2A844EC66B +:1034400006CE4AC8AE84EFD04F888947744C7CCCF6 +:103450003C4C0547AA8985073CCC6395E6061C547D +:1034600081C77370043001A097D701009387C70DFF +:103470009C43ADE7130944004A85EFD06F8F97D77F +:1034800001009387270E48549C4363F6A70097D703 +:10349000010023A9A70CD145EF20505597D7010073 +:1034A0009387071ACA853E95EFD00F8897D70100FA +:1034B0009387C70C9C435854DC5763F5E70099C0C9 +:1034C00085479CC06244F240D24442494E85B2498D +:1034D00005616FC03FFF7370043001A09305840144 +:1034E00017D501001305C524EFD00F84C1B7AA87F3 +:1034F00029C57370043017D70100130767061443FA +:103500009DE2F04F894601456315D60023AE0704BE +:1035100005451C4381CB97D7010093872706984325 +:10352000784315E3828097D6010093862605904262 +:1035300094427442850674C2E9B797D70100938715 +:10354000E7039C437DB7984394437C43FD177CC3BA +:10355000FC42E9FB7360043082805D71A6C2CAC080 +:1035600052DC56DA5AD85ED686C6A2C44EDE62D483 +:1035700066D26AD017D901001309490117DB01008F +:10358000130B0B0097D401009384C4FF97DA01005A +:10359000938A8AFF054AA54B832709009843630154 +:1035A0000712DC4783A90700EFE06FF6EFE02FF783 +:1035B00083270B002A8C6362F50A97D7010023A1A9 +:1035C000A7FC01466370351B8840B3858941EFD065 +:1035D000DFBDEFE0DF9863010512884081460146B8 +:1035E0002C00EFD0EFEB4DD9A247E3C807FEC2494C +:1035F00083A7490189C713854900EFC07FF7EFE032 +:103600000FF283270B002A8C636DF508A24717D7AA +:103610000100232787F7E3E2FBFCB317FA0013F757 +:103620000721631A071613F7770C6318071E93F721 +:103630000702C5D74E85EF00F01145B7DC47C047FC +:1036400083AC0700930944004E85EFC07FF25C50C5 +:10365000228582975C4C6384470B8327090098433B +:1036600071FF03A70A0097D6010023AB86F197D616 +:10367000010023ABF6F097D7010023A9E7F0EFE0B4 +:103680001F8EA1BFDC47C04703AD0700930C440069 +:103690006685EFC0FFED5C50228582975C4C6386A7 +:1036A000470983270900984371FF03A70A0097D6AB +:1036B000010023ABF6EC97D7010023A9E7ECB9B7DB +:1036C000EFE0EFE4EFE0AFE583270B002A8CE36641 +:1036D000F5F883A70A00884081499043B385894162 +:1036E00017D70100232E87E913361600EFD0FFAB62 +:1036F000EFE0FF86E31305EEEFC05FD1F9BD1C4C90 +:10370000CE85E69763E9FC049C4089CF02CA66CC6B +:1037100022CEEFF00FE88840814601464C08EFD0FA +:103720000F8805FD7370043001A01C4CE685EA97F4 +:10373000636CFD049C4089CF02CA6ACC22CEEFF0B4 +:103740004FE58840814601464C08EFD04F8531F95E +:103750007370043001A0032509005CC000C8EFC0ED +:103760003FDEE5BDEFE0AFFF83270900DC47C04740 +:10377000930C44006685EFC0BFDF5C4C638F47034A +:103780005C502285829791BD032509005CC000C86A +:10379000EFC01FDB39B7B24723ACF900A5CFE297E2 +:1037A00023A2F90023A8390193854900636FFC0423 +:1037B00003A50A00EFC0DFD80DB51C4C00C8338745 +:1037C000F90058C0636DEC02330C3C416363FC02AA +:1037D0009C4089CF02CA4ECC22CEEFF08FDB8840CE +:1037E000814601464C08EFC09FFB59F973700430C5 +:1037F00001A003A50A00E685EFC09FD451B70325B9 +:103800000900E685EFC0DFD3A5BF03250900EFC09F +:103810003FD3E1B37370043001A0B24783A689019E +:1038200023A839013387D70023A2E9006364EC049D +:10383000B307FC4063EBD70483A749024E85829708 +:1038400083A7C901E39B47D983A68901B247984062 +:10385000B69711CF02CA3ECC4ECEEFF08FD3884040 +:10386000814601464C08EFC09FF3E31805D67370FC +:10387000043001A06374FC00E370F7FC0325090029 +:1038800093854900EFC0DFCB89BB03A50A00938570 +:103890004900EFC0FFCA91B3411122C406C626C237 +:1038A0004AC017D401001304E4CDEFF04FE31C40ED +:1038B00095CBEFF00FE51C409DC38148014881473F +:1038C000094781461306004097D50100938505AF4F +:1038D000170500001305A5C8EFD05F9A39E973708A +:1038E000043001A017D90100130949E84A85EFC047 +:1038F0001FC297D401009384A4E82685EFC03FC17E +:103900000146B145114597D7010023A127C997D793 +:10391000010023AB97C6EFC07FDB17D7010023233D +:10392000A7C611CD97D501009385C5A8EFD0AFFCF0 +:1039300049B7B240224492440249410182807370E7 +:10394000043001A0A5C9797156CAAA8A1305C0021C +:1039500022D426D24AD04ECE52CC06D65AC85EC603 +:103960003A89B689328AAE84E9212A8405C9EFF002 +:103970000FD797D701009387E7C09C439DCFEFF007 +:103980004FD8130544002320540104CC232E4401B6 +:103990002320340323222403EFC0BFB82285B25072 +:1039A000225492540259F249624AD24A424BB24BD3 +:1039B000456182807370043001A097DB0100938B16 +:1039C000EBDA5E85EFC0BFB417DB0100130B4BDBF6 +:1039D0005A85EFC0DFB30146B145114597D70100C5 +:1039E00023A677BB97D7010023A067BBEFC01FCEEC +:1039F00017D701002328A7B801C997D501009385DF +:103A0000659BEFD04FEFA5BF7370043001A039C59F +:103A1000011122CC06CE26CA17D40100130484B6A5 +:103A2000832804002A88014563850802BA842EC2CF +:103A3000368732C442C6954663C5B602EFF06FB50D +:103A40008947630BF5020840814601464C00EFC0F0 +:103A50001FD5F2406244D244056182807370043005 +:103A600001A04C0081463A864685EFD08F88F2400F +:103A70006244D244056182800840814626864C001B +:103A8000EFC0FFD1F9B719C10841828073700430CB +:103A900001A001E57370043001A0411106C622C4E3 +:103AA0002A84EFF0CFC348483334A000EFF06FC54D +:103AB0002285B24022444101828001E573700430C6 +:103AC00001A0411122C42A8406C6EFF04FC1005064 +:103AD000EFF02FC3B240228522444101828001E5EC +:103AE0007370043001A0411122C426C22E84AA841E +:103AF00006C6EFF0CFBE80D02244B24092444101CE +:103B00006FF02FC04111714522C406C61D262A84BC +:103B100011C5232005001105EFC07F9F2285B2400B +:103B200022444101828001CDB70700FFED8F81C79C +:103B30007370043001A099E57370043001A07370B4 +:103B4000043001A0011122CC4AC84EC652C456C24C +:103B500006CE26CABA893689B28A2E842A8AEFF01E +:103B60004FA311E5638509007370043001A0EFE0F5 +:103B70000F9A83240A00B37794006316090295C74D +:103B800063870A009347F4FFE58F2320FA00EFE0F4 +:103B90000FBDF240624426854249D244B249224ACE +:103BA000924A05618280E30DF4FCE38209FEB3359D +:103BB0005001E20563050900B7070004DD8D4E865C +:103BC000C18D13054A00EFE0FFE6EFE04FB919E1C0 +:103BD000EFC0DF83EFF0CFB593176500AA8963D8F4 +:103BE0000700B7040001FD14B3F499005DB7EFF0CE +:103BF0000FAF83290A00B3773401631E090089CB14 +:103C000063880A009347F4FFB3F737012320FA00D3 +:103C1000EFF02FAFF9B7E31DF4FEDDB701C9B70729 +:103C200000FFED8F99C77370043001A073700430EA +:103C300001A0411122C42A8406C626C24AC02E8988 +:103C4000EFF0EFA904409345F9FFB3F795001CC0CE +:103C5000EFF02FABB2402244268502499244410145 +:103C60008280411122C42A8406C6EFC01F8600400C +:103C7000EFC05F85B240228522444101828009C99C +:103C8000370700FFB3F7E50099C77370043001A050 +:103C90007370043001A06F20E06AB70700FFF18F56 +:103CA00081C77370043001A001E67370043001A075 +:103CB000011122CC4AC84EC652C406CE26CA368945 +:103CC000AE892A8A3284EFF0CF8C21E163050900A6 +:103CD0007370043001A0EFE08F8383240A00CE8547 +:103CE0005285B3E49900613FB37794006307F4060B +:103CF00083240A00EFE0AFA6F240624426854249E1 +:103D0000D244B249224A05618280EFE04F80832489 +:103D10000A00CE855285B3E434019537B3F78400A9 +:103D2000638D8702E30609FCB70500054A86C18D4D +:103D300013054A00EFE01FD0EFE06FA219E1EFC0DA +:103D4000EFECEFF0EF9E93176500AA8463DF0700A6 +:103D5000B7070001FD17FD8C45B783270A00134400 +:103D6000F4FFE18F2320FA0071B7EFF04F9783241F +:103D70000A00B3779400639787009347F4FFE58FB9 +:103D80002320FA00EFF0EF97E1B7411122C406C6F5 +:103D90002A8426C2EFD0BFF75C4085C3084893044D +:103DA000C4006316950015A0084863009502B70586 +:103DB0000002EFE03FE55C40E5FB2285612A2244FA +:103DC000B240924441016FE08F997370043001A0BA +:103DD00009C9370700FFB3F7E50099C773700430CE +:103DE00001A07370043001A06F20C05501C9B7074E +:103DF00000FFED8F99C77370043001A07370043019 +:103E000001A0411122C426C206C62A84AE84EFF066 +:103E10000F8D184093C7F4FFF98F1CC02244B240A5 +:103E2000924441016FF0EF8D19C1084D8280014528 +:103E30008280411122C406C62A84EFD05FED97C765 +:103E400001009387E7749C43A1EBE56717D7010056 +:103E500013078794F11797C6010023A1E67417C7CB +:103E60000100232BF77217D701002329F79297C778 +:103E700001009387277217D70100232FF7908547FA +:103E800017C70100232E077017C7010023240770EE +:103E900017C70100232EF76E19E8EFE04F8CEF10E3 +:103EA000400201442285B240224441018280130530 +:103EB000840093773500C1EFE5671307F5FFE91735 +:103EC000E3EDE7FC97C601009386466D9C4229A06E +:103ED000984311C7BE86BA87D843E36BA7FE17C7BE +:103EE00001001307276BE38AE7FA984341461384DE +:103EF000870098C2D843B306A7406378D6023388B8 +:103F0000A7002322D800C8C38325480097C6010014 +:103F10009386C66811A0BA8698425043E36DB6FEF8 +:103F20002320E80023A00601D84397C70100938708 +:103F3000E7689C43998F17C701002321F768EFE0DA +:103F40000F8231DC2285B240224441018280719986 +:103F500011059DB729C9411122C406C62A84EFD094 +:103F60001FDB930584FF0326C4FF17C70100130757 +:103F7000E76211A03E871C43D443E3EDC6FE232C29 +:103F8000F4FE0CC397C701009387476398438327C8 +:103F9000C4FF2244B240BA9717C701002320F7623A +:103FA00041016FD0DFFB828097C7010093870761D3 +:103FB0008843828082803367B5000D8BAA871DE716 +:103FC0009308D6FFAA982A87AE866371150303A8C3 +:103FD000060011079106232E07FFE36A17FF934798 +:103FE000F5FFC697F19B9107BE95AA972A9663F3B2 +:103FF000C70A9386450013884700B3B6D70033B885 +:10400000050133E7F50093C61600134818000D8B21 +:10401000B3E6060113371700758F330EF64093860B +:10402000170029CF1337AE0031EB13532E002E8823 +:10403000BE860147832808000507110823A0160142 +:104040009106E36967FE1377CEFFBA95BA976302CC +:10405000EE0483C60500138717002380D700637A18 +:10406000C70283C6150013872700A380D700637299 +:10407000C70203C725002381E7008280B307F6400B +:10408000AE97850503C7F5FF8506238FE6FEE39A05 +:10409000F5FE82808280B367C5008D8BB308C500B2 +:1040A000E9C36371150FB307A0408D8B13873700E9 +:1040B000954693F5F50F130815003383A8407D1638 +:1040C0006373D70015476363E60CD5CF2300B500B3 +:1040D0000547638DE700A300B5000D4713082500D1 +:1040E0006396E700130835002301B5001396850099 +:1040F0004D8E13970501518F3303F340939685013D +:10410000D98E13562300AA97014794C30507910738 +:10411000E36DC7FE1377C3FFB307E8006307E30649 +:104120002380B70013871700637C1703A380B700B1 +:1041300013872700637617032381B700138737009F +:1041400063701703A381B70013874700637A1701D1 +:104150002382B7001387570063741701A382B70047 +:10416000828093F5F50F13978500D98D139705017C +:104170004D8FE37715FFAA87910723AEE7FEE3EDA6 +:1041800017FF828082802A8895B78280AA8749BFDC +:10419000834705002A8799C7050583470500EDFF7A +:1041A000198D82800145828005058347F5FF8505CD +:1041B00003C7F5FF91C7E389E7FE3385E7408280B7 +:1041C0008147E5BFAA87850503C7F5FF8507A38F4C +:1041D000E7FE75FB82808346050093070002639724 +:1041E000F600050583460500E38DF6FE938756FD30 +:1041F00093F7D70F85C7AA87814585CE01458507E7 +:10420000138606FD1317250083C607003A95060599 +:104210003295F5F699C13305A0408280938536FD2D +:104220008346150093B5150093071500E1FA014583 +:10423000D5B7014582809C412380A7009C4185071A +:104240009CC1828097C601009386C6359C42175751 +:1042500003001307275713861700BA972380A70078 +:1042600097C5010023A0C534A9476308F50093074B +:1042700000046305F6000145828075DE370610F004 +:1042800005078347F7FF2320F6F09C42FD1797C5EB +:10429000010023A9F530EDF7014582804D712322FD +:1042A000911423202115232A51132324811323261B +:1042B000111423248114232E3113232C411323287A +:1042C000611323267113232291132320A113232E7C +:1042D000B111AA842E8932C6130C5002A54A834715 +:1042E000090063808703B9CB804011A0A1CB2300D4 +:1042F000F40080400509050480C083470900E39766 +:1043000087FF0346190093081900C686130D0002A3 +:10431000FD597D5A0148130550059307D6FD93F7C3 +:10432000F70F138916006363F52A17C701001307F7 +:1043300067CD8A07BA979C43BA9782878320C114B6 +:104340000324811483244114032901148329C113F4 +:10435000032A8113832A4113032B0113832BC112D8 +:10436000032C8112832C4112032D0112832DC111C4 +:1043700071618280A14C02C4854763D50715B2479D +:104380008040938B770093F68BFF03AB060083A9E5 +:104390004600938786003EC6668681465A85CE854E +:1043A000EF10D0142AC8814763853723930D41014C +:1043B000854B668681465A85CE85EF1060358146ED +:1043C00066862A8BAE89EF107012A24723A0AD003B +:1043D00093861B00910D63843701B68BD9BFE37EB2 +:1043E0009BFF63DD4601A2872380A70180407D1AE1 +:1043F000930714009CC03E84E3C846FF93962B00AD +:104400001C08BE9631A8938777052300F4008040EE +:10441000FD1B93871B00050480C0F116E351F0ECEF +:104420009C42E3E2FAFE93870703C5B79C409306DC +:10443000000332472380D7009C4093064700C14CBD +:104440001386170090C013068007A380C700804022 +:1044500002C4BA87050480C003AB0700814936C691 +:1044600025BFB24783AC0700938B470063840C1CC5 +:10447000635F40199307D0026319FD1683C70C00D0 +:1044800085C363DC09189440850C7D1A2380F600EF +:104490008040050480C083C70C00E5F7635D4001E0 +:1044A0008040930700022300F40080407D1A050439 +:1044B00080C0E31A0AFE5EC61DB502C48547A94C3A +:1044C000E3CF07EBB24780409386470071B703C63E +:1044D0001600CA8699B59C40930650022380D700E7 +:1044E0008040050480C0E5BBC14C02C471B5B24731 +:1044F00003C61600CA8683A9070091073EC6E35E7D +:104500000AE04E8AFD5911BD03C61600130DD002F4 +:10451000CA8621B59347FAFFFD8703C61600337A92 +:10452000FA00CA86DDBB03C61600130D0003CA8657 +:10453000EDB383C51600930906FDCA86938705FD72 +:104540002E86E3EEFAFA93972900BE99850686092E +:10455000AE9983C50600938909FD938705FD2E86D4 +:10456000E3F3FAFE69BF32479C40144311073AC691 +:104570002380D7008040050480C095B3854763D36E +:10458000070BB24793867700E19A938786003EC671 +:1045900083A946009C4263DC090A94401306D002BA +:1045A000B307F0402380C6008040B336F000B30963 +:1045B0003041050480C03E8BB389D940A94C02C468 +:1045C000E1BB03C616000508CA8681BB9C4046892C +:1045D00021B7E37D9BDD8547814B8546E3C547E1F8 +:1045E00031BD97CC0100938CECDDCE856685EF0064 +:1045F000703A330AAA40635C400180402300A40162 +:1046000080407D1A050480C0E31A0AFE014A83C770 +:104610000C00E38207EAE3C809E6FD197D57E3943D +:10462000E9E6ADBDB247938647009C4336C693D9B1 +:10463000F74195B7635640019307D002E313FDFAA3 +:1046400097CC0100938C0CD8930780021DBD3E8B44 +:10465000A94C02C4804089B34D71232E3113B70990 +:1046600000409387F9FF2324811423229114232CE3 +:104670004113232A51132326111423202115232803 +:1046800061132326711323248113232291132320E2 +:10469000A113232EB1112A8A2EC417C401001304BA +:1046A00064F0975A0300938AEA1197C40100938437 +:1046B000E4AA3EC693055002294513080004B70634 +:1046C00010F083470A006386B704C1C71840050A83 +:1046D00013061700569797C8010023A5C8EC2300BE +:1046E000F7006382A706E31E06FD175703001307B2 +:1046F000670D05078347F7FF23A0F6F01C40FD1761 +:1047000017C601002320F6EAEDF783470A00E39E6F +:10471000B7FA83461A0013051A002A87930B000282 +:104720007D5B7D5C014893055005A5489387D6FDC8 +:1047300093F7F70F130A170063E7F5348A07A69774 +:104740009C43A6978287175703001307A70755F2C4 +:104750008DBF8320C11403248114832441140329B1 +:1047600001148329C113032A8113832A4113032BC4 +:104770000113832BC112032C8112832C4112032DB0 +:104780000112832DC11171618280A14C014D8547B9 +:1047900063DB0719A2479D07E19B83AD070003ABCD +:1047A0004700138787003AC4668681466E85DA859E +:1047B000EF10C0532AC863066D35130941018549BE +:1047C000668681466E85DA85EF009074668681463E +:1047D000AA8D2E8BEF1080512320A9008509110985 +:1047E000E3106DFFE3FE9DFD13060004B70610F015 +:1047F00063D189031C4013871700D69797C5010022 +:1048000023A2E5DA23807701630CC7047D1CE3C390 +:1048100089FFB2476800A548B386F9008A061C08DC +:10482000BE96294813030004370610F0E384A6E877 +:104830009C429305000363F4F8009305700518404B +:10484000AE9793051700569717CE0100232CBED4C0 +:104850002300F7006380072B638C6526F116F9B7F8 +:1048600017570300130707F605078347F7FF23A031 +:10487000F6F01C40FD1797C5010023A5F5D2EDF712 +:104880007D1C71B71C40130600041387170097C5E1 +:10489000010023A9E5D0B386FA0093050003238025 +:1048A000B600630FC73C890797C6010023ACF6CE5C +:1048B0005697930680072300D70017570300130766 +:1048C00067F0B70610F0639EC70005078347F7FF40 +:1048D00023A0F6F01C40FD1717C601002324F6CCD8 +:1048E000EDF7A247C14C014D91072247014B832DA3 +:1048F00007003EC455BDA24783AC0700138947009B +:10490000638E0C3A635480219307D002639EFB2E82 +:1049100003C70C00BA856310072025AC8547A94C56 +:10492000014DE3C907E7A2479107C1B7A2478346F4 +:104930001700528703AB070091073EC4E3580CDE13 +:104940005A8C7D5BE5B383461700930BD0025287E8 +:10495000F1BB9347FCFFFD8783461700337CFC00C7 +:104960005287E9B383461700930B000352877DBB40 +:1049700003461700138B06FD5287930706FDB28688 +:10498000E3EEF8FA93172B00DA9705078607B2973C +:1049900003460700138B07FD930706FDB286E3F37A +:1049A000F8FE69BFA2451C4029469841938617002E +:1049B000D69717C501002327D5BE2380E7001389AA +:1049C00045006300C72A930700046387F6204AC4A2 +:1049D000D5B1854763DE0729A24713877700619B1E +:1049E000930787003EC4032B47001C43635A0B30D8 +:1049F0001840130600049306170097C5010023A36F +:104A0000D5BA56979305D0022300B7006387C62C0A +:104A1000B307F0403337F000330B6041BE8D330BEA +:104A2000EB40A94C014D49B3834617000508528756 +:104A3000F5B9834617005287D5B91C409306000488 +:104A40001387170017C60100232EE6B4D697130666 +:104A500050022380C700E31FD7C417570300130772 +:104A600067D6B70610F005078347F7FF23A0F6F0D7 +:104A70001C40FD1717C601002326F6B2EDF71DB93D +:104A8000C14C014D29B31C40930600042A8A1387A8 +:104A9000170017C601002327E6B0D6971306500269 +:104AA0002380C700E318D7C017570300130787D127 +:104AB000B70610F005078347F7FF23A0F6F01C4068 +:104AC000FD1717C60100232FF6ACEDF72A8ADDB6D5 +:104AD00017570300130707CF05078347F7FF232066 +:104AE000F6F01C40FD1797C5010023ADF5AAEDF7C0 +:104AF000F1162DBB175703001307C7CCF1FDF116B4 +:104B000035B3E3FC9DCB8549C5B1014C03C70C000F +:104B1000BA85E30E07EAFD58294513080004B706D5 +:104B200010F063570B0A1C4013861700D69717C363 +:104B300001002329C3A62380E7006384A50663043C +:104B40000609850C03C70C007D1CBA8579FBE35070 +:104B500080E91C409305000213060004B70610F01C +:104B600021A07D1CE3050CE613871700D697238050 +:104B7000B70017C501002327E5A2BA87E313C7FED4 +:104B800017570300130707C405078347F7FF23A040 +:104B9000F6F01C40FD1717C501002325F5A0EDF721 +:104BA000C9B7175703001307E7C141DE050783475D +:104BB000F7FF23A0F6F01C40FD1717C601002323C2 +:104BC000F69EEDF7BDBF175703001307A7BFF9BF48 +:104BD0007D1BE31A1BF5A5BF17570300130787BEFC +:104BE000B70610F005078347F7FF23A0F6F01C4037 +:104BF000FD1717C601002327F69AEDF74AC45DBCDE +:104C000097BC0100938C0C7CDA8566856123330C9C +:104C1000AC40E35D80EF1C4013060004B70610F0C3 +:104C200021A07D1CE3030CEE13871700D697238089 +:104C3000770197C5010023A7E596BA87E313C7FE5E +:104C400017570300130707B805078347F7FF23A08B +:104C5000F6F01C40FD1797C5010023A5F594EDF76C +:104C6000C9B7175703001307E7B5BDFA4AC499B48B +:104C7000A247138747009C433AC413DBF741BDB3F7 +:104C800017570300130707B4B70610F0050783474B +:104C9000F7FF23A0F6F01C40FD1717C601002323E1 +:104CA000F690EDF7854717C70100232DF78E930780 +:104CB0008007175703002307F7B025B1635680011B +:104CC0009307D002E39EFBF297BC0100938C8C6F9C +:104CD00013078002930580023DBD9756030093861B +:104CE00066AE370610F0850603C7F6FF2320E6F010 +:104CF00018407D1797C5010023A6E58A6DF709BB0B +:104D0000BE8DA94C014D4DB4B70710F023A0A7F2FA +:104D100001A03971130341022ED29A8506CE32D4F6 +:104D200036D63AD83EDA42DC46DE1AC63532F24092 +:104D30000145216182805D711303810322D42AC65B +:104D400032DC2A841A86680006D6BEC236DEBAC0B5 +:104D5000C2C4C6C61ACEEFF06FD4B2472380070094 +:104D60003245B250018D225461618280B70710F044 +:104D700083A647F403A607F403A747F4E31AD7FE74 +:104D80008566938606FAB29633B6C600B305E6008A +:104D900023A4D7F423A6B7F48280B70710F003A7A3 +:104DA00047F403A607F483A647F4E31AD7FE856603 +:104DB000938606FAB29633B6C60023A4D7F4B30599 +:104DC000E60023A6B7F49307000873A0473082805B +:104DD000411122C406C697B701009387277D17B4F7 +:104DE00001001304647C98431C401306F07C930676 +:104DF00047069387470697B5010023A9D57A97B54B +:104E0000010023A3F57A6346D600B2402244410153 +:104E100082801307478997B7010023A9E7781526F1 +:104E20008547631FF500184085679387F77601452E +:104E3000E3DDE7FCD13D2244B24041016FC03FECCD +:104E400017B5010013058558E9350945753D22441C +:104E5000B24041016FC0BFEA17B501001305C55844 +:104E60006FF03FEB17B50100130585586FF07FEA2F +:104E7000411122C426C206C6856417B4010013047A +:104E8000A457938784380100FD17F5FF2285EFF0C2 +:104E90005FE8C5BFD1AA411106C665240547814711 +:104EA0006316E500B2403E854101828017B50100DE +:104EB0001305C551EFF0FFE5B24089473E8541013A +:104EC00082807370043001A082807370043001A06E +:104ED000011122CC06CE26CA4AC84EC652C4584139 +:104EE0009307A00A2A8404416309F710814601460A +:104EF00081452685EFB0DFDA85476316F50097B761 +:104F0000010023ABA76A05498549032A04008146AD +:104F1000014681455285EFB0BFD86304250B930449 +:104F2000800C8146014681455285EFB05F871C4465 +:104F30006300250D850717B70100232F3767FD1480 +:104F40001CC4E5F08146014681455285EFB03F859E +:104F50006316250197B7010023A02767032A0400E1 +:104F60008146014681455285EFB07F836300250766 +:104F70009304800C8146014681455285EFB05FD293 +:104F80001C44630A2505850717B7010023263763EC +:104F9000FD141CC4E5F08146014681455285EFB001 +:104FA0003FD0E31425F7032A0400814601468145DA +:104FB000528597B7010023A12761EFB07FCEE310A0 +:104FC00025F797B7010023A9275F91BF97B7010085 +:104FD00023A4275F71BF8507FD141CC4C1FC814653 +:104FE000014681455285EFB0BFCBE31025F365BF85 +:104FF0008507FD141CC495F4B1B78146014681456F +:105000002685EFB0CFF985476316F50097B7010005 +:1050100023A4A75A1309800C85498146014681457E +:105020002685EFB0FFC71C44630A3503850717B711 +:105030000100232337597D191CC4E31009FE26857E +:10504000814601468145EFB0BFC585470440E31F57 +:10505000F5E897B7010023A1A75649BD85077D193B +:105060001CC4E31C09FAE1BF41119305800C130530 +:10507000800C06C622C4EFB06FEF9747030023A34E +:10508000A7789307A00A17470300232FF7768145D7 +:105090001305800C9747030023AA0776EFB00FEDA6 +:1050A0009747030023A6A7769747030023A4077614 +:1050B00017440300130404759747030023AE0774D5 +:1050C000084097B5010093856534EFC0CF8248440E +:1050D00097B5010093858534EFC0EF811C40A1CFC7 +:1050E00081480148814701479746030093868671AE +:1050F0001306004097B5010093854533170500005E +:10510000130545DDEFC08F972244B2408148014826 +:1051100081470147974603009386866F1306004038 +:1051200097B501009385053117050000130585DA51 +:1051300041016FC0AF945C44C5F7B24022444101C5 +:10514000828017B7010013072747104397470300D2 +:105150009387476B17B701001307C7451843944758 +:1051600001456389E60098471335160097B601009C +:1051700023A2E64417B7010013078743D44B184313 +:105180006388E600DC4B17B701002323F7428280D7 +:1051900001458280B305B500930705006386B7001B +:1051A00003C70700631607003385A7406780000028 +:1051B000938717006FF09FFE130101FB23229104D8 +:1051C000232C410323229103232611042324810449 +:1051D00023202105232E3103232A51032328610391 +:1051E00023267103232481032320A103232EB1014D +:1051F000930C0500138A05009304000063DE05008C +:105200003305A0403337A000B305B040930C050030 +:10521000338AE5409304F0FF63DA06003306C040AA +:10522000B337C000B306D040B386F640930A0600F9 +:105230009389060013840C0013090A00639606285C +:1052400017BB0100130B0B076370CA16B7070100E9 +:105250006372F6149307F00F63F4C700930980009C +:10526000B3573601330BFB0083470B0013050002D5 +:10527000B3873701B309F540638C0900B3153A01D0 +:10528000B3D7FC00B31A360133E9B70033943C01BD +:1052900013DB0A0193050B0013050900EF00507C96 +:1052A000130A050093050B00939B0A0113050900DF +:1052B000EF00907693DB0B019305050013850B003F +:1052C000EF00D072131A0A0193570401B367FA0072 +:1052D00063FAA700B387570163E6570163F4A70099 +:1052E000B38757013389A74093050B0013050900C5 +:1052F000EF001077130A050093050B001305090052 +:10530000EF0090711314040193050500131A0A01AC +:1053100013850B0013540401EF00506D33648A00B1 +:10532000637AA40033045401636654016374A400D7 +:10533000330454013304A440335434019305000072 +:10534000638A040033048040B3378000B305B04063 +:10535000B385F540130504008320C10403248104B0 +:1053600083244104032901048329C103032A8103FF +:10537000832A4103032B0103832BC102032C8102E7 +:10538000832C4102032D0102832DC101130101056C +:1053900067800000B707000193090001E362F6ECA3 +:1053A000930980016FF0DFEB631A0600930500009C +:1053B00013051000EF005066930A0500B7070100BF +:1053C00063FAFA0E9307F00F63F457019309800014 +:1053D000B3D73A01330BFB0083470B0013050002E0 +:1053E00033095A41B3873701B309F540E38209EA2B +:1053F000B39A3A01335BFA00B3153A01B3D7FC0014 +:1054000093DB0A0133E9B70013050B0093850B000A +:10541000EF001065130A050093850B00139C0A0129 +:1054200013050B00EF00505F135C0C0193050500A2 +:1054300013050C00EF00905B131A0A019357090142 +:10544000B367FA0033943C0163FAA700B3875701AE +:1054500063E6570163F4A700B3875701338BA74076 +:1054600093850B0013050B00EF00905F130A0500F6 +:1054700093850B0013050B00EF00105A93050500F0 +:1054800013050C00EF00905693160901131A0A0138 +:1054900093D60601B366DA0063FAA600B386560116 +:1054A00063E6560163F4A600B38656013389A6402D +:1054B0006FF01FDEB707000193090001E3EAFAF07D +:1054C000930980016FF0DFF06376DA0093050A003C +:1054D0006FF01FE7B707010063FAF604930BF00FB4 +:1054E00033B5DB001315350033D7A60097B701009D +:1054F000938747DCB387E70083CB0700930500025F +:10550000B38BAB00338B7541631C0B0263E4460124 +:1055100063EACC003384CC40B306DA4033B98C0064 +:1055200033892641930509006FF09FE1B707000119 +:1055300013050001E3EAF6FA130580016FF0DFFAC4 +:10554000B3966601335D7601336DDD00B35D7A019C +:10555000B3156A0133DC7C0113540D01336CBC00BC +:1055600013850D0093050400B3196601EF00504F39 +:10557000930A05009305040013850D0033996C010F +:10558000931C0D01EF00504993DC0C01130A050038 +:105590009305050013850C00EF005045939A0A010E +:1055A00013570C0133E7EA00930D0A00637EA7004E +:1055B0003307A701930DFAFF6368A7016376A7007D +:1055C000930DEAFF3307A701330AA74093050400B0 +:1055D00013050A00EF00D04893050400930A050064 +:1055E00013050A00EF00504393050500130405005E +:1055F00013850C00EF00903F93150C01939A0A015C +:1056000093D50501B3E5BA001307040063FEA500B6 +:10561000B385A5011307F4FF63E8A50163F6A500B0 +:105620001307E4FFB385A501939D0D01B70C01009D +:10563000B3EDED001384FCFFB3F78D0033F4890064 +:10564000338AA54013850700930504002326F10043 +:1056500093DD0D01EF00903993050400930A0500D6 +:1056600013850D00EF00903813DC090193050C0041 +:105670002324A10013850D00EF0050378327C100BC +:1056800013040C0093050400130C05001385070098 +:10569000EF00D0358326810013D70A013305D500EA +:1056A0003307A7006374D700330C9C01B7070100D0 +:1056B0009387F7FF935507013377F7001317070117 +:1056C000B3F7FA00B3858501B307F7006366BA0044 +:1056D000631EBA00637CF90033863741B3B7C70055 +:1056E000B385A541B385F54093070600B307F9409C +:1056F0003339F900B305BA40B385254133947501B8 +:10570000B3D767013364F400B3D565016FF05FC3AD +:10571000130101FD23229102232A510123261102A4 +:105720002324810223202103232E3101232C410134 +:105730002328610123267101232481012322910161 +:105740002320A101930A050093840500639E063877 +:10575000130406009309050017B90100130989B560 +:1057600063F8C512B7070100138B05006378F610C4 +:105770001307F00F3337C70013173700B357E6008E +:105780003309F900834609003387E60093060002D7 +:10579000B386E640638C0600B394D40033D7EA00A6 +:1057A0003314D600336B9700B399DA00935A04018F +:1057B00093850A0013050B00EF00902A13090500DA +:1057C00093850A00931B040113050B00EF00D024FE +:1057D00093DB0B01930405009305050013850B0073 +:1057E000EF00D0201319090193D70901B367F9001D +:1057F000138A040063FEA700B3878700138AF4FFAF +:1058000063E8870063F6A700138AE4FFB387870085 +:10581000B384A74093850A0013850400EF00502449 +:105820001309050093850A0013850400EF00D01EBC +:1058300093990901930405009305050013190901C3 +:1058400013850B0093D90901EF00501AB369390190 +:105850001386040063FCA900B30934011386F4FF26 +:1058600063E6890063F4A9001386E4FF13140A01B8 +:105870003364C400130A00006F000013B70700016F +:1058800013070001E36CF6EE130780016FF01FEFC2 +:10589000138A0600631A0600930500001305100022 +:1058A000EF00901713040500B7070100637EF412A0 +:1058B0009307F00F63F48700130A8000B357440185 +:1058C0003309F90003470900930600023307470133 +:1058D000B386E64063940612B3848440130A100032 +:1058E000135B040193050B0013850400EF005017B0 +:1058F0001309050093050B0013850400931B040195 +:10590000EF00901193DB0B01930405009305050054 +:1059100013850B00EF00900D1319090193D70901AE +:10592000B367F900938A040063FEA700B38787007A +:10593000938AF4FF63E8870063F6A700938AE4FF85 +:10594000B3878700B384A74093050B001385040039 +:10595000EF0010111309050093050B0013850400D7 +:10596000EF00900B9399090193040500930505003E +:105970001319090113850B0093D90901EF001007D2 +:10598000B36939011386040063FCA900B30934012B +:105990001386F4FF63E6890063F4A9001386E4FF2D +:1059A00013940A013364C4001305040093050A002C +:1059B0008320C102032481028324410203290102BE +:1059C0008329C101032A8101832A4101032B01019B +:1059D000832BC100032C8100832C4100032D010087 +:1059E0001301010367800000B7070001130A0001DB +:1059F000E366F4EC130A80016FF05FEC3314D4001B +:105A000033DAE400B399DA0033D7EA00935A040199 +:105A1000B394D40093850A0013050A00336B9700F2 +:105A2000EF0010041309050093850A0013050A000E +:105A3000931B0401EF00407E93DB0B0193040500F0 +:105A40009305050013850B00EF00407A1319090137 +:105A500013570B013367E900138A0400637EA70024 +:105A600033078700138AF4FF636887006376A70013 +:105A7000138AE4FF33078700B304A74093850A0025 +:105A800013850400EF00C07D1309050093850A000B +:105A900013850400EF00407893040500930505008A +:105AA00013850B00EF00807413170B0113570701C8 +:105AB00013190901B367E9001387040063FEA70007 +:105AC000B38787001387F4FF63E8870063F6A700B6 +:105AD0001387E4FFB3878700131A0A01B384A74032 +:105AE000336AEA006FF0DFDF63ECD51EB707010011 +:105AF00063F4F6041307F00FB335D7009395350020 +:105B000033D7B60097A701009387C77AB387E7001A +:105B100003C70700130A00023307B700330AEA403D +:105B200063160A0213041000E3E096E833B6CA00D5 +:105B3000134416006FF05FE7B707000193050001FB +:105B4000E3E0F6FC930580016FF09FFBB35CE60099 +:105B5000B3964601B3ECDC0033D4E40093DB0C01D4 +:105B6000B397440133D7EA0093850B001305040073 +:105B7000336BF700B3194601EF00806E130905007F +:105B800093850B0013050400139C0C01EF00C06803 +:105B9000135C0C01930405009305050013050C002C +:105BA000EF00C0641319090113570B013367E900B3 +:105BB00013840400637EA700330797011384F4FF66 +:105BC000636897016376A7001384E4FF33079701A6 +:105BD000B304A74093850B0013850400EF004068D1 +:105BE0001309050093850B0013850400EF00C062C4 +:105BF000930405009305050013050C00EF00005FFA +:105C000093170B011319090193D70701B367F90023 +:105C10001386040063FEA700B38797011386F4FF81 +:105C200063E8970163F6A7001386E4FFB387970143 +:105C300013140401B70B01003364C4001389FBFF84 +:105C4000337D240133F92901B384A740930509006A +:105C500013050D00EF008059935C040193050900C2 +:105C6000130B050013850C00EF00405893D9090170 +:105C7000130C05009385090013850C00EF000057F5 +:105C8000130905009385090013050D00EF00005668 +:105C90003305850193570B013385A7006374850194 +:105CA0003309790193570501B387270163E6F402AD +:105CB000E392F4BCB70701009387F7FF3375F50053 +:105CC00013150501337BFB0033964A01330565014B +:105CD000130A0000E37AA6CC1304F4FF6FF09FB917 +:105CE000130A0000130400006FF01FCC130101FB26 +:105CF0002324810423229104232E310323229103A0 +:105D00002326110423202105232C4103232A510398 +:105D10002328610323267103232481032320A10365 +:105D2000232EB101930C050093890500130405008F +:105D300093840500639E062613090600138A060055 +:105D400097AA0100938A0A5763F4C514B7070100A4 +:105D50006376F6129307F00F63F4C700130A80000E +:105D6000B3574601B38AFA0003C70A0013050002BD +:105D700033074701330AE540630C0A00B395490134 +:105D800033D7EC0033194601B364B70033944C01A8 +:105D9000935A090193850A0013850400EF00404CD3 +:105DA0009309050093850A00131B0901138504005C +:105DB000EF008046135B0B019305050013050B00F4 +:105DC000EF00C0429399090193570401B3E7F9002A +:105DD00063FAA700B387270163E6270163F4A700EE +:105DE000B3872701B384A74093850A001385040075 +:105DF000EF0000479309050093850A00138504000E +:105E0000EF008041131404019305050093990901E3 +:105E100013050B0013540401EF00403D33E48900E7 +:105E2000637AA40033042401636624016374A4002C +:105E3000330424013304A440335544019305000086 +:105E40008320C10403248104832441040329010421 +:105E50008329C103032A8103832A4103032B0103FE +:105E6000832BC102032C8102832C4102032D0102EA +:105E7000832DC1011301010567800000B7070001F0 +:105E8000130A0001E36EF6EC130A80016FF05FED78 +:105E9000631A06009305000013051000EF00C037D9 +:105EA00013090500B7070100637AF90E9307F00F95 +:105EB00063F42701130A8000B3574901B38AFA003B +:105EC00003C70A0013050002B384294133074701C1 +:105ED000330AE540E30E0AEA33194901B3DAE9006F +:105EE000B395490133D7EC0093540901336BB700E4 +:105EF00013850A0093850400EF008036930905009E +:105F000093850400931B090113850A00EF00C0303C +:105F100093DB0B019305050013850B00EF00002DAB +:105F20009399090193570B01B3E7F90033944C019E +:105F300063FAA700B387270163E6270163F4A7008C +:105F4000B3872701B38AA7409385040013850A000D +:105F5000EF000031930905009385040013850A00C2 +:105F6000EF00802B9305050013850B00EF00002840 +:105F700093150B019399090193D50501B3E5B90078 +:105F800063FAA500B385250163E6250163F4A50046 +:105F9000B3852501B384A5406FF09FDFB7070001EB +:105FA000130A0001E36AF9F0130A80016FF0DFF0D1 +:105FB000E3E8D5E8B707010063FCF604930BF00FA4 +:105FC00033B5DB001315350033D7A60097A70100C2 +:105FD0009387472EB387E70083CB07009305000222 +:105FE000B38BAB00338B7541631E0B0263E4360148 +:105FF00063EACC003384CC40B386D94033B58C00FF +:10600000B384A64013050400938504006FF05FE39A +:10601000B707000113050001E3E8F6FA1305800154 +:106020006FF09FFAB3966601335D7601336DDD0044 +:1060300033D47901B395690133DC7C0193540D01AC +:10604000336CBC001305040093850400B31A660189 +:10605000EF000021130A05009385040013050400D6 +:1060600033996C01931C0D01EF00001B93DC0C01B4 +:10607000130405009305050013850C00EF000017BD +:10608000131A0A0113570C013367EA00130A0400BC +:10609000637EA7003307A701130AF4FF6368A70113 +:1060A0006376A700130AE4FF3307A701B309A740EB +:1060B0009385040013850900EF00801A938504007E +:1060C0001304050013850900EF0000159305050072 +:1060D0009304050013850C00EF00401193150C018B +:1060E0001314040193D50501B365B40013870400AC +:1060F00063FEA500B385A5011387F4FF63E8A5013E +:1061000063F6A5001387E4FFB385A501131A0A01FE +:10611000B70C0100336AEA001384FCFFB3778A00EE +:1061200033F48A00B384A540138507009305040067 +:106130002326F100135A0A01EF00400B93090500D2 +:106140009305040013050A00EF00400A13DC0A015E +:10615000930D050093050C0013050A00EF000009DC +:106160008327C100130A050093050C00138507005F +:10617000EF00C0073305B50113D709013307A700A6 +:106180006374B701330A9A01B70701009387F7FFD9 +:10619000935507013377F70013170701B3F7F90099 +:1061A000B3854501B307F70063E6B400639EB4000E +:1061B000637CF90033865741B3B7C700B385A54167 +:1061C000B385F54093070600B307F9403339F9006A +:1061D000B385B440B385254133947501B3D76701C6 +:1061E0003365F400B3D565016FF09FC51306050054 +:1061F0001305000093F61500638406003305C500FF +:1062000093D5150013161600E39605FE678000006F +:106210006340050663C60506138605009305050061 +:106220001305F0FF630C060293061000637AB600B4 +:106230006358C0001316160093961600E36AB6FE64 +:106240001305000063E6C500B385C5403365D5007E +:1062500093D6160013561600E39606FE67800000DC +:1062600093820000EFF05FFB13850500678002005A +:106270003305A04063D80500B305B0406FF0DFF9E7 +:10628000B305B04093820000EFF01FF93305A04042 +:10629000678002009382000063CA0500634C05001A +:1062A000EFF09FF71385050067800200B305B0404B +:1062B000E35805FE3305A040EFF01FF63305B0406C +:1062C0006780020097A701009387472B944317A785 +:1062D0000100130767291843C8C2637BE50017A7AD +:1062E00001001307272908438C4391056FA04FA590 +:1062F000411122C406C617A701001307E7272A8405 +:1063000008438C439105EFA0AFA397A701009387A3 +:10631000E7239C436376F40097A7010023A8872214 +:10632000B24022444101828097A70100938707254C +:10633000984394437C43FD177CC3FC4299E373600C +:1063400004308280011122CC26CA2A84AE844AC835 +:106350004EC652C456C206CEEFB07F9B1C400329E6 +:106360000401B7090001B3E59700930AC4000CC00B +:106370008144FD19370A00021840638C2A03032662 +:1063800009004A85B3654701B37636019317560075 +:10639000758F0329490063C4070001E7F1BFE39D3E +:1063A000E6FC9317760063D30700D58CEFC09F857A +:1063B000E1B793C4F4FFF98C04C0EFB05FBA0840B2 +:1063C000F2406244D2444249B249224A924A0561AB +:1063D0008280411106C622C4EFE01FC917F7FFFFF4 +:1063E0001307479F814605469305400617A5010000 +:1063F00013050501EFD00FD519C92A84EFB03F92DC +:106400002A860147814685452285EFD04FE0EFB0CF +:0C6410008FEAB240224401454101828025 +:02000004800278 +:10000000CE44FEFFCC45FEFFD644FEFFCC45FEFFAE +:10001000CC45FEFFCC45FEFFCC45FEFFEE44FEFF87 +:10002000CC45FEFFCC45FEFF0845FEFF1445FEFF14 +:10003000CC45FEFF2645FEFF3245FEFF3245FEFF62 +:100040003245FEFF3245FEFF3245FEFF3245FEFFE0 +:100050003245FEFF3245FEFF3245FEFFCC45FEFF36 +:10006000CC45FEFFCC45FEFFCC45FEFFCC45FEFF58 +:10007000CC45FEFFCC45FEFFCC45FEFFCC45FEFF48 +:10008000CC45FEFFCC45FEFFCC45FEFFCC45FEFF38 +:10009000CC45FEFFCC45FEFFCC45FEFFCC45FEFF28 +:1000A000CC45FEFFCC45FEFFCC45FEFFCC45FEFF18 +:1000B000CC45FEFFCC45FEFFCC45FEFFCC45FEFF08 +:1000C000CC45FEFFCC45FEFFCC45FEFFCC45FEFFF8 +:1000D000CC45FEFFCC45FEFFCC45FEFFCC45FEFFE8 +:1000E000CC45FEFFCC45FEFFCC45FEFFCC45FEFFD8 +:1000F000CC45FEFFCC45FEFFCC45FEFFCC45FEFFC8 +:100100006645FEFF7C45FEFFCC45FEFFCC45FEFF6D +:10011000CC45FEFFCC45FEFFCC45FEFFCC45FEFFA7 +:10012000CC45FEFFC245FEFFCC45FEFFCC45FEFFA1 +:100130007443FEFF2C44FEFFCC45FEFFCC45FEFF82 +:100140006244FEFFCC45FEFFBA44FEFFCC45FEFFF5 +:10015000CC45FEFFE844FEFFDA48FEFF2E49FEFFD5 +:10016000E248FEFF2E49FEFF2E49FEFF2E49FEFF0C +:100170002E49FEFFD447FEFF2E49FEFF2E49FEFF0B +:10018000EE47FEFFFA47FEFF2E49FEFF0C48FEFF3A +:100190001848FEFF1848FEFF1848FEFF1848FEFFEB +:1001A0001848FEFF1848FEFF1848FEFF1848FEFFDB +:1001B0001848FEFF2E49FEFF2E49FEFF2E49FEFF86 +:1001C0002E49FEFF2E49FEFF2E49FEFF2E49FEFF5F +:1001D0002E49FEFF2E49FEFF2E49FEFF2E49FEFF4F +:1001E0002E49FEFF2E49FEFF2E49FEFF2E49FEFF3F +:1001F0002E49FEFF2E49FEFF2E49FEFF2E49FEFF2F +:100200002E49FEFF2E49FEFF2E49FEFF2E49FEFF1E +:100210002E49FEFF2E49FEFF2E49FEFF2E49FEFF0E +:100220002E49FEFF2E49FEFF2E49FEFF2E49FEFFFE +:100230002E49FEFF2E49FEFF2E49FEFF2E49FEFFEE +:100240002E49FEFF2E49FEFF2E49FEFF2E49FEFFDE +:100250002E49FEFF2E49FEFF4C48FEFF7A48FEFF66 +:100260002E49FEFF2E49FEFF2E49FEFF2E49FEFFBE +:100270002E49FEFF2E49FEFF2E49FEFFD048FEFF0D +:100280002E49FEFF2E49FEFF3246FEFF2C47FEFFA1 +:100290002E49FEFF2E49FEFF9E47FEFF2E49FEFF20 +:1002A000C447FEFF2E49FEFF2E49FEFF2849FEFFF0 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020636F756E7473656D207461736B732029 +:1003E0000D0A00006D69616F750A00006D69616F2B +:1003F00075320A0068756E6772790A004368656332 +:100400006B54696D65720000436F756E74696E6739 +:100410005F53656D5F310000436F756E74696E6781 +:100420005F53656D5F320000434E543100000000A1 +:08043000434E543200000000AD +:100438001000000000000000017A5200017C010158 +:100448001B0D02005000000018000000644DFEFF64 +:100458005805000000440E507489039406990B81D6 +:1004680001880292049305950796089709980A9AB5 +:100478000C9B0D036C010AC144C844C944D244D33F +:1004880044D444D544D644D744D844D944DA44DB88 +:10049800440E00440B0000004C0000006C000000FB +:1004A8006852FEFFDC05000000440E307089039599 +:1004B8000781018802920493059406960897099883 +:1004C8000A990B9A0C0370020AC144C844C944D261 +:1004D80044D344D444D544D644D744D844D944DA40 +:1004E800440E00440B00000050000000BC00000057 +:1004F800F457FEFF0005000000440E50748802897E +:10050800039305990B810192049406950796089721 +:1005180009980A9A0C9B0D0320010AC144C844C9D2 +:1005280044D244D344D444D544D644D744D844D9F7 +:0C05380044DA44DB440E00440B000000D9 +:0805B800FC8F010009000000A6 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/countsem_rv32im_O3.hex b/VexRiscv/src/test/resources/freertos/countsem_rv32im_O3.hex new file mode 100644 index 0000000..d223633 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/countsem_rv32im_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/countsem_rv32imac_O3.hex b/VexRiscv/src/test/resources/freertos/countsem_rv32imac_O3.hex new file mode 100644 index 0000000..9c52995 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/countsem_rv32imac_O3.hex @@ -0,0 +1,1568 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900021C7170502001305E54A979503009385CC +:1000A000A57301461122170502001305253E970589 +:1000B00002009385A5440146C52817A50300130532 +:1000C000E57197B503009385257137B6ABAB130681 +:1000D000B6BAD92817B1030013014170EF50B03FF1 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2C22823A02200F3221034D6 +:1002400096DEEF00002B1701020003216127024117 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E22923A0120023A2A9 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40B025170102000321DA +:1003300021190241F65273901234B72200009382C1 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A21B83A0B0 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2C20623A0220086DEEF20BC +:10046000402D170102000321A1050241F6527390AD +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF40D005EF10700901E57A +:10050000B24041018280B24041016F2080229307B6 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30101F1F +:1005B0008280411122C406C62A8426C2EF209010F0 +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF20A0566DD5EF2080763C44FD1780 +:1005F0003CC43C44E344F0FEFD573CC4EF20D00E25 +:10060000EF20500C7C40635BF0021C4885CB9304C8 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2060526DD5EF2040729F +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20100A29C5411151 +:1006500022C426C22A8406C6AE84EF20B0063440E7 +:100660005C5C1840232C0402B387F6027D5670C0F0 +:1006700018C430C4B386D740BA9736975CC058C404 +:1006800091EC1C489DE3EF203006B2402244924496 +:100690000545410182807370043001A013050401F7 +:1006A000BD3513054402A535F9BF13050401EF203C +:1006B000E0498547E319F5FC913BF1B701E573701B +:1006C000043001A0011126CA4AC84EC606CE22CC6B +:1006D000B2892E89AA84A5C53305B502130555052F +:1006E000EF3080732A8435C1930745051CC144DC73 +:1006F00023202405EF20007D34405C5C18407D56AB +:10070000B387F602232C040270C018C430C413054A +:100710000401B386D740BA9736975CC058C4C53B2E +:1007200013054402ED33EF20207C23083405228595 +:10073000F2406244D2444249B249056182801305C5 +:100740004005EF30606D2A8401E57370043001A02C +:1007500000C071BF01E57370043001A06375B5007E +:100760007370043001A0411122C409462E848145D2 +:1007700006C6A93711C500DDB2402244410182807E +:100780007370043001A05D7186C6A2C4A6C2CAC03F +:100790004EDE52DC56DA5AD85ED632C6630A051CE3 +:1007A0006382051C89476399F600585D8547630598 +:1007B000F7007370043001A03689AE842A84EF20DC +:1007C000A05B11E5B24781C77370043001A0EF2030 +:1007D000606F185C5C5C014B894BFD59130A040186 +:1007E000930A44026365F70C63077919B247638182 +:1007F000072463150B002808EF206048EF20C06E27 +:10080000EF10C04FEF20006C7C406394370123222F +:1008100004043C446394370123240404EF20C06C97 +:100820006C002808EF20C047631E051EEF2080697A +:10083000185C5C5C630CF70AEF20006BEF208068AB +:100840003C44634FF00039A85685EF20203019C191 +:10085000EF2000503C44FD173CC43C446354F0007E +:100860005C50FDF323243405EF200068EF20806501 +:100870007C40634FF00039A85285EF20202D19C12C +:10088000EF20004D7C40FD177CC07C406354F0009D +:100890001C48FDF323223405EF200065EF10006BA8 +:1008A000054BEF202062185C5C5CE37FF7F2304080 +:1008B0006305060C631F09120844A685EF30006E1D +:1008C0001C4434405840B6971CC463EAE70A1C5CD9 +:1008D00014405850850714C41CDC5DC7130544023E +:1008E000EF20C02685476311F50AC9A8EF20C05F35 +:1008F000B2455285EF204007EF20C05C3C44634F77 +:10090000F00039A85685EF20602419C1EF2040443B +:100910003C44FD173CC43C446354F0005C50FDF380 +:1009200023243405EF20405CEF20C0597C40634F06 +:10093000F00039A85285EF20602119C1EF20404115 +:100940007C40FD177CC07C406354F0001C48FDF3E4 +:1009500023223405EF204059EF10405F31F17D3400 +:10096000054B81B73C41E38F07E27370043001A06F +:100970007370043001A0304021E61C4085C71C5C28 +:10098000585085071CDC39FBEF2000560545B64062 +:10099000264496440649F259625AD25A425BB25BE7 +:1009A000616182804840EF2040491C5C58502322FE +:1009B000040085071CDCE31307F279D5813CE9B715 +:1009C0004844A685EF30805D3C4058441440B3074E +:1009D000F0403E9758C4637CD7025840BA975CC435 +:1009E00009475C506306E902185C050718DCC9DF9B +:1009F000F5B54844A685EF30605A3C405844144051 +:100A0000B307F0403E9758C4E37CD7FCF9B75C507D +:100A1000185C79DB185C7D1718DC185C050718DC9E +:100A2000A5D76DBD22857136EF104052014585B7BF +:100A3000EF20804B0145A1BF411126C2AA841305B6 +:100A4000400522C406C6EF30203D2A8415CD232C54 +:100A50000502FD577CC10547410558DC3CC42322F3 +:100A60000400232004002324040023260400232060 +:100A7000040423089404613C13054402493C814664 +:100A800001468145228501332285B24022449244A9 +:100A9000410182800DC5411122C426C206C64AC04A +:100AA000032945002A84EF20602C8144630CA900AF +:100AB000B2402244268502499244410182807370EB +:100AC000043001A05C448544FD175CC4F5F3814605 +:100AD0000146814522854539E1BF31CDA1C90111CA +:100AE00022CC26CA4AC852C406CE4EC68947B6840E +:100AF00032892E8A2A846399F600585D8547630FF0 +:100B0000F7047370043001A0C932185C5C5CAA89D8 +:100B10006366F70281444E857532F2406244268551 +:100B20004249D244B249224A056182803C41C5DB38 +:100B30007370043001A07370043001A0304041E2B2 +:100B40001C40D5CB1C5C7D5785071CDC3C4463876F +:100B5000E7083C44854485073CC475BFBD32185C3A +:100B60005C5CAA89636DF702304079DA4844D2852B +:100B7000EF30C0423C4058441440B307F0403E9729 +:100B800058C46375D7005840BA975CC48947E39B43 +:100B9000F4FA1C5CC5DB1C5CFD171CDC65B730403F +:100BA00045D24844D285EF30603F3C405844144021 +:100BB000B307F0403E9758C4E367D7FCD9BFDDF4D4 +:100BC0000844D285EF30803D1C4434405840B697ED +:100BD0001CC4E3E9E7F61C401CC4ADB75C50854477 +:100BE0009DDB13054402EF10707615D5E30509F27D +:100BF000232099000DB74840EF2020242322040031 +:100C000091B721CD3C4181C77370043001A01C41D4 +:100C1000A1CB011122CC26CA2A844AC84EC606CED0 +:100C20002E896530185C5C5CAA898144637DF7007D +:100C30001C5C7D5785071CDC3C446388E7023C4410 +:100C4000854485073CC44E85B538F240624426850C +:100C50004249D244B249056182807370043001A0D8 +:100C60005C41C5DB7370043001A05C5099E385449E +:100C7000D9BF13054402EF10706D75D9E30909FE61 +:100C800085472320F900E5B7397106DE22DC26DA34 +:100C90004AD84ED652D456D232C66302051C638A55 +:100CA000051AB68AAE892A84EF20000D11E5B247F5 +:100CB00081C77370043001A0EF20C0201C5C6392D8 +:100CC0000714B247638E070A2808EF10507BFD54C3 +:100CD00013094402130A0401EF200021EF1000025F +:100CE000EF20401E7C4063949700232204043C4480 +:100CF0006394970023240404EF20001F6C0028084D +:100D0000EF10107A63130518EF20C01B1C5CADCFE9 +:100D1000EF20801DEF20001B3C44634FF00039A8FA +:100D20004A85EF10B06219C1EF2080023C44FD17E4 +:100D30003CC43C446354F0005C50FDF324C4EF20F9 +:100D4000A01AEF2020187C40634FF00039A852858C +:100D5000EF10D05F19C1EF10B07F7C40FD177CC051 +:100D60007C406354F0001C48FDF364C0EF20C017C2 +:100D7000EF10C01DEF2000151C5CC1E7B247A9FFB2 +:100D8000EF208016014555A0EF2000161C40DDC75E +:100D9000B2454A85EF10503DEF20C0123C44634FEE +:100DA000F00039A84A85EF10705A19C1EF10507A37 +:100DB0003C44FD173CC43C446354F0005C50FDF3DC +:100DC00024C4EF206012EF20E00F7C40634FF0005E +:100DD00039A85285EF10905719C1EF1070777C40F9 +:100DE000FD177CC07C406354F0001C48FDF364C0D8 +:100DF000EF20800FEF10801531C9EF20A00C1C5C94 +:100E0000B5DFCE8522854444EFF0CFF8639C0A0419 +:100E10001C5C1840FD171CDC01E7EF20601148C086 +:100E20001C48A1EFEF20400C0545F2506254D2540B +:100E30004259B259225A925A21618280EF20800889 +:100E40004840EF107075EF20200A99B7EFF00FDCE3 +:100E50006DB73C41E38707E47370043001A0737001 +:100E6000043001A05C5044C4D5DF13054402EF10E8 +:100E7000F04D4DD9EFF08FD975B713050401EF1080 +:100E8000F04C8547E310F5FAF5B72285EFF06FF2E5 +:100E9000EF10C00B014551BF1DC9411122C44AC00A +:100EA00006C626C244412E892A84EF10306C638323 +:100EB000A40281464A8681452285F933854763002D +:100EC000F502B2402244924402494101828073708B +:100ED000043001A05C44054585075CC4DDB75C4473 +:100EE00085075CC4F9BF15CDADC9011122CC26CA56 +:100EF0004AC84EC652C42A8406CE3289AE89EFF063 +:100F0000CFDC1C5C2A8A814485E35285EFF08FDBBD +:100F1000F240624426854249D244B249224A0561E0 +:100F200082807370043001A0304001CE4C445C409C +:100F3000B2954CC463E4F5000C404CC44E85EF30D0 +:100F4000E0051C5C7D57FD171CDC7C40638CE700D2 +:100F50007C40854485077CC04DBF3C41D9D7737028 +:100F6000043001A01C4899E3854445B713050401EA +:100F7000EF10D03D75D9E30909FE85472320F9001C +:100F8000E5B721C93C41A1CDA1CB011122CC26CA94 +:100F90004AC852C42A8406CE4EC62E8AEFF0EFD23B +:100FA0001C5C2A89814491CB8329C400D285228587 +:100FB000EFF04FDE8544232634014A85EFF08FD0D1 +:100FC000F240624426854249D244B249224A056130 +:100FD00082807370043001A07370043001A0EDDFD3 +:100FE0007370043001A001E57370043001A0411159 +:100FF00022C42A8406C6EF10F06C005CEF10D06E9D +:10100000B240228522444101828001E573700430A0 +:1010100001A0411106C622C42A84EF10B06A1C5CEC +:10102000405C1D8CEF10506C2285B240224441017F +:10103000828019C1085D82807370043001A01DCDCB +:101040009787030093878775D843630CE502D847D9 +:10105000630CE504D84B6307E504D84F6302E5044D +:10106000D853630DE502D8576308E502D85B6303E4 +:10107000E502D85F630EE5006F20306C73700430BA +:1010800001A001470E07BA9723A007006F20F06A5E +:101090001D47CDBF1947FDB71547EDB71147DDB760 +:1010A0000D47CDB70947F9BF0547E9BF68458280BD +:1010B0006CC5828003450505828009C5085D13352E +:1010C000150082807370043001A019C51C5D485D55 +:1010D0001D8D1335150082807370043001A0978731 +:1010E00003009387A76B984305C3984705C7984BA0 +:1010F00005C7984F05C7985305C7985705C7985B0C +:1011000015C7985F15C3828001470E07BA978CC335 +:10111000C8C382800547D5BF0947C5BF0D47F5B78E +:101120001147E5B71547D5B71D47C5B71947F1BFF3 +:101130009787030093878766D8436308E502D847FB +:10114000630BE502D84B630AE502D84F6309E50259 +:10115000D8536308E502D8576307E502D85B6308F4 +:10116000E502D85F6303A702828001470E07BA97A2 +:1011700023A0070082800547D5BF0947C5BF0D479B +:10118000F5B71147E5B71547D5B71D47C5B7194797 +:10119000F1BF411122C426C24AC02A8406C6AE84C9 +:1011A0003289EF1030527840FD576314F700232244 +:1011B00004043844FD576314F70023240404EF109B +:1011C000B0521C5C99E74A86A68513054402EF10CD +:1011D000B00CEF10304F3C44635BF0025C5085CBA9 +:1011E0009304440209A83C44FD173CC43C446350AA +:1011F000F0025C5089CF2685EF1050156DD5EF10A9 +:1012000030353C44FD173CC43C44E344F0FEFD57FC +:101210003CC4EF10704DEF10F04A7C40635BF0026D +:101220001C4885CB9304040109A87C40FD177CC0B1 +:101230007C406350F0021C4889CF2685EF101011C6 +:101240006DD5EF10F0307C40FD177CC07C40E3444E +:10125000F0FEFD577CC02244B24092440249410155 +:101260006F10904805C579714ECE52CC06D63E8A95 +:1012700022D426D24AD056CA5AC85EC662C4A547EE +:10128000BA8963F8E7007370043001A0737004300A +:1012900001A0AA8AAE843289B68B428B63090822E8 +:1012A00013050006EF2050372A84630D05200A0934 +:1012B000232865034A869305500A5A85EF20105C5F +:1012C00003C704001C587119230AE40203C7040071 +:1012D0003E991379C9FF79C783C71400A30AF402A2 +:1012E00083C71400E1C383C72400230BF40283C720 +:1012F0002400CDCB83C73400A30BF40283C7340092 +:10130000D5C383C74400230CF40283C74400D9CB60 +:1013100083C75400A30CF40283C75400C1C783C71A +:101320006400230DF40283C76400ADCF83C774004B +:10133000A30DF40283C77400B5C783C78400230ECE +:10134000F40283C78400B9CF83C79400A30EF402CC +:1013500083C79400A1CB83C7A400230FF40283C7E3 +:10136000A400A9C383C7B400A30FF40283C7B400C9 +:1013700095CB83C7C4002300F40483C7C4009DC376 +:1013800083C7D400A300F40483C7D40081CF83C7EC +:10139000E4002301F40483C7E40089C783C7F40091 +:1013A000A301F404130B44005A85A301040423266B +:1013B000340323283405232A0404EFF08F96130501 +:1013C0008401EFF00F96A947B3873741232C04041B +:1013D00000C81CCC40D023220404232E04045E86C3 +:1013E000D6854A85EFF00F8F08C063040A002320DA +:1013F0008A007370043017F901001309A90A8327C2 +:10140000090097F401009384A40B91C798409C4075 +:101410007C4385077CC317F70100130767091C434A +:10142000850797F6010023A5F6089C40D5CB8327B6 +:10143000090017FA0100130AAA1889EB9C40DC572F +:1014400063E6F90097F7010023AC870697F70100E0 +:1014500093874704984397F601009386E6045C540B +:101460009442050717F601002326E60238C463F606 +:10147000F60017F701002329F7025147B387E70267 +:10148000DA853305FA00EFF02F8A8327090081C738 +:101490009C40FC43BDE383270900054499C79C4059 +:1014A000DC5763F43701EFE07FF62285B250225417 +:1014B00092540259F249624AD24A424BB24B224CF0 +:1014C000456182805A85EF2050277D54F9BF13155E +:1014D0002600EF2070142A8BE31405DC7D54F1B74D +:1014E00097F7010023AE87FC184385476301F70295 +:1014F00017FA0100130ACA0C91BF984094407C432C +:10150000FD177CC3FC42C1FB7360043069B7814A9C +:1015100017FA0100130ACA0A514CA94B33858A03F2 +:10152000850A5295EFE0BFFEE39A7AFF97FB010030 +:10153000938B8B155E85EFE09FFD97FA0100938AF0 +:10154000EA155685EFE0BFFC17F5010013054516B7 +:10155000EFE0FFFB17F501001305C516EFE03FFBB9 +:1015600017F5010013054517EFE07FFA97F7010023 +:1015700023A677F597F7010023A057F5C1BD4111C8 +:1015800022C406C626C24AC02A847370043097F467 +:101590000100938424F19C40F9E311E497F70100E2 +:1015A000938707F28043130944004A85EFE05FFC0C +:1015B0001C5489C713058401EFE09FFBCA8517F50A +:1015C000010013052510EFE03FF697F70100938720 +:1015D00067EE984397F701009387C7EB9C43050795 +:1015E00097F6010023A8E6EC9840850797F60100DE +:1015F00023A2F6EA01CB97F70100938767EC9843A3 +:10160000784349EF9C40B1C797F70100938747EBB8 +:101610009843630F870873700430984039EB17F7CD +:1016200001001307A7E914439442A5E27D5797F6FA +:10163000010023AFE6E4984009CF9843784311CBEB +:10164000984394437C43FD177CC3FC4299E3736049 +:101650000430B2402244924402494101828097F70B +:1016600001009387E7E598439C437C4385077CC34F +:101670002DB794439843F8420507F8C217F70100C5 +:101680001307C7E314439442D5D218435847584729 +:10169000584397F6010023ADE6DE71BF98439443AB +:1016A0007C43FD177CC3FC42B1FF7360043099BFDB +:1016B00097F70100938787DD9C4381C773700430DF +:1016C00001A02244B2409244024941016FE01FD47C +:1016D00041C517F701001307A7DE14438147638B49 +:1016E000A606411106C622C426C27370043097F6BE +:1016F0000100938626DB9C4291C710431C437C4229 +:1017000085077CC29C42AA84404981C71C43FC4394 +:10171000B9E797F70100938767DA9C43638B8704E7 +:1017200097F70100938747D99C436384870497F711 +:101730000100938767FA6309F40297F70100938722 +:1017400067F86301F4028547B240224492443E8523 +:10175000410182803E8582807370043001A0EF4099 +:10176000E04C45BF9147CDB79C5493B7170089070C +:10177000E1BF8947D1BF7370043097F701009387A9 +:1017800067D2984309EF15C59C43485581CB97F71D +:1017900001009387E7D29843784315E3828017F7D7 +:1017A00001001307E7D114431843F8420507F8C2B4 +:1017B00061FD17F701001307A7D00843F1B798435D +:1017C00094437C43FD177CC3FC42E9FB7360043007 +:1017D0008280411122C42A8406C6EFE01FCF11E4A3 +:1017E00097F701009387C7CD80434054EFE09FCD2A +:1017F000B2402285224441018280A54763F5B700AB +:101800007370043001A0011122CC06CE26CA4AC84A +:101810004EC652C42A847370043017F901001309AC +:1018200069C883270900D5EF79C43C486389F50866 +:1018300017F701001307C7C863FCB7001443638D93 +:10184000860C18434457B3B4950093C4140029A0E0 +:101850000443818C93B4140058546384E70A1C4CED +:101860002CC863C60700A947B385B7400CCC9317B3 +:101870002700BA97584897F90100938969D48A07D5 +:10188000CE97631BF702130A44005285EFE05FCE48 +:1018900017F70100130747C15C5418436376F7003C +:1018A00017F701002322F7C05147B387E702D2851B +:1018B0003385F900EFE05FC799C0EFE03FB58327BC +:1018C000090081CB97F70100938787BF9C43FC43B6 +:1018D0009DEBF2406244D2444249B249224A05613A +:1018E000828097F701009387A7BD98439C437C4370 +:1018F00085077CC31DF897F70100938767BC804379 +:101900002DB74CD4A9BF6244F240D2444249B249F7 +:10191000224A05616F40803181443DBF25C10111DC +:1019200026CA97F401009384A4B99C4006CE22CC29 +:101930004AC84EC6638DA7027370043017F90100C0 +:10194000130949B68327090091C798409C407C43FE +:1019500085077CC3584997F701009387E7D763024F +:10196000F7028327090081C79C40FC43A5EBF240A6 +:101970006244D2444249B2490561828073700430A6 +:1019800001A01C5517F70100130787D2E38BE7FC72 +:10199000E9FB930945002A844E85EFE07FBD17F7E8 +:1019A0000100130767B05C5418436376F70017F71C +:1019B0000100232BF7AE5147B387E70217F501006B +:1019C000130505C0CE853E95EFE01FB69C405854E8 +:1019D000DC57E368F7F8EFE07FA361B76244F240B9 +:1019E000D2444249B24905616F40402415CD0111EE +:1019F00022CC26CA4AC82A8406CE4EC6EFE0FFACE7 +:101A0000584897F70100938727CD2A8981446300BE +:101A1000F7024A85EFE01FABF24062442685424957 +:101A2000D244B249056182807370043001A01C5415 +:101A300017F501001305C5C7E38DA7FCF9FB97F760 +:101A400001009387A7A49C43A9EB97F70100938714 +:101A500027A79C43445493094400DC574E85B3B4F4 +:101A6000F400EFE0FFB017F701001307E7A35C54A1 +:101A7000184393C414006376F70017F70100232579 +:101A8000F7A25147B387E70217F50100130545B3E5 +:101A9000CE853E95EFE05FA9ADBF93058401EFE0F1 +:101AA000BFA885BF0111056506CE22CC26CA4AC84B +:101AB0004EC652C456C25AC0EF200036630E051AF5 +:101AC000AA8413050006EF2020352A84630A051C2A +:101AD00005669305500A04D92685EF20205A04583C +:101AE0008567F117BE94B7474C4593879744130A0F +:101AF00044005CD85285230C0402A301040423266D +:101B0000040223280404232A0404EFE09FA1130500 +:101B10008401EFE01FA1A947232C0404F19800C819 +:101B20001CCC40D023220404232E04040146971524 +:101B300000009385E5B12685EFE0DF9908C073705A +:101B4000043017F901001309E9958327090097F478 +:101B500001009384E49691C798409C407C4385079C +:101B60007CC317F701001307A7941C43850797F65A +:101B7000010023AFF6929C40C9C38327090097F95F +:101B800001009389E9A381EB9C40DC5789E797F733 +:101B9000010023A7879297F701009387A78F9843A7 +:101BA00097F60100938646905C549442050717F619 +:101BB00001002321E68E38C463F6F60017F7010012 +:101BC0002324F78E139527003E950A05D2854E955E +:101BD000EFE09F958327090081C79C40FC43DDE728 +:101BE0008327090091C39C40EF1070498547630526 +:101BF000F50C59E17370043001A097F7010023A19F +:101C0000878C1843854797F901009389699BE314F2 +:101C1000F7F8CE8A17FB0100130B0BA75685D10AE4 +:101C2000EFE0FF8EE31C5BFF17F501001305C5A570 +:101C3000EFE0FF8D97FA0100938A4AA65685EFE000 +:101C40001F8D17F501001305A5A6EFE05F8C17F5B2 +:101C50000100130525A7EFE09F8B17F50100130581 +:101C6000A5A7EFE0DF8A97F7010023A9678597F71B +:101C7000010023A3578505B7F2406244D24442498C +:101C8000B249224A924A024B0561828098409440B0 +:101C90007C43FD177CC3FC42A1F77360043089B715 +:101CA0006244F2404249B249224A924A024B268596 +:101CB000D24405616F20602873700430FD5717E728 +:101CC00001002327F77C624497E7010023ACA77C3F +:101CD000F240D2444249B249224A924A024B97E723 +:101CE000010023A5077C05616FE0AFDB7370043052 +:101CF00097E7010023A8077A6FE0EFE817E70100F4 +:101D00001307C7781C4385071CC382807370043097 +:101D100097E7010093870779984305E317E70100E8 +:101D20001307C77808439C4381CB97E7010093874B +:101D300027799843784301EF828017E70100130762 +:101D4000277814431843F8420507F8C2C1BF9843E7 +:101D500094437C43FD177CC3FC42F9FF736004305D +:101D60008280411106C622C4EFE02FF697E70100FA +:101D70009387C7738043EFE0EFF4B240228522449B +:101D80004101828097E701009387877288438280B0 +:101D9000797106D622D426D24AD04ECE52CC56CA1B +:101DA0005AC85EC697E701009387476E9C43B1EF20 +:101DB00017E701001307876F1C43850797E60100AB +:101DC00023A6F66E832A0700638F0A0697E70100B1 +:101DD0009387076C9C43814463F1FA0897E90100FB +:101DE0009389097E17EA0100130A8A6D83270A0086 +:101DF0008546D85793172700BA978A07BE9983A7B5 +:101E0000090063FFF600854421A897E70100938746 +:101E100027699C438144850717E701002322F7685F +:101E200097E70100938787679C4391C38544B2502D +:101E30002254268502599254F249624AD24A424BB0 +:101E4000B24B4561828017E40100130424671C40F3 +:101E50009C43DDC37370043001A017E40100130438 +:101E6000E46597E901009389A97517EA0100130A4F +:101E70002A6517EB0100130B2B631C409C43B5C371 +:101E80001C40DC4703A9C70083274900930B490086 +:101E90005E8563EEFA04EFE0AFED83278902130558 +:101EA000890199C3EFE0CFEC8327C90203270B0018 +:101EB000DE85139527003E950A054E956376F7005B +:101EC00017E701002322F75EEFE00FE683270A0001 +:101ED0000327C902DC57E362F7FA1C4085449C43A0 +:101EE000C5F3FD5717E701002324F75A01B717E799 +:101EF0000100232FF758DDBD17E701001307C75B6B +:101F00001C40184397E6010023AAE65A17E7010090 +:101F10002324F75A97E70100938707589C438507C6 +:101F200017E70100232AF7561C409C4399E7FD5709 +:101F300017E70100232EF75451BD1C40DC47DC4756 +:101F4000DC4317E701002325F75449B597E7010063 +:101F50009387C753984301E77370043001A00111C0 +:101F600006CE22CC26CA4AC84EC652C456C25AC051 +:101F70007370043097E401009384C452984061EB7D +:101F800098437D1797E6010023A2E6509C43D9EBC6 +:101F900097E701009387C7519C43C9C797EA01009F +:101FA000938A0A6217EA0100130A0A5017E901002E +:101FB00013090951854919A83385EA00EFE0CFD606 +:101FC000832709005854DC576379F70483A70A0F65 +:101FD000C1CF83A7CA0FC04713058401EFE04FD9D3 +:101FE000130B44005A85EFE0AFD85C5483260A00F7 +:101FF000DA85139527003E950A052A875695E3FD55 +:10200000F6FA17E701002321F74AEFE0EFD1832723 +:1020100009005854DC57E36BF7FA97E7010023AF48 +:1020200037476DB701449C4081CB97E70100938708 +:1020300027499C43FC4395E72285F2406244D24401 +:102040004249B249224A924A024B0561828017E70F +:1020500001001307E74614431843F8420507F8C286 +:1020600005B7EF30B03CC9BF17E401001304444387 +:102070001C4095C31C40054999CF193B09C597E7FA +:10208000010023AD27411C40FD1717E7010023295C +:10209000F7401C40FDF397E70100938727409843E2 +:1020A0008547E311F7F8EFE06FB60544ADBF11CDFA +:1020B00081E57370043001A017E701001307073DA5 +:1020C0001C4399C77370043001A07370043001A0E1 +:1020D000411122C406C614431841850617E60100C3 +:1020E0002326D63A97E601009386463C9442330471 +:1020F000B70000C163F7E602637FE40063FD86007A +:1021000097E701009387C73B88431105EFE04FC66F +:102110002285EF30702B1D3D01C9B24022444101A0 +:102120008280E36FE4FCD9BF2244B24041016FE0FA +:10213000EFAD11C917E70100130747351C4391C7DD +:102140007370043001A06FE06FAC411106C622C469 +:102150001843AA87050797E6010023A9E63297E608 +:1021600001009386E63517E701001307273418436B +:1021700088423304F7001105EFE08FBF2285EF306E +:10218000B024E93311E52244B24041016FE00FA8C9 +:10219000B240224441018280411122C406C697E721 +:1021A00001009387A72E9C430144850717E7010090 +:1021B000232EF72C97E701009387872F9C4363E03A +:1021C000F51217EE0100130E6E4B97E20100938299 +:1021D000623E01440147854F1303500A21A0311E7E +:1021E000638DC20B83278EFFBA86F5DB8327CEFF74 +:1021F000DC43232EFEFE638AC70F03AFC700DC4318 +:102200002A97814E232EFEFE6385C70783A8C70049 +:1022100093874803232017015CC383A788042326E0 +:10222000F7011CC783A7C8021CCB83A70805232C72 +:1022300007005CCB03A8080383470800639067048A +:10224000C287850783C60700B3850741E38B66FE17 +:102250008981C205C181231EB700850E13070702BD +:1022600063061F038327CEFFDC43232EFEFEE39F7E +:10227000C7F983274E00232EFEFE49BF8145231E4A +:10228000B700850E13070702E31E1FFD7694131790 +:102290005400311EBA86E397C2F597E70100938791 +:1022A000E72103AE070083270E00639D070E97E723 +:1022B00001009387672003AE070083270E00639217 +:1022C000071817EE0100130EAE2F83274E109DE363 +:1022D00083278E116395072019C223200600BD3184 +:1022E0002285B24022444101828083274E00232E62 +:1022F000FEFE21B783278E1017EF0100130F0F3D4D +:10230000DC4317E701002321F73C6380E73383A216 +:10231000C700DC43AA96814E17E701002326F73A4F +:10232000914F1303500A6388E70783A8C700938778 +:10233000480323A01601DCC283A7880423A6F60164 +:102340009CC683A7C8029CCA83A7080523AC0600C5 +:10235000DCCA03A80803834708006391672AC28781 +:10236000850703C70700B3850741E30B67FE93D7D3 +:102370002500C207C183239EF600850E93860602C0 +:102380006386122983278E10DC4317E70100232D73 +:10239000F732E39CE7F983270E1117E701002325A5 +:1023A000F73261B783274E00130F8E00D4432322E8 +:1023B000DE006388E629B307E500D84283A2C600A1 +:1023C000814E2322EE00894F1303500A6306EF0665 +:1023D0008328C7001387480323A01701D8C303A786 +:1023E000880423A6F70198C703A7C80298CB03A7C0 +:1023F000080523AC0700D8CB03A80803034708004F +:102400006318671C4287050783460700B305074129 +:10241000E38B66FE13D7250042074183239EE70026 +:10242000850E93870702638D121B83264E00D842C8 +:102430002322EE00E31EEFF80327CE002322EE0056 +:1024400041BF83274E00130F8E00DC432322FE0082 +:102450006384E71F83A2C700DC43AA96814E232230 +:10246000FE00894F1303500A6306FF0683A8C700C6 +:102470009387480323A01601DCC283A7880423A600 +:10248000F6019CC683A7C8029CCA83A7080523AC93 +:102490000600DCCA03A80803834708006396671098 +:1024A000C287850703C70700B3850741E30B67FEB3 +:1024B00093D72500C207C183239EF600850E93861D +:1024C0000602638B120F83274E00DC432322FE009B +:1024D000E31EFFF88327CE002322FE0041BF83279F +:1024E000CE1197EE0100938EAE1FDC4317E701007B +:1024F0002326F71E6384D71383AFC700DC4333075B +:10250000D500014397E6010023AAF61C0D4F89422E +:102510009308500A6387D707C8479307450308C342 +:102520005CC33C452326E7011CC75C551CCB1C55EE +:1025300099C3232657003C49232C07005CCB032872 +:1025400005038347080063951705C287850783C67F +:102550000700B3850741E38B16FF93D72500C20719 +:10256000C183231EF700050313070702638AAF0226 +:102570008327CE11DC4397E6010023A1F616E39DE5 +:10258000D7F983274E1297E6010023A9F61469B7FD +:102590008147231EF700050313070702E39AAFFCE8 +:1025A0001A94E31C06D225BB8147239EF600850EB4 +:1025B00093860602E39912F117EE0100130E4E0006 +:1025C00083274E10769493165400E38307D01DB3EF +:1025D0000147239EE700850E93870702E39712E5E4 +:1025E00097E70100938747ED03AE070076949316B3 +:1025F000540083270E00E38607CCA1B58147239EB4 +:10260000F600850E93860602E39E12D783278E116D +:10261000769493165400E38107CCD1B583274E12EC +:1026200017E70100232CF70AC1BD83270E1117E716 +:102630000100232BF708E1B98327CE002322FE00F7 +:1026400011BD8326CE002322DE00B5B3797126D2D8 +:102650004AD04ECE52CC56CA5AC85EC606D622D4EE +:1026600097E90100938989E217E90100130949F507 +:1026700017EA0100130A0AE397EA0100938A4AE481 +:1026800097EB0100938BCBE297E40100938484E203 +:10269000054B21A883A7090003244910850717E7E4 +:1026A00001002325F7DE5D3011E89C40E5F7832724 +:1026B0000900E37CFBFEEFD07FD5C5BF737004300B +:1026C00083270A00B9E383270911C0471305440093 +:1026D000EFD01FEA83A70B00FD1717E7010023299E +:1026E000F7DC9C40FD1717E701002325F7DC832763 +:1026F0000A0089C783A70A00FC4385E30858EF1046 +:10270000D0032285EF1070034DB703A70A0083A7FB +:102710000A007C4385077CC37DB703A70A0083A614 +:102720000A007C43FD177CC3FC42E9FB7360043064 +:10273000F1B797E70100938767D59C43DDE341112B +:1027400006C697E7010023AB07D417E7010013077C +:1027500027D71C43B7A6A5A59386565A9C5B8C43E6 +:10276000639BD500D0436318B60094476395C600B9 +:10277000DC476388D70008430C4393854503EF206B +:10278000C07297E60100938626D2904217E70100B7 +:10279000130707E311A89C42B9CB9C42FD1717E62B +:1027A00001002323F6D0904293172600B2978A07A0 +:1027B000BA979C43EDD3904293172600B2978A07AD +:1027C000B305F700D441A107BA97D442D4C16383BB +:1027D000F602DC46B24017E701002323F7CE4101A1 +:1027E0008280854717E70100232AF7CA8280737029 +:1027F000043001A0D1473306F602D442329754C3C5 +:10280000C9BF01114AC806CE22CC26CA4EC62A89A3 +:102810007370043097E401009384C4C89C4017E4AB +:1028200001001304E4C9D9E7631409000329040073 +:10283000930949004E85EFD0BFD38327890289C70A +:1028400013058901EFD0DFD2CE8517E5010013050E +:10285000A5E8EFD07FCD9C4081C71C40FC43ADE391 +:102860001C40638B27079C409DCF737004309C40B5 +:10287000C1EB97E70100938767C49843184325EBA2 +:10288000FD5717E701002325F7C09C4089CF1C4066 +:10289000FC4391CB184014407C43FD177CC3FC42A1 +:1028A00099E373600430F2406244D2444249B24931 +:1028B0000561828018401C407C4385077CC3ADB70E +:1028C000184014407C43FD177CC3FC42D1FB73606D +:1028D00004301C40E39927F99C40A1C397E701000D +:1028E0009387C7BA9C43ADCB7370043001A09C435F +:1028F000DC47DC47DC4317E70100232BF7B871B74F +:1029000018401C407C4385077CC397E701009387F0 +:10291000E7BA984318432DD7D9BF97E701009387AB +:1029200027B917E701001307A7C99C43032787119D +:10293000631DF700F240624497E7010023A207B845 +:10294000D2444249B249056182806244F240D24495 +:102950004249B2490561F1BB6244F240D244424966 +:10296000B24905616FD09FAA3DC9411126C297E4C3 +:1029700001009384E4B44AC02E898C4006C622C468 +:10298000E105EFD0FFBB88401105EFD07FBEFD57BA +:10299000630AF90497E70100938747B180439840A1 +:1029A0009C434A9440C3636CF40497E70100938707 +:1029B000E7B088438C409105EFD09FB897E70100BE +:1029C000938707AD9C436376F40097E7010023A14A +:1029D00087ACB24022449244024941018280737024 +:1029E000043001A08C402244B2409244024917E5D1 +:1029F0000100130565CE910541016FD0FFB297E745 +:102A00000100938767AB884322448C40B2409244D4 +:102A10000249910541016FD0BFB211C997E701008A +:102A20009387C7A69C4399E77370043001A0737025 +:102A3000043001A0411126C297E40100938444A808 +:102A40009840AE878C40B7060080D58F06C622C45A +:102A50001CCFE1053284EFD03FAD88401105EFD0A7 +:102A60003FB1FD57630EF40097E70100938707A479 +:102A70008843B24092442295224441016F30C014F1 +:102A80008C402244B240924417E501001305C5C4AE +:102A9000910541016FD05FA925CD411126C297E470 +:102AA00001009384E4A14AC02E898C4006C622C44A +:102AB000E1053284EFD05FA788401105EFD05FAB0E +:102AC0008547630AF40497E701009387279E8043B4 +:102AD00098409C434A9440C3636CF40497E7010018 +:102AE0009387C79D88438C409105EFD07FA597E7DA +:102AF00001009387E7999C436376F40097E7010010 +:102B000023A88798B240224492440249410182801E +:102B10007370043001A08C402244B24092440249B8 +:102B200017E50100130545BB910541016FD0DF9FFB +:102B300097E7010093874798884322448C40B2402E +:102B400092440249910541016FD09F9F411106C6F1 +:102B500022C426C25C45C04735CC93048401268537 +:102B6000EFD01FA197E70100938747929C43B5E7F9 +:102B7000930444002685EFD0BF9F97E70100938719 +:102B8000A79258549C4363F6E70097E7010023ADF2 +:102B9000E79093172700BA978A0717E501001305F6 +:102BA00025A2A6853E95EFD03F9897E70100938731 +:102BB00027919C4358540145DC5763F8E70085474B +:102BC00017E70100232CF78C0545B24022449244BC +:102BD000410182807370043001A0A68517E50100D1 +:102BE000130505ADEFD05F94C9B797E70100938750 +:102BF000E7899C4381E77370043001A0411106C648 +:102C000022C426C2B70700804045CD8F1CC12DC409 +:102C1000EFD01F96930444002685EFD07F9597E769 +:102C200001009387678858549C4363F6E70097E751 +:102C3000010023ABE78693172700BA978A0717E5A9 +:102C400001001305E5973E95A685EFD0FF8D97E728 +:102C500001009387E7869C4358540145DC5763F88D +:102C6000E700854717E70100232AF7820545B240B0 +:102C700022449244410182807370043001A011CD3E +:102C800097E7010093874781984397E7010093876F +:102C9000E7819C4318C15CC182807370043001A03D +:102CA00055C1D1CD411106C622C42A8773700430A4 +:102CB00097D801009388087F83A7080091CB97D706 +:102CC00001009387E77F94439C43FC428507FCC245 +:102CD00017D301001303837D9C4103260300FD5697 +:102CE0000144638FD70217DE0100130EEE7A03252D +:102CF0000E000328070054436305A80005446371D0 +:102D0000D6023308D6400544637CF80003250E0044 +:102D1000918F03260300B6979CC108C350C301449A +:102D200083A7080081CB97D70100938767799C43DD +:102D3000FC4381EF2285B2402244410182807370BE +:102D4000043001A07370043001A0EF20306EDDB7B5 +:102D5000854717D701002323F774828019C168457E +:102D600082800145828011C16CC5828097D70100A5 +:102D7000938707758843828097D70100938787726E +:102D80009C43054589CB97D70100938727708843DB +:102D9000133515000605828031CD011126CA97D45E +:102DA00001009384E4719C4006CE22CC4AC84EC6F2 +:102DB0005855DC576379F7021C4D63C707009C40E8 +:102DC000D457A947958F1CCD93172700BA97584918 +:102DD00017D901001309C97E8A07CA97630DF70046 +:102DE0009C40DC575CD5F2406244D2444249B2492F +:102DF000056182808280930945002A844E85EFD048 +:102E00002FF79C4017D701001307076A1843DC57B8 +:102E10005CD46376F70017D701002327F768139572 +:102E200027003E9562440A05F240D244CE854A9579 +:102E3000B249424905616FD02FEF19CD411106C645 +:102E400022C426C297D70100938787678043630710 +:102E5000A4007370043001A0014582807C4881E7A2 +:102E60007370043001A054543848FD177CC8638344 +:102E7000E60099C70145B240224492444101828054 +:102E8000930444002685EFD0AFEE3C4817D70100ED +:102E900013078761144329471D8F5CD418CC63F650 +:102EA000F60017D701002321F7605147B387E702E7 +:102EB00017D501001305C570A6853E95EFD0CFE666 +:102EC000054555BF7370043097D701009387875D20 +:102ED0009C4391CB97D701009387875E98439C438F +:102EE0007C4385077CC3828097D701009387875BEB +:102EF0009C438DC397D701009387875C98437843A1 +:102F000011CB984394437C43FD177CC3FC4299E367 +:102F100073600430828097D701009387675A90438B +:102F200094439843A947D456084E958F1CCF82806E +:102F300097D701009387C758984309C79443F84A25 +:102F40000507F8CA8843828079714AD006D622D410 +:102F500026D24ECE2A897370043097D40100938410 +:102F600064549C4017D4010013048455BDE31C40F5 +:102F7000BC4F89E71C400547F8CFD1E19C4081C791 +:102F80001C40FC43F1EB737004309C408DEF1C40FF +:102F9000A84F11C5630409021C4023AC07041C4060 +:102FA00023AE07049C4081C71C40FC438DEBB2500C +:102FB000225492540259F2494561828018403C4F94 +:102FC000FD173CCFE9BF18401C407C4385077CC3FC +:102FD0007DBF18401C407C4385077CC349BF184017 +:102FE00014407C43FD177CC3FC42F1F37360043052 +:102FF000B250225492540259F249456182800840ED +:103000002EC61105EFD0CFD6B245FD576380F50629 +:1030100097D701009387874983A9070018409C43ED +:10302000AE992322370163ECF90497D70100938707 +:10303000E74888430C409105EFD08FD097D7010027 +:10304000938707459C4363F6F90097D7010023A1B6 +:103050003745EFD0AFBB1DB7184014407C43FD1778 +:103060007CC3FC428DF37360043031BF0C4017D534 +:103070000100130565669105EFD00FCBD9BF97D737 +:1030800001009387674388430C409105EFD04FCBF5 +:10309000C9B779714AD04ECE06D622D426D252CCA8 +:1030A0002E89B2897370043097D401009384843FD1 +:1030B0009C4017D401001304A440F9EF184089473D +:1030C000784F630CF7001C401345F5FFB84F798D1E +:1030D000A8CF1C400547F8CFADE69C4081C71C40F7 +:1030E000FC43F5E3737004309C40B1E7638609004C +:1030F0001C40BC4F23A0F900184085470145784F7C +:10310000630BF7001C401349F9FF0545B84F3379AD +:10311000E90023AC27051C4023AE07049C4081C76F +:103120001C40FC43C1E3B250225492540259F2496C +:10313000624A4561828018401C407C4385077CC3FD +:1031400075B7084036C61105EFD08FC2B246FD579D +:103150006385F60897D701009387473503AA0700D0 +:1031600018409C43369A232247016363FA0897D795 +:1031700001009387A73488430C409105EFD04FBCE2 +:1031800097D701009387C7309C436370FA0697D79F +:10319000010023AF472F91A818401C407C438507AE +:1031A0007CC329BF184014407C43FD177CC3FC42FC +:1031B000BDFB73600430B250225492540259F2495C +:1031C000624A45618280184014407C43FD177CC3ED +:1031D000FC4289FB7360043031B70C4017D5010005 +:1031E0001305854F9105EFD02FB4EFD02FA2F5B581 +:1031F00097D701009387472C88430C409105EFD067 +:103200002FB4E5B751C1411106C622C426C24AC037 +:103210007370043097D401009384C4289C40B9EBA8 +:1032200099C23C4D9CC2B2878946704D74CD2E87A1 +:103230002A846386D70663FCF6048D456387B7004E +:1032400091456395B7006300D60C38CC8547630F72 +:10325000F60405449C4081CB97D70100938747260D +:103260009C43FC43A9E32285B24022449244024994 +:103270004101828097D701009387872498439C431C +:103280007C4385077CC369BF7370043001A0854609 +:10329000E39ED7FA3C4D33E7B70038CD45BF3C4DF0 +:1032A00085073CCD65B7EF20701875BF1309440042 +:1032B0004A85EFD0EFAB17D701001307E71E5C5428 +:1032C00018436376F70017D70100232FF71C5147E7 +:1032D000B387E70217D501001305852ECA853E95F1 +:1032E000EFD08FA41C5481C77370043001A097D70E +:1032F00001009387E71C9C435854DC57E3FBE7F439 +:10330000EFD0CF90B9B70144B1B739CD011122CC7C +:1033100026CA4AC84EC652C456C206CEBA89368A92 +:10332000B2842E892A84EFD04F9AAA8A63050A00B4 +:103330003C4C2320FA00784C89477CCC6389F4020A +:1033400063F4970C8D466387D40091466396D4004E +:10335000630AF70A232C24058547631EF7061C54CD +:1033600099CB7370043001A07370043001A03C4C01 +:1033700085073CCCD5B797D70100938727119C438D +:10338000ADEB930444002685EFD08F9E17D7010044 +:10339000130787115C5418436376F70017D70100B1 +:1033A0002324F7105147B387E70217D5010013050F +:1033B0002521A6853E95EFD02F9797D701009387BB +:1033C00027109C435854DC5763F7E70063850900D6 +:1033D000854723A0F90005445685EFD0AF8E22859E +:1033E000F2406244D2444249B249224A924A0561BB +:1033F00082809305840117D501001305652BEFD05A +:10340000AF9265BF0144C9BF8547E397F4F43C4CD4 +:1034100033E92701232C240581B74DC1011122CCAA +:1034200026CA2A844AC806CE4EC6AE84EFD0EF899B +:103430008947744C7CCC3C4C05472A8985073CCC99 +:103440006395E6061C5481C77370043001A097D7BA +:1034500001009387A7039C43ADE7930944004E8581 +:10346000EFD00F9117D70100130707045C541843DE +:103470006376F70017D701002328F7025147B38777 +:10348000E70217D501001305A513CE853E95EFD0B1 +:10349000AF8997D701009387A7029C435854DC5704 +:1034A00063F5E70099C085479CC06244F240D2446E +:1034B000B2494A85424905616FD0CF8073700430AC +:1034C00001A09305840117D501001305651EEFD0F7 +:1034D000AF85C1B7AA8729C57370043017D701001B +:1034E000130747FC14439DE2F04F894601456315DD +:1034F000D60023AE070405451C4381CB97D70100B6 +:10350000938707FC9843784315E3828097D60100A0 +:10351000938606FB904294427442850674C2E9B7D2 +:1035200097D701009387C7F99C437DB7984394438D +:103530007C43FD177CC3FC42E9FB7360043082804E +:103540005D71A6C2CAC052DC56DA5AD85ED686C6AB +:10355000A2C44EDE62D466D26AD017D90100130924 +:1035600029F717DB0100130BEBF597D401009384C7 +:10357000A4F597DA0100938A6AF5054AA54B8327DB +:103580000900984363010712DC4783A90700EFE0B5 +:10359000EFF6EFE0AFF783270B002A8C6362F50AA2 +:1035A00097D7010023A0A7F201466370351B88401E +:1035B000B3858941EFD0FFBDEFE05F99630105124C +:1035C0008840814601462C00EFD00FEC4DD9A24730 +:1035D000E3C807FEC24983A7490189C7138549008B +:1035E000EFC01FF9EFE08FF283270B002A8C636D89 +:1035F000F508A24717D70100232687EDE3E2FBFC7D +:10360000B317FA0013F70721631A071613F7770C9D +:103610006318071E93F70702C5D74E85EF00F01118 +:1036200045B7DC47C04783AC0700930944004E858B +:10363000EFC01FF45C50228582975C4C6384470B7B +:1036400083270900984371FF03A70A0097D601005A +:1036500023AA86E797D6010023AAF6E697D70100AA +:1036600023A8E7E6EFE09F8EA1BFDC47C04703AD8C +:103670000700930C44006685EFC09FEF5C502285E5 +:1036800082975C4C6386470983270900984371FF42 +:1036900003A70A0097D6010023AAF6E297D70100F4 +:1036A00023A8E7E2B9B7EFE06FE5EFE02FE6832765 +:1036B0000B002A8CE366F5F883A70A00884081494D +:1036C0009043B385894117D70100232D87DF133637 +:1036D0001600EFD01FACEFE07F87E31305EEEFC0DD +:1036E000FFD2F9BD1C4CCE85E69763E9FC049C40F3 +:1036F00089CF02CA66CC22CEEFF00FE8884081461F +:1037000001464C08EFD02F8805FD7370043001A0EE +:103710001C4CE685EA97636CFD049C4089CF02CA85 +:103720006ACC22CEEFF04FE58840814601464C0836 +:10373000EFD06F8531F97370043001A003250900C3 +:103740005CC000C8EFC0DFDFE5BDEFE03F8083274E +:103750000900DC47C047930C44006685EFC05FE179 +:103760005C4C638F47035C502285829791BD032593 +:1037700009005CC000C8EFC0BFDC39B7B24723AC5A +:10378000F900A5CFE29723A2F90023A83901938578 +:103790004900636FFC0403A50A00EFC07FDA0DB592 +:1037A0001C4C00C83387F90058C0636DEC02330C21 +:1037B0003C416363FC029C4089CF02CA4ECC22CEBE +:1037C000EFF08FDB8840814601464C08EFC0BFFB1D +:1037D00059F97370043001A003A50A00E685EFC013 +:1037E0003FD651B703250900E685EFC07FD5A5BFB9 +:1037F00003250900EFC0DFD4E1B37370043001A0EA +:10380000B24783A6890123A839013387D70023A2B1 +:10381000E9006364EC04B307FC4063EBD70483A7BF +:1038200049024E85829783A7C901E39B47D983A6A6 +:103830008901B2479840B69711CF02CA3ECC4ECE0E +:10384000EFF08FD38840814601464C08EFC0BFF3AC +:10385000E31805D67370043001A06374FC00E370B4 +:10386000F7FC0325090093854900EFC07FCD89BB94 +:1038700003A50A0093854900EFC09FCC91B3411185 +:1038800022C406C626C24AC017D401001304C4C30A +:10389000EFF04FE31C4095CBEFF00FE51C409DC3CC +:1038A000814801488147094781461306004097D562 +:1038B00001009385A5B0170500001305A5C8EFD03A +:1038C0007F9A39E97370043001A017D901001309F8 +:1038D000E9E14A85EFC0BFC397D40100938444E275 +:1038E0002685EFC0DFC20146B145114597D70100DB +:1038F00023A027BF97D7010023AA97BCEFC01FDCE6 +:1039000017D701002322A7BC11CD97D501009385BD +:1039100065AAEFD0CFFC49B7B24022449244024995 +:10392000410182807370043001A0A5C9797156CA23 +:10393000AA8A1305C00222D426D24AD04ECE52CC37 +:1039400006D65AC85EC63A89B689328AAE84E9215B +:103950002A8405C9EFF00FD797D701009387C7B620 +:103960009C439DCFEFF04FD8130544002320540112 +:1039700004CC232E44012320340323222403EFC04C +:103980005FBA2285B250225492540259F249624AD7 +:10399000D24A424BB24B456182807370043001A021 +:1039A00097DB0100938B8BD45E85EFC05FB617DB8E +:1039B0000100130BEBD45A85EFC07FB50146B1452A +:1039C000114597D7010023A577B197D7010023AF01 +:1039D00067AFEFC0BFCE17D701002327A7AE01C93D +:1039E00097D501009385059DEFD06FEFA5BF73704C +:1039F000043001A039C5011122CC06CE26CA17D445 +:103A00000100130464AC832804002A8801456385FF +:103A10000802BA842EC2368732C442C6954663C5B0 +:103A2000B602EFF06FB58947630BF5020840814697 +:103A300001464C00EFC03FD5F2406244D2440561DC +:103A400082807370043001A04C0081463A8646851E +:103A5000EFD0AF88F2406244D244056182800840D2 +:103A6000814626864C00EFC01FD2F9B719C1084124 +:103A700082807370043001A001E57370043001A0EE +:103A8000411106C622C42A84EFF0CFC3484833341C +:103A9000A000EFF06FC52285B24022444101828030 +:103AA00001E57370043001A0411122C42A8406C6C6 +:103AB000EFF04FC10050EFF02FC3B24022852244F7 +:103AC0004101828001E57370043001A0411122C4DC +:103AD00026C22E84AA8406C6EFF0CFBE80D0224430 +:103AE000B240924441016FF02FC04111714522C490 +:103AF00006C61D262A8411C5232005001105EFC026 +:103B00001FA12285B24022444101828001CDB70726 +:103B100000FFED8F81C77370043001A099E57370C9 +:103B2000043001A07370043001A0011122CC4AC8F6 +:103B30004EC652C456C206CE26CABA893689B28A41 +:103B40002E842A8AEFF04FA311E563850900737074 +:103B5000043001A0EFE08F9A83240A00B377940029 +:103B60006316090295C763870A009347F4FFE58F40 +:103B70002320FA00EFE08FBDF240624426854249DF +:103B8000D244B249224A924A05618280E30DF4FC94 +:103B9000E38209FEB3355001E20563050900B7076A +:103BA0000004DD8D4E86C18D13054A00EFE0FFE66F +:103BB000EFE0CFB919E1EFC07F85EFF0CFB59317F4 +:103BC0006500AA8963D80700B7040001FD14B3F4A7 +:103BD00099005DB7EFF00FAF83290A00B377340186 +:103BE000631E090089CB63880A009347F4FFB3F78B +:103BF00037012320FA00EFF02FAFF9B7E31DF4FEF1 +:103C0000DDB701C9B70700FFED8F99C773700430A6 +:103C100001A07370043001A0411122C42A8406C699 +:103C200026C24AC02E89EFF0EFA904409345F9FF60 +:103C3000B3F795001CC0EFF02FABB24022442685AD +:103C40000249924441018280411122C42A8406C65D +:103C5000EFC0BF870040EFC0FF86B24022852244FC +:103C60004101828009C9370700FFB3F7E50099C712 +:103C70007370043001A07370043001A06F10D07C09 +:103C8000B70700FFF18F81C77370043001A001E610 +:103C90007370043001A0011122CC4AC84EC652C430 +:103CA00006CE26CA3689AE892A8A3284EFF0CF8CB6 +:103CB00021E1630509007370043001A0EFE00F8477 +:103CC00083240A00CE855285B3E49900613FB3771F +:103CD00094006307F40683240A00EFE02FA7F24064 +:103CE000624426854249D244B249224A0561828013 +:103CF000EFE0CF8083240A00CE855285B3E43401FF +:103D00009537B3F78400638D8702E30609FCB70596 +:103D100000054A86C18D13054A00EFE01FD0EFE091 +:103D2000EFA219E1EFC08FEEEFF0EF9E9317650061 +:103D3000AA8463DF0700B7070001FD17FD8C45B7B4 +:103D400083270A001344F4FFE18F2320FA0071B7A0 +:103D5000EFF04F9783240A00B377940063978700AE +:103D60009347F4FFE58F2320FA00EFF0EF97E1B7D8 +:103D7000411122C406C62A8426C2EFD03FF85C4017 +:103D800085C308489304C4006316950015A008482D +:103D900063009502B7050002EFE03FE55C40E5FBFC +:103DA0002285612A2244B240924441016FE00F9A79 +:103DB0007370043001A009C9370700FFB3F7E500AD +:103DC00099C77370043001A07370043001A06F10A4 +:103DD000B06701C9B70700FFED8F99C77370043052 +:103DE00001A07370043001A0411122C426C206C68E +:103DF0002A84AE84EFF00F8D184093C7F4FFF98F3B +:103E00001CC02244B240924441016FF0EF8D19C1B1 +:103E1000084D828001458280411122C406C62A8451 +:103E2000EFD0DFED97C701009387C76A9C43A1EBF2 +:103E3000E56717D701001307278EF11797C6010012 +:103E400023A0E66A17C70100232AF76817D70100E5 +:103E50002326F78C97C701009387076817D70100BF +:103E6000232CF78A854717C70100232D076617C73C +:103E700001002323076617C70100232DF76419E803 +:103E8000EFE0CF8CEF10E00101442285B2402244E4 +:103E9000410182801305840093773500C1EFE56707 +:103EA0001307F5FFE917E3EDE7FC97C601009386DA +:103EB00026639C4229A0984311C7BE86BA87D8437F +:103EC000E36BA7FE17C7010013070761E38AE7FA50 +:103ED000984341461384870098C2D843B306A7404D +:103EE0006378D6023388A7002322D800C8C383256D +:103EF000480097C601009386A65E11A0BA86984234 +:103F00005043E36DB6FE2320E80023A00601D8430A +:103F100097C701009387C75E9C43998F17C701001D +:103F20002320F75EEFE08F8231DC2285B24022440D +:103F300041018280719911059DB729C9411122C49F +:103F400006C62A84EFD09FDB930584FF0326C4FFB7 +:103F500017C701001307C75811A03E871C43D4435D +:103F6000E3EDC6FE232CF4FE0CC397C70100938734 +:103F7000275998438327C4FF2244B240BA9717C7F2 +:103F80000100232FF75641016FD05FFC828097C755 +:103F900001009387E7568843828082803367B500AB +:103FA0000D8BAA871DE79308D6FFAA982A87AE86AD +:103FB0006371150303A8060011079106232E07FF5E +:103FC000E36A17FF9347F5FFC697F19B9107BE95EC +:103FD000AA972A9663F3C70A938645001388470079 +:103FE000B3B6D70033B8050133E7F50093C6160022 +:103FF000134818000D8BB3E6060113371700758FB1 +:10400000330EF6409386170029CF1337AE0031EBFD +:1040100013532E002E88BE8601478328080005070B +:10402000110823A016019106E36967FE1377CEFFFE +:10403000BA95BA976302EE0483C60500138717008A +:104040002380D700637AC70283C615001387270031 +:10405000A380D7006372C70203C725002381E7004E +:104060008280B307F640AE97850503C7F5FF850646 +:10407000238FE6FEE39AF5FE82808280B367C50057 +:104080008D8BB308C500E9C36371150FB307A0405A +:104090008D8B13873700954693F5F50F13081500A0 +:1040A0003383A8407D166373D70015476363E60C1E +:1040B000D5CF2300B5000547638DE700A300B50009 +:1040C0000D47130825006396E70013083500230108 +:1040D000B500139685004D8E13970501518F33035C +:1040E000F34093968501D98E13562300AA97014772 +:1040F00094C305079107E36DC7FE1377C3FFB307AA +:10410000E8006307E3062380B70013871700637C8A +:104110001703A380B7001387270063761703238153 +:10412000B7001387370063701703A381B7001387A5 +:104130004700637A17012382B7001387570063741F +:104140001701A382B700828093F5F50F13978500BE +:10415000D98D139705014D8FE37715FFAA87910736 +:1041600023AEE7FEE3ED17FF828082802A8895B7B1 +:104170008280AA8749BF834705002A8799C705051A +:1041800083470500EDFF198D82800145828005057A +:104190008347F5FF850503C7F5FF91C7E389E7FE70 +:1041A0003385E74082808147E5BFAA87850503C73D +:1041B000F5FF8507A38FE7FE75FB82808346050028 +:1041C000930700026397F600050583460500E38D1B +:1041D000F6FE938756FD93F7D70F85C7AA878145CB +:1041E00085CE01458507138606FD1317250083C676 +:1041F00007003A9506053295F5F699C13305A040BA +:104200008280938536FD8346150093B5150093078C +:104210001500E1FA0145D5B7014582809C41238014 +:10422000A7009C4185079CC1828097C601009386A8 +:10423000A62B9C42175703001307C750138617007D +:10424000BA972380A70097C5010023AFC528A947C7 +:104250006308F500930700046305F60001458280BA +:1042600075DE370610F005078347F7FF2320F6F0C9 +:104270009C42FD1797C5010023A8F526EDF70145DF +:1042800082804D712322911423202115232A51135A +:10429000232481132326111423248114232E311364 +:1042A000232C4113232861132326711323229113F6 +:1042B0002320A113232EB111AA842E8932C6130CF8 +:1042C0005002A54A8347090063808703B9CB804029 +:1042D00011A0A1CB2300F40080400509050480C093 +:1042E00083470900E39787FF0346190093081900E5 +:1042F000C686130D0002FD597D5A0148130550056D +:104300009307D6FD93F7F70F138916006363F52A19 +:1043100017C70100130707CF8A07BA979C43BA97BC +:1043200082878320C1140324811483244114032928 +:1043300001148329C113032A8113832A4113032BF8 +:104340000113832BC112032C8112832C4112032DE4 +:104350000112832DC11171618280A14C02C4854775 +:1043600063D50715B2478040938B770093F68BFF98 +:1043700003AB060083A94600938786003EC6668687 +:1043800081465A85CE85EF10E04E2AC88147638565 +:104390003723930D4101854B668681465A85CE852C +:1043A000EF106017814666862A8BAE89EF10804C2D +:1043B000A24723A0AD0093861B00910D63843701B3 +:1043C000B68BD9BFE37E9BFF63DD4601A2872380C6 +:1043D000A70180407D1A930714009CC03E84E3C867 +:1043E00046FF93962B001C08BE9631A8938777054D +:1043F0002300F4008040FD1B93871B00050480C050 +:10440000F116E351F0EC9C42E3E2FAFE93870703D6 +:10441000C5B79C409306000332472380D7009C40D9 +:1044200093064700C14C1386170090C013068007FF +:10443000A380C700804002C4BA87050480C003ABD4 +:104440000700814936C625BFB24783AC0700938B6E +:10445000470063840C1C635F40199307D002631903 +:10446000FD1683C70C0085C363DC09189440850CD6 +:104470007D1A2380F6008040050480C083C70C00AD +:10448000E5F7635D40018040930700022300F400DC +:1044900080407D1A050480C0E31A0AFE5EC61DB581 +:1044A00002C48547A94CE3CF07EBB247804093860F +:1044B000470071B703C61600CA8699B59C4093069B +:1044C00050022380D7008040050480C0E5BBC14C6A +:1044D00002C471B5B24703C61600CA8683A9070095 +:1044E00091073EC6E35E0AE04E8AFD5911BD03C640 +:1044F0001600130DD002CA8621B59347FAFFFD8737 +:1045000003C61600337AFA00CA86DDBB03C616005E +:10451000130D0003CA86EDB383C51600930906FD8B +:10452000CA86938705FD2E86E3EEFAFA9397290053 +:10453000BE9985068609AE9983C50600938909FD53 +:10454000938705FD2E86E3F3FAFE69BF32479C4050 +:10455000144311073AC62380D7008040050480C069 +:1045600095B3854763D3070BB24793867700E19AEB +:10457000938786003EC683A946009C4263DC090AF5 +:1045800094401306D002B307F0402380C600804059 +:10459000B336F000B3093041050480C03E8BB389C7 +:1045A000D940A94C02C4E1BB03C616000508CA865F +:1045B00081BB9C40468921B7E37D9BDD8547814BCC +:1045C0008546E3C547E131BD97CC0100938C8CDF74 +:1045D000CE856685EF00103A330AAA40635C40013D +:1045E00080402300A40180407D1A050480C0E31AA6 +:1045F0000AFE014A83C70C00E38207EAE3C809E622 +:10460000FD197D57E394E9E6ADBDB24793864700B7 +:104610009C4336C693D9F74195B76356400193073B +:10462000D002E313FDFA97CC0100938CACD9930729 +:1046300080021DBD3E8BA94C02C4804089B34D71E0 +:10464000232E3113B70900409387F9FF23248114E7 +:1046500023229114232C4113232A511323261114AE +:10466000232021152328611323267113232481136A +:10467000232291132320A113232EB1112A8A2EC4A1 +:1046800017C40100130444E6975A0300938A8A0B67 +:1046900097C40100938484AC3EC69305500229451B +:1046A00013080004B70610F083470A006386B704B6 +:1046B000C1C71840050A13061700569797C801008E +:1046C00023A4C8E22300F7006382A706E31E06FDC9 +:1046D000175703001307070705078347F7FF23A0B2 +:1046E000F6F01C40FD1717C60100232FF6DEEDF78C +:1046F00083470A00E39EB7FA83461A0013051A009F +:104700002A87930B00027D5B7D5C01489305500571 +:10471000A5489387D6FD93F7F70F130A170063E7B1 +:10472000F5348A07A6979C43A697828717570300FC +:104730001307470155F28DBF8320C1140324811450 +:1047400083244114032901148329C113032A8113EB +:10475000832A4113032B0113832BC112032C8112D3 +:10476000832C4112032D0112832DC11171618280AE +:10477000A14C014D854763DB0719A2479D07E19BCB +:1047800083AD070003AB4700138787003AC46686F2 +:1047900081466E85DA85EF10E00D2AC863066D3517 +:1047A000130941018549668681466E85DA85EF00E9 +:1047B000905666868146AA8D2E8BEF10A00B232083 +:1047C000A90085091109E3106DFFE3FE9DFD1306A5 +:1047D0000004B70610F063D189031C40138717004B +:1047E000D69797C5010023A1E5D023807701630CFC +:1047F000C7047D1CE3C389FFB2476800A548B386A0 +:10480000F9008A061C08BE962948130300043706DF +:1048100010F0E384A6E89C429305000363F4F800DB +:10482000930570051840AE9793051700569717CE5D +:104830000100232BBECA2300F7006380072B638C83 +:104840006526F116F9B7175703001307A7EF0507F9 +:104850008347F7FF23A0F6F01C40FD1797C5010022 +:1048600023A4F5C8EDF77D1C71B71C4013060004A6 +:104870001387170097C5010023A8E5C6B386FA0081 +:10488000930500032380B600630FC73C890797C6D2 +:10489000010023ABF6C45697930680072300D70088 +:1048A00017570300130707EAB70610F0639EC70007 +:1048B00005078347F7FF23A0F6F01C40FD1717C636 +:1048C00001002323F6C2EDF7A247C14C014D910729 +:1048D0002247014B832D07003EC455BDA24783AC40 +:1048E000070013894700638E0C3A635480219307B5 +:1048F000D002639EFB2E03C70C00BA85631007200D +:1049000025AC8547A94C014DE3C907E7A2479107AC +:10491000C1B7A24783461700528703AB0700910730 +:104920003EC4E3580CDE5A8C7D5BE5B3834617002A +:10493000930BD0025287F1BB9347FCFFFD87834660 +:104940001700337CFC005287E9B383461700930BB2 +:10495000000352877DBB03461700138B06FD528769 +:10496000930706FDB286E3EEF8FA93172B00DA9769 +:1049700005078607B29703460700138B07FD9307C9 +:1049800006FDB286E3F3F8FE69BFA2451C40294646 +:10499000984193861700D69717C501002326D5B4F2 +:1049A0002380E700138945006300C72A93070004AA +:1049B0006387F6204AC4D5B1854763DE0729A2473D +:1049C00013877700619B930787003EC4032B470042 +:1049D0001C43635A0B30184013060004930617005B +:1049E00097C5010023A2D5B056979305D0022300A6 +:1049F000B7006387C62CB307F0403337F000330BA2 +:104A00006041BE8D330BEB40A94C014D49B3834649 +:104A1000170005085287F5B9834617005287D5B9A4 +:104A20001C40930600041387170017C60100232DAE +:104A3000E6AAD697130650022380C700E31FD7C407 +:104A400017570300130707D0B70610F00507834771 +:104A5000F7FF23A0F6F01C40FD1717C60100232521 +:104A6000F6A8EDF71DB9C14C014D29B31C409306C2 +:104A700000042A8A1387170017C601002326E6A61A +:104A8000D697130650022380C700E318D7C01757E4 +:104A90000300130727CBB70610F005078347F7FF7E +:104AA00023A0F6F01C40FD1717C60100232EF6A226 +:104AB000EDF72A8ADDB6175703001307A7C80507C5 +:104AC0008347F7FF2320F6F01C40FD1797C5010030 +:104AD00023ACF5A0EDF7F1162DBB17570300130714 +:104AE00067C6F1FDF11635B3E3FC9DCB8549C5B131 +:104AF000014C03C70C00BA85E30E07EAFD582945AF +:104B000013080004B70610F063570B0A1C40138605 +:104B10001700D69717C301002328C39C2380E70002 +:104B20006384A50663040609850C03C70C007D1C7D +:104B3000BA8579FBE35080E91C4093050002130617 +:104B40000004B70610F021A07D1CE3050CE61387D6 +:104B50001700D6972380B70017C501002326E598D4 +:104B6000BA87E313C7FE175703001307A7BD05074E +:104B70008347F7FF23A0F6F01C40FD1717C501007F +:104B80002324F596EDF7C9B717570300130787BB22 +:104B900041DE05078347F7FF23A0F6F01C40FD1711 +:104BA00017C601002322F694EDF7BDBF1757030087 +:104BB000130747B9F9BF7D1BE31A1BF5A5BF1757AC +:104BC0000300130727B8B70610F005078347F7FF60 +:104BD00023A0F6F01C40FD1717C601002326F6900F +:104BE000EDF74AC45DBC97BC0100938CAC7DDA85BF +:104BF00066854923330CAC40E35D80EF1C4013060F +:104C00000004B70610F021A07D1CE3030CEE13870F +:104C10001700D6972380770197C5010023A6E58C5E +:104C2000BA87E313C7FE175703001307A7B1050799 +:104C30008347F7FF23A0F6F01C40FD1797C501003E +:104C400023A4F58AEDF7C9B717570300130787AFF9 +:104C5000BDFA4AC499B4A247138747009C433AC49B +:104C600013DBF741BDB3175703001307A7ADB70612 +:104C700010F005078347F7FF23A0F6F01C40FD174F +:104C800017C601002322F686EDF7854717C70100F6 +:104C9000232CF78493078007175703002304F7AAF0 +:104CA00025B1635680019307D002E39EFBF297BCC7 +:104CB0000100938C2C7113078002930580023DBD87 +:104CC00097560300938606A8370610F0850603C79B +:104CD000F6FF2320E6F018407D1797C5010023A5B5 +:104CE000E5806DF709BBBE8DA94C014D4DB4B707EA +:104CF00010F023A0A7F201A03971130341022ED2B4 +:104D00009A8506CE32D436D63AD83EDA42DC46DE32 +:104D10001AC63532F2400145216182805D7113036C +:104D2000810322D42AC632DC2A841A86680006D679 +:104D3000BEC236DEBAC0C2C4C6C61ACEEFF06FD449 +:104D4000B247238007003245B250018D2254616181 +:104D50008280B70710F083A647F403A607F403A7E1 +:104D600047F4E31AD7FE8566938606FAB29633B601 +:104D7000C600B305E60023A4D7F423A6B7F48280C7 +:104D8000B70710F003A747F403A607F483A647F478 +:104D9000E31AD7FE8566938606FAB29633B6C60046 +:104DA00023A4D7F4B305E60023A6B7F493070008BD +:104DB00073A047308280411122C406C697B7010014 +:104DC0009387077317B401001304447298431C407F +:104DD0001306F07C930647069387470697B50100B4 +:104DE00023A8D57097B5010023A2F5706346D600BD +:104DF000B2402244410182801307478997B70100DE +:104E000023A8E76E392E8547631FF5001840856794 +:104E10009387F7760145E3DDE7FCD13D2244B240BC +:104E200041016FC0BFEC17B501001305255AE935E4 +:104E30000945753D2244B24041016FC03FEB17B5B3 +:104E400001001305655A4DBD17B501001305455AFC +:104E500065B5411122C426C206C6856417B4010097 +:104E600013048459938784380100FD17F5FF2285C8 +:104E70006135CDBFD1AA411106C6652405478147DA +:104E80006316E500B2403E854101828017B50100FE +:104E90001305C553EFF05FE6B24089473E854101F7 +:104EA00082807370043001A082807370043001A08E +:104EB000011122CC06CE26CA4AC84EC652C4584159 +:104EC0009307A00A2A8404416309F710814601462A +:104ED00081452685EFB05FDB85476316F50097B700 +:104EE000010023ADA76005498549032A04008146D6 +:104EF000014681455285EFB03FD96304250B9304E9 +:104F0000800C8146014681455285EFB0DF871C4405 +:104F10006300250D850717B701002321375FFD14B6 +:104F20001CC4E5F08146014681455285EFB0BF853E +:104F30006316250197B7010023A2275D032A040009 +:104F40008146014681455285EFB0FF836300250706 +:104F50009304800C8146014681455285EFB0DFD233 +:104F60001C44630A2505850717B701002328375914 +:104F7000FD141CC4E5F08146014681455285EFB021 +:104F8000BFD0E31425F7032A04008146014681457A +:104F9000528597B7010023A32757EFB0FFCEE31048 +:104FA00025F797B7010023AB275591BF97B70100AD +:104FB00023A6275571BF8507FD141CC4C1FC81467B +:104FC000014681455285EFB03FCCE31025F365BF24 +:104FD0008507FD141CC495F4B1B78146014681458F +:104FE0002685EFB04FFA85476316F50097B70100A5 +:104FF00023A6A7501309800C8549814601468145A7 +:105000002685EFB07FC81C44630A3503850717B7B0 +:1050100001002325374F7D191CC4E31009FE2685A6 +:10502000814601468145EFB03FC685470440E31FF6 +:10503000F5E897B7010023A3A74C49BD85077D1963 +:105040001CC4E31C09FAE1BF41119305800C130550 +:10505000800C06C622C4EFB0EFEF9747030023A3EE +:10506000A7729307A00A17470300232FF770814503 +:105070001305800C9747030023AA0770EFB08FED4C +:105080009747030023A6A7709747030023A4077040 +:10509000174403001304046F9747030023AE076E01 +:1050A000084097B5010093856536EFC04F834844AB +:1050B00097B5010093858536EFC06F821C40A1CF64 +:1050C0008148014881470147974603009386866BD4 +:1050D0001306004097B5010093854535170500007C +:1050E000130545DDEFC00F982244B24081480148C6 +:1050F000814701479746030093868669130600405F +:1051000097B501009385053317050000130585DA6F +:1051100041016FC02F955C44C5F7B2402244410164 +:10512000828017B701001307673D104397470300BC +:105130009387476517B701001307073C1843944747 +:1051400001456389E60098471335160097B60100BC +:1051500023A4E63A17B701001307C739D44B184305 +:105160006388E600DC4B17B701002325F7388280FF +:1051700001458280AA95AA876385B70003C7070007 +:1051800001E73385A74082808507FDB7814863DA50 +:1051900005003305A040B337A000B305B0409D8D96 +:1051A000FD5863D906003306C040B337C000B306CC +:1051B000D0409D8E32883683AA872E87639F061C37 +:1051C00097B601009386060F63F1C50C416E6377B5 +:1051D000C60B130EF00F6373CE002143335E6600DF +:1051E000F29603CE06001A9E130300023303C34156 +:1051F000630C0300B3956500335EC5013318660088 +:105200003367BE00B3176500135E0801B355C703CB +:1052100013150801418193D607013376C703B305FF +:10522000B5024206D18E63F8B600C29663E5060168 +:1052300063F3B600C2968D8E33F7C603C207C183EF +:10524000B3D6C603B306D50213150701C98F63F899 +:10525000D700C29763E5070163F3D700C297958F24 +:1052600033D567008145638A0800B307A040333710 +:10527000F000B305B0403E85998D8280370E000165 +:105280004143E36DC6F5614391BF01E605483358DC +:10529000680241676373E8081307F00F637307013F +:1052A000214333576800BA9603C606001A961303C3 +:1052B00000023303C34063190306B38505419356C7 +:1052C000080113150801418113D6070133F7D502F0 +:1052D000B3D5D5024207518FB305B5026378B70045 +:1052E0004297636507016373B7004297B305B74000 +:1052F00033F7D502C207C183B3D5D502B305B502D2 +:1053000013150701C98F63F8B700C29763E507015A +:1053100063F3B700C2978D8FA1B7370700014143F0 +:10532000E361E8F86143B5BF3318680033DEC500B8 +:10533000935E08013356C500B31765003355DE038D +:10534000B39565004D8E93150801C18193560601F2 +:105350003377DE033385A5024207558F6378A700B4 +:105360004297636507016373A7004297B306A7409E +:1053700033F7D60342064182B3D6D6034207B3863B +:10538000D502B365C70063F8D500C29563E5050192 +:1053900063F3D500C295958D1DB7E3E6D5EC416862 +:1053A00063F50605930EF00F33B8DE000E0833DE0A +:1053B000060117B301001303E3EF7293834E03005A +:1053C000130E0002C29E330EDE4163170E0263E429 +:1053D000B6006369C500B307C540958D3335F50048 +:1053E0003387A5403E85BA85BDBD370300014148DE +:1053F000E3EF66FA614865BF3357D601B396C6013D +:10540000D98EB357D50133D7D501B395C501CD8F0B +:1054100093D506013373B702139F0601135F0F0183 +:1054200013D807013316C6013315C5013357B70228 +:10543000420333680301B30FEF023A83637CF80140 +:1054400036981303F7FF6367D8006375F8011303F9 +:10545000E7FF36983308F841B37FB8023358B802F3 +:10546000C20FB3050F03139F0701135F0F0133EF43 +:10547000EF014287637CBF00369F1307F8FF636725 +:10548000DF006375BF001307E8FF369F4203C16F5B +:105490003363E3009387FFFF13580601330FBF40C8 +:1054A000B375F30013530301F18F3387F502B3078C +:1054B000F302B385050333030303BE9513580701B5 +:1054C0002E986373F8007E93C167FD17935508010A +:1054D0003378F80042087D8F9A9542976366BF0043 +:1054E000631BBF006379E5003306C7403337C7004D +:1054F000958D998D32873307E5403335E500B30547 +:10550000BF40898DB397D5013357C70133E5E70015 +:10551000B3D5C50189BBAE87328836872A836396A7 +:10552000062097B801009388E8D863FEC50A416752 +:105530006374E60A1307F00F3337C7000E07B3563C +:10554000E600B69883C60800369793060002998E47 +:1055500099CAB397D7003357E5003318D600B3651F +:10556000F7003313D50013550801B3D7A50213165E +:10557000080141829356030133F7A502B305F602F1 +:105580004207D98E3E8763FCB600C2961387F7FFA9 +:1055900063E7060163F5B6001387E7FFC2968D8EB9 +:1055A000B3F7A602420313530301B3D6A602C20700 +:1055B00033E36700B305D6023685637BB3004293BD +:1055C0001385F6FF636603016374B3001385E6FF7A +:1055D0004207498F81454DA8B70600014147E36066 +:1055E000D6F66147A9BF01E6854633D8C602C16633 +:1055F000637ED8089306F00F63F306012147B35684 +:10560000E800B69883C60800BA9613070002158F03 +:1056100049E7B387074185451356080193180801E8 +:1056200093D808019356030133F7C702B3D7C702D3 +:105630004207D98E3385F8023E8763FCA600C296E6 +:105640001387F7FF63E7060163F5A6001387E7FFFB +:10565000C296898EB3F7C602420313530301B3D631 +:10566000C602C20733E36700B388D8023685637B7E +:10567000130142931385F6FF6366030163741301FC +:105680001385E6FF4207498F3A858280B7060001FD +:105690004147E366D8F661479DB73318E800B3D5B4 +:1056A000D7003313E500B356D50013550801B3975F +:1056B000E70033F7A502B3E8F60093170801C183AA +:1056C00013D60801B3D5A5024207518FB386B7029E +:1056D0002E86637CD70042971386F5FF6367070128 +:1056E0006375D7001386E5FF4297B306D74033F7BB +:1056F000A602C20893D80801B3D6A6024207B38512 +:10570000D702B3671701368763FCB700C2971387C8 +:10571000F6FF63E7070163F5B7001387E6FFC2975B +:105720008D8F93150601D98DC5BD63E2D5144167F0 +:1057300063FEE6021308F00F3337D8000E0733D8A4 +:10574000E60097B501009385E5B6C29503C805004C +:10575000930500023A98B385054185E10547E3E5E5 +:10576000F6F23335C5001347150039BFB705000100 +:105770004147E3E6B6FC6147D9B733570601B39614 +:10578000B600D98E93DE060133D70701B378D7036D +:10579000B397B700335805013363F80093970601B8 +:1057A000C183135803013316B6003357D703C20819 +:1057B00033E80801338FE7023A8E637CE8013698BC +:1057C000130EF7FF6367D8006375E801130EE7FF58 +:1057D00036983308E841B378D8033358D803C20861 +:1057E000B38E070393170301C183B3E7F800428721 +:1057F00063FCD701B6971307F8FF63E7D70063F59B +:10580000D7011307E8FFB697420EB387D741C16EA1 +:105810003367EE001388FEFF3373070193580701C7 +:10582000337806014182330E03033388080393560D +:105830000E013303C30242939A96B388C80263F3FE +:105840000601F69813D60601B29863E01703E393B6 +:1058500017D9C167FD17FD8EC206337EFE003315D2 +:10586000B500F2968145E371D5E27D17A5B3814578 +:10587000014719BDB2883687AA872E886398061C0F +:1058800097B60100938606A363F8C50A4163637E59 +:1058900066081303F00F6373C30021473353E60018 +:1058A0009A9603CE0600130300023A9E3303C341C7 +:1058B000630C0300B3956500335EC501B318660041 +:1058C0003368BE00B317650013D608013377C802EA +:1058D00013950801418193D607013358C802420746 +:1058E000D98E3308050363F80601C69663E51601F1 +:1058F00063F30601C696B386064133F7C602C207B4 +:10590000C183B3D6C602B306D50213150701C98FEA +:1059100063F8D700C69763E5170163F3D700C6970E +:10592000958F33D567008145828037030001414759 +:10593000E36666F661479DB701E60546B358160370 +:10594000416663F3C8081306F00F6373160121471D +:1059500033D6E800B29603CE0600130300023A9E47 +:105960003303C34163190306B385154113D70801F7 +:1059700013950801418113D60701B3F6E502B3D5AB +:10598000E502C206D18EB305B50263F8B600C6962D +:1059900063E5160163F3B600C696B385B640B3F669 +:1059A000E502C207C183B3D5E502B305B50213957D +:1059B0000601C98F63F8B700C69763E5170163F363 +:1059C000B700C6978D8FB1BF370600014147E3E1AD +:1059D000C8F86147B5BFB398680033D7C501B3179E +:1059E0006500335EC50113D50801B376A702B395F0 +:1059F0006500336EBE0093950801C18113560E01F8 +:105A00003357A702C206D18E3387E50263F8E6005A +:105A1000C69663E5160163F3E600C6963386E64054 +:105A2000B376A602420E135E0E013356A602C206DC +:105A30003386C502B3E5C60163F8C500C69563E5C4 +:105A4000150163F3C500C695918D0DB7E3EED5EC56 +:105A5000416763F5E604930EF00F33B7DE000E07DF +:105A600033D3E60097B801009388C8849A9883CE10 +:105A70000800130E0002BA9E330EDE4163170E02B9 +:105A800063E4B6006369C500B307C540958D33353F +:105A9000F5003388A5403E85C28579B5B708000179 +:105AA0004147E3EF16FB614765BF3357D601B39615 +:105AB000C601D98EB357D50133D7D501B395C501EA +:105AC000CD8F93D506013373B702139F0601135F81 +:105AD0000F0193D807013316C6013315C50133579B +:105AE000B7024203B36813013308EF023A8363FC41 +:105AF0000801B6981303F7FF63E7D80063F50801C0 +:105B00001303E7FFB698B3880841B3FFB802B3D8D0 +:105B1000B802C20FB3051F03139F0701135F0F01E4 +:105B200033EFEF014687637CBF00369F1387F8FF92 +:105B30006367DF006375BF001387E8FF369F42038A +:105B4000C1683363E3009387F8FF13580601330FEE +:105B5000BF40B375F30013530301F18F3387F50290 +:105B6000B307F302B385050333030303BE9513584C +:105B700007012E986373F8004693C167FD1793558C +:105B800008013378F80042087D8F9A954297636642 +:105B9000BF00631BBF006379E5003306C74033379E +:105BA000C700958D998D32873307E5403335E50081 +:105BB000B305BF40898DB397D5013357C70133E58E +:105BC000E700B3D5C5018DB397B701009387478F21 +:105BD000944317B701001307678D1843C8C2637B4E +:105BE000E50017B701001307278D08438C43910583 +:105BF0006FA01F95411122C406C617B701001307F5 +:105C0000E78B2A8408438C439105EFA07F9397B7D5 +:105C100001009387E7879C436376F40097B7010000 +:105C200023A88786B24022444101828097B70100B1 +:105C300093870789984394437C43FD177CC3FC42B8 +:105C400099E3736004308280011122CC26CA2A8431 +:105C5000AE844AC84EC652C456C206CEEFC00F8AA2 +:105C60001C4003290401B7090001B3E59700930A1A +:105C7000C4000CC08144FD19370A00021840638C2F +:105C80002A03032609004A85B3654701B376360126 +:105C900093175600758F0329490063C4070001E775 +:105CA000F1BFE39DE6FC9317760063D30700D58C24 +:105CB000EFC0BFF3E1B793C4F4FFF98C04C0EFC0A9 +:105CC000EFA80840F2406244D2444249B249224A15 +:105CD000924A05618280411106C622C4EFF0CFB618 +:105CE00017F7FFFF1307670D81460546930540062A +:105CF00017A501001305C570EFD03FC319C92A8449 +:105D0000EFC0CF802A860147814685452285EFD0A6 +:105D10007FCEEFB03FD9B24022440145410182809D +:02000004800278 +:10000000B444FEFFB245FEFFBC44FEFFB245FEFF16 +:10001000B245FEFFB245FEFFB245FEFFD444FEFFEF +:10002000B245FEFFB245FEFFEE44FEFFFA44FEFF7E +:10003000B245FEFF0C45FEFF1845FEFF1845FEFFCA +:100040001845FEFF1845FEFF1845FEFF1845FEFF48 +:100050001845FEFF1845FEFF1845FEFFB245FEFF9E +:10006000B245FEFFB245FEFFB245FEFFB245FEFFC0 +:10007000B245FEFFB245FEFFB245FEFFB245FEFFB0 +:10008000B245FEFFB245FEFFB245FEFFB245FEFFA0 +:10009000B245FEFFB245FEFFB245FEFFB245FEFF90 +:1000A000B245FEFFB245FEFFB245FEFFB245FEFF80 +:1000B000B245FEFFB245FEFFB245FEFFB245FEFF70 +:1000C000B245FEFFB245FEFFB245FEFFB245FEFF60 +:1000D000B245FEFFB245FEFFB245FEFFB245FEFF50 +:1000E000B245FEFFB245FEFFB245FEFFB245FEFF40 +:1000F000B245FEFFB245FEFFB245FEFFB245FEFF30 +:100100004C45FEFF6245FEFFB245FEFFB245FEFFD5 +:10011000B245FEFFB245FEFFB245FEFFB245FEFF0F +:10012000B245FEFFA845FEFFB245FEFFB245FEFF09 +:100130005A43FEFF1244FEFFB245FEFFB245FEFFEA +:100140004844FEFFB245FEFFA044FEFFB245FEFF5D +:10015000B245FEFFCE44FEFFC048FEFF1449FEFF3D +:10016000C848FEFF1449FEFF1449FEFF1449FEFF74 +:100170001449FEFFBA47FEFF1449FEFF1449FEFF73 +:10018000D447FEFFE047FEFF1449FEFFF247FEFFA3 +:10019000FE47FEFFFE47FEFFFE47FEFFFE47FEFF57 +:1001A000FE47FEFFFE47FEFFFE47FEFFFE47FEFF47 +:1001B000FE47FEFF1449FEFF1449FEFF1449FEFFEF +:1001C0001449FEFF1449FEFF1449FEFF1449FEFFC7 +:1001D0001449FEFF1449FEFF1449FEFF1449FEFFB7 +:1001E0001449FEFF1449FEFF1449FEFF1449FEFFA7 +:1001F0001449FEFF1449FEFF1449FEFF1449FEFF97 +:100200001449FEFF1449FEFF1449FEFF1449FEFF86 +:100210001449FEFF1449FEFF1449FEFF1449FEFF76 +:100220001449FEFF1449FEFF1449FEFF1449FEFF66 +:100230001449FEFF1449FEFF1449FEFF1449FEFF56 +:100240001449FEFF1449FEFF1449FEFF1449FEFF46 +:100250001449FEFF1449FEFF3248FEFF6048FEFFCE +:100260001449FEFF1449FEFF1449FEFF1449FEFF26 +:100270001449FEFF1449FEFF1449FEFFB648FEFF75 +:100280001449FEFF1449FEFF1846FEFF1247FEFF09 +:100290001449FEFF1449FEFF8447FEFF1449FEFF88 +:1002A000AA47FEFF1449FEFF1449FEFF0E49FEFF58 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020636F756E7473656D207461736B732029 +:1003E0000D0A00006D69616F750A00006D69616F2B +:1003F00075320A0068756E6772790A004368656332 +:100400006B54696D65720000436F756E74696E6739 +:100410005F53656D5F310000436F756E74696E6781 +:100420005F53656D5F320000434E543100000000A1 +:08043000434E543200000000AD +:100438001000000000000000017A5200017C010158 +:100448001B0D02001000000018000000384DFEFFD0 +:100458008A03000000000000100000002C000000CB +:10046800AE50FEFF5E030000000000001000000018 +:1004780040000000F853FEFF540300000000000095 +:0804FC00FC8F01000900000063 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/crhook_rv32i_O0.hex b/VexRiscv/src/test/resources/freertos/crhook_rv32i_O0.hex new file mode 100644 index 0000000..6d08865 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/crhook_rv32i_O0.hex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diff --git a/VexRiscv/src/test/resources/freertos/crhook_rv32i_O3.hex b/VexRiscv/src/test/resources/freertos/crhook_rv32i_O3.hex new file mode 100644 index 0000000..fb16782 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/crhook_rv32i_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/crhook_rv32ic_O0.hex b/VexRiscv/src/test/resources/freertos/crhook_rv32ic_O0.hex new file mode 100644 index 0000000..51baa8a --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/crhook_rv32ic_O0.hex @@ -0,0 +1,1596 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900021B4170502001305E536979503009385F3 +:1000A000E571014611221705020013056531970518 +:1000B00002009385A5310146C52817A50300130545 +:1000C000257097B503009385656F37B6ABAB130604 +:1000D000B6BAD92817B103001301816EEF40906BB7 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2021923A02200F3221034A5 +:1002400096DEEF006030170102000321A117024182 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E21523A0120023A2BD +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40D03B170102000321A4 +:1003300061090241F65273901234B7220000938291 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A20783A0C4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A202F723A0220086DEEF208B +:10046000E02E170102000321E1F50241F6527390DC +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F0961730020309707BF +:1004C00002009387E7F09843FD576305F7007370C8 +:1004D000043001A07370043001A041112AC6B24754 +:1004E000739047300100410182804111F327403071 +:1004F0003EC673F04330B2473E85410182804111D0 +:100500002AC62EC432C2B247F1173EC62247B247AE +:1005100098C3B247938787FA3EC61247B24798C33B +:10052000B247A1173EC68E873E87B24798C3B247EF +:10053000D1173EC6170700001307A7F8B24798C3A4 +:10054000B2473E8541018280411106C6EF40F0115D +:10055000EF200003AA8799C3EF20401F0100B2409B +:1005600041018280797106D62AC62EC432C2130593 +:100570008003EF30906C2ACCE247D5C79707020082 +:10058000938707E49C4381EB97070200938747E337 +:10059000624798C3D12E2247854763F4E700854719 +:1005A0003EC4E247239A0702E2472247D8D7E247F0 +:1005B000124798DBE247324798C3E24791073E85EE +:1005C0004521E247E1073E856129E247624798CB32 +:1005D000E2476247D8D30947A2471D8FE24798CF29 +:1005E000E247D85797070200938747F09C4363F987 +:1005F000E700E247D85797070200938727EF98C391 +:10060000E247D857BA878A07BA978A0717070200BE +:10061000130707E73E97E2479107BE853A85892988 +:1006200085473ECE19A0FD573ECEF2473E85B250DB +:1006300045618280797106D62AC62EC497070200CA +:10064000938707EB9C433247BA973ECE9707020049 +:10065000938707D79C4391073E85C12197070200E6 +:10066000938707D69C437247D8C3970702009387A6 +:1006700027E89C4372476371F7029707020093874C +:1006800067E5984397070200938787D39C439107B8 +:10069000BE853A85312939A897070200938747E339 +:1006A0009843970702009387A7D19C439107BE8583 +:1006B0003A85FD2EA24791CB97070200938747D03A +:1006C0009C43E107BE852245E5260100B250456105 +:1006D0008280011106CEA5A0737004309707020036 +:1006E000938787DFDC47DC473EC6B247E1073E859C +:1006F0002D2173600430B24791073E853929B247F6 +:10070000D85797070200938767DE9C4363F9E70099 +:10071000B247D85797070200938747DD98C3B2477F +:10072000D857BA878A07BA978A07170702001307AC +:1007300027D53E97B2479107BE853A85152E970774 +:100740000200938767D99C43C1FB0100F240056119 +:100750008280011106CEEF10704D2A8797070200A4 +:10076000938747D99C431D8F970702009387C7D86B +:1007700098C3F5A897070200938787D79C431387F0 +:100780001700970702009387A7D698C39707020020 +:10079000938787D69C431387F7FF970702009387B9 +:1007A000A7D598C397070200938787D49C43CDEBC6 +:1007B000970702009387C7D19C433EC69707020064 +:1007C000938747D1984397070200938767D098C3D0 +:1007D00097070200938707D0324798C351A0970725 +:1007E00002009387E7CE9C43DC47DC473EC4A24728 +:1007F000D843970702009387A7CF9C4363F3E70092 +:10080000BDA073700430A24791073E853926A247E8 +:100810009C5789C7A247E1073E85012673600430D3 +:10082000A247D85797070200938747CC9C4363F9A8 +:10083000E700A247D85797070200938727CB98C3B2 +:10084000A247D857BA878A07BA978A0717070200BC +:10085000130707C33E97A2479107BE853A85092C27 +:10086000970702009387C7C69C439C43ADFB97073D +:100870000200938767C89C43E39E07EE9707020038 +:10088000938707C79843970702009387A7C698C323 +:100890000100F24005618280011106CE1D3D553DEB +:1008A0001DA097070200938767C49C43D5CB970789 +:1008B00002009387A7C39C431387F7FF97070200A3 +:1008C0009387C7C298C397070200938727C29843AC +:1008D000970602009386C6BABA878A07BA978A072C +:1008E000B6979C43DDDF97070200938727C09843A4 +:1008F000BA878A07BA978A0717070200130747B80B +:10090000BA973EC6B247DC43D843B247D8C3B247D2 +:10091000D843B247A1076317F700B247DC43D84377 +:10092000B247D8C3B247DC43D84797070200938742 +:1009300027A998C397070200938787A89C439443ED +:10094000970702009387C7A79843970702009387EA +:1009500027A79C439C5BBE853A858296010011A027 +:100960000100F24005618280011106CE02C605A099 +:100970003247BA878A07BA978A0717070200130710 +:1009800027B0BA973E855D20B24785073EC63247FD +:100990008547E3FFE7FC170502001305E5B0792062 +:1009A00017050200130585B1512017050200130534 +:1009B000A5B2AD2897070200938787B117070200F9 +:1009C000130787AE98C3970702009387A7B017074E +:1009D00002001307A7AE98C30100F24005618280B0 +:1009E000797106D62AC6B247DC47DC473ECCE247DF +:1009F000E1073E851D22E247E107BE85170502009B +:100A0000130585ADB520E247D857970702009387B5 +:100A1000279B9C43DC576365F70085473ECE11A0BA +:100A200002CEF2473E85B2504561828041112AC60E +:100A3000B24713878700B247D8C3B2477D5798C7DC +:100A4000B24713878700B247D8C7B24713878700DA +:100A5000B24798CBB24723A0070001004101828032 +:100A600041112AC6B24723A8070001004101828034 +:100A700001112AC62EC4B247DC433ECEA2477247BC +:100A8000D8C3F2479847A24798C7F2479C472247E6 +:100A9000D8C3F247224798C7A247324798CBB247FC +:100AA0009C4313871700B24798C3010005618280F9 +:100AB00001112AC62EC4A2479C433ECC6247FD5773 +:100AC0006316F700B2479C4B3ECE31A8B247A10750 +:100AD0003ECE21A0F247DC433ECEF247DC439C43AE +:100AE0006247E379F7FEF247D843A247D8C3A2474B +:100AF000DC43224798C7A247724798C7F24722476C +:100B0000D8C3A247324798CBB2479C4313871700FC +:100B1000B24798C301000561828001112AC6B2471D +:100B20009C4B3ECEB247DC433247184798C7B2478A +:100B30009C4732475843D8C3F247DC4332476316D9 +:100B4000F700B2479847F247D8C3B24723A8070037 +:100B5000F2479C431387F7FFF24798C3F2479C4341 +:100B60003E8505618280797106D622D42AC62EC4BC +:100B7000B2473ECEF24781E77370043001A0EF2008 +:100B8000E078F2478043F247D85FF247BC43BE8526 +:100B90003A85EF50E032AA873307F400F247D8C312 +:100BA000F24723AC0702F2479843F24798C7F2474F +:100BB0008043F247DC5F1387F7FFF247BC43BE85F3 +:100BC0003A85EF50E02FAA873307F400F247D8C7E1 +:100BD000F2477D57F8C3F2477D57B8C7A24785E370 +:100BE000F2479C4B95C7F247C1073E85EF10506214 +:100BF0002A878547631EF700EFF05F8111A8F2474F +:100C0000C1073E852535F247938747023E85393D2A +:100C1000EF20A07285473E85B25022544561828004 +:100C2000797106D62AC62EC4B287A303F10002CC7E +:100C3000B24781E77370043001A0A24799E302CE66 +:100C400001A8A2453245EF50A027AA8785073ECECE +:100C5000F247938747053E85EF30207E2ACAD24768 +:100C600085CFA24789E7D247524798C331A0D247E0 +:100C700013874705D24798C3D2473247D8DFD247B8 +:100C80002247B8C385455245F93DD2470347710015 +:100C90002388E704D2473ECCE24781E773700430F3 +:100CA00001A0E2473E85B25045618280797106D647 +:100CB000AA87A307F10013054005EF3000782ACE7C +:100CC000F247B9CFF24723A20700F24723A007005B +:100CD000F24723A40700F24723A60700F24723ACFC +:100CE0000702F2470547D8DFF24723A00704F2477F +:100CF0007D57F8C3F2477D57B8C7F2470347F10065 +:100D00002388E704F247C1073E850D33F2479387F6 +:100D100047023E85213B814601468145724531228D +:100D2000F2473E85B25045618280797106D622D461 +:100D30002AC6B2473ECCE24781E77370043001A077 +:100D4000E247C043EF20A03EAA876313F402E247C4 +:100D5000DC471387F7FFE247D8C7E247DC4791E754 +:100D60008146014681456245C92085473ECE11A096 +:100D700002CEF2473E85B25022544561828079719D +:100D800006D622D42AC62EC4B2473ECCE24781E71B +:100D90007370043001A0E247C043EF204039AA87B6 +:100DA000631BF400E247DC4713871700E247D8C70C +:100DB00085473ECE0DA08146224681456245992653 +:100DC0002ACE724785476318F700E247DC4713874E +:100DD0001700E247D8C7F2473E85B250225445611A +:100DE0008280797106D62AC62EC4B24781E7737015 +:100DF000043001A02247B24763F5E7007370043066 +:100E000001A0094681453245213D2ACEF24781C7DE +:100E1000F247224798DFF24781E77370043001A060 +:100E2000F2473E85B25045618280397106DE2AC69E +:100E30002EC432C236C002D6B2473ED4A25781E792 +:100E40007370043001A0A24781E7A257BC4399E325 +:100E5000854711A0814781E77370043001A00247E4 +:100E600089476317F700A257D85F85476314F700D7 +:100E7000854711A0814781E77370043001A0EF20FE +:100E8000602CAA8799E3924799E3854711A081478F +:100E900081E77370043001A0EF204047A257985FAC +:100EA000A257DC5F6366F70002478947631FF702BA +:100EB0000246A24522555D2B2AD2A257DC5391CF80 +:100EC000A257938747023E85EF1090342A878547C3 +:100ED0006319F700EFF08FD329A0925799C3EFF071 +:100EE000EFD2EF208045854779A8924789E7EF2028 +:100EF000C044814749A8B25799E77C083E85EF1066 +:100F0000D04885473ED6EF204043EF10A03BEF206E +:100F1000E03FA257F843FD576315F700A25723A2FD +:100F20000704A257B847FD576315F700A25723A43B +:100F30000704EF20804058007C08BA853E85EF10FA +:100F4000D047AA8785EF2255EF000072AA8795C384 +:100F5000A257C1071247BA853E85EF10501222559D +:100F60003D25EF10E037AA87E39807F2EFF00FCAAC +:100F700025B72255292DEF10A03639BF2255012D56 +:100F8000EF10003681473E85F250216182807971F1 +:100F900006D62AC62EC432C236C0B2473ECCE2477D +:100FA00081E77370043001A0A24781E7E247BC43A8 +:100FB00099E3854711A0814781E77370043001A050 +:100FC000024789476317F700E247D85F85476314F4 +:100FD000F700854711A0814781E77370043001A0B5 +:100FE000EFF0AFD0AA873ECAE247985FE247DC5FE6 +:100FF0006366F700024789476315F7040246A24576 +:101000006245AD21E247B847FD576313F702E24757 +:10101000DC538DC7E247938747023E85EF10501F90 +:10102000AA8789CF924799CB9247054798C339A0A1 +:10103000E247BC4713871700E247B8C785473ECE53 +:1010400011A002CED2473E85EFF02FC9F2473E8570 +:10105000B25045618280797106D62AC62EC4B24745 +:101060003ECCE24781E77370043001A0E247BC4305 +:1010700081C77370043001A0E2479C4381E7E247D7 +:10108000DC4399E3854711A0814781E77370043001 +:1010900001A0EFF08FC5AA873ECAE247985FE247FA +:1010A000DC5F6377F704E2479C5F13871700E24732 +:1010B00098DFE247B847FD576313F702E247DC5376 +:1010C0008DC7E247938747023E85EF107014AA87C9 +:1010D00089CFA24799CBA247054798C339A0E247D9 +:1010E000BC4713871700E247B8C785473ECE11A01B +:1010F00002CED2473E85EFF04FBEF2473E85B2505A +:1011000045618280397106DE2AC62EC432C236C0DD +:1011100002D6B2473ED4A25781E77370043001A0D3 +:10112000A24781E7A257BC4399E3854711A08147B5 +:1011300081E77370043001A0EF20C000AA8799E313 +:10114000924799E3854711A0814781E77370043086 +:1011500001A0EF20A01BA2579C5FB5CBA257DC4794 +:101160003ED2A2452255F92E824795EFA2579C5FA9 +:101170001387F7FFA25798DFA2579C4391E7EF2010 +:1011800020232A87A257D8C3A2579C4B8DCFA257A2 +:10119000C1073E85EF10D0072A8785476315F70200 +:1011A000EFF0CFA60DA0A2571257D8C7A257DC5315 +:1011B00099CBA257938747023E85EF107005AA8707 +:1011C00099C3EFF0AFA4EF20401785475DA8924781 +:1011D00089E7EF20801681476DA0B25799E77C0818 +:1011E0003E85EF10901A85473ED6EF200015EF1090 +:1011F000600DEF20A011A257F843FD576315F700CB +:10120000A25723A20704A257B847FD576315F7005A +:10121000A25723A40704EF20401258007C08BA8587 +:101220003E85EF109019AA87A1EB2255CD2EAA87F3 +:101230009DCFA2579C4391EBEF20400DA257DC437A +:101240003E85EF103073EF20400FA257938747027F +:101250001247BA853E85EF10806222550D2EEF10A1 +:101260002008AA87E39707EEEFF04F9ADDB52255E5 +:10127000392EEF10E006F1BD2255112EEF10400679 +:1012800081473E85F25021618280797106D62AC657 +:101290002EC432C2B2473ECCE24781E773700430BD +:1012A00001A0A24781E7E247BC4399E3854711A02B +:1012B000814781E77370043001A0EFF00FA3AA8784 +:1012C0003ECAE2479C5FA1CBA2456245A52CE247FE +:1012D0009C5F1387F7FFE24798DFE247F843FD572B +:1012E0006312F702E2479C4B85C7E247C1073E8580 +:1012F000EF100072AA8789CF924799CB9247054792 +:1013000098C339A0E247FC4313871700E247F8C3AC +:1013100085473ECE11A002CED2473E85EFF0EF9B2F +:10132000F2473E85B25045618280797106D62AC661 +:101330002EC4B2473ECCE24781E77370043001A06F +:10134000A24781E7E247BC4399E3854711A0814763 +:1013500081E77370043001A0E247BC4381E77370FA +:10136000043001A0EFF06F98AA873ECAE2479C5F65 +:1013700089CFE247DC473EC8A2456245E122E24709 +:101380004247D8C785473ECE11A002CED2473E8500 +:10139000EFF0AF94F2473E85B2504561828079719B +:1013A00006D62AC6B24781E77370043001A0EF1059 +:1013B000F075B2479C5F3ECEEF103078F2473E8525 +:1013C000B25045618280797106D62AC6B2473ECEB8 +:1013D000F24781E77370043001A0EF103073F247D9 +:1013E000D85FF2479C5FB307F7403ECCEF10F07434 +:1013F000E2473E85B2504561828001112AC6B2475C +:1014000081E77370043001A0B2479C5F3ECEF24783 +:101410003E8505618280797106D62AC6B2473ECEE6 +:10142000F24781E77370043001A07245D5297245F7 +:10143000EF30A0130100B2504561828041112AC6ED +:10144000B247FC473E854101828041112AC62EC425 +:10145000B2472247F8C701004101828041112AC6E4 +:10146000B24783C707053E8541018280797106D660 +:101470002AC62EC432C202CEB247BC4391EFB24755 +:101480009C43D5E7B247DC433E85EF10505C2ACE43 +:10149000B24723A2070061A8924785EFB247984759 +:1014A000B247BC433E86A2453A85EF30A01AB24708 +:1014B0009847B247BC433E97B24798C7B247984750 +:1014C000B247DC436365F706B2479843B24798C713 +:1014D000B9A8B247D847B247BC433E86A2453A8531 +:1014E000EF304017B247D847B247BC43B307F0408C +:1014F0003E97B247D8C7B247D847B2479C43637BB1 +:10150000F700B247D843B247BC43B307F0403E9719 +:10151000B247D8C712478947631BF700B2479C5FA1 +:1015200099C7B2479C5F1387F7FFB24798DFB2476E +:101530009C5F13871700B24798DFF2473E85B25091 +:1015400045618280011106CE2AC62EC4B247BC4333 +:101550009DCBB247D847B247BC433E97B247D8C7A6 +:10156000B247D847B247DC436366F700B2479843B7 +:10157000B247D8C7B247D847B247BC433E86BA85C0 +:101580002245EF30200D0100F240056182800111FB +:1015900006CE2AC6EF10905725A0B247DC5395C758 +:1015A000B247938747023E85EF108046AA8799C3CA +:1015B000EF10006AB247BC471387F7FFB247B8C7BE +:1015C000B247BC47E34BF0FC11A00100B2477D5786 +:1015D000B8C7EF109056EF1070531DA0B2479C4B48 +:1015E0008DC7B247C1073E85EF108042AA8799C3D5 +:1015F000EF100066B247FC431387F7FFB247F8C30A +:10160000B247FC43E34CF0FC11A00100B2477D5708 +:10161000F8C3EF1090520100F240056182807971A9 +:1016200006D62AC6EF10904EB2479C5F81E78547E9 +:101630003ECE11A002CEEF105050F2473E85B25080 +:101640004561828001112AC6B24781E77370043078 +:1016500001A0B2479C5F81E785473ECE11A002CE34 +:10166000F2473E8505618280797106D62AC6EF1061 +:10167000F049B247985FB247DC5F6315F7008547D2 +:101680003ECE11A002CEEF10504BF2473E85B25035 +:101690004561828001112AC6B24781E77370043028 +:1016A00001A0B247985FB247DC5F6315F70085473A +:1016B0003ECE11A002CEF2473E850561828079714F +:1016C00006D62AC62EC432C2B2473ECC737004304E +:1016D0006245593FAA878DC3924799CBE247C1071C +:1016E000BE851245EFE01FF573600430F157B9A0D5 +:1016F00073600430814799A07360043073700430C4 +:10170000E247985FE247DC5F6376F7020146A24555 +:101710006245A93B85473ECEE247DC5389CFE2478D +:10172000938747023E85EFF0AFABAA8789C7ED5795 +:101730003ECE11A002CE73600430F2473E85B25017 +:1017400045618280797106D62AC62EC432C2B2475C +:101750003ECC73700430E2479C5F95E3924781CFA3 +:10176000E24793874702BE851245EFE0BFEC736006 +:101770000430F157BDA87360043081479DA87360A1 +:10178000043073700430E2479C5FA5C3E247D8473A +:10179000E247BC433E97E247D8C7E247D847E24713 +:1017A000DC436366F700E2479843E247D8C7E24765 +:1017B0009C5F1387F7FFE24798DFE247D847E2478D +:1017C000BC433E86BA852245EF20D06885473ECE91 +:1017D000E2479C4B81CFE247C1073E85EFF04FA027 +:1017E000AA8789C7ED573ECE11A002CE73600430A0 +:1017F000F2473E85B25045618280797106D62AC68D +:101800002EC432C2B2473ECEF247985FF247DC5F49 +:101810006375F7020146A24572458939924791EFF7 +:10182000F247DC5399CBF247938747023E85EFF0AE +:101830002F9BAA8799C3854711A092473E85B25036 +:1018400045618280797106D62AC62EC432C2B2475B +:101850003ECCE2479C5FA5C7E247D847E247BC437E +:101860003E97E247D8C7E247D847E247DC43636682 +:10187000F700E2479843E247D8C7E2479C5F1387E7 +:10188000F7FFE24798DFE247D847E247BC433E868E +:10189000BA852245EF20105C92479C4391EFE247C6 +:1018A0009C4B99CBE247C1073E85EFF06F93AA8727 +:1018B00081C79247054798C385473ECE11A002CE07 +:1018C000F2473E85B2504561828001112AC62EC47E +:1018D00002CE81A017870300130787EAF2478E071D +:1018E000BA979C4385E717870300130767E9F24718 +:1018F0008E07BA97224798C317870300130747E854 +:10190000F2478E07BA973247D8C301A8F247850736 +:101910003ECE72479D47E3FFE7FA010005618280F2 +:1019200001112AC602CE0DA817870300130747E549 +:10193000F2478E07BA97DC433247631CF7001787DC +:1019400003001307E7E3F2478E07BA9723A00700C7 +:1019500001A8F24785073ECE72479D47E3F6E7FCB4 +:10196000010005618280797106D62AC62EC432C272 +:10197000B2473ECEEF109019F247F843FD5763157A +:10198000F700F24723A20704F247B847FD57631553 +:10199000F700F24723A40704EF10301AF2479C5FC8 +:1019A00089EBF247938747021246A2453E85EF0036 +:1019B000907E7245E93E0100B250456182803971E6 +:1019C00006DE2ACE2ECC36C83AC63EC442C246C037 +:1019D000B287231BF100F24781E77370043001A046 +:1019E0003247A54763F5E7007370043001A08357C1 +:1019F000610192453E85EF1080512AD4A257638F32 +:101A00000712A257985B83566101B7070040FD1784 +:101A1000B6978A07BA973ED29257F19B3ED2925719 +:101A20008D8B81C77370043001A0835761013E879D +:101A300082463246E2452255EF10E0274246F24503 +:101A40001255EFE0DFAB2A87A25798C3A24781C7A0 +:101A5000A247225798C3EF10700B97F701009387A6 +:101A600067BD9C431387170097F70100938787BCD6 +:101A700098C397F701009387E7949C4395E397F702 +:101A8000010093872794225798C397F70100938703 +:101A900067BA98438547631AF702EF10C02C35A048 +:101AA00097F701009387C7B99C4385E397F7010037 +:101AB000938747919C43DC5732476368F70097F759 +:101AC000010093872790225798C397F701009387C7 +:101AD00027B89C431387170097F70100938747B7F0 +:101AE00098C397F701009387A7B69843A257B8C742 +:101AF000A257D85797F70100938747B49C4363F9DF +:101B0000E700A257D85797F70100938727B398C3E8 +:101B1000A257D857BA878A07BA978A0717F70100DA +:101B20001307C79D3E97A2579107BE853A85EFE000 +:101B30003FF485473ED6EF10500019A0FD573ED622 +:101B4000325785476313F70297F70100938747AF32 +:101B50009C4381CF97F701009387C7869C43DC574E +:101B6000324763F4E700EFE07F8AB2573E85F250D8 +:101B700021618280797106D62AC6EF102079B2479A +:101B800099E797F701009387E7839C4311A0B24739 +:101B90003ECEF24791073E85EFE03FF8F2479C5773 +:101BA00091C7F247E1073E85EFE03FF7F247910723 +:101BB000BE8517F50100130525A5EFE07FEB97F72C +:101BC00001009387A7A59C431387170097F701008F +:101BD0009387C7A498C397F70100938767A79C438F +:101BE0001387170097F70100938787A698C3EF1014 +:101BF000C07497F701009387A7A49C439DCB97E7F8 +:101C000001009387277C9C437247631EF70097F778 +:101C10000100938767A49C4381C77370043001A0BF +:101C2000EFE0CFFE39A0EF10606EEF10A04BEF1089 +:101C3000C0700100B25045618280797106D62AC613 +:101C40002EC402CEB24781E77370043001A0A247D0 +:101C500081E77370043001A097F701009387C79F55 +:101C60009C4381C77370043001A0A92D97F7010030 +:101C70009387879C9C433ECCB2479C432247BA97AC +:101C80003ECAB2479C436247637EF700B2479C431B +:101C900052476374F7025247E24763F0E702854711 +:101CA0003ECE21A8B2479C4352476366F700524795 +:101CB000E24763F4E70085473ECEB247524798C3F8 +:101CC000F24789CF97E701009387C76F9C4391073D +:101CD0003E85EFE09FE45245EF10001B11252AC816 +:101CE000C24799E3EFE08FF20100B2504561828074 +:101CF000797106D62AC602CEB247A9C397F701006A +:101D0000938787959C4381C77370043001A05D2B36 +:101D100097F70100938747929C433247BA973ECC8E +:101D200097E701009387076A9C4391073E85EFE0A0 +:101D3000DFDE6245EF10401565232ACEF24799E3B6 +:101D4000EFE0CFEC0100B25045618280797106D698 +:101D50002AC6B2473ECCE24781E77370043001A047 +:101D600097E70100938707669C4362476314F70077 +:101D700002CEBDA0EF108059E247DC4B3ECAEF1007 +:101D8000C05B97F70100938767869C435247630ABD +:101D9000F70097F701009387A7859C435247631587 +:101DA000F70089473ECE2DA8524797F70100938749 +:101DB0002787631BF700E2479C5781E78D473ECE9C +:101DC00005A089473ECE29A8524797F7010093877F +:101DD000A7836315F70091473ECE19A085473ECEF5 +:101DE000F2473E85B25045618280797106D62AC697 +:101DF000EF10C051B24799E797E701009387875CDE +:101E00009C4311A0B2473ECEF247DC573ECCEF10C8 +:101E1000C052E2473E85B25045618280797106D654 +:101E20002AC6EFE08FECAA873ECEB24799E797E744 +:101E30000100938727599C4311A0B2473ECCE2474B +:101E4000DC573ECAF2473E85EFE02FE9D2473E8598 +:101E5000B25045618280797106D62AC62EC402CE60 +:101E60002247A54763F5E7007370043001A02247BD +:101E7000A54763F4E700A5473EC4EF102049B247E9 +:101E800099E797E701009387E7539C4311A0B24776 +:101E90003ECCE247BC4B3ECA5247A247630AF70E0C +:101EA0002247D24763F6E70297E7010093878751FD +:101EB0009C4362476308F70297E7010093878750C6 +:101EC0009C43DC572247636FF70085473ECE19A835 +:101ED00097E701009387074F9C4362476314F7001D +:101EE00085473ECEE247DC573EC8E247B84BE24763 +:101EF000DC576315F700E2472247D8D7E24722476D +:101F0000B8CBE2479C4F63C707002947A2471D8F04 +:101F1000E24798CFE247D44B4247BA878A07BA973D +:101F20008A0717E701001307675DBA976394F60005 +:101F3000854711A08147B9C7E24791073E85EFE089 +:101F4000DFBDE247D85797E701009387276F9C438F +:101F500063F9E700E247D85797E701009387076ED8 +:101F600098C3E247D857BA878A07BA978A0717E70C +:101F700001001307A7583E97E2479107BE853A85AF +:101F8000EFE01FAF724785476314F700EFE00FC81B +:101F9000EF10A03A0100B25045618280797106D6F7 +:101FA0002AC6EF10A036B24799E797E7010093875A +:101FB00067419C4311A0B2473ECEF24791073E8550 +:101FC000EFE0BFB5F2479C5791C7F247E1073E8566 +:101FD000EFE0BFB4F2479107BE8517E50100130596 +:101FE0002564EFE0FFA8EF10403597E701009387E5 +:101FF000673D9C4372476319F70497E70100938795 +:1020000027649C4389CF97E701009387E7649C434B +:1020100081C77370043001A0EFE04FBF91A097E734 +:1020200001009387E75F984397E7010093878760F4 +:102030009C436319F70097E701009387A73823A013 +:10204000070039A8212729A897E701009387475F50 +:102050009C4399C7EF10802BEF10C008EF10E02DC4 +:102060000100B2504561828001112AC602CEB247FA +:102070003ECCB24781E77370043001A0E247D84BF1 +:1020800097E701009387C7596314F700854711A0AC +:10209000814795C3E247985797E701009387875593 +:1020A000630BF700E2479C5799E3854711A08147EE +:1020B00099C385473ECEF2473E850561828079719E +:1020C00006D62AC6B2473ECEB24781E773700430C7 +:1020D00001A0F247C9C797E701009387A72E9C4349 +:1020E0007247630EF706EF1060227245B53F2A87EC +:1020F00085476314F706F24791073E85EFE0FFA19D +:10210000F247D85797E70100938747539C4363F9F9 +:10211000E700F247D85797E701009387275298C303 +:10212000F247D857BA878A07BA978A0717E7010094 +:102130001307C73C3E97F2479107BE853A85EFE00B +:102140003F93F247D85797E701009387A7279C430F +:10215000DC576364F700EFE06FABEF10001E010087 +:10216000B25045618280797106D62AC602CEB24746 +:102170003ECCB24781E77370043001A0EFE0EFB6C8 +:10218000AA873ECA6245CD352A8785476314F7087A +:1021900097E701009387474C9C43A5E7E247D85750 +:1021A00097E70100938707229C43DC576364F7009D +:1021B00085473ECEE24791073E85EFE01F96E24716 +:1021C000D85797E70100938767479C4363F9E70077 +:1021D000E247D85797E701009387474698C3E247FD +:1021E000D857BA878A07BA978A0717E701001307F3 +:1021F000E7303E97E2479107BE853A85EFE05F877B +:1022000011A8E247E107BE8517E501001305853EE9 +:10221000EFE01F86D2473E85EFE02FACF2473E85C8 +:10222000B25045618280011106CE81480148814744 +:10223000014781461306004097E50100938585DC40 +:1022400017150000130585A5EFF06FF72AC6324772 +:1022500085476315F700EF10C06D2AC632478547E2 +:102260006319F7027370043097E701009387873E84 +:102270007D5798C397E701009387873C054798C32C +:1022800097E701009387473B23A00700EFE06F81AA +:1022900031A0B24781E77370043001A00100F24021 +:1022A00005618280411106C67370043097E7010012 +:1022B0009387073923A00700EFE0EF8C0100B240BD +:1022C0004101828097E70100938707399C43138778 +:1022D000170097E701009387273898C30100828091 +:1022E000011106CE02C697E701009387E7369C43AB +:1022F00081E77370043001A0EF10400197E70100FF +:10230000938787359C431387F7FF97E701009387EF +:10231000A73498C397E70100938707349C436390E1 +:10232000071097E701009387E7309C436389070E06 +:1023300059A097E701009387E72BDC47DC473EC4B1 +:10234000A247E1073E85EFE04FFDA24791073E859A +:10235000EFE0AFFCA247D85797E701009387072E1D +:102360009C4363F9E700A247D85797E7010093879A +:10237000E72C98C3A247D857BA878A07BA978A0723 +:1023800017E70100130787173E97A2479107BE85FD +:102390003A85EFE0EFEDA247D85797E70100938722 +:1023A00067029C43DC576368F70097E70100938757 +:1023B000A729054798C397E701009387A7239C4364 +:1023C000ADFB97E701009387E7279C438DCF35A0AE +:1023D000452AAA8799C797E701009387E72605470B +:1023E00098C397E701009387E7259C431387F7FF7E +:1023F00097E701009387072598C397E70100938724 +:1024000067249C43F1F797E701009387E7239843FC +:1024100085476316F70085473EC6EFD03FFFEF00C4 +:10242000D071B2473E85F24005618280011106CE2F +:10243000EF00D06D97E70100938707209C433EC6CD +:10244000EF00B06FB2473E85F24005618280011116 +:1024500006CEEFE08F89AA873EC697E701009387F3 +:10246000A71D9C433EC4B2473E85EFE00F87A247BD +:102470003E85F2400561828097E701009387871BC4 +:102480009C433E858280797106D62AC62EC432C20C +:1024900002CEA9473ECC3D3597E7010093878719C7 +:1024A0009C4322476368F70CE247FD173ECCF24796 +:1024B00096073247B306F7006247BA878A07BA978A +:1024C0008A0717E7010013076703BA970546BE8519 +:1024D0003685EF00902A2A87F247BA973ECEE24728 +:1024E000E1F7F247960732473E9797E70100938757 +:1024F000E70F9C430946BE853A85EF0010282A87DE +:10250000F247BA973ECEF247960732473E9797E793 +:1025100001009387E70D9C430946BE853A85EF008D +:10252000D0252A87F247BA973ECEF2479607324720 +:10253000BA97114697E501009385050D3E85EF009A +:10254000D0232A87F247BA973ECEF2479607324702 +:10255000BA970D4697E501009385850C3E85EF00FF +:10256000D0212A87F247BA973ECE924781C7924739 +:1025700023A00700B533F2473E85B2504561828003 +:10258000797106D602CE97E701009387E70C9C434A +:102590006395071897E701009387070A9C43138701 +:1025A000170097E701009387270998C397E7010071 +:1025B000938787089C433ECCE247B9EF97E7010039 +:1025C0009387C7029C439C4381C77370043001A06A +:1025D00097E70100938787019C433ECA97E7010074 +:1025E00093870701984397E701009387270098C3D3 +:1025F00097E701009387C7FF524798C397E7010009 +:102600009387C7049C431387170097E701009387BC +:10261000E70398C3EF00102D97E701009387870326 +:102620009C4362476365F70C97E70100938707FCBB +:102630009C439C4399E3854711A0814781CB97E751 +:102640000100938727017D5798C355A097E70100A4 +:102650009387C7F99C43DC47DC473EC8C247DC434D +:102660003EC66247B2476379F70097E70100938758 +:1026700067FE324798C3A5A8C24791073E85EFE0A1 +:10268000CFC9C2479C5791C7C247E1073E85EFE0DB +:10269000CFC8C247D85797E70100938727FA9C43D2 +:1026A00063F9E700C247D85797E70100938707F916 +:1026B00098C3C247D857BA878A07BA978A0717E7D5 +:1026C00001001307A7E33E97C2479107BE853A85ED +:1026D000EFE00FBAC247D85797E70100938787CE3C +:1026E0009C43DC57E362F7F485473ECE35BF97E75E +:1026F0000100938727CD9C43D85797E60100938626 +:10270000E6DFBA878A07BA978A07B697984385475C +:1027100063F1E70285473ECE29A897E7010093873A +:1027200067F29C431387170097E70100938787F1AF +:1027300098C397E70100938727F19C4399C3854786 +:102740003ECEF2473E85B25045618280011106CEF1 +:1027500097E70100938747F09C4381CB97E70100FF +:10276000938787EE054798C339A297E701009387BF +:10277000A7ED23A0070097E701009387A7C49C4318 +:102780009C5B3EC6B7A7A5A59387575A3EC4B247E0 +:102790009C4322476314F702B24791079C432247A8 +:1027A000631EF700B247A1079C4322476318F70056 +:1027B000B247B1079C4322476308F70497E701003B +:1027C000938747C0984397E701009387A7BF9C432F +:1027D00093874703BE853A85EF20B00A35A097E777 +:1027E00001009387A7E59C4381E77370043001A043 +:1027F00097E70100938787E49C431387F7FF97E7E8 +:1028000001009387A7E398C397E70100938707E345 +:10281000984397E60100938666CEBA878A07BA97EF +:102820008A07B6979C43C5DF97E70100938707E1C6 +:102830009843BA878A07BA978A0717E701001307F0 +:10284000E7CBBA973EC29247DC43D8439247D8C3FE +:102850009247D8439247A1076317F7009247DC439A +:10286000D8439247D8C39247DC43D84797E7010043 +:10287000938747B598C30100F24005618280797162 +:1028800006D62AC62EC4B24781E77370043001A071 +:1028900097E70100938707B39C43E107BE85324564 +:1028A000EFE00FA197E701009387C7B19C43910721 +:1028B0003E85EFE08FA62247FD576310F70297E7AA +:1028C0000100938727B09C439107BE8517E501005F +:1028D000130505D5EFE0CF9919A897E70100938775 +:1028E000A7D59C432247BA973ECE7245712B010073 +:1028F000B25045618280797106D62AC62EC432C292 +:10290000B24781E77370043001A097E70100938715 +:10291000A7D49C4381E77370043001A097E70100BE +:10292000938747AA9C43A24637070080558F98CFCC +:1029300097E70100938707A99C43E107BE853245CD +:10294000EFE00F9397E701009387C7A79C43910798 +:102950003E85EFE08F9C1247FD576310F70297E723 +:102960000100938727A69C439107BE8517E50100C8 +:10297000130505CBEFE0CF8F19A897E701009387E8 +:10298000A7CB9C431247BA973ECE7245F52901006A +:10299000B25045618280797106D62AC62EC432C2F1 +:1029A000B24781E77370043001A097E70100938775 +:1029B00067A19C43E107BE853245EFE06F8B97E747 +:1029C0000100938727A09C4391073E85EFE0EF9499 +:1029D000124785476310F70297E701009387879EA8 +:1029E0009C439107BE8517E50100130565C3EFE021 +:1029F0002F8819A897E70100938707C49C432247B3 +:102A0000BA973ECE724549210100B250456182809D +:102A1000797106D62AC6B247DC47DC473ECCE2478E +:102A200081E77370043001A0E247E1073E85EFE0E3 +:102A3000CF8E97E70100938727C29C43A1EBE24723 +:102A400091073E85EFE06F8DE247D85797E7010089 +:102A50009387C7BE9C4363F9E700E247D85797E7DF +:102A600001009387A7BD98C3E247D857BA878A0762 +:102A7000BA978A0717E70100130747A83E97E2476E +:102A80009107BE853A85EFD0BFFE11A8E247E10766 +:102A9000BE8517E501001305E5B5EFD07FFDE247E0 +:102AA000D85797E701009387E7919C43DC5763FB76 +:102AB000E70085473ECE97E701009387E7B80547D3 +:102AC00098C311A002CEF2473E85B2504561828084 +:102AD000797106D62AC62EC497E701009387C7B737 +:102AE0009C4381E77370043001A02247B707008040 +:102AF0005D8FB24798C3B247DC473ECCE24781E7DF +:102B00007370043001A03245EFE02F81E247910756 +:102B10003E85EFE08F80E247D85797E70100938723 +:102B2000E7B19C4363F9E700E247D85797E7010014 +:102B30009387C7B098C3E247D857BA878A07BA972E +:102B40008A0717E701001307679B3E97E247910743 +:102B5000BE853A85EFD0DFF1E247D85797E701000D +:102B6000938747869C43DC5763FBE70085473ECE4F +:102B700097E70100938747AD054798C311A002CEA0 +:102B8000F2473E85B2504561828041112AC6B24764 +:102B900081E77370043001A097E70100938707ABCA +:102BA0009843B24798C397E701009387E7A89843F3 +:102BB000B247D8C3010041018280797106D62AC686 +:102BC0002EC4B24781E77370043001A0A24781E7A9 +:102BD0007370043001A01D2F97E701009387C7A5EC +:102BE0009C433ECCA2479843FD576314F70002CEA6 +:102BF00091A8B247984397E70100938727A59C4384 +:102C0000630AF700B247DC4362476365F700854714 +:102C10003ECE0DA8B247DC4362471D8FA2479C43BE +:102C20006370F702A2479843B247D443E247B387A1 +:102C3000F6403E97A24798C33245813F02CE19A085 +:102C400085473ECEDD2DF2473E85B25045618280FC +:102C500097E701009387479F054798C3010082804B +:102C600001112AC6B24799C7B2473ECCE247FC479A +:102C70003ECE11A002CEF2473E8505618280011151 +:102C80002AC62EC4B24791C7B2473ECEF24722476A +:102C9000F8C7010005618280011106CE2AC685228F +:102CA00097E701009387878598438547E3F9E7FE17 +:102CB000EFD0CFF5EDB7397106DE2ACE2ECC32CA71 +:102CC00036C8BA872317F10002D625A06247B2574B +:102CD000BA9703C70700F246B257B697238AE702AE +:102CE0006247B257BA9783C7070089CBB2578507A7 +:102CF0003ED63257BD47E3FBE7FC11A00100F24787 +:102D0000A38107045247A54763F4E700A5473ECADD +:102D1000F2475247D8D7F2475247B8CBF24723AAD7 +:102D20000704F24791073E85EFD09FD3F247E107B2 +:102D30003E85EFD0FFD2F247724798CB2947D24762 +:102D40001D8FF24798CFF2477247D8D3F24723A29C +:102D50000704F24723AC0704F24723AE070401003F +:102D6000F25021618280011106CE02C60DA03247C9 +:102D7000BA878A07BA978A0717D701001307077817 +:102D8000BA973E85EFD09FCAB24785073EC6324705 +:102D9000A547E3FEE7FC17E501001305A582EFD088 +:102DA000FFC817E5010013052583EFD03FC817E5DD +:102DB000010013052584EFD07FC717E50100130537 +:102DC000A584EFD0BFC617E5010013056585EFD0D8 +:102DD000FFC597E701009387678117D701001307A5 +:102DE000677E98C397E701009387878017D7010014 +:102DF0001307877E98C30100F240056182800111AC +:102E000006CE8DA8EFF00FCC97D701009387C77F30 +:102E10009C4393B7170093F7F70F3EC6EFF04FCCE4 +:102E2000B247A9EBE52197D701009387E77DDC47FF +:102E3000DC473EC4A24791073E85EFD01FCE97D70F +:102E400001009387277F9C431387F7FF97D70100E3 +:102E50009387477E98C397D701009387277C9C432D +:102E60001387F7FF97D701009387477B98C3F1211A +:102E70002245912C97D701009387477A9C43D9F339 +:102E80000100F24005618280011106CE2AC697D763 +:102E90000100938727539C433247D8C397D701003B +:102EA000938787799C4332476372F70297D7010073 +:102EB00093870774984397D701009387A7509C4343 +:102EC0009107BE853A85EFD0BFBE35A897D70100E0 +:102ED0009387C771984397D701009387A74E9C4368 +:102EE0009107BE853A85EFD0BFBC97D70100938785 +:102EF00067769C4332476378F70097D70100938742 +:102F00006775324798C30100F2400561828079718C +:102F100006D6AA872EC42317F100A24789EB835750 +:102F2000E1008A073E85EF104051AA8711A0A24711 +:102F30003ECCE24785C313050006EF1000502ACEB1 +:102F4000F24789C7F247624798DB31A06245EF102C +:102F5000C06111A002CEF24781CFF247985B835740 +:102F6000E1008A073E869305500A3A85EF104075C6 +:102F7000F2473E85B25045618280397106DE22DC1F +:102F80002AC62EC432C202D6A2479C43638D070EC6 +:102F9000A2473ED4A257DC43D843A257D8C3A25776 +:102FA000D843A257A1076317F700A257DC43D843C1 +:102FB000A257D8C3A257DC43DC473ED2A2473ED03B +:102FC0008257DC43D8438257D8C38257D8438257AD +:102FD000A1076317F7008257DC43D8438257D8C351 +:102FE0008257DC43DC473ECEB25796073247BA974A +:102FF000724798C3B25796073247BA97724713077A +:103000004703D8C3B25796073247BA977247384733 +:1030100098C7B25796073247BA971247D8C7B257E0 +:1030200096073247BA977247585798CB12478D4741 +:10303000631BF700F2479C5799C7B2579607324770 +:10304000BA970947D8C7B25796073247BA97724717 +:10305000384BD8CBB25796073247BA9723AC070004 +:10306000F247945BB257960732473304F700368530 +:103070000D20AA87231EF400B25785073ED672475B +:103080009257E31DF7F2B2573E85F2506254216128 +:10309000828001112AC602CE39A0B24785073EC6FA +:1030A000F24785073ECEB24703C707009307500A91 +:1030B000E305F7FEF24789833ECEF247C207C1839C +:1030C0003E8505618280011106CE2AC6B2479C5B0F +:1030D0003E85EF1080493245EF1020490100F24053 +:1030E00005618280411197D70100938727509C4347 +:1030F0009C4399E3854711A0814781CB97D7010075 +:10310000938747557D5798C305A097D701009387AC +:10311000E74D9C43DC47DC473EC6B247D84397D7D0 +:1031200001009387275398C3010041018280411118 +:1031300097D70100938707299C433EC6B2473E8537 +:1031400041018280411197D701009387674F9C43CB +:1031500081E785473EC619A897D701009387C74FD7 +:103160009C4381E789473EC611A002C6B2473E850F +:1031700041018280797106D62AC6B2473ECEB24757 +:10318000F9C3F247D85797D701009387A7239C43E9 +:10319000DC57637AF70AF2479C4F63CC070097D756 +:1031A0000100938727229C43DC5729471D8FF24754 +:1031B00098CFF247D44BF247D857BA878A07BA97C5 +:1031C0008A0717D7010013076733BA976394F6008D +:1031D000854711A08147A5C3F24791073E85EFD0EF +:1031E000DF9397D701009387E71D9C43D857F24799 +:1031F000D8D7F247D85797D70100938727449C43E5 +:1032000063F9E700F247D85797D701009387074340 +:1032100098C3F247D857BA878A07BA978A0717D749 +:1032200001001307A72D3E97F2479107BE853A8507 +:10323000EFD01F8409A897D701009387A7189C4354 +:10324000D857F247D8D70100B250456182807971D2 +:1032500006D62AC6B2473ECC02CEB247DDC397D7C8 +:103260000100938727169C4362476305F70073703C +:10327000043001A0E247FC4B81E77370043001A0E9 +:10328000E247FC4B1387F7FFE247F8CBE247D857FA +:10329000E247BC4B6307F706E247FC4BBDE3E2475E +:1032A00091073E85EFD07F87E247B84BE247D8D7FA +:1032B000E247DC5729471D8FE24798CFE247D857AE +:1032C00097D70100938787379C4363F9E700E2476C +:1032D000D85797D701009387673698C3E247D857E6 +:1032E000BA878A07BA978A0717D7010013070721F9 +:1032F0003E97E2479107BE853A85EFD06FF7854745 +:103300003ECEF2473E85B2504561828073700430F4 +:1033100097D701009387C7329C4391CF97D701007D +:103320009387470A9C43F8430507F8C397D70100E2 +:10333000938747099C430100828097D701009387B8 +:1033400027309C438DCB97D701009387A7079C43D9 +:10335000FC4395C397D701009387C7069C43F84366 +:103360007D17F8C397D701009387C7059C43FC439B +:1033700099E37360043001008280411197D7010006 +:10338000938747049C439C4F3EC697D70100938781 +:1033900067039C43D85797D701009387A7029C43A4 +:1033A000A9463387E64098CFB2473E8541018280E7 +:1033B00097D70100938707019C4389CB97D70100DA +:1033C000938747009C43F84B0507F8CB97D701003C +:1033D000938747FF9C433E858280797106D62AC633 +:1033E0002EC42D3797D701009387C7FD9C43BC4F50 +:1033F000ADE397D701009387E7FC9C430547F8CFDF +:10340000A247A1CB97D701009387C7FB9C439107A5 +:103410003E85EFD08FF02247FD576310F70297D714 +:103420000100938727FA9C439107BE8517D50100B9 +:103430001305051FEFD0CFE319A897D70100938795 +:10344000A71F9C432247BA973ECE7245353CEFC03A +:10345000FFFBE535653D97D701009387A7F69C43B1 +:10346000BC4F3ECCE2479DC3B24789CB97D7010002 +:10347000938747F59C4323AC070409A897D701001D +:10348000938747F49C43B84F7D17B8CF97D7010077 +:10349000938747F39C4323AE07044535E2473E85B7 +:1034A000B25045618280797106D62AC62EC432C2D6 +:1034B00036C0A93D97D701009387C7F09C43F84FCA +:1034C0008947630DF70697D701009387A7EF9C43C1 +:1034D000B44F32471347F7FF758FB8CF97D7010026 +:1034E000938747EE9C430547F8CF8247A1CB97D7F8 +:1034F0000100938727ED9C4391073E85EFD0EFE1D4 +:103500000247FD576310F70297D70100938787EBB7 +:103510009C439107BE8517D5010013056510EFD0B8 +:103520002FD519A897D70100938707119C4302470D +:10353000BA973ECC6245893AEFC05FEDFD3BF93367 +:10354000924789CB97D701009387C7E79C43B84F31 +:10355000924798C397D701009387C7E69C43F84FDB +:1035600085476314F70002CE31A897D701009387EF +:1035700067E59C43B44F22471347F7FF758FB8CFD9 +:1035800085473ECE97D701009387C7E39C4323AE80 +:1035900007046533F2473E85B250456182807971F8 +:1035A00006D62AC62EC432C236C085473ECEB247A2 +:1035B00081E77370043001A0B2473ECC813B824763 +:1035C00089C7E247B84F824798C3E247FC4F3ECADB +:1035D000E2470947F8CF1247914763EEE704924765 +:1035E0001397270097D70100938747A2BA9798436C +:1035F00097D70100938787A1BA978287E247B84F90 +:10360000A2475D8FE247B8CF3DA0E247BC4F13878A +:103610001700E247B8CF05A0E2472247B8CF21A85C +:10362000524789476306F700E2472247B8CF21A0F7 +:1036300002CE11A0010052478547631AF706E24700 +:1036400091073E85EFD06FCDE247D85797D701005D +:103650009387C7FE9C4363F9E700E247D85797D7A3 +:1036600001009387A7FD98C3E247D857BA878A0716 +:10367000BA978A0717D70100130747E83E97E24732 +:103680009107BE853A85EFD0AFBEE2479C5781C710 +:103690007370043001A0E247D85797D70100938791 +:1036A00067D29C43DC5763F4E700EFC03FD671312B +:1036B000F2473E85B25045618280397106DE2ACEDE +:1036C0002ECC32CA36C83AC685473ED6F24781E785 +:1036D0007370043001A0F2473ED4EFC01FE1AA8707 +:1036E0003ED2C24789C7A257B84FC24798C3A25714 +:1036F000FC4F3ED0A2570947F8CF5247914763EE9F +:10370000E704D2471397270097D701009387479183 +:10371000BA97984397D7010093878790BA97828783 +:10372000A257B84FE2475D8FA257B8CF3DA0A2572E +:10373000BC4F13871700A257B8CF05A0A257624706 +:10374000B8CF21A8025789476306F700A2576247FE +:10375000B8CF21A002D611A0010002578547631DF2 +:10376000F708A2579C5781C77370043001A097D700 +:103770000100938767EE9C43A1EBA25791073E851A +:10378000EFD0AFB9A257D85797D70100938707EB6F +:103790009C4363F9E700A257D85797D70100938756 +:1037A000E7E998C3A257D857BA878A07BA978A0712 +:1037B00017D70100130787D43E97A2579107BE85FC +:1037C0003A85EFD0EFAA11A8A257E107BE8517D519 +:1037D0000100130525E2EFD0AFA9A257D85797D71C +:1037E0000100938727BE9C43DC5763F7E700B2478D +:1037F00081C7B247054798C392573E85EFC0FFCDBA +:10380000B2573E85F25021618280797106D62AC670 +:103810002EC4B24781E77370043001A0B2473ECE98 +:10382000EFC0BFCCAA873ECCF247FC4F3ECAF2475E +:103830000947F8CFF247BC4F13871700F247B8CFBC +:1038400052478547631DF708F2479C5781C773703D +:10385000043001A097D70100938707E09C43A1EBB8 +:10386000F24791073E85EFD04FABF247D85797D735 +:1038700001009387A7DC9C4363F9E700F247D85720 +:1038800097D70100938787DB98C3F247D857BA8749 +:103890008A07BA978A0717D70100130727C63E97EA +:1038A000F2479107BE853A85EFD08F9C11A8F24769 +:1038B000E107BE8517D501001305C5D3EFD04F9B97 +:1038C000F247D85797D701009387C7AF9C43DC577F +:1038D00063F7E700A24781C7A247054798C3E247BD +:1038E0003E85EFC09FBF0100B25045618280797173 +:1038F00006D62AC6B2473ECCE24799E797D70100E1 +:10390000938747AC9C4311A0E2473ECC0134E24789 +:10391000F84F89476318F700E24723AE070485474D +:103920003ECE11A002CE113CF2473E85B250456119 +:103930008280011106CE02C61D2B97D70100938706 +:10394000E7D49C439DC3814801488147094781468C +:103950001306004097C501009385C56D170500004B +:103960001305051BEFE0AF852AC6B24781E77370E8 +:10397000043001A0B2473E85F24005618280397172 +:1039800006DE2ACE2ECC32CA36C83AC6E24799E3C2 +:1039900002D62DA81305C002EF00302A2AD6B2574E +:1039A00095C7F121B257724798C3B257624798CF73 +:1039B000B2575247D8CFB257424798D3B25732473F +:1039C000D8D3B25791073E85EFD08F89E24781E780 +:1039D0007370043001A0B2573E85F250216182809D +:1039E000397106DE2ACE2ECC32CA36C83AC602D685 +:1039F000F24781E77370043001A097D701009387E5 +:103A0000E7C89C43B5CBE2473ED0D2473ED2F2470F +:103A10003ED46247954763C4E704EFF0AFF22A87CC +:103A200089476310F70297D70100938727C69C4305 +:103A3000181081463246BA853E85EFD00FBF2AD690 +:103A400025A897D70100938767C49C431810814627 +:103A50000146BA853E85EFD04FBD2AD631A897D70B +:103A600001009387A7C29C43181081464246BA853D +:103A70003E85EFD0CFD12AD6B2573E85F250216194 +:103A8000828001112AC6B2473ECEB24781E77370E9 +:103A9000043001A0F2479C433E8505618280797124 +:103AA00006D62AC62EC497D701009387A7BD9C438C +:103AB000DC47DC473ECEF24791073E85EFD0EF85ED +:103AC000F247D84F8547631BF702F247984FB2473A +:103AD000BA97B2462246BE857245B9222A878547E3 +:103AE000631EF70001478146324681457245CD3D50 +:103AF0002ACCE24781E77370043001A0F247DC531F +:103B0000724582970100B25045618280797106D674 +:103B10002AC63C083E8541282ACEE247BE8572452A +:103B200019206922FDB7797106D62AC62EC4EFE0A6 +:103B30006FF93C083E8545282ACEE247B9EFA247F7 +:103B400099EB3247F24763E8E700EFE06FF9F2459F +:103B50003245B137A9A0A24781CF97D701009387FB +:103B6000A7B29C439C4393B7170093F7F70F3EC44B +:103B700097D70100938787B194433247F247B30741 +:103B8000F7402246BE853685EFD0FFDDEFE04FF5EA +:103B9000AA8791E7EFC09F8719A0EFE06FF40100BB +:103BA000B2504561828001112AC697D701009387E0 +:103BB00067AD9C439C4393B7170093F7F70F3E877D +:103BC000B24798C3B2479C4391EB97D701009387C4 +:103BD00067AB9C43DC479C433ECE11A002CEF2472C +:103BE0003E8505618280797106D62AC6EFE01F8482 +:103BF0002ACE97D701009387A7A99C437247637782 +:103C0000F700692AB247054798C321A0B24723A00D +:103C1000070097D701009387A7A7724798C3F24779 +:103C20003E85B25045618280797106D62AC62EC47F +:103C300032C236C002CEB2472247D8C3B24732475B +:103C400098CB2247924763E8E702124782471D8FCD +:103C5000B2479C4F6365F70085473ECE99A097D742 +:103C60000100938767A29843B2479107BE853A85C2 +:103C7000EFC01FE43DA0124782476379F700224757 +:103C800082476365F70085473ECE21A897D701009C +:103C90009387479F9843B2479107BE853A85EFC007 +:103CA0003FE1F2473E85B25045618280797106D688 +:103CB000D9A0A24763C0070CC2473ECEF247DC4BF7 +:103CC00091C7F24791073E85EFC03FE55C003E8516 +:103CD000193F2ACCA2472547636FF708139727009F +:103CE00097C7010093878735BA97984397C7010014 +:103CF0009387C734BA9782873247F2479C4FBA9767 +:103D00003247BA866246BE857245393F2A87854763 +:103D10006313F706F247DC5372458297F247D84F98 +:103D20008547631AF7043247F2479C4FBA97014719 +:103D300081463E868145724565312ACAD24785EF64 +:103D40007370043001A03247F24798CFF2479C4F7E +:103D500081E77370043001A0F247984FE247BA97A9 +:103D6000E2466246BE857245C13531A07245EF001C +:103D7000C07F11A0010097D70100938727919C4332 +:103D8000380081460146BA853E85EFD0AFB7AA8795 +:103D9000E39107F20100B25045618280797106D645 +:103DA00071A097D701009387E78D9C43DC479C4324 +:103DB0003ECC97D701009387E78C9C43DC47DC47D8 +:103DC0003ECAD24791073E85EFC03FD5D247DC536C +:103DD00052458297D247D84F85476319F704D24797 +:103DE0009C4F6247BA973EC84247E24763F4E702F6 +:103DF000D2474247D8C3D247524798CB97D7010002 +:103E0000938747889843D2479107BE853A85EFC08C +:103E10003FCA29A801478146624681455245C936B5 +:103E20002AC6B24781E77370043001A097D701001A +:103E3000938747859C439C43ADF797D701009387B1 +:103E400067849C433ECE97D701009387E7839843CE +:103E500097D701009387078398C397D7010093876B +:103E6000A782724798C30100B25045618280411118 +:103E700006C6EFF0AFC997D70100938727819C430F +:103E8000C9E717C501001305657DEFC03FBA17C527 +:103E900001001305E57DEFC07FB997C70100938747 +:103EA000677E17C701001307677B98C397C7010098 +:103EB0009387877D17C701001307877B98C3014647 +:103EC000B1451145EFC0DFD52A8797C70100938719 +:103ED000E77B98C397C701009387477B9C4381E7A3 +:103EE0007370043001A097C701009387277A9C4321 +:103EF00089CF97C70100938767799C4397C50100D5 +:103F0000938545163E85EFD05F9CEFF00FC301000F +:103F1000B24041018280797106D62AC6B2473ECEB0 +:103F2000B24781E77370043001A0EFF02FBEF24773 +:103F3000DC4BB337F00093F7F70F3ECCEFF0EFBF59 +:103F4000E2473E85B25045618280797106D62AC625 +:103F5000B2473ECEB24781E77370043001A0EFF064 +:103F6000EFBAF2479C533ECCEFF02FBDE2473E85BF +:103F7000B25045618280797106D62AC62EC4B247F6 +:103F80003ECEB24781E77370043001A0EFF00FB866 +:103F9000F247224798D3EFF04FBA0100B250456183 +:103FA0008280011106CE714549292AC6B24789CBC4 +:103FB000B24723A00700B24791073E85EFC01FA775 +:103FC000B2473E85F24005618280397106DE2AC61D +:103FD0002EC432C236C0B2473ED402D21247B7070F +:103FE00000FFF98F81C77370043001A0924781E709 +:103FF0007370043001A0EFF0EF94AA8799E3824731 +:1040000099E3854711A0814781E77370043001A0CF +:10401000EFE04FABA2579C433ED0A24532458524EA +:104020000257A2475D8F9247F98F12476310F7023C +:104030000257A247D98F3ED6A2579843924793C7BB +:10404000F7FF7D8FA25798C302C025A0824799CF62 +:10405000A257938647001247B7070005D98F02463B +:10406000BE853685EFE03F8902D621A0A2579C434A +:104070003ED6EFE0EFA62ACE8247B1CBF24799E3D6 +:10408000EFC0CFB8EFF06FAF2AD63257B7070002B4 +:10409000F98F85EBEFF08FA7A2579C433ED632579E +:1040A0009247F98F1247631AF700A2579843924735 +:1040B00093C7F7FF7D8FA25798C3EFF00FA88547EE +:1040C0003ED23257B7070001FD17F98F3ED6B257DF +:1040D0003E85F250216182805D7186C62ACE2ECC4B +:1040E00032CA36C83AC6F2473EDA02DC02D8F24794 +:1040F00081E77370043001A06247B70700FFF98FB2 +:1041000081C77370043001A0E24781E77370043007 +:1041100001A0EFF02F83AA8799E3B24799E385477F +:1041200011A0814781E77370043001A0EFE08F99FF +:10413000D2579C433ED64246E245325575242AD496 +:10414000A25799CFB2573EDE02C6D247A9CBD2576B +:104150009843E24793C7F7FF7D8FD25798C381A05A +:10416000B24781E7B2573EDE1DA8D24791C76257DA +:10417000B7070001D98F3EDCC24791C76257B70726 +:104180000004D98F3EDCD257938647006247E2573E +:10419000D98F3246BE853685EFE0EFF502DEEFE0DF +:1041A0002F942AD2B247B9CB925799E3EFC00FA60A +:1041B000EFF0AF9C2ADE7257B7070002F98F8DEB44 +:1041C000EFF0CF94D2579C433EDE4246E245725513 +:1041D000212CAA8799CBD24789CBD2579843E24763 +:1041E00093C7F7FF7D8FD25798C3EFF00F9502D892 +:1041F0007257B7070001FD17F98F3EDEF2573E8573 +:10420000B64061618280797106D62AC62EC4B24753 +:104210003ECEB24781E77370043001A02247B70752 +:1042200000FFF98F81C77370043001A0EFF00F8E8B +:10423000F2479C433ECCF2479843A24793C7F7FF0F +:104240007D8FF24798C3EFF04F8FE2473E85B25023 +:1042500045618280797106D62AC6B2473ECEEFC04C +:10426000CFA8AA873ECCF2479C433ECAE2473E8590 +:10427000EFC0AFA6D2473E85B250456182805D71E6 +:1042800086C62AC62EC402DCB2473ED802DAB2473E +:1042900081E77370043001A02247B70700FFF98F50 +:1042A00081C77370043001A0C25791073ED6B25740 +:1042B000A1073ED4EFE00F81B257DC473EDEC25784 +:1042C0009843A2475D8FC25798C3BDA8F257DC43FD +:1042D0003ED2F2579C433ED002DA0257B70700FFA6 +:1042E000F98F3ECE0257B7070001FD17F98F3ED078 +:1042F0007247B7070004F98F89EBC257984382577A +:10430000F98F89CF85473EDA11A8C2579843825763 +:10431000F98F02576314F70085473EDAD2579DC3E1 +:104320007247B7070001F98F89C762578257D98F43 +:104330003EDCC2579843B7070002D98FBE8572553D +:10434000EFE00FF992573EDE7257A257E310F7F8ED +:10435000C2579843E25793C7F7FF7D8FC25798C360 +:10436000EFD01FF8C2579C433E85B6406161828002 +:10437000797106D62AC6B2473ECEF24791073ECCA7 +:10438000EFD05FF40DA0E247D847E247A1076315DD +:10439000F7007370043001A0E247DC47B705000264 +:1043A0003E85EFE0EFF2E2479C43F1FF7245752A4C +:1043B000EFD01FF30100B25045618280011106CE9B +:1043C0002AC62EC4A24532455D3D0100F24005617A +:1043D0008280011106CE2AC62EC4A245324525355B +:1043E0000100F2400561828001112AC62EC432C24A +:1043F00002CE924781EB3247A247F98F81CF8547A2 +:104400003ECE09A83247A247F98F22476314F7002E +:1044100085473ECEF2473E850561828001112AC65E +:10442000B2473ECCB24799E302CE21A0E2479C4F6F +:104430003ECEF2473E8505618280397106DE2AC68E +:1044400002D2EFD03FE8975703009387A7259C43FC +:1044500081EB5522975703009387C724054798C3DC +:10446000B24789CFA1473E87B247BA973EC6B24707 +:104470008D8B89C7B247F19B91073EC6B247F9CBF6 +:104480003247E567ED1763E7E70C9757030093871B +:1044900067203ED4975703009387C71F9C433ED69F +:1044A00031A0B2573ED4B2579C433ED6B257DC43FC +:1044B000324763F5E700B2579C43E5F73257975709 +:1044C00003009387A71D6307F708A2579C43214762 +:1044D000BA973ED2B2579843A25798C3B257D8431F +:1044E000B247B307F740214706076378F70432570E +:1044F000B247BA973ECEB257D843B2471D8FF24764 +:10450000D8C3B2573247D8C3F247DC433ECC9757A3 +:104510000300938727183ED021A082579C433ED0AA +:1045200082579C43DC436247E3E9E7FE82579843A6 +:10453000F24798C38257724798C397C70100938781 +:10454000A7E99843B257DC431D8F97C701009387B3 +:10455000A7E898C3EFD0DFD8925799E3EF00D031A6 +:1045600092573E85F25021618280797106D62AC623 +:10457000B2473ECCB247BDC3A147B307F040624744 +:10458000BA973ECCE2473ECAEFD0DFD3D247DC43F6 +:104590003EC8975703009387E70F3ECE21A0F2470E +:1045A0009C433ECEF2479C43DC434247E3E9E7FEAF +:1045B000F2479843D24798C3F247524798C3D2472D +:1045C000D84397C70100938727E19C433E9797C73D +:1045D0000100938767E098C3EFD09FD00100B250ED +:1045E0004561828097C70100938707DF9C433E8522 +:1045F000828001008280411197C701009387C7091B +:10460000F19B3EC6975703009387C708324798C36C +:10461000975703009387070823A2070097570300C3 +:104620009387C70765677117D8C3975703009387A8 +:10463000E70623A00700B2473EC4A247656771178B +:10464000D8C3A247175703001307470598C30100B3 +:104650004101828001112AC62EC432C2A2473ECE39 +:10466000B2473ECC3247A247D98F8D8BA1E319A820 +:10467000F2479843E24798C3E24791073ECCF2479E +:1046800091073ECE9247F5173247BA976247E361EA +:10469000F7FE29A87247930717003ECEE24793869C +:1046A000170036CC034707002380E70032479247C4 +:1046B000BA976247E360F7FEB2473E8505618280A4 +:1046C00001112AC62EC432C232479247D98F8D8B30 +:1046D0009DEFA24793F7F70F3ECAD247A207524772 +:1046E000D98F3ECAD247C2075247D98F3ECAB24776 +:1046F0003ECE39A0F247138747003ACE524798C3BF +:1047000032479247BA977247E366F7FE1DA0B24759 +:104710003ECC11A8E247138717003ACC2247137703 +:10472000F70F2380E70032479247BA976247E36367 +:10473000F7FEB2473E850561828001112AC6B24765 +:104740003ECE21A0F24785073ECEF24783C7070041 +:10475000F5FB7247B247B307F7403E85056182809B +:1047600001112AC62EC4B247138717003AC683C761 +:104770000700A30FF100A247138717003AC483C7AD +:104780000700230FF1008347F10199C70347F101A7 +:104790008347E101E309F7FC0347F1018347E101A6 +:1047A000B307F7403E850561828001112AC62EC4F9 +:1047B000B2473ECE01002247930717003EC4F2479E +:1047C0009386170036CE034707002380E70083C790 +:1047D0000700F5F3B2473E850561828001112AC6C4 +:1047E00002CE02CC21A0B24785073EC6B24703C71E +:1047F000070093070002E308F7FEB24703C707006C +:104800009307D0026309F700B24703C70700930775 +:10481000B0026311F704B24783C70700938737FDDF +:1048200093B7170093F7F70F3ECCB24785073EC604 +:1048300015A07247BA878A07BA9786073ECEB24755 +:10484000138717003AC683C70700938707FD72478F +:10485000BA973ECEB24783C70700E1FFE24789C758 +:10486000F247B307F04011A0F2473E850561828010 +:1048700001112AC6975703009387C7E49C43938688 +:104880001700175703001307E7E314C332471377E2 +:10489000F70F975603009386E6E6B6972380E70066 +:1048A0003247A947630BF70097570300938787E1C7 +:1048B0009843930700046315F70497570300938701 +:1048C00067E43ECE05A8F247138717003ACE03C728 +:1048D0000700B70710F0938707F098C397570300B6 +:1048E000938747DE9C431387F7FF9757030093870F +:1048F00067DD98C3975703009387C7DC9C43E1F7B4 +:1049000081473E85056182804D7123261114232441 +:1049100081142322911423202115232E3113232CBB +:104920004113232A51132ACE2ECC32C836CA3AC696 +:104930003EC442C223260112B2473E8A814AC24780 +:1049400052485286D6863E85C285EF106007AA87F8 +:104950002E883E86C2868327C1121387170023261E +:10496000E11232878A07141AB69723AEE7EEB247F0 +:104970003E848144D247268763E8E702D2472687F0 +:104980006396E700C247228763E0E702B2473E89A9 +:1049900081494A86CE864245D245EF00B024AA8797 +:1049A0002E883EC842CA49BF010029A0F247E2450D +:1049B00012458297A2471387F7FF3AC40327C11213 +:1049C000E346F7FE25A88327C1128A07181ABA976B +:1049D00003A7C7EF8327C1128A07141AB69783A6C5 +:1049E000C7EFA54763F5D7009307700519A0930794 +:1049F0000003BA977247E2453E8502978327C112AA +:104A00001387F7FF2326E112E34FF0FA010083201A +:104A1000C11403248114832441140329011483291C +:104A2000C113032A8113832A4113716182804111CA +:104A30002AC62EC4A2460547635ED700B2479C43F0 +:104A40009D07E19B93868700324714C303A8470064 +:104A50009C4335A0224719CB324718431306470021 +:104A6000B24690C21843BA87014811A8324718438A +:104A700013064700B24690C21843BA8701483E85E4 +:104A8000C2854101828041112AC62EC4A246054733 +:104A9000635ED700B2479C439D07E19B93868700E6 +:104AA000324714C303A847009C4305A8224701CFFF +:104AB0003247184313064700B24690C21843BA87DC +:104AC0007D873A8819A83247184313064700B24633 +:104AD00090C21843BA877D873A883E85C2854101D6 +:104AE0008280397106DE22DC26DA2AC62EC432C262 +:104AF00036C011A863070424924785073EC2B24717 +:104B0000A24522858297924783C707003E84930778 +:104B10005002E311F4FE924785073EC292473EC819 +:104B200093070002A30BF100FD573ECEFD573ECC8C +:104B300002D09247138717003AC283C707003E840A +:104B40009307D4FD130750056364F71E13972700DE +:104B500097B7010093870752BA97984397B7010018 +:104B600093874751BA9782879307D002A30BF1002E +:104B7000C9B793070003A30BF10065BF02CC6247DE +:104B8000BA878A07BA978607A297938707FD3ECC14 +:104B9000924783C707003E849307F00263D68702DB +:104BA0009307900363C28702924785073EC2C1BF45 +:104BB0008247138747003AC09C433ECC39A0F24756 +:104BC000E3D907F602CEB5B70100F247E3D307F603 +:104BD000E2473ECEFD573ECCA9BF825785073ED067 +:104BE00089BF8247138747003AC09C433247A2459A +:104BF0003E850297B1A28247138747003AC084439B +:104C000089E497B4010093846446F2476357F00443 +:104C1000034771019307D0026301F704E247BE85A1 +:104C20002685EF00E0792A87F247998F3ECE11A8BA +:104C3000834771013247A2453E850297F247FD172F +:104C40003ECEF247E346F0FE09A8B247A2452285D0 +:104C500082978504F247FD173ECE83C704003E8449 +:104C60001DC0E247E3C307FEE247FD173ECCE24723 +:104C7000E3DD07FC09A8B247A245130500028297AD +:104C8000F247FD173ECEF247E347F0FE55A88A876C +:104C900082553E85CD3BAA872E883ED442D6A25768 +:104CA0003258C28763D90702B247A2451305D00222 +:104CB0008297A255325681470148B386B740368560 +:104CC00033B5A7003307C840B307A7403E87B68770 +:104CD0003A883ED442D6A9473ED21DA8A9473ED223 +:104CE00015A0A1473ED239A885473ED0B247A2457C +:104CF000130500038297B247A245130580078297E8 +:104D0000C1473ED28A8782553E8515332AD42ED696 +:104D10001257834771013E88F2472256B256A24588 +:104D20003245DD3631A8B247A2452285829709A8CF +:104D3000B247A245130550028297C2473EC2010006 +:104D4000D9B30100F2506254D254216182804111E2 +:104D50002AC6B70710F0938707F2324798C301A01D +:104D60005D7106D62AC62EDA32DC36DEBAC0BEC285 +:104D7000C2C4C6C69C0891173ECEF247BE863246D4 +:104D80008145170500001305E5AEA13B81473E852F +:104D9000B2506161828001112AC62EC41EC2A24790 +:104DA0003ECEF2479C4332471377F70F2380E7004C +:104DB000F2479C4313871700F24798C3010005612F +:104DC00082801D7106DE2AC62EC4B2C4B6C6BAC819 +:104DD000BECAC2CCC6CE9C103ED25C084C08170698 +:104DE0000000130686FB7D779346F7FF05651305E4 +:104DF00005803388A5003378E8001368783B23A04A +:104E000007013295698F13677733D8C333F7D5001D +:104E10009315470137870300130737394D8F98C71C +:104E20003377D60093164701370703001307770639 +:104E3000558FD8C70F100000B2473ED69C10A1175F +:104E40003ED422575C083E857C00BA862246BE8549 +:104E50004939B24723800700B2473E87B257B307AC +:104E6000F7403E85F250256182804111370510F0F0 +:104E7000130545F408412AC6370510F0130505F45B +:104E800008412AC4370510F0130545F40841B2481B +:104E9000E39EA8FC32452A83814313170300814611 +:104EA0002245AA850146B3E7B6003368C700370735 +:104EB00010F0130587F48565938505FA0146B386DE +:104EC000B700B688B3B8F8003307C800B387E80066 +:104ED0003E87B6873A881CC12322050101004101A3 +:104EE0008280411106C651379307000873A04730EE +:104EF0000100B24041018280011106CE2AC617B5D9 +:104F000001001305A52CEFF0BFE50100F24005619B +:104F10008280011106CE2AC617B501001305852B24 +:104F2000EFF01FE40100F24005618280797106D63E +:104F30002AC602CE29A00100F24785073ECE72475D +:104F4000856793877738E3D8E7FE17B50100130527 +:104F5000E528EFF0FFE0F1BF411106C60D2A010080 +:104F6000B24041018280011106CE02C635292A874E +:104F70008547630CF70017B501001305A526EFF070 +:104F80003FDEB24793E727003EC6B2473E85F24078 +:104F900005618280011106CE02C67D3F1707000021 +:104FA00013076704814605469305400617B50100BF +:104FB0001305C524EFE0BF9C2AC6B24799CBEFD0BA +:104FC000EFC6AA87014781463E8685453245EFE018 +:104FD0003FA102C4EFD02FA581473E85F240056175 +:104FE0008280797106D62AC697B701009387C73D9C +:104FF0009C431387470697B701009387E73C98C304 +:1050000097B701009387873C9C431387470697B760 +:1050100001009387A73B98C397B701009387C73ACE +:1050200098439307F07C63D3E70497B70100938715 +:10503000A7399C431387078397B701009387C73825 +:1050400098C315372ACEF24799EB97B7010093879B +:10505000E737984385679387F77663DBE700F24786 +:105060003E85EFF0DFCEEFD0EFA321A0010011A02D +:105070000100B250456182807370043001A00100CC +:10508000828041112AC62EC47370043001A0011120 +:1050900006CE02C402C6BDA0014691450545EFB04B +:1050A0003FB8AA86174703001307C769B2478A07A4 +:1050B000BA9794C3014691450545EFB07FB6AA86DD +:1050C0001747030013070769B2478A07BA9794C3C3 +:1050D0001747030013070767B2478A07BA979C432D +:1050E000380081460146BA853E85EFB01FD432466E +:1050F00085451705000013056513EFB0AFC6B2472D +:1051000085073EC632478D47E3F8E7F80100F240D5 +:1051100005618280011106CE974703009387C7641B +:105120009C4313871700974703009387E76398C34F +:10513000974703009387476398439307301F63F1B2 +:10514000E70E974703009387276223A0070002C654 +:10515000ADA002C2174703001307C75EB2478A0714 +:10516000BA979C43540038003686BA853E85EFC016 +:105170006FED2A8785476309F700974703009387F8 +:10518000675E054798C33DA0224797470300938772 +:10519000E75D9C436308F700974703009387875CAC +:1051A000054798C3924799C7974703009387875B42 +:1051B000054798C3B24785073EC632478D47E3DAB5 +:1051C000E7F8974703009387675A9C4313871700B4 +:1051D000974703009387875998C302C635A8174796 +:1051E000030013072757B2478A07BA979C43014623 +:1051F00097450300938585573E85EFC00FE02A87CA +:1052000085476308F700974703009387A75505472D +:1052100098C3B24785073EC632478D47E3D1E7FCC6 +:105220000100F24005618280797106D62AC62EC43B +:10523000B24783D747031307901D6388E70A130714 +:10524000901D6348F70099CF1307801D638EE70414 +:1052500089AA1307E01E6388E70E1307F01E638513 +:10526000E71281A202CE174703001307A74EA247F9 +:105270008A07BA979443A2471397270097470300DA +:105280009387074FBA977D56BE853685EFC08FCB83 +:10529000AA873ECE7247F157631FF702B247130742 +:1052A000801D239AE702F5A8174703001307874AD2 +:1052B000A2478A07BA979443A247139727009747B4 +:1052C00003009387E74ABA970146BE853685EFC04B +:1052D0006FC7AA873ECE7247ED57631AF700B247F1 +:1052E0001307901D239AE7026DA885473ECE7247AB +:1052F00085476308F700974703009387A74605474C +:1053000098C302CE174703001307C743A2478A0773 +:10531000BA979443A24713972700974703009387B0 +:105320002745BA970146BE853685EFC04FB9AA8793 +:105330003ECE7247F157631FF702B2471307E01ED4 +:10534000239AE702B9A8174703001307A73FA2470C +:105350008A07BA979443A2471397270097470300F9 +:1053600093870741BA970146BE853685EFC02FB5B2 +:10537000AA873ECE7247ED57631AF700B24713076C +:10538000F01E239AE70231A885473ECE7247854733 +:10539000E30AF7EC974703009387C73C054798C398 +:1053A000D1B5B25045618280974703009387873B10 +:1053B0009C4399C3814711A085473E8582800000A8 +:1053C000B305B500930705006386B70003C7070060 +:1053D000631607003385A740678000009387170096 +:1053E0006FF09FFE130101FD23229102232A510138 +:1053F000232611022324810223202103232E31019D +:10540000232C4101232861012326710123248101DA +:10541000232291012320A101930A05009384050012 +:10542000639E0638130406009309050017B90100AE +:10543000130989DD63F8C512B7070100138B050056 +:105440006378F6101307F00F3337C70013173700D0 +:10545000B357E6003309F900834609003387E600B5 +:1054600093060002B386E640638C0600B394D40032 +:1054700033D7EA003314D600336B9700B399DA00C0 +:10548000935A040193850A0013050B00EF00902A3C +:105490001309050093850A00931B040113050B00F3 +:1054A000EF00D02493DB0B01930405009305050066 +:1054B00013850B00EF00D0201319090193D70901C0 +:1054C000B367F900138A040063FEA700B38787005F +:1054D000138AF4FF63E8870063F6A700138AE4FFEA +:1054E000B3878700B384A74093850A00138504001F +:1054F000EF0050241309050093850A00138504006A +:10550000EF00D01E9399090193040500930505004F +:105510001319090113850B0093D90901EF00501AE3 +:10552000B36939011386040063FCA900B30934018F +:105530001386F4FF63E6890063F4A9001386E4FF91 +:1055400013140A013364C400130A00006F0000132F +:10555000B707000113070001E36CF6EE13078001A3 +:105560006FF01FEF138A0600631A06009305000010 +:1055700013051000EF00901713040500B707010092 +:10558000637EF4129307F00F63F48700130A800020 +:10559000B35744013309F900034709009306000299 +:1055A00033074701B386E64063940612B384844010 +:1055B000130A1000135B040193050B00138504000C +:1055C000EF0050171309050093050B001385040025 +:1055D000931B0401EF00901193DB0B019304050072 +:1055E0009305050013850B00EF00900D13190901B9 +:1055F00093D70901B367F900938A040063FEA700FB +:10560000B3878700938AF4FF63E8870063F6A700F7 +:10561000938AE4FFB3878700B384A74093050B0008 +:1056200013850400EF0010111309050093050B000A +:1056300013850400EF00900B939909019304050072 +:10564000930505001319090113850B0093D909016E +:10565000EF001007B36939011386040063FCA90049 +:10566000B30934011386F4FF63E6890063F4A900EB +:105670001386E4FF13940A013364C4001305040085 +:1056800093050A008320C10203248102832441027E +:10569000032901028329C101032A8101832A4101CF +:1056A000032B0101832BC100032C8100832C4100BB +:1056B000032D01001301010367800000B7070001FB +:1056C000130A0001E366F4EC130A80016FF05FEC4B +:1056D0003314D40033DAE400B399DA0033D7EA00A4 +:1056E000935A0401B394D40093850A0013050A0069 +:1056F000336B9700EF0010041309050093850A002F +:1057000013050A00931B0401EF00407E93DB0B019D +:10571000930405009305050013850B00EF00407A04 +:105720001319090113570B013367E900138A0400A9 +:10573000637EA70033078700138AF4FF636887003E +:105740006376A700138AE4FF33078700B304A740FA +:1057500093850A0013850400EF00C07D130905003E +:1057600093850A0013850400EF0040789304050038 +:105770009305050013850B00EF00807413170B01D0 +:105780001357070113190901B367E90013870400D0 +:1057900063FEA700B38787001387F4FF63E88700E1 +:1057A00063F6A7001387E4FFB3878700131A0A0183 +:1057B000B384A740336AEA006FF0DFDF63ECD51EE5 +:1057C000B707010063F4F6041307F00FB335D700F1 +:1057D0009395350033D7B60097B701009387C7A2DA +:1057E000B387E70003C70700130A00023307B700B7 +:1057F000330AEA4063160A0213041000E3E096E855 +:1058000033B6CA00134416006FF05FE7B707000114 +:1058100093050001E3E0F6FC930580016FF09FFB28 +:10582000B35CE600B3964601B3ECDC0033D4E4008D +:1058300093DB0C01B397440133D7EA0093850B0047 +:1058400013050400336BF700B3194601EF00806EB7 +:105850001309050093850B0013050400139C0C012C +:10586000EF00C068135C0C0193040500930505006C +:1058700013050C00EF00C0641319090113570B0145 +:105880003367E90013840400637EA70033079701A0 +:105890001384F4FF636897016376A7001384E4FF21 +:1058A00033079701B304A74093850B0013850400C9 +:1058B000EF0040681309050093850B001385040071 +:1058C000EF00C062930405009305050013050C006A +:1058D000EF00005F93170B011319090193D707011C +:1058E000B367F9001386040063FEA700B38797012E +:1058F0001386F4FF63E8970163F6A7001386E4FFBD +:10590000B387970113140401B70B01003364C4007B +:105910001389FBFF337D240133F92901B384A740A8 +:105920009305090013050D00EF008059935C0401F5 +:1059300093050900130B050013850C00EF00405878 +:1059400093D90901130C05009385090013850C00F8 +:10595000EF000057130905009385090013050D009A +:10596000EF0000563305850193570B013385A700DF +:10597000637485013309790193570501B3872701C2 +:1059800063E6F402E392F4BCB70701009387F7FFE4 +:105990003375F50013150501337BFB0033964A017F +:1059A00033056501130A0000E37AA6CC1304F4FF63 +:1059B0006FF09FB9130A0000130400006FF01FCCB2 +:1059C000130101FB2324810423229104232E31039C +:1059D000232291032326110423202105232C410394 +:1059E000232A5103232861032326710323248103DF +:1059F0002320A103232EB101930C050093890500F8 +:105A00001304050093840500639E0626130906000F +:105A1000138A060097AA0100938A0A7F63F4C514CB +:105A2000B70701006376F6129307F00F63F4C7001F +:105A3000130A8000B3574601B38AFA0003C70A006D +:105A40001305000233074701330AE540630C0A00DF +:105A5000B395490133D7EC0033194601B364B7005D +:105A600033944C01935A090193850A00138504006D +:105A7000EF00404C9309050093850A00131B0901B0 +:105A800013850400EF008046135B0B0193050500AE +:105A900013050B00EF00C0429399090193570401CD +:105AA000B3E7F90063FAA700B387270163E627018C +:105AB00063F4A700B3872701B384A74093850A0046 +:105AC00013850400EF0000479309050093850A0041 +:105AD00013850400EF0080411314040193050500B1 +:105AE0009399090113050B0013540401EF00403D85 +:105AF00033E48900637AA40033042401636624013B +:105B00006374A400330424013304A44033554401D6 +:105B1000930500008320C1040324810483244104ED +:105B2000032901048329C103032A8103832A410332 +:105B3000032B0103832BC102032C8102832C41021E +:105B4000032D0102832DC1011301010567800000AF +:105B5000B7070001130A0001E36EF6EC130A800197 +:105B60006FF05FED631A0600930500001305100047 +:105B7000EF00C03713090500B7070100637AF90E7B +:105B80009307F00F63F42701130A8000B35749010C +:105B9000B38AFA0003C70A0013050002B38429413F +:105BA00033074701330AE540E30E0AEA3319490196 +:105BB000B3DAE900B395490133D7EC0093540901F6 +:105BC000336BB70013850A0093850400EF0080361D +:105BD0009309050093850400931B090113850A00AE +:105BE000EF00C03093DB0B019305050013850B001C +:105BF000EF00002D9399090193570B01B3E7F900CA +:105C000033944C0163FAA700B387270163E62701A9 +:105C100063F4A700B3872701B38AA74093850400E4 +:105C200013850A00EF0000319309050093850400F5 +:105C300013850A00EF00802B9305050013850B00E8 +:105C4000EF00002893150B019399090193D50501E5 +:105C5000B3E5B90063FAA500B385250163E6250124 +:105C600063F4A500B3852501B384A5406FF09FDFE1 +:105C7000B7070001130A0001E36AF9F0130A800173 +:105C80006FF0DFF0E3E8D5E8B707010063FCF60446 +:105C9000930BF00F33B5DB001315350033D7A60097 +:105CA00097A7010093874756B387E70083CB070088 +:105CB00093050002B38BAB00338B7541631E0B025F +:105CC00063E4360163EACC003384CC40B386D94028 +:105CD00033B58C00B384A6401305040093850400FB +:105CE0006FF05FE3B707000113050001E3E8F6FA80 +:105CF000130580016FF09FFAB3966601335D76015C +:105D0000336DDD0033D47901B395690133DC7C0157 +:105D100093540D01336CBC001305040093850400FB +:105D2000B31A6601EF000021130A050093850400F1 +:105D30001305040033996C01931C0D01EF00001B47 +:105D400093DC0C01130405009305050013850C007A +:105D5000EF000017131A0A0113570C013367EA000A +:105D6000130A0400637EA7003307A701130AF4FF98 +:105D70006368A7016376A700130AE4FF3307A7014E +:105D8000B309A7409385040013850900EF00801A2A +:105D9000938504001304050013850900EF00001526 +:105DA000930505009304050013850C00EF004011D6 +:105DB00093150C011314040193D50501B365B400C8 +:105DC0001387040063FEA500B385A5011387F4FFC4 +:105DD00063E8A50163F6A5001387E4FFB385A50179 +:105DE000131A0A01B70C0100336AEA001384FCFF9E +:105DF000B3778A0033F48A00B384A5401385070083 +:105E0000930504002326F100135A0A01EF00400B0A +:105E1000930905009305040013050A00EF00400AEA +:105E200013DC0A01930D050093050C0013050A000D +:105E3000EF0000098327C100130A050093050C0039 +:105E400013850700EF00C0073305B50113D709011B +:105E50003307A7006374B701330A9A01B70701003B +:105E60009387F7FF935507013377F700131707015F +:105E7000B3F7F900B3854501B307F70063E6B40053 +:105E8000639EB400637CF90033865741B3B7C70003 +:105E9000B385A541B385F54093070600B307F940E4 +:105EA0003339F900B385B440B38525413394750186 +:105EB000B3D767013365F400B3D565016FF09FC5B3 +:105EC000130605001305000093F615006384060011 +:105ED0003305C50093D5150013161600E39605FE8D +:105EE000678000006340050663C60506138605004B +:105EF000930505001305F0FF630C060293061000DE +:105F0000637AB6006358C000131616009396160005 +:105F1000E36AB6FE1305000063E6C500B385C5401D +:105F20003365D50093D6160013561600E39606FE89 +:105F30006780000093820000EFF05FFB138505008F +:105F4000678002003305A04063D80500B305B04068 +:105F50006FF0DFF9B305B04093820000EFF01FF956 +:105F60003305A040678002009382000063CA0500E9 +:105F7000634C0500EFF09FF7138505006780020072 +:105F8000B305B040E35805FE3305A040EFF01FF61F +:085F90003305B04067800200F8 +:02000004800278 +:1000000049444C45000000002C36FEFFF435FEFF4D +:100010000236FEFF1036FEFF1836FEFF3C37FEFFAD +:100020000437FEFF1237FEFF2037FEFF2837FEFFA2 +:10003000546D722053766300C03CFEFFC03CFEFF4F +:10004000C03CFEFF3E3DFEFF0E3DFEFF343DFEFF89 +:10005000C03CFEFFC03CFEFF3E3DFEFF0E3DFEFFEE +:10006000546D725100000000286E756C6C29000000 +:10007000C24AFEFFC04CFEFFB64CFEFFC04CFEFF66 +:10008000C04CFEFFC04CFEFFC04CFEFF404BFEFFCD +:10009000C04CFEFFC04CFEFFF84AFEFF4E4BFEFF79 +:1000A000C04CFEFF024BFEFF0C4BFEFF0C4BFEFF55 +:1000B0000C4BFEFF0C4BFEFF0C4BFEFF0C4BFEFFF0 +:1000C0000C4BFEFF0C4BFEFF0C4BFEFFC04CFEFF2B +:1000D000C04CFEFFC04CFEFFC04CFEFFC04CFEFFFC +:1000E000C04CFEFFC04CFEFFC04CFEFFC04CFEFFEC +:1000F000C04CFEFFC04CFEFFC04CFEFFC04CFEFFDC +:10010000C04CFEFFC04CFEFFC04CFEFFC04CFEFFCB +:10011000C04CFEFFC04CFEFFC04CFEFFC04CFEFFBB +:10012000C04CFEFFC04CFEFFC04CFEFFC04CFEFFAB +:10013000C04CFEFFC04CFEFFC04CFEFFC04CFEFF9B +:10014000C04CFEFFC04CFEFFC04CFEFFC04CFEFF8B +:10015000C04CFEFFC04CFEFFC04CFEFFC04CFEFF7B +:10016000C04CFEFFC04CFEFFC04CFEFFC04CFEFF6B +:10017000724BFEFF1E4CFEFFC04CFEFFC04CFEFF4C +:10018000C04CFEFFC04CFEFFC04CFEFFC04CFEFF4B +:10019000C04CFEFF6A4BFEFFC04CFEFFC04CFEFF92 +:1001A000724CFEFF784CFEFFC04CFEFFC04CFEFFC1 +:1001B000864BFEFFC04CFEFF6C4CFEFFC04CFEFFAA +:1001C000C04CFEFF904CFEFF6D69616F750A000028 +:1001D0006D69616F75320A0068756E6772790A0021 +:1001E0004572726F7220696E20787878207461731E +:1001F0006B73200D0A000000436865636B54696DE2 +:1002000065720000000102020303030304040404F6 +:100210000404040405050505050505050505050592 +:100220000505050506060606060606060606060672 +:10023000060606060606060606060606060606065E +:100240000606060607070707070707070707070742 +:10025000070707070707070707070707070707072E +:10026000070707070707070707070707070707071E +:10027000070707070707070707070707070707070E +:1002800007070707080808080808080808080808F2 +:1002900008080808080808080808080808080808DE +:1002A00008080808080808080808080808080808CE +:1002B00008080808080808080808080808080808BE +:1002C00008080808080808080808080808080808AE +:1002D000080808080808080808080808080808089E +:1002E000080808080808080808080808080808088E +:1002F000080808080808080808080808080808087E +:0403000008080808D9 +:100304001000000000000000017A5200017C01018D +:100314001B0D02004C00000018000000C450FEFF3A +:10032400DC05000000440E307089039507810188C4 +:100334000292049305940696089709980A990B9AD1 +:100344000C0370020AC144C844C944D244D344D4FF +:1003540044D544D644D744D844D944DA440E00445E +:100364000B00000050000000680000005056FEFF23 +:100374000005000000440E50748802890393059917 +:100384000B810192049406950796089709980A9A96 +:100394000C9B0D0320010AC144C844C944D244D370 +:1003A40044D444D544D644D744D844D944DA44DB6D +:0803B400440E00440B000000A0 +:1003CC00AAAAAAAA08000000FC8F010009000000DC +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/crhook_rv32ic_O3.hex b/VexRiscv/src/test/resources/freertos/crhook_rv32ic_O3.hex new file mode 100644 index 0000000..b74f95d --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/crhook_rv32ic_O3.hex @@ -0,0 +1,1781 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900061D1170502001305E55297A5030093856A +:1000A000258101461122170502001305A546970573 +:1000B00002009385E54E0146C52817A503001305E8 +:1000C000657F97B503009385A57E37B6ABAB130666 +:1000D000B6BAD92817B103001301C17DEF60F00A49 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2023323A02200F32210348B +:1002400096DEEF00002B170102000321A1310241CD +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E23123A0120023A2A1 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF5000041701020003219B +:1003300061230241F65273901234B7220000938277 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A22383A0A8 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2021123A0220086DEEF2071 +:10046000300B170102000321E10F0241F652739095 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF403064EF10506701E57D +:10050000B24041018280B24041016F207000011170 +:100510004EC6AA891305800326CA52C406CE22CC31 +:100520004AC856C2AE84328AEF30706D630B050C38 +:1005300097070200938707FF9C432A84B5CBB5E059 +:10054000130944004A8544D4231A0402232844038F +:1005500023203401692613058401512697070200E0 +:10056000938707FC984348548947B384974000C851 +:1005700040D004CC6369A70293172500AA978A0785 +:10058000170502001305C50B3E95CA85A12E05452A +:10059000F2406244D2444249B249224A924A056139 +:1005A0008280854471BF9707020023ABA7F6E9B7A5 +:1005B000170502001305C5089707020023A487F654 +:1005C0002926170502001305E5080126970A0200EF +:1005D000938A8A095685D52C170902001309090A3E +:1005E0004A85E524170502001305850AF92C9707AB +:1005F000020023AD57F39707020023A727F3A9D0E2 +:100600004DB77D5571B7011126CA4AC8970402003B +:10061000938444F117090200130949F09C4022CC4D +:10062000032409004EC606CE2A9413854700AE89DE +:10063000F52C8C4083270900C0C16375F40297072D +:100640000200938767EE884391054D2C638509026C +:100650008C406244F240D24442494E85B249E105A1 +:10066000056169AC97070200938747EC88439105C1 +:100670007124E39F09FCF2406244D2444249B249EA +:100680000561828079714AD04ECE06D622D426D218 +:1006900052CC56CA5AC85EC617090200130949FA55 +:1006A0008327090597090200938989E7B9C3737005 +:1006B00004308327C905C04713058401852C736066 +:1006C000043093044400268595245C5483A60900D5 +:1006D000A685139527003E950A052A874A9563F754 +:1006E000F61417070200232DF7E2ED2A83270905E8 +:1006F000DDFFEF10903F970702009387E7E19843F3 +:10070000970A0200938A8AE183A70A00198D1707C6 +:1007100002002321A7E0170A0200130A2AE1970B1F +:100720000200938B6BE0170B0200130BABDE51CD75 +:1007300085077D15170702002322F7DE1707020041 +:10074000232AA7DC03270A0081EF83A60B001706E4 +:100750000200232BE6DC170702002329D7DC3687AB +:100760001443F1D658474047584063FAE700C1B7F1 +:10077000D84783A70A004047584063E5E7047370F1 +:10078000043093044400268555221C54130584012B +:1007900091C3692A736004305C5403A70900A685DD +:1007A000139527003E950A054A956376F7001707CB +:1007B00002002327F7D63D2283270A0098434DFBEA +:1007C00083A70A0003250B0025F583A60900170758 +:1007D00002002323F7D4939726003387D7000A0714 +:1007E0004A97184335E385CA9387F6FF1397270086 +:1007F0003E970A074A97184331E391CB9387E6FF68 +:10080000139727003E970A074A97184305EB1707E7 +:1008100002002327F7D0B250225492540259F249D1 +:10082000624AD24A424BB24B456182803305E900AD +:10083000552883270905E39C07E665BDBE86170793 +:100840000200232FF7CC8A07B6978A073307F900EF +:100850005443A1073E99DC425CC363852703C84724 +:100860002254B2509707020023AEA7CA92540259ED +:10087000F249624AD24A424BB24B032305000C595B +:1008800045610283DC435CC3D9BF411106C626C261 +:1008900022C45C45C0479304840126854128A6856F +:1008A000170502001305C5DE352897070200938758 +:1008B00067C79C434854B240DC57224492443335C6 +:1008C000F5001345150041018280930785007D578F +:1008D0005CC118C55CC51CC9232005008280232883 +:1008E000050082805C41DCC1984798C598474CC39D +:1008F0008CC788C91C4185071CC182809041FD5767 +:10090000130785006314F60039A83E875C439443BF +:10091000E37DD6FEDCC18CC798C54CC388C91C4199 +:1009200085071CC1828018495C43EDB758411445C6 +:100930001C4914C71845544154C3D8436314A70035 +:100940001845D8C32328050088437D1588C38280B5 +:100950003041AE8701CE4C455841B2954CC563E459 +:10096000E5000C414CC53E856F30B041828041119D +:1009700022C406C62A8426C2EF2030333C44635B7F +:10098000F0025C5085CB9304440209A83C44FD1757 +:100990003CC43C446350F0025C5089CF2685EF2074 +:1009A00040796DD5EF2030193C44FD173CC43C44E0 +:1009B000E344F0FEFD573CC4EF207031EF20F02EF1 +:1009C0007C40635BF0021C4885CB9304040109A8BA +:1009D0007C40FD177CC07C406350F0021C4889CFEE +:1009E0002685EF2000756DD5EF20F0147C40FD17B3 +:1009F0007CC07C40E344F0FEFD577CC02244B24002 +:100A0000924441016F20B02C29CD011122CC2A84BF +:100A100006CE26CA4AC84EC62E89EF201029244089 +:100A20004C5C832904002685EF50D0577D57232C3A +:100A30000402B3079540CE974E9578C048C0232452 +:100A400034015CC438C4631109021C488DE7EF20EF +:100A50001028F2406244D2444249B249054505613A +:100A600082807370043001A013050401B93D1305A1 +:100A70004402A13DE9BF13050401EF20806B8547C7 +:100A8000E317F5FC6132E1B701E57370043001A0B2 +:100A9000011126CA4AC84EC606CE22CCAA842E8987 +:100AA000B289A5C9EF50105013055505EF30301528 +:100AB0002A8435C5930745051CC144DC2320240541 +:100AC000EF20B01E24404C5C032904002685EF5023 +:100AD000704DFD56232C0402B30795403307A9003F +:100AE000CA9774C058C05CC434C4130504012324DD +:100AF0002401E13B13054402C93BEF20501D2308AC +:100B000034052285F2406244D2444249B24905612B +:100B1000828013054005EF30900E2A8401E5737042 +:100B2000043001A000C051BF01E57370043001A082 +:100B30006375B5007370043001A0411122C40946E9 +:100B40002E84814506C6893711C500DDB240224496 +:100B5000410182807370043001A05D7186C6A2C419 +:100B6000A6C2CAC04EDE52DC56DA5AD85ED632C6AB +:100B7000630B051C6383051C89476399F600585D68 +:100B800085476305F7007370043001A03689AE8491 +:100B90002A84EF20C07C11E5B24781C7737004300E +:100BA00001A0EF209010185C5C5C014B894BFD5953 +:100BB000130A0401930A44026365F70C6308791968 +:100BC000B2476383072463150B002808EF20806970 +:100BD000EF20F00FEF106070EF20300D7C40639439 +:100BE0003701232204043C44639437012324040482 +:100BF000EF20F00D6C002808EF20E068631005205E +:100C0000EF20B00A185C5C5C630CF70AEF20300C34 +:100C1000EF20B0093C44634FF00039A85685EF201F +:100C2000405119C1EF2020713C44FD173CC43C44A5 +:100C30006354F0005C50FDF323243405EF203009A9 +:100C4000EF20B0067C40634FF00039A85285EF20BA +:100C5000404E19C1EF20206E7C40FD177CC07C40C7 +:100C60006354F0001C48FDF323223405EF203006C6 +:100C7000EF10B00B054BEF205003185C5C5CE37F7A +:100C8000F7F230406306060C631109140844A68588 +:100C9000EF30300F1C4434405840B6971CC463EB0F +:100CA000E70A1C5C14405850850714C41CDC45CB73 +:100CB00013054402EF20E04785476312F50AD1A8E7 +:100CC000EF20F000B2455285EF206028EF20E07D54 +:100CD0003C44634FF00039A85685EF20804519C188 +:100CE000EF2060653C44FD173CC43C446354F00075 +:100CF0005C50FDF323243405EF20607DEF20E07A83 +:100D00007C40634FF00039A85285EF20804219C122 +:100D1000EF2060627C40FD177CC07C406354F00093 +:100D20001C48FDF323223405EF20607AEF10E07FAA +:100D300031F1EFF0AFED054B3DBF3C41E38E07E2F3 +:100D40007370043001A07370043001A0304029E6B4 +:100D50001C4085C71C5C585085071CDC31FBEF200C +:100D600000770545B640264496440649F259625A32 +:100D7000D25A425BB25B616182804840EF20406A98 +:100D80001C5C58502322040085071CDCE31207F288 +:100D900079D5EFF0AFE7E1B74844A685EF30607E44 +:100DA0003C4058441440B307F0403E9758C4637C1D +:100DB000D7025840BA975CC409475C506306E90201 +:100DC000185C050718DCC1DFE5B54844A685EF309F +:100DD000407B3C4058441440B307F0403E9758C411 +:100DE000E37CD7FCF9B75C50185C79DB185C7D17A5 +:100DF00018DC185C050718DCBDD35DBD2285853E77 +:100E0000EF10A0720145B9BFEF20606C014599BF9A +:100E1000411126C2AA841305400522C406C6EF303C +:100E2000005E2A8415CD232C0502FD577CC10547A1 +:100E3000410558DC3CC42322040023200400232461 +:100E40000400232604002320040423089404B53C52 +:100E5000130544029D3C8146014681452285F539B2 +:100E60002285B24022449244410182800DC5411145 +:100E700022C426C206C64AC0032945002A84EF20A0 +:100E8000404D8144630CA900B240224426850249AA +:100E90009244410182807370043001A05C44854417 +:100EA000FD175CC4F5F38146014681452285753105 +:100EB000E1BF25C1B1C9011122CC26CA4AC852C41A +:100EC00006CE4EC68947B68432892E8A2A84639913 +:100ED000F600585D85476301F7067370043001A082 +:100EE000EFF0AFDE185C5C5CAA896367F7028144AF +:100EF0004E85EFF02FDDF240624426854249D24410 +:100F0000B249224A056182803C41D5D773700430D2 +:100F100001A07370043001A0304049E21C40DDCBD9 +:100F20001C5C7D5785071CDC3C446388E7083C4417 +:100F3000854485073CC46DBFEFF02FD9185C5C5C1D +:100F4000AA89636DF702304071DA4844D285EF30E8 +:100F500040633C4058441440B307F0403E9758C4A7 +:100F60006375D7005840BA975CC48947E39AF4FA8E +:100F70001C5CDDD71C5CFD171CDC5DB7304059DE06 +:100F80004844D285EF30E05F3C4058441440B307FA +:100F9000F0403E9758C4E367D7FCD9BFDDF408445E +:100FA000D285EF30005E1C4434405840B6971CC4D4 +:100FB000E3E8E7F61C401CC4A5B75C5085448DDB14 +:100FC00013054402EF20E01605D5E30309F22320C0 +:100FD000990039BF4840EF20A0442322040089B77C +:100FE00031CD3C4181C77370043001A01C41B1CBAD +:100FF000011122CC26CA2A844AC84EC606CE2E89A2 +:10100000EFF0AFCC185C5C5CAA898144637DF7008B +:101010001C5C7D5785071CDC3C446389E7023C442B +:10102000854485073CC44E85EFF0CFC9F240624449 +:1010300026854249D244B2490561828073700430EA +:1010400001A05C41D5D77370043001A05C5099E3D6 +:101050008544D1BF13054402EF20A00D75D9E309E3 +:1010600009FE85472320F900E5B7397106DE22DC49 +:1010700026DA4AD84ED652D456D232C66302051C5E +:10108000638A051AB68AAE892A84EF20402D11E5BD +:10109000B24781C77370043001A0EF2000411C5C8F +:1010A00063920714B247638E070A2808EF20801B5B +:1010B000FD5413094402130A0401EF204041EF10CC +:1010C000C021EF20803E7C406394970023220404DB +:1010D0003C446394970023240404EF20403F6C00B9 +:1010E0002808EF20401A63130518EF20003C1C5C11 +:1010F000ADCFEF20C03DEF20403B3C44634FF000BC +:1011000039A84A85EF20E00219C1EF20C0223C44F3 +:10111000FD173CC43C446354F0005C50FDF324C410 +:10112000EF20E03AEF2060387C40634FF00039A8B0 +:101130005285EF20000019C1EF20E01F7C40FD1711 +:101140007CC07C406354F0001C48FDF364C0EF2079 +:101150000038EF10803DEF2040351C5CC1E7B247FE +:10116000A9FFEF20C036014555A0EF2040361C40B6 +:10117000DDC7B2454A85EF10905DEF2000333C4457 +:10118000634FF00039A84A85EF10B07A19C1EF20FB +:10119000801A3C44FD173CC43C446354F0005C504E +:1011A000FDF324C4EF20A032EF2020307C40634FB9 +:1011B000F00039A85285EF10D07719C1EF20A017A1 +:1011C0007C40FD177CC07C406354F0001C48FDF35C +:1011D00064C0EF20C02FEF10403531C9EF20E02C64 +:1011E0001C5CB5DFCE8522854444EFF06FF6639C2E +:1011F0000A041C5C1840FD171CDC01E7EF20A0313D +:1012000048C01C48A1EFEF20802C0545F2506254E5 +:10121000D2544259B259225A925A21618280EF2007 +:10122000C0284840EF20A015EF20602A99B7EFF0C2 +:10123000EF9D6DB73C41E38707E47370043001A074 +:101240007370043001A05C5044C4D5DF1305440220 +:10125000EF10306E4DD9EFF06F9B75B71305040199 +:10126000EF10306D8547E310F5FAF5B72285EFF002 +:101270000FF0EF10802B014551BF1DC9411122C451 +:101280004AC006C626C244412E892A84EF20600C3B +:101290006383A40281464A8681452285F9338547C6 +:1012A0006300F502B2402244924402494101828027 +:1012B0007370043001A05C44054585075CC4DDB74C +:1012C0005C4485075CC4F9BF15CDADC9011122CCC2 +:1012D00026CA4AC84EC652C42A8406CE3289AE896E +:1012E000EFF0AF9E1C5C2A8A814485E35285EFF0C3 +:1012F0006F9DF240624426854249D244B249224A57 +:10130000056182807370043001A0304001CE4C44EE +:101310005C40B2954CC463E4F5000C404CC44E856F +:10132000EF3020261C5C7D57FD171CDC7C40638C55 +:10133000E7007C40854485077CC04DBF3C41D9D740 +:101340007370043001A01C4899E3854445B7130528 +:101350000401EF10105E75D9E30909FE85472320CB +:10136000F900E5B721C93C41A1CDA1CB011122CCA7 +:1013700026CA4AC852C42A8406CE4EC62E8AEFF028 +:10138000CF941C5C2A89814491CB8329C400D285E7 +:101390002285EFF0EFDB8544232634014A85EFF008 +:1013A0006F92F240624426854249D244B249224AB1 +:1013B000056182807370043001A07370043001A055 +:1013C000EDDF7370043001A001E57370043001A0FB +:1013D000411122C42A8406C6EF20200D005CEF20B4 +:1013E000000FB240228522444101828001E57370E2 +:1013F000043001A0411106C622C42A84EF20E00A6D +:101400001C5C405C1D8CEF20800C2285B240224485 +:101410004101828019C1085D82807370043001A08F +:101420001DCD978703009387E744D843630CE502FB +:10143000D847630CE504D84B6307E504D84F630233 +:10144000E504D853630DE502D8576308E502D85B7D +:101450006303E502D85F630EE5006F30600C7370C4 +:10146000043001A001470E07BA9723A007006F3090 +:10147000200B1D47CDBF1947FDB71547EDB71147E5 +:10148000DDB70D47CDB70947F9BF0547E9BF684547 +:1014900082806CC5828003450505828009C5085D90 +:1014A0001335150082807370043001A019C51C5DCE +:1014B000485D1D8D1335150082807370043001A0C6 +:1014C000411122C426C24AC006C62A842E89B2848B +:1014D00073700430EF10707D185C5C5C630BF70474 +:1014E000EF10F07E7360043073700430185C5C5C45 +:1014F0000145636AF70073600430B24022449244AD +:10150000024941018280304029C20844CA85EF3037 +:1015100040071C4434405840B6971CC463E4E700BD +:101520001C401CC41C5C585085071CDC1DE705458D +:10153000D9B7EF10D0798DE873600430B2402244FF +:10154000924402490145410182801C40E1FF48402C +:10155000EF10106D23220400F1B713054402EFF0E1 +:10156000CFB271D56D5541BF930504012685EFF0CB +:101570008F8973600430715549B7411122C406C682 +:101580002A84737004301C5D89EB25E2736004309B +:10159000B24022440145410182807360043073707F +:1015A00004301C5D014599E773600430B240224469 +:1015B000410182802E8730404C445C40B2954CC43F +:1015C00063E4F5000C404CC41C5C3A85FD171CDC40 +:1015D000EF20307B1C4899E30545F9B7130504015A +:1015E000EFF0AFAA75D96D55C1B7930545023285A5 +:1015F000EFF06F817360043071554DBF411126C209 +:1016000006C622C4185D5C5DB2846368F700B24010 +:101610002244268592444101828030412A8415CAA1 +:101620000845EF2010761C4434405840B6971CC43F +:1016300063E4E7001C401CC41C5C85071CDCE1F86B +:101640005C50F1D713054402EFF02FA4B334A0008F +:101650007DBF1C41F5F34841EF10905C232204004C +:10166000E1BF1C5DA9C7411106C622C426C25C4564 +:10167000032805045841C2975CC563E4E7001C4198 +:101680005CC5145D2E87BE859387F6FF1CDDB28492 +:101690002A8442863A85EF20D06E9C4099E31C480C +:1016A00089EBB240224492440545410182800145C4 +:1016B000828013050401EFF04F9D65D585479CC0DE +:1016C000CDB7978703009387E71A984305C39847D8 +:1016D00005C7984B05C7984F05C7985305C7985736 +:1016E00005C7985B15C7985F15C3828001470E0731 +:1016F000BA978CC3C8C382800547D5BF0947C5BF09 +:101700000D47F5B71147E5B71547D5B71D47C5B71D +:101710001947F1BF978703009387C715D84363081C +:10172000E502D847630BE502D84B630AE502D84FC0 +:101730006309E502D8536308E502D8576307E50259 +:10174000D85B6308E502D85F6303A7028280014784 +:101750000E07BA9723A0070082800547D5BF094727 +:10176000C5BF0D47F5B71147E5B71547D5B71D47B5 +:10177000C5B71947F1BF411122C426C24AC02A8405 +:1017800006C6AE843289EF1050527840FD5763147C +:10179000F700232204043844FD576314F700232480 +:1017A0000404EF10D0521C5C99E74A86A685130505 +:1017B0004402EF10D00CEF10504F3C44635BF0023A +:1017C0005C5085CB9304440209A83C44FD173CC4FB +:1017D0003C446350F0025C5089CF2685EF107015B1 +:1017E0006DD5EF1050353C44FD173CC43C44E344F8 +:1017F000F0FEFD573CC4EF10904DEF10104B7C40B5 +:10180000635BF0021C4885CB9304040109A87C406B +:10181000FD177CC07C406350F0021C4889CF2685B0 +:10182000EF1030116DD5EF1010317C40FD177CC0EA +:101830007C40E344F0FEFD577CC02244B240924419 +:10184000024941016F10B0481DC179714ECE52CC92 +:1018500006D63E8A22D426D24AD056CA5AC85EC676 +:10186000A547BA8963F8E7007370043001A073706C +:10187000043001A02A8BAE843289B68BC28A6307FA +:10188000082213050006EF2090372A84630B0520F9 +:101890000A09232855034A869305500A5685EF20E6 +:1018A000505C03C704001C587119230AE40203C7E3 +:1018B00004003E991379C9FF79C783C71400A30AAE +:1018C000F40283C71400E1C383C72400230BF4028E +:1018D00083C72400CDCB83C73400A30BF40283C796 +:1018E0003400D5C383C74400230CF40283C74400EB +:1018F000D9CB83C75400A30CF40283C75400C1C7DB +:1019000083C76400230DF40283C76400ADCF83C78F +:101910007400A30DF40283C77400B5C783C78400A5 +:10192000230EF40283C78400B9CF83C79400A30EAB +:10193000F40283C79400A1CB83C7A400230FF40251 +:1019400083C7A400A9C383C7B400A30FF40283C74D +:10195000B40095CB83C7C4002300F40483C7C4003C +:101960009DC383C7D400A300F40483C7D40081CFF0 +:1019700083C7E4002301F40483C7E40089C783C755 +:10198000F400A301F404930A44005685A30104045F +:101990002326340323283405232A0404EFE03FF4EC +:1019A00013058401EFE0BFF3A947B3873741232C28 +:1019B000040400C81CCC40D023220404232E0404B9 +:1019C0005E86DA854A85EFE0FFB008C063040A004E +:1019D00023208A007370043017F901001309C9B677 +:1019E0008327090097F401009384C4B791C79840F6 +:1019F0009C407C4385077CC317F70100130787B51C +:101A00001C43850797F6010023A6F6B49C40C5CB7E +:101A10008327090017FB0100130BCBC889EB9C40FF +:101A2000DC5763E6F90097F7010023AD87B297F71B +:101A30000100938767B09C4317F70100130707B1B4 +:101A400048541843850797F6010023A7F6AE3CC417 +:101A50006376A70097F7010023AAA7AED145EF4010 +:101A60007054D6855A95EFE0FFE78327090081C7B8 +:101A70009C40FC43B5E383270900054499C79C407B +:101A8000DC5763F43701EFE07F982285B25022548F +:101A900092540259F249624AD24A424BB24B4561D2 +:101AA00082805685EF20D0277D54C5B713152600B8 +:101AB000EF20F014AA8AE31605DC7D54F9B797F7F6 +:101AC000010023A187AA184385476301F70217FB8A +:101AD0000100130B2BBDA1BF984094407C43FD1720 +:101AE0007CC3FC42C9FB7360043071B7014A17FB29 +:101AF0000100130B2BBBA94B5285D145EF40904AF7 +:101B0000050A5A95EFE07FDCE3187AFF97FB0100A6 +:101B1000938BCBC55E85EFE05FDB17FA0100130AFC +:101B20002AC65285EFE07FDA17F50100130585C656 +:101B3000EFE0BFD917F50100130505C7EFE0FFD8A7 +:101B400017F50100130585C7EFE03FD897F70100AF +:101B500023A877A197F7010023A247A1C9BD41118E +:101B600022C406C626C24AC02A847370043097F481 +:101B700001009384649D9C40F9E311E497F7010010 +:101B80009387479E8043130944004A85EFE01FDA9C +:101B90001C5489C713058401EFE05FD9CA8517F586 +:101BA0000100130565C0EFE0FFD397F701009387AD +:101BB000A79A984397F70100938707989C430507D6 +:101BC00097F6010023AAE6989840850797F601004A +:101BD00023A4F69601CB97F701009387A798984323 +:101BE000784349EF9C40B1C797F7010093878797E7 +:101BF0009843630F870873700430984039EB17F7E8 +:101C000001001307E79514439442A5E27D5797F628 +:101C1000010023A1E692984009CF9843784311CB65 +:101C2000984394437C43FD177CC3FC4299E3736063 +:101C30000430B2402244924402494101828097F725 +:101C400001009387279298439C437C4385077CC37C +:101C50002DB794439843F8420507F8C217F70100DF +:101C60001307079014439442D5D218435847584756 +:101C7000584397F6010023AFE68A71BF9843944317 +:101C80007C43FD177CC3FC42B1FF7360043099BFF5 +:101C900097F701009387C7899C4381C7737004300D +:101CA00001A02244B2409244024941016FE00FF684 +:101CB00041C517F701001307E78A14438147638B77 +:101CC000A606411106C622C426C27370043097F6D8 +:101CD0000100938666879C4291C710431C437C4257 +:101CE00085077CC29C42AA84404981C71C43FC43AF +:101CF000B9E797F701009387A7869C43638B870416 +:101D000097F70100938787859C436384870497F73F +:101D100001009387A7AA6309F40297F7010093874C +:101D2000A7A86301F4028547B240224492443E854D +:101D3000410182803E8582807370043001A0EF40B3 +:101D4000303A45BF9147CDB79C5493B717008907E8 +:101D5000E1BF8947D1BF7370043097E701009387D3 +:101D6000A77E984309EF15C59C43485581CB97E75B +:101D700001009387277F9843784315E3828017E714 +:101D800001001307277E14431843F8420507F8C2E1 +:101D900061FD17E701001307E77C0843F1B798439B +:101DA00094437C43FD177CC3FC42E9FB7360043021 +:101DB0008280411122C42A8406C6EFE00FF111E4AB +:101DC00097E701009387077A80434054EFE08FEF55 +:101DD000B2402285224441018280A54763F5B700C5 +:101DE0007370043001A0011122CC06CE26CA4AC865 +:101DF0004EC652C42A847370043017E901001309D7 +:101E0000A97483270900CDEF71C43C486388F508A5 +:101E100017E701001307077563FCB7001443638CD1 +:101E2000860C18434457B3B4950093C4140029A0FA +:101E30000443818C93B4140058546383E70A1C4C08 +:101E40002CC863C60700A947B385B7400CCC9317CD +:101E50002700BA97584897F901009389A9848A07FF +:101E6000CE97631AF702130A44005285EFE01FACC5 +:101E700097E701009387876D48549C4363F6A7005A +:101E800097E7010023A4A76CD145EF40B011D2859C +:101E90004E95EFE03FA599C0EFE04FD783270900AB +:101EA00081CB97E701009387E76B9C43FC439DEB55 +:101EB000F2406244D2444249B249224A05618280DA +:101EC00097E701009387076A98439C437C43850703 +:101ED0007CC305FC97E701009387C768804335B74B +:101EE0004CD4B1BF6244F240D2444249B249224A82 +:101EF00005616F40F01E814481B725C1011126CADA +:101F000097E40100938404669C4006CE22CC4AC824 +:101F10004EC6638DA7027370043017E901001309E0 +:101F2000A9628327090091C798409C407C4385079C +:101F30007CC3584997F70100938747886302F702EB +:101F40008327090081C79C40FC43A5EBF240624413 +:101F5000D2444249B249056182807370043001A0C5 +:101F60001C5517F701001307E782E38BE7FCE9FB39 +:101F7000930945002A844E85EFE05F9B97E70100B7 +:101F80009387C75C48549C4363F6A70097E701001A +:101F900023AEA75AD145EF40F00097E701009387A1 +:101FA00067703E95CE85EFE0FF939C405854DC5718 +:101FB000E368F7F8EFE08FC561B76244F240D244BE +:101FC0004249B24905616F40B01115CD011122CCD3 +:101FD00026CA4EC62A8406CE4AC8EFE00FCF58481C +:101FE00097E701009387877DAA8981446300F70200 +:101FF0004E85EFE02FCDF240624426854249D2441F +:10200000B249056182807370043001A01C5417E549 +:10201000010013052578E38DA7FCF9FB97E7010084 +:10202000938707519C43A9EB97E701009387875358 +:102030009C43445413094400DC574A85B3B4F4006C +:10204000EFE0DF8E97E701009387475048549C43A9 +:1020500093C4140063F6A70097E7010023A8A74ED6 +:10206000D145EF40207497E701009387A763CA85A5 +:102070003E95EFE03F87ADBF93058401EFE09F867B +:1020800085BF0111056506CE22CC26CA4AC84EC6B8 +:1020900052C456C25AC0EF208036630E051AAA8475 +:1020A00013050006EF20A0352A84630A051C056687 +:1020B0009305500A04D92685EF20A05A0458856755 +:1020C000F117BE94B7474C4593879744130A4400D1 +:1020D0005CD85285230C0402A301040423260402C5 +:1020E00023280404232A0404EFE06FFF130584016E +:1020F000EFE0EFFEA947232C0404F19800C81CCCA4 +:1021000040D023220404232E040401469715000026 +:102110009385E5B12685EFE0EFBB08C0737004300E +:1021200017E90100130949428327090097E40100D8 +:102130009384444391C798409C407C4385077CC36B +:1021400017E70100130707411C43850797E60100C5 +:1021500023A2F6409C40C9C38327090097E90100E8 +:102160009389495481EB9C40DC5789E797E701004C +:1021700023AA873E97E701009387073C984397E699 +:1021800001009386A63C5C549442050717E60100C3 +:102190002324E63A38C463F6F60017E70100232744 +:1021A000F73A139527003E950A05D2854E95EFE044 +:1021B0006FF38327090081C79C40FC43DDE7832739 +:1021C000090091C39C40EF10F04985476305F50C69 +:1021D00059E17370043001A097E7010023A4873808 +:1021E0001843854797E901009389C94BE314F7F831 +:1021F000CE8A17EB0100130B6B575685D10AEFE01F +:10220000CFECE31C5BFF17E5010013052556EFE05B +:10221000CFEB97EA0100938AAA565685EFE0EFEAE2 +:1022200017E5010013050557EFE02FEA17E5010058 +:1022300013058557EFE06FE917E501001305055811 +:10224000EFE0AFE897E7010023AC673197E70100C3 +:1022500023A6573105B7F2406244D2444249B249FD +:10226000224A924A024B05618280984094407C4306 +:10227000FD177CC3FC42A1F77360043089B7624448 +:10228000F2404249B249224A924A024B2685D24440 +:1022900005616F20E02873700430FD5717E70100D7 +:1022A000232AF728624497E7010023AFA728F240CA +:1022B000D2444249B249224A924A024B97E701006E +:1022C00023A8072805616FD0DFFD7370043097E7FE +:1022D000010023AB07266FE00F8B17E70100130700 +:1022E00027251C4385071CC382807370043097E741 +:1022F000010093876725984305E317E7010013075B +:10230000272508439C4381CB97E7010093878725C6 +:102310009843784301EF828017E701001307872471 +:1023200014431843F8420507F8C2C1BF98439443C9 +:102330007C43FD177CC3FC42F9FF7360043082804C +:10234000411106C622C4EFE04F9897E7010093873A +:1023500027208043EFE00F97B240228522444101BD +:10236000828097E701009387E71E88438280797116 +:1023700006D622D426D24AD04ECE52CC56CA5AC8FD +:102380005EC697E701009387A71A9C43B1EF17E752 +:1023900001001307E71B1C43850797E6010023A9EB +:1023A000F61A832A0700638F0A0697E701009387CE +:1023B00067189C43814463F1FA0897E90100938907 +:1023C000692E17EA0100130AEA1983270A008546D5 +:1023D000D85793172700BA978A07BE9983A7090091 +:1023E00063FFF600854421A897E7010093878715CE +:1023F0009C438144850717E701002325F71497E7DD +:1024000001009387E7139C4391C38544B250225443 +:10241000268502599254F249624AD24A424BB24B43 +:102420004561828017E40100130484131C409C431F +:10243000DDC37370043001A017E4010013044412DB +:1024400097E901009389092617EA0100130A8A1106 +:1024500017EB0100130B8B0F1C409C43B5C31C40B2 +:10246000DC4703A9C70083274900930B49005E8519 +:1024700063EEFA04EFE08FCB83278902130589010D +:1024800099C3EFE0AFCA8327C90203270B00DE859B +:10249000139527003E950A054E956376F70017E7DA +:1024A00001002325F70AEFE0EFC383270A00032783 +:1024B000C902DC57E362F7FA1C4085449C43C5F32C +:1024C000FD5717E701002327F70601B717E70100BB +:1024D0002322F706DDBD17E70100130727081C407C +:1024E000184397E6010023ADE60617E7010023270E +:1024F000F70697E70100938767049C43850717E772 +:102500000100232DF7021C409C4399E7FD5717E774 +:1025100001002321F70251BD1C40DC47DC47DC43AE +:1025200017E701002328F70049B597E701009387D3 +:102530002700984301E77370043001A0011106CE13 +:1025400022CC26CA4AC84EC652C456C25AC073705C +:10255000043097E40100938424FF984061EB984392 +:102560007D1797E6010023A5E6FC9C43D9EB97E78E +:102570000100938727FE9C43C9C797EA0100938A0D +:102580006A1217EA0100130A6AFC17E9010013092D +:1025900069FD854919A83385EA00EFE0AFB48327C8 +:1025A00009005854DC576379F70483A70A0FC1CF99 +:1025B00083A7CA0FC04713058401EFE02FB7130BA1 +:1025C00044005A85EFE08FB65C5483260A00DA8512 +:1025D000139527003E950A052A875695E3FDF6FADE +:1025E00017E701002324F7F6EFE0CFAF83270900B8 +:1025F0005854DC57E36BF7FA97E7010023A237F54D +:102600006DB701449C4081CB97E70100938787F524 +:102610009C43FC4395E72285F2406244D244424900 +:10262000B249224A924A024B0561828017E70100B3 +:10263000130747F314431843F8420507F8C205B7D8 +:10264000EF40002AC9BF17E401001304A4EF1C40A7 +:1026500095C31C40054999CF193B09C597E701006F +:1026600023A027EF1C40FD1717E70100232CF7ECF0 +:102670001C40FDF397E70100938787EC984385475B +:10268000E311F7F8EFD09FD80544ADBF11CD81E538 +:102690007370043001A017E70100130767E91C43BA +:1026A00099C77370043001A07370043001A0411108 +:1026B00022C406C614431841850617E601002329E3 +:1026C000D6E697E601009386A6E894423304B70065 +:1026D00000C163F7E602637FE40063FD860097E7CD +:1026E0000100938727E888431105EFE02FA4228596 +:1026F000EF40C0181D3D01C9B24022444101828013 +:10270000E36FE4FCD9BF2244B24041016FD01FD037 +:1027100011C917E701001307A7E11C4391C77370A4 +:10272000043001A06FD09FCE411106C622C41843C9 +:10273000AA87050797E6010023ACE6DE97E60100CD +:10274000938646E217E70100130787E018438842A3 +:102750003304F7001105EFE06F9D2285EF40001272 +:10276000E93311E52244B24041016FD03FCAB24083 +:10277000224441018280411122C406C697E701002C +:10278000938707DB9C430144850717E7010023215A +:10279000F7DA97E701009387E7DB9C4363E0F512E4 +:1027A00017EE0100130ECEFB97E201009382C2EEFA +:1027B00001440147854F1303500A21A0311E638D48 +:1027C000C20B83278EFFBA86F5DB8327CEFFDC435F +:1027D000232EFEFE638AC70F03AFC700DC432A9790 +:1027E000814E232EFEFE6385C70783A8C70093870B +:1027F0004803232017015CC383A788042326F7011D +:102800001CC783A7C8021CCB83A70805232C07007D +:102810005CCB03A808038347080063906704C28762 +:10282000850783C60700B3850741E38B66FE898170 +:10283000C205C181231EB700850E13070702630678 +:102840001F038327CEFFDC43232EFEFEE39FC7F941 +:1028500083274E00232EFEFE49BF8145231EB7006D +:10286000850E13070702E31E1FFD7694131754000D +:10287000311EBA86E397C2F597E70100938747CEEA +:1028800003AE070083270E00639D070E97E7010044 +:102890009387C7CC03AE070083270E006392071807 +:1028A00017EE0100130E0EE083274E109DE38327E1 +:1028B0008E116395072019C223200600BD312285A1 +:1028C000B24022444101828083274E00232EFEFE27 +:1028D00021B783278E1017EF0100130F6FEDDC4334 +:1028E00017E701002324F7EC6380E73383A2C700D6 +:1028F000DC43AA96814E17E701002329F7EA914F9E +:102900001303500A6388E70783A8C7009387480327 +:1029100023A01601DCC283A7880423A6F6019CC667 +:1029200083A7C8029CCA83A7080523AC0600DCCA9B +:1029300003A80803834708006391672AC2878507B5 +:1029400003C70700B3850741E30B67FE93D7250054 +:10295000C207C183239EF600850E93860602638616 +:10296000122983278E10DC4317E701002320F7E4A8 +:10297000E39CE7F983270E1117E701002328F7E20C +:1029800061B783274E00130F8E00D4432322DE004D +:102990006388E629B307E500D84283A2C600814ECA +:1029A0002322EE00894F1303500A6306EF068328A3 +:1029B000C7001387480323A01701D8C303A78804BF +:1029C00023A6F70198C703A7C80298CB03A7080559 +:1029D00023AC0700D8CB03A80803034708006318FB +:1029E000671C4287050783460700B3050741E38B51 +:1029F00066FE13D7250042074183239EE700850E1C +:102A000093870702638D121B83264E00D842232230 +:102A1000EE00E31EEFF80327CE002322EE0041BFB5 +:102A200083274E00130F8E00DC432322FE006384B5 +:102A3000E71F83A2C700DC43AA96814E2322FE0033 +:102A4000894F1303500A6306FF0683A8C7009387C4 +:102A5000480323A01601DCC283A7880423A6F6013D +:102A60009CC683A7C8029CCA83A7080523AC06009E +:102A7000DCCA03A808038347080063966710C2876F +:102A8000850703C70700B3850741E30B67FE93D7AC +:102A90002500C207C183239EF600850E9386060299 +:102AA000638B120F83274E00DC432322FE00E31EBC +:102AB000FFF88327CE002322FE0041BF8327CE11DB +:102AC00097EE0100938E0ED0DC4317E70100232917 +:102AD000F7CE6384D71383AFC700DC433307D50039 +:102AE000014397E6010023ADF6CC0D4F89429308D0 +:102AF000500A6387D707C8479307450308C35CC3D9 +:102B00003C452326E7011CC75C551CCB1C5599C3CB +:102B1000232657003C49232C07005CCB03280503E0 +:102B20008347080063951705C287850783C607009A +:102B3000B3850741E38B16FF93D72500C207C183F6 +:102B4000231EF700050313070702638AAF028327DA +:102B5000CE11DC4397E6010023A4F6C6E39DD7F926 +:102B600083274E1297E6010023ACF6C469B781476C +:102B7000231EF700050313070702E39AAFFC1A941C +:102B8000E31C06D225BB8147239EF600850E938663 +:102B90000602E39912F117EE0100130EAEB083277F +:102BA0004E10769493165400E38307D01DB301476B +:102BB000239EE700850E93870702E39712E597E7C8 +:102BC00001009387A79903AE0700769493165400EB +:102BD00083270E00E38607CCA1B58147239EF6002C +:102BE000850E93860602E39E12D783278E11769474 +:102BF00093165400E38107CCD1B583274E1217E713 +:102C00000100232FF7BAC1BD83270E1117E701007A +:102C1000232EF7B8E1B98327CE002322FE0011BD91 +:102C20008326CE002322DE00B5B3797126D24AD0A6 +:102C30004ECE52CC56CA5AC85EC606D622D497E9A2 +:102C400001009389E98E17E901001309A9A517EA84 +:102C50000100130A6A8F97EA0100938AAA9097EB02 +:102C60000100938B2B8F97E401009384E48E054B36 +:102C700021A883A7090003244910850717E701004D +:102C80002328F78A5D3011E89C40E5F78327090087 +:102C9000E37CFBFEEFD08FF7C5BF73700430832752 +:102CA0000A00B9E383270911C04713054400EFD098 +:102CB000FFC783A70B00FD1717E70100232CF78838 +:102CC0009C40FD1717E701002328F78883270A0097 +:102CD00089C783A70A00FC4385E30858EF10500416 +:102CE0002285EF10F0034DB703A70A0083A70A005F +:102CF0007C4385077CC37DB703A70A0083A60A002F +:102D00007C43FD177CC3FC42E9FB73600430F1B7E0 +:102D100097E701009387C7819C43DDE7411106C611 +:102D200022C426C297E7010023AC078017E7010001 +:102D3000130747831C43B7A6A5A59386565A9C5BE9 +:102D40008C43639BD500D0436318B60094476395CA +:102D5000C600DC476388D70008430C4393854503CE +:102D6000EF20C07317D701001307477E144317E401 +:102D700001001304249311A81C43A9CF1C43FD1781 +:102D800097D6010023A4F67C144393972600B697A8 +:102D90008A07A2979C43EDD3084393172500AA976F +:102DA0008A073307F4005443A107A297C44244C3DF +:102DB0006385F402DC44B240224417D70100232388 +:102DC000F77A924441018280854717D70100232971 +:102DD000F77682807370043001A0C440D145EF3093 +:102DE000701C229544C1F9B701114AC806CE22CC05 +:102DF00026CA4EC62A897370043097D40100938482 +:102E0000A4749C4017D401001304C475D9E763145B +:102E1000090003290400930949004E85EFD01FB132 +:102E20008327890289C713058901EFD03FB0CE857A +:102E300017E5010013058598EFD0DFAA9C4081C7F4 +:102E40001C40FC43ADE31C40638B27079C409DCF97 +:102E5000737004309C40C1EB97D701009387477093 +:102E60009843184325EBFD5717D701002324F76C2F +:102E70009C4089CF1C40FC4391CB184014407C43BC +:102E8000FD177CC3FC4299E373600430F240624456 +:102E9000D2444249B2490561828018401C407C43BB +:102EA00085077CC3ADB7184014407C43FD177CC335 +:102EB000FC42D1FB736004301C40E39927F99C402D +:102EC000A1C397D701009387A7669C43ADCB7370CE +:102ED000043001A09C43DC47DC47DC4317D70100EA +:102EE000232AF76471B718401C407C4385077CC3D4 +:102EF00097D701009387C766984318432DD7D9BF4A +:102F000097D701009387076517D7010013078779C3 +:102F10009C4303278711631DF700F240624497D753 +:102F2000010023A10764D2444249B249056182806D +:102F30006244F240D2444249B2490561D1BB624485 +:102F4000F240D2444249B24905616FD02FCC3DC90D +:102F5000411126C297D401009384C4604AC02E89CF +:102F60008C4006C622C4E105EFD05F998840110568 +:102F7000EFD0DF9BFD57630AF90497D701009387D1 +:102F8000275D804398409C434A9440C3636CF4049B +:102F900097D701009387C75C88438C409105EFD099 +:102FA000FF9597D701009387E7589C436376F40019 +:102FB00097D7010023A08758B24022449244024987 +:102FC000410182807370043001A08C402244B240E1 +:102FD0009244024917D501001305457E9105410130 +:102FE0006FD05F9097D7010093874757884322445B +:102FF0008C40B24092440249910541016FD01F902C +:1030000011C997D701009387A7529C4399E7737022 +:10301000043001A07370043001A0411126C297D47E +:103020000100938424549840AE878C40B7060080FA +:10303000D58F06C622C41CCFE1053284EFD09F8A0B +:1030400088401105EFD09F8EFD57630EF40097D78F +:1030500001009387E74F8843B2409244229522446F +:1030600041016F30B0018C402244B240924417D5E8 +:1030700001001305A574910541016FD0BF8625CDD0 +:10308000411126C297D401009384C44D4AC02E89B1 +:103090008C4006C622C4E1053284EFD0BF8488404C +:1030A0001105EFD0BF888547630AF40497D7010064 +:1030B0009387074A804398409C434A9440C3636C7B +:1030C000F40497D701009387A74988438C40910562 +:1030D000EFD0DF8297D701009387C7459C43637683 +:1030E000F40097D7010023A78744B24022449244BA +:1030F0000249410182807370043001A08C40224457 +:10310000B2409244024917D501001305256B910581 +:1031100041016FD02FFD97D7010093872744884343 +:1031200022448C40B24092440249910541016FD043 +:10313000EFFC411106C622C426C25C45C04735CC0F +:10314000930484012685EFD06FFE97D70100938703 +:10315000273E9C43B5E7930444002685EFD00FFD3E +:1031600097D701009387873E58549C4363F6E70046 +:1031700097D7010023ACE73C93172700BA978A073B +:1031800017D5010013050552A6853E95EFD08FF5A2 +:1031900097D701009387073D9C4358540145DC575E +:1031A00063F8E700854717D70100232BF73805455B +:1031B000B24022449244410182807370043001A0E5 +:1031C000A68517D501001305E55CEFD0AFF1C9B7AF +:1031D00097D701009387C7359C4381E7737004300C +:1031E00001A0411106C622C426C2B707008040458F +:1031F000CD8F1CC12DC4EFD06FF3930444002685FE +:10320000EFD0CFF297D701009387473458549C43AF +:1032100063F6E70097D7010023AAE7329317270048 +:10322000BA978A0717D501001305C5473E95A685AD +:10323000EFD04FEB97D701009387C7329C43585488 +:103240000145DC5763F8E700854717D701002329BC +:10325000F72E0545B24022449244410182807370AA +:10326000043001A011CD97D701009387272D9843F3 +:1032700097D701009387C72D9C4318C15CC18280FA +:103280007370043001A055C1D1CD411106C622C4CE +:103290002A877370043097D801009388E82A83A79F +:1032A000080091CB97D701009387C72B94439C4389 +:1032B000FC428507FCC217D30100130363299C411C +:1032C00003260300FD560144638FD70217DE010079 +:1032D000130ECE2603250E00032807005443630572 +:1032E000A80005446371D6023308D6400544637CC8 +:1032F000F80003250E00918F03260300B6979CC1AA +:1033000008C350C3014483A7080081CB97D70100AD +:10331000938747259C43FC4381EF2285B24022449A +:10332000410182807370043001A07370043001A0E9 +:10333000EF30005BDDB7854717D701002322F72068 +:10334000828019C1684582800145828011C16CC5A7 +:10335000828097D701009387E7208843828097D7A0 +:1033600001009387671E9C43054589CB97D70100D1 +:103370009387071C8843133515000605828031CDDD +:10338000011126CA97D401009384C41D9C4006CE27 +:1033900022CC4AC84EC65855DC576379F7021C4DFB +:1033A00063C707009C40D457A947958F1CCD93173E +:1033B0002700BA97584917D901001309A92E8A077F +:1033C000CA97630DF7009C40DC575CD5F24062441D +:1033D000D2444249B2490561828082809309450006 +:1033E0002A844E85EFD08FD49C4017D70100130755 +:1033F000E7151843DC575CD46376F70017D7010054 +:103400002326F714139527003E9562440A05F240DF +:10341000D244CE854A95B249424905616FD08FCCDE +:1034200019CD411106C622C426C297D70100938741 +:10343000671380436307A4007370043001A0014543 +:1034400082807C4881E77370043001A0545438486E +:10345000FD177CC86383E60099C70145B24022444A +:10346000924441018280930444002685EFD00FCC22 +:10347000284897D701009387670D9843A947898FFC +:1034800048D41CCC6376A70097D7010023A0A70CD3 +:10349000D145EF30203197D701009387A7203E9583 +:1034A000A685EFD02FC4054555BF7370043097D75C +:1034B0000100938767099C4391CB97D701009387BD +:1034C000670A98439C437C4385077CC3828097D7D7 +:1034D0000100938767079C438DC397D701009387AB +:1034E00067089843784311CB984394437C43FD1776 +:1034F0007CC3FC4299E373600430828097D701005B +:1035000093874706904394439843A947D456084E5F +:10351000958F1CCF828097D701009387A70498438B +:1035200009C79443F84A0507F8CA8843828079712D +:103530004AD006D622D426D24ECE2A8973700430C1 +:1035400097D40100938444009C4017D401001304D5 +:103550006401BDE31C40BC4F89E71C400547F8CF20 +:10356000D1E19C4081C71C40FC43F1EB73700430F7 +:103570009C408DEF1C40A84F11C5630409021C40FC +:1035800023AC07041C4023AE07049C4081C71C40A9 +:10359000FC438DEBB250225492540259F2494561DA +:1035A000828018403C4FFD173CCFE9BF18401C40BB +:1035B0007C4385077CC37DBF18401C407C43850746 +:1035C0007CC349BF184014407C43FD177CC3FC42B8 +:1035D000F1F373600430B250225492540259F2490C +:1035E0004561828008402EC61105EFD02FB4B24548 +:1035F000FD576380F50697D70100938767F583A988 +:10360000070018409C43AE992322370163ECF9046C +:1036100097D701009387C7F488430C409105EFD0FA +:10362000EFAD97D701009387E7F09C4363F6F9006D +:1036300097D7010023A037F1EFC05FDD1DB7184019 +:1036400014407C43FD177CC3FC428DF3736004304F +:1036500031BF0C4017D50100130545169105EFD079 +:103660006FA8D9BF97D70100938747EF88430C40D5 +:103670009105EFD0AFA8C9B779714AD04ECE06D622 +:1036800022D426D252CC2E89B2897370043097D4BA +:103690000100938464EB9C4017D40100130484EC74 +:1036A000F9EF18408947784F630CF7001C40134529 +:1036B000F5FFB84F798DA8CF1C400547F8CFADE690 +:1036C0009C4081C71C40FC43F5E3737004309C4070 +:1036D000B1E7638609001C40BC4F23A0F9001840E5 +:1036E00085470145784F630BF7001C401349F9FFEC +:1036F0000545B84F3379E90023AC27051C4023AEBC +:1037000007049C4081C71C40FC43C1E3B2502254D3 +:1037100092540259F249624A4561828018401C4025 +:103720007C4385077CC375B7084036C61105EFD0CA +:10373000EF9FB246FD576385F60897D70100938740 +:1037400027E103AA070018409C43369A2322470129 +:103750006363FA0897D70100938787E088430C409A +:103760009105EFD0AF9997D701009387A7DC9C43D1 +:103770006370FA0697D7010023AE47DB91A8184083 +:103780001C407C4385077CC329BF184014407C4300 +:10379000FD177CC3FC42BDFB73600430B250225461 +:1037A00092540259F249624A45618280184014409D +:1037B0007C43FD177CC3FC4289FB7360043031B746 +:1037C0000C4017D50100130565FF9105EFD08F91CF +:1037D000EFC0DFC3F5B597D70100938727D888439B +:1037E0000C409105EFD08F91E5B751C1411106C64C +:1037F00022C426C24AC07370043097D40100938457 +:10380000A4D49C40B9EB99C23C4D9CC2B287894676 +:10381000704D74CD2E872A846386D70663FCF60428 +:103820008D456387B70091456395B7006300D60C5B +:1038300038CC8547630FF60405449C4081CB97D76D +:103840000100938727D29C43FC43A9E32285B24021 +:103850002244924402494101828097D70100938714 +:1038600067D098439C437C4385077CC369BF7370D2 +:10387000043001A08546E39ED7FA3C4D33E7B700FC +:1038800038CD45BF3C4D85073CCD65B7EF30400591 +:1038900075BF130944004A85EFD04F8997D70100BF +:1038A0009387C7CA48549C4363F6A70097D7010083 +:1038B00023AEA7C8D145EF20F06E97D701009387BC +:1038C00067DE3E95CA85EFD0EF811C5481C77370C7 +:1038D000043001A097D701009387C7C89C43585470 +:1038E000DC57E3FBE7F4EFC07FB2B9B70144B1B7EF +:1038F00039CD011122CC26CA4AC84EC652C456C27E +:1039000006CEBA89368AB2842E892A84EFC0FFBBDC +:10391000AA8A63050A003C4C2320FA00784C8947A8 +:103920007CCC6389F40263F4970C8D466387D400E2 +:1039300091466396D400630AF70A232C2405854731 +:10394000631EF7061C5499CB7370043001A073708A +:10395000043001A03C4C85073CCCD5B797D701007B +:10396000938707BD9C43ADEB930444002685EFC0CD +:10397000FFFB97D70100938767BD48549C4363F6CC +:10398000A70097D7010023A3A7BCD145EF209061E2 +:1039900097D70100938707D1A6853E95EFC09FF486 +:1039A00097D70100938707BC9C435854DC5763F7B3 +:1039B000E70063850900854723A0F9000544568583 +:1039C000EFC05FB02285F2406244D2444249B2491E +:1039D000224A924A056182809305840117D501002D +:1039E000130545DBEFC01FF065BF0144C9BF854724 +:1039F000E397F4F43C4C33E92701232C240581B7E9 +:103A00004DC1011122CC26CA2A844EC606CE4AC810 +:103A1000AE84EFC09FAB8947744C7CCC3C4C0547CF +:103A2000AA8985073CCC6395E6061C5481C7737050 +:103A3000043001A097D70100938787AF9C43ADE77F +:103A4000130944004A85EFC07FEE97D701009387A2 +:103A5000E7AF48549C4363F6A70097D7010023A71C +:103A6000A7AED145EF20105497D70100938787C3A5 +:103A7000CA853E95EFC01FE797D70100938787AEB1 +:103A80009C435854DC5763F5E70099C085479CC0B8 +:103A90006244F240D24442494E85B24905616FC04A +:103AA0007FA27370043001A09305840117D5010033 +:103AB000130545CEEFC01FE3C1B7AA8729C57370B0 +:103AC000043017D70100130727A814439DE2F04FD5 +:103AD000894601456315D60023AE070405451C43FE +:103AE00081CB97D701009387E7A79843784315E3E5 +:103AF000828097D601009386E6A690429442744253 +:103B0000850674C2E9B797D701009387A7A59C43A0 +:103B10007DB7984394437C43FD177CC3FC42E9FB8B +:103B20007360043082805D71A6C2CAC052DC56DA6E +:103B30005AD85ED686C6A2C44EDE62D466D26AD099 +:103B400017D90100130909A317DB0100130BCBA13F +:103B500097D40100938484A197DA0100938A4AA143 +:103B6000054AA54B83270900984363010712DC47E8 +:103B700083A90700EFE06FF6EFE02FF783270B0034 +:103B80002A8C6362F50A97D7010023AFA79C0146F0 +:103B90006370351B8840B3858941EFD0DFBDEFE00E +:103BA000DF98630105128840814601462C00EFD062 +:103BB000CFCB4DD9A247E3C807FEC24983A749012D +:103BC00089C713854900EFC07FD6EFE00FF2832746 +:103BD0000B002A8C636DF508A24717D70100232537 +:103BE0008799E3E2FBFCB317FA0013F70721631A86 +:103BF000071613F7770C6318071E93F70702C5D74C +:103C00004E85EF00F01145B7DC47C04783AC070095 +:103C1000930944004E85EFC07FD15C502285829786 +:103C20005C4C6384470B83270900984371FF03A70B +:103C30000A0097D6010023A9869397D6010023A9ED +:103C4000F69297D7010023A7E792EFE01F8EA1BF5E +:103C5000DC47C04703AD0700930C44006685EFC006 +:103C6000FFCC5C50228582975C4C63864709832792 +:103C70000900984371FF03A70A0097D6010023A902 +:103C8000F68E97D7010023A7E78EB9B7EFE0EFE4F0 +:103C9000EFE0AFE583270B002A8CE366F5F883A7F6 +:103CA0000A00884081499043B385894117D70100B4 +:103CB000232C878B13361600EFD0FFABEFE0FF8687 +:103CC000E31305EEEFC08FF4F9BD1C4CCE85E697EB +:103CD00063E9FC049C4089CF02CA66CC22CEEFF097 +:103CE0000FE88840814601464C08EFC01FE705FDFC +:103CF0007370043001A01C4CE685EA97636CFD04E8 +:103D00009C4089CF02CA6ACC22CEEFF04FE58840B2 +:103D1000814601464C08EFC05FE431F9737004300E +:103D200001A0032509005CC000C8EFC03FBDE5BD90 +:103D3000EFE0AFFF83270900DC47C047930C440046 +:103D40006685EFC0BFBE5C4C638F47035C50228525 +:103D5000829791BD032509005CC000C8EFC01FBA5F +:103D600039B7B24723ACF900A5CFE29723A2F900F7 +:103D700023A8390193854900636FFC0403A50A0059 +:103D8000EFC0DFB70DB51C4C00C83387F90058C031 +:103D9000636DEC02330C3C416363FC029C4089CFB1 +:103DA00002CA4ECC22CEEFF08FDB8840814601461E +:103DB0004C08EFC09FDA59F97370043001A003A5D5 +:103DC0000A00E685EFC09FB351B703250900E685D9 +:103DD000EFC0DFB2A5BF03250900EFC03FB2E1B3DA +:103DE0007370043001A0B24783A6890123A839016A +:103DF0003387D70023A2E9006364EC04B307FC40D7 +:103E000063EBD70483A749024E85829783A7C90134 +:103E1000E39B47D983A68901B2479840B69711CF53 +:103E200002CA3ECC4ECEEFF08FD388408146014689 +:103E30004C08EFC09FD2E31805D67370043001A080 +:103E40006374FC00E370F7FC0325090093854900C7 +:103E5000EFC0DFAA89BB03A50A0093854900EFC024 +:103E6000FFA991B3411122C406C626C24AC017C495 +:103E700001001304A46FEFF04FE31C4095CBEFF06B +:103E80000FE51C409DC38148014881470947814691 +:103E90001306004097C501009385455217050000A1 +:103EA0001305A5C8EFD05F9A39E97370043001A0FB +:103EB00017D901001309C9914A85EFC01FA197D4F2 +:103EC0000100938424922685EFC03FA00146B145AE +:103ED000114597C7010023AF276997C7010023A9A0 +:103EE0009768EFC07FBA17C701002321A76811CDDB +:103EF00097C501009385054CEFD0AFFC49B7B240A0 +:103F0000224492440249410182807370043001A02E +:103F1000A5C9797156CAAA8A1305C00222D426D22D +:103F20004AD04ECE52CC06D65AC85EC63A89B68919 +:103F3000328AAE84E9212A8405C9EFF00FD797C7EA +:103F400001009387A7629C439DCFEFF04FD81305E4 +:103F500044002320540104CC232E440123203403A5 +:103F600023222403EFC0BF972285B25022549254DB +:103F70000259F249624AD24A424BB24B45618280B1 +:103F80007370043001A097DB0100938B6B845E8516 +:103F9000EFC0BF9317DB0100130BCB845A85EFC032 +:103FA000DF920146B145114597C7010023A4775D13 +:103FB00097C7010023AE675BEFC01FAD17C70100B5 +:103FC0002326A75A01C997C501009385A53EEFD0C6 +:103FD0004FEFA5BF7370043001A039C5011122CC89 +:103FE00006CE26CA17C401001304445883280400CF +:103FF0002A88014563850802BA842EC2368732C4F6 +:1040000042C6954663C5B602EFF06FB58947630BAC +:10401000F5020840814601464C00EFC01FB4F24053 +:104020006244D244056182807370043001A04C0068 +:1040300081463A864685EFC0DFE7F2406244D244CB +:10404000056182800840814626864C00EFC0FFB0A3 +:10405000F9B719C1084182807370043001A001E5ED +:104060007370043001A0411106C622C42A84EFF007 +:10407000CFC348483334A000EFF06FC52285B2406B +:1040800022444101828001E57370043001A0411196 +:1040900022C42A8406C6EFF04FC10050EFF02FC3B0 +:1040A000B240228522444101828001E573700430D0 +:1040B00001A0411122C426C22E84AA8406C6EFF0B4 +:1040C000CFBE80D02244B240924441016FF02FC055 +:1040D0004111714522C406C61D262A8411C523201C +:1040E00005001105EFC06FFE2285B2402244410158 +:1040F000828001CDB70700FFED8F81C77370043058 +:1041000001A099E57370043001A07370043001A020 +:10411000011122CC4AC84EC652C456C206CE26CA87 +:10412000BA893689B28A2E842A8AEFF04FA311E524 +:10413000638509007370043001A0EFE00F9A8324B7 +:104140000A00B37794006316090295C763870A00D3 +:104150009347F4FFE58F2320FA00EFE00FBDF24014 +:10416000624426854249D244B249224A924A0561B4 +:104170008280E30DF4FCE38209FEB3355001E205D1 +:1041800063050900B7070004DD8D4E86C18D130558 +:104190004A00EFE0FFE6EFE04FB919E1EFC00FA7EB +:1041A000EFF0CFB593176500AA8963D80700B7046D +:1041B0000001FD14B3F499005DB7EFF00FAF832950 +:1041C0000A00B3773401631E090089CB63880A00B3 +:1041D0009347F4FFB3F737012320FA00EFF02FAF36 +:1041E000F9B7E31DF4FEDDB701C9B70700FFED8F96 +:1041F00099C77370043001A07370043001A041119D +:1042000022C42A8406C626C24AC02E89EFF0EFA92E +:1042100004409345F9FFB3F795001CC0EFF02FABB6 +:10422000B2402244268502499244410182804111D4 +:1042300022C42A8406C6EFC04FA90040EFC08FA851 +:10424000B240228522444101828009C9370700FF1C +:10425000B3F7E50099C77370043001A073700430A0 +:1042600001A06F20A069B70700FFF18F81C77370AD +:10427000043001A001E67370043001A0011122CCCA +:104280004AC84EC652C406CE26CA3689AE892A8A84 +:104290003284EFF0CF8C21E16305090073700430A4 +:1042A00001A0EFE08F8383240A00CE855285B3E41A +:1042B0009900613FB37794006307F40683240A00F2 +:1042C000EFE0AFA6F240624426854249D244B249AB +:1042D000224A05618280EFE04F8083240A00CE8568 +:1042E0005285B3E434019537B3F78400638D8702B8 +:1042F000E30609FCB70500054A86C18D13054A008F +:10430000EFE01FD0EFE06FA219E1EFC02F90EFF0C8 +:10431000EF9E93176500AA8463DF0700B7070001CB +:10432000FD17FD8C45B783270A001344F4FFE18F86 +:104330002320FA0071B7EFF04F9783240A00B37778 +:104340009400639787009347F4FFE58F2320FA00DA +:10435000EFF0EF97E1B7411122C406C62A8426C2C6 +:10436000EFD0BFF75C4085C308489304C4006316D0 +:10437000950015A0084863009502B7050002EFE01C +:104380003FE55C40E5FB2285612A2244B24092442D +:1043900041016FE08F997370043001A009C937079C +:1043A00000FFB3F7E50099C77370043001A0737084 +:1043B000043001A06F20805401C9B70700FFED8FC2 +:1043C00099C77370043001A07370043001A04111CB +:1043D00022C426C206C62A84AE84EFF00F8D184090 +:1043E00093C7F4FFF98F1CC02244B24092444101AC +:1043F0006FF0EF8D19C1084D828001458280411117 +:1044000022C406C62A84EFD05FED97C701009387C8 +:10441000A7169C43A1EBE56717C701001307073EEA +:10442000F11797C6010023AFE61417C7010023292F +:10443000F71417C701002325F73C97C7010093879E +:10444000E71317C70100232BF73A854717C7010069 +:10445000232C071217C701002322071217C70100D8 +:10446000232CF71019E8EFE04F8CEF10A002014465 +:104470002285B24022444101828013058400937753 +:104480003500C1EFE5671307F5FFE917E3EDE7FC3A +:1044900097C601009386060F9C4229A0984311C736 +:1044A000BE86BA87D843E36BA7FE17C70100130780 +:1044B000E70CE38AE7FA984341461384870098C2E1 +:1044C000D843B306A7406378D6023388A7002322D7 +:1044D000D800C8C38325480097C601009386860A82 +:1044E00011A0BA8698425043E36DB6FE2320E8003F +:1044F00023A00601D84397C701009387A70A9C43CE +:10450000998F17C70100232FF708EFE00F8231DCE6 +:104510002285B240224441018280719911059DB7E4 +:1045200029C9411122C406C62A84EFD01FDB930596 +:1045300084FF0326C4FF17C701001307A70411A0B7 +:104540003E871C43D443E3EDC6FE232CF4FE0CC38C +:1045500097C701009387070598438327C4FF224428 +:10456000B240BA9717C70100232EF70241016FD05E +:10457000DFFB828097C701009387C7028843828050 +:1045800082803367B5000D8BAA871DE79308D6FF9D +:10459000AA982A87AE866371150303A8060011073F +:1045A0009106232E07FFE36A17FF9347F5FFC6978F +:1045B000F19B9107BE95AA972A9663F3C70A938643 +:1045C000450013884700B3B6D70033B8050133E779 +:1045D000F50093C61600134818000D8BB3E60601CC +:1045E00013371700758F330EF6409386170029CFC7 +:1045F0001337AE0031EB13532E002E88BE860147D1 +:10460000832808000507110823A016019106E36915 +:1046100067FE1377CEFFBA95BA976302EE0483C69E +:104620000500138717002380D700637AC70283C66B +:10463000150013872700A380D7006372C70203C742 +:1046400025002381E7008280B307F640AE978505F9 +:1046500003C7F5FF8506238FE6FEE39AF5FE828009 +:104660008280B367C5008D8BB308C500E9C3637151 +:10467000150FB307A0408D8B13873700954693F530 +:10468000F50F130815003383A8407D166373D70018 +:1046900015476363E60CD5CF2300B5000547638D4E +:1046A000E700A300B5000D47130825006396E70057 +:1046B000130835002301B500139685004D8E13971E +:1046C0000501518F3303F34093968501D98E13561C +:1046D0002300AA97014794C305079107E36DC7FE1E +:1046E0001377C3FFB307E8006307E3062380B7002F +:1046F00013871700637C1703A380B7001387270075 +:10470000637617032381B70013873700637017039D +:10471000A381B70013874700637A17012382B7008C +:104720001387570063741701A382B700828093F543 +:10473000F50F13978500D98D139705014D8FE377FA +:1047400015FFAA87910723AEE7FEE3ED17FF8280EE +:1047500082802A8895B78280AA8749BF834705004F +:104760002A8799C7050583470500EDFF198D8280CB +:104770000145828005058347F5FF850503C7F5FFE1 +:1047800091C7E389E7FE3385E74082808147E5BF33 +:10479000AA87850503C7F5FF8507A38FE7FE75FB8D +:1047A000828083460500930700026397F6000505A3 +:1047B00083460500E38DF6FE938756FD93F7D70FEA +:1047C00085C7AA87814585CE01458507138606FDE5 +:1047D0001317250083C607003A9506053295F5F6AE +:1047E00099C13305A0408280938536FD834615002C +:1047F00093B5150093071500E1FA0145D5B70145BA +:1048000082809C412380A7009C4185079CC18280B7 +:1048100097C60100938686D79C421757030013075B +:1048200067FE13861700BA972380A70097C501007B +:1048300023AEC5D4A9476308F500930700046305B8 +:10484000F6000145828075DE370610F005078347C4 +:10485000F7FF2320F6F09C42FD1797C5010023A720 +:10486000F5D2EDF7014582804D712322911423206A +:104870002115232A511323248113232611142324C1 +:104880008114232E3113232C411323286113232653 +:104890007113232291132320A113232EB111AA8473 +:1048A0002E8932C6130C5002A54A83470900638043 +:1048B0008703B9CB804011A0A1CB2300F400804036 +:1048C0000509050480C083470900E39787FF034675 +:1048D000190093081900C686130D0002FD597D5A70 +:1048E0000148130550059307D6FD93F7F70F138979 +:1048F00016006363F52A17B701001307A7708A072C +:10490000BA979C43BA9782878320C11403248114E9 +:1049100083244114032901148329C113032A811319 +:10492000832A4113032B0113832BC112032C811201 +:10493000832C4112032D0112832DC11171618280DC +:10494000A14C02C4854763D50715B2478040938BBD +:10495000770093F68BFF03AB060083A9460093878D +:1049600086003EC6668681465A85CE85EF10901336 +:104970002AC8814763853723930D4101854B66869D +:1049800081465A85CE85EF102034814666862A8B73 +:10499000AE89EF103011A24723A0AD0093861B0013 +:1049A000910D63843701B68BD9BFE37E9BFF63DD36 +:1049B0004601A2872380A70180407D1A9307140037 +:1049C0009CC03E84E3C846FF93962B001C08BE960D +:1049D00031A8938777052300F4008040FD1B93875F +:1049E0001B00050480C0F116E351F0EC9C42E3E2A9 +:1049F000FAFE93870703C5B79C409306000332472E +:104A00002380D7009C4093064700C14C13861700B3 +:104A100090C013068007A380C700804002C4BA87F5 +:104A2000050480C003AB0700814936C625BFB247E5 +:104A300083AC0700938B470063840C1C635F4019B1 +:104A40009307D0026319FD1683C70C0085C363DC8E +:104A500009189440850C7D1A2380F60080400504D7 +:104A600080C083C70C00E5F7635D40018040930779 +:104A700000022300F40080407D1A050480C0E31A80 +:104A80000AFE5EC61DB502C48547A94CE3CF07EBFD +:104A9000B24780409386470071B703C61600CA86A6 +:104AA00099B59C40930650022380D70080400504AE +:104AB00080C0E5BBC14C02C471B5B24703C6160045 +:104AC000CA8683A9070091073EC6E35E0AE04E8AC4 +:104AD000FD5911BD03C61600130DD002CA8621B5BB +:104AE0009347FAFFFD8703C61600337AFA00CA8699 +:104AF000DDBB03C61600130D0003CA86EDB383C5E4 +:104B00001600930906FDCA86938705FD2E86E3EEFF +:104B1000FAFA93972900BE9985068609AE9983C54E +:104B20000600938909FD938705FD2E86E3F3FAFEBF +:104B300069BF32479C40144311073AC62380D7000F +:104B40008040050480C095B3854763D3070BB24707 +:104B500093867700E19A938786003EC683A9460034 +:104B60009C4263DC090A94401306D002B307F0406C +:104B70002380C6008040B336F000B30930410504FD +:104B800080C03E8BB389D940A94C02C4E1BB03C6A7 +:104B900016000508CA8681BB9C40468921B7E37D83 +:104BA0009BDD8547814B8546E3C547E131BD97CC09 +:104BB0000100938C2C81CE856685EF003039330A55 +:104BC000AA40635C400180402300A40180407D1A1C +:104BD000050480C0E31A0AFE014A83C70C00E38281 +:104BE00007EAE3C809E6FD197D57E394E9E6ADBDA0 +:104BF000B247938647009C4336C693D9F74195B791 +:104C0000635640019307D002E313FDFA97BC0100FD +:104C1000938C4C7B930780021DBD3E8BA94C02C434 +:104C2000804089B34D71232E3113B709004093871B +:104C3000F9FF2324811423229114232C4113232AC6 +:104C40005113232611142320211523286113232611 +:104C5000711323248113232291132320A113232EC4 +:104C6000B1112A8A2EC417C4010013042492975A42 +:104C70000300938A2AB997B401009384244E3EC658 +:104C800093055002294513080004B70610F0834726 +:104C90000A006386B704C1C71840050A1306170047 +:104CA000569797C8010023A3C88E2300F70063829C +:104CB000A706E31E06FD175703001307A7B4050751 +:104CC0008347F7FF23A0F6F01C40FD1717C601002D +:104CD000232EF68AEDF783470A00E39EB7FA834650 +:104CE0001A0013051A002A87930B00027D5B7D5C76 +:104CF000014893055005A5489387D6FD93F7F70F14 +:104D0000130A170063E7F5348A07A6979C43A69712 +:104D10008287175703001307E7AE55F28DBF832034 +:104D2000C114032481148324411403290114832909 +:104D3000C113032A8113832A4113032B0113832BED +:104D4000C112032C8112832C4112032D0112832DD9 +:104D5000C11171618280A14C014D854763DB071948 +:104D6000A2479D07E19B83AD070003AB4700138774 +:104D700087003AC4668681466E85DA85EF108052D8 +:104D80002AC863066D351309410185496686814647 +:104D90006E85DA85EF00507366868146AA8D2E8B6C +:104DA000EF1040502320A90085091109E3106DFF81 +:104DB000E3FE9DFD13060004B70610F063D18903DE +:104DC0001C4013871700D69797B5010023A0E57CF8 +:104DD00023807701630CC7047D1CE3C389FFB247BE +:104DE0006800A548B386F9008A061C08BE962948C3 +:104DF00013030004370610F0E384A6E89C429305F1 +:104E0000000363F4F800930570051840AE9793050E +:104E10001700569717BE0100232ABE762300F7001D +:104E20006380072B638C6526F116F9B717570300CB +:104E30001307479D05078347F7FF23A0F6F01C40A3 +:104E4000FD1797B5010023A3F574EDF77D1C71B72D +:104E50001C40130600041387170097B5010023A711 +:104E6000E572B386FA00930500032380B600630F52 +:104E7000C73C890797B6010023AAF6705697930698 +:104E800080072300D700175703001307A797B7061B +:104E900010F0639EC70005078347F7FF23A0F6F0D5 +:104EA0001C40FD1717B601002322F66EEDF7A2474E +:104EB000C14C014D91072247014B832D07003EC491 +:104EC00055BDA24783AC070013894700638E0C3A97 +:104ED000635480219307D002639EFB2E03C70C000E +:104EE000BA856310072025AC8547A94C014DE3C95D +:104EF00007E7A2479107C1B7A24783461700528729 +:104F000003AB070091073EC4E3580CDE5A8C7D5B6F +:104F1000E5B383461700930BD0025287F1BB93474A +:104F2000FCFFFD8783461700337CFC005287E9B302 +:104F300083461700930B000352877DBB034617007F +:104F4000138B06FD5287930706FDB286E3EEF8FA4F +:104F500093172B00DA9705078607B29703460700D9 +:104F6000138B07FD930706FDB286E3F3F8FE69BFD6 +:104F7000A2451C402946984193861700D69717B53D +:104F800001002325D5602380E700138945006300D5 +:104F9000C72A930700046387F6204AC4D5B1854722 +:104FA00063DE0729A24713877700619B9307870079 +:104FB0003EC4032B47001C43635A0B3018401306B2 +:104FC00000049306170097B5010023A1D55C5697FE +:104FD0009305D0022300B7006387C62CB307F040C7 +:104FE0003337F000330B6041BE8D330BEB40A94CDF +:104FF000014D49B38346170005085287F5B983462A +:1050000017005287D5B91C40930600041387170078 +:1050100017B60100232CE656D697130650022380BC +:10502000C700E31FD7C4174703001307A77DB706C0 +:1050300010F005078347F7FF23A0F6F01C40FD178B +:1050400017B601002324F654EDF71DB9C14C014DEC +:1050500029B31C40930600042A8A1387170017B649 +:1050600001002325E652D697130650022380C7007D +:10507000E318D7C0174703001307C778B70610F027 +:1050800005078347F7FF23A0F6F01C40FD1717B66E +:105090000100232DF64EEDF72A8ADDB617470300EF +:1050A0001307477605078347F7FF2320F6F01C40D8 +:1050B000FD1797B5010023ABF54CEDF7F1162DBBAD +:1050C0001747030013070774F1FDF11635B3E3FC2E +:1050D0009DCB8549C5B1014C03C70C00BA85E30ED1 +:1050E00007EAFD58294513080004B70610F0635776 +:1050F0000B0A1C4013861700D69717B3010023270D +:10510000C3482380E7006384A50663040609850C71 +:1051100003C70C007D1CBA8579FBE35080E91C4075 +:105120009305000213060004B70610F021A07D1CB1 +:10513000E3050CE613871700D6972380B70017B551 +:1051400001002325E544BA87E313C7FE1747030090 +:105150001307476B05078347F7FF23A0F6F01C40B2 +:10516000FD1717B501002323F542EDF7C9B717471F +:1051700003001307276941DE05078347F7FF23A0D4 +:10518000F6F01C40FD1717B601002321F640EDF79D +:10519000BDBF174703001307E766F9BF7D1BE31A7E +:1051A0001BF5A5BF174703001307C765B70610F027 +:1051B00005078347F7FF23A0F6F01C40FD1717B63D +:1051C00001002325F63CEDF74AC45DBC97BC010005 +:1051D000938C4C1FDA856685952B330CAC40E35DD0 +:1051E00080EF1C4013060004B70610F021A07D1CC0 +:1051F000E3030CEE13871700D6972380770197B54A +:10520000010023A5E538BA87E313C7FE174703005B +:105210001307475F05078347F7FF23A0F6F01C40FD +:10522000FD1797B5010023A3F536EDF7C9B717476A +:1052300003001307275DBDFA4AC499B4A247138738 +:1052400047009C433AC413DBF741BDB31747030043 +:105250001307475BB70610F005078347F7FF23A046 +:10526000F6F01C40FD1717B601002321F632EDF7CA +:10527000854717B70100232BF7309307800717479F +:1052800003002301F75825B1635680019307D0022C +:10529000E39EFBF297BC0100938CCC1213078002B3 +:1052A000930580023DBD974603009386A6553706B9 +:1052B00010F0850603C7F6FF2320E6F018407D179F +:1052C00097B5010023A4E52C6DF709BBBE8DA94C51 +:1052D000014D4DB4B70710F023A0A7F201A039711A +:1052E000130341022ED29A8506CE32D436D63AD84E +:1052F0003EDA42DC46DE1AC63532F2400145216113 +:1053000082805D711303810322D42AC632DC2A8491 +:105310001A86680006D6BEC236DEBAC0C2C4C6C689 +:105320001ACEEFF06FD4B247238007003245B25057 +:10533000018D225461618280B70710F083A647F483 +:1053400003A607F403A747F4E31AD7FE85669386FE +:1053500006FAB29633B6C600B305E60023A4D7F426 +:1053600023A6B7F48280B70710F003A747F403A67B +:1053700007F483A647F4E31AD7FE8566938606FAF8 +:10538000B29633B6C60023A4D7F4B305E60023A62D +:10539000B7F49307000873A047308280411122C4FC +:1053A00006C697B701009387E71E17B401001304E0 +:1053B000241E98431C401306F07C930647069387EF +:1053C000470697B5010023A7D51C97B5010023A177 +:1053D000F51C6346D600B240224441018280130787 +:1053E000478997B7010023A7E71A812E85476310E5 +:1053F000F502184085679387F7760145E3DDE7FC02 +:10540000EFF05FED2244B24041016FC01FEC17B5D1 +:1054100001001305A5FBEFF09FEC0945EFF09FEBB2 +:105420002244B24041016FC05FEA17B50100130585 +:1054300065FB6FF0DFEA17B50100130525FB6FF080 +:105440001FEA411122C426C206C6856417B40100B2 +:10545000130444FA938784380100FD17F5FF228571 +:10546000EFF0FFE7C5BF41AA411106C6F924054781 +:1054700081476316E500B2403E854101828017B541 +:1054800001001305A5F4EFF09FE5B24089473E8582 +:10549000410182807370043001A0828073700430F7 +:1054A00001A0011126CA06CE22CC4AC84EC6835797 +:1054B00045031307901DAA84638BE70A6368F70806 +:1054C000D1CF1307801D639CE706139425009749ED +:1054D000030093892937338989000325090101468F +:1054E000CA85EFC08F89ED57630CF50E85476306BB +:1054F000F50017B701002325F70AB3878900885301 +:105500000146CA85EFB0DFFBF1576304F508ED579C +:10551000630DF50A85476306F50017B701002321DF +:10552000F708B3878900884B7D56CA85EFC0EF84A2 +:10553000F157E31AF5FA9307801D239AF402F2401B +:105540006244D2444249B249056182801307E01E99 +:10555000638CE7041307F01EE393E7FE974903000B +:105560009389492E13942500338989005DBF1394D4 +:105570002500974903009389E92CB3878900885354 +:10558000338989000146CA85EFB09FF3F157E310D4 +:10559000F5F89307E01E239AF402F2406244D244E5 +:1055A0004249B2490561828013942500974903005E +:1055B0009389492933898900032509020146CA854F +:1055C000EFB01FF0ED57E317F5F49307F01E239AA1 +:1055D000F402F2406244D2444249B24905618280F9 +:1055E0009307901D239AF402F2406244D244424948 +:1055F000B24905618280797122D426D24AD04ECE3A +:1056000006D602C6974403009384C42517490300B5 +:10561000130949240144970900009389C9E8014608 +:1056200091450545EFB04FC688C0014691450545F7 +:10563000EFB08FC52320A900884081466C00014649 +:10564000EFB0AFD1228685454E85EFA05FEC050413 +:10565000914791041109E314F4FCB250225492547E +:105660000259F2494561828097B70100938707F399 +:105670009C431307301F8507637CF70A79714AD072 +:10568000174903001309091E22D426D24ECE52CC4C +:1056900006D697B7010023A307F04A849749030071 +:1056A0009389491D17BA0100130A0AEF854408407F +:1056B00070002C0002C6EFB0DFFA630F950497B7B5 +:1056C000010023AF97EC1104E31334FF83270A0092 +:1056D000174403001304041897B901009389C9EB18 +:1056E000850717B701002329F7EA854408400146DA +:1056F000CE85EFB0BFF011046306950097B70100A7 +:1057000023A097EAE31489FEB2502254925402591E +:10571000F249624A45618280224783270A00630674 +:10572000F70097B7010023AD97E6B247C9FB61BF09 +:1057300017B701002324F7E6828097B7010093870B +:1057400027E688431335150082800000B305B500B5 +:10575000930705006386B70003C7070063160700B9 +:105760003385A74067800000938717006FF09FFE86 +:10577000130101FB23229104232C410323229103D3 +:10578000232611042324810423202105232E310301 +:10579000232A510323286103232671032324810331 +:1057A0002320A103232EB101930C0500138A0500C9 +:1057B0009304000063DE05003305A0403337A000EA +:1057C000B305B040930C0500338AE5409304F0FF25 +:1057D00063DA06003306C040B337C000B306D040DA +:1057E000B386F640930A06009389060013840C00E2 +:1057F00013090A006396062817BB0100130B8BAB35 +:105800006370CA16B70701006372F6149307F00FAE +:1058100063F4C70093098000B3573601330BFB00D4 +:1058200083470B0013050002B3873701B309F54026 +:10583000638C0900B3153A01B3D7FC00B31A3601E3 +:1058400033E9B70033943C0113DB0A0193050B00E5 +:1058500013050900EF00507C130A050093050B00A7 +:10586000939B0A0113050900EF00907693DB0B016F +:105870009305050013850B00EF00D072131A0A017F +:1058800093570401B367FA0063FAA700B38757017F +:1058900063E6570163F4A700B38757013389A74034 +:1058A00093050B0013050900EF001077130A05009C +:1058B00093050B0013050900EF0090711314040108 +:1058C00093050500131A0A0113850B0013540401F4 +:1058D000EF00506D33648A00637AA40033045401EE +:1058E000636654016374A400330454013304A44078 +:1058F0003354340193050000638A0400330480406C +:10590000B3378000B305B040B385F54013050400FC +:105910008320C10403248104832441040329010456 +:105920008329C103032A8103832A4103032B010333 +:10593000832BC102032C8102832C4102032D01021F +:10594000832DC1011301010567800000B707000125 +:1059500093090001E362F6EC930980016FF0DFEB3D +:10596000631A06009305000013051000EF0050664F +:10597000930A0500B707010063FAFA0E9307F00FC8 +:1059800063F4570193098000B3D73A01330BFB004E +:1059900083470B001305000233095A41B3873701CF +:1059A000B309F540E38209EAB39A3A01335BFA009E +:1059B000B3153A01B3D7FC0093DB0A0133E9B70012 +:1059C00013050B0093850B00EF001065130A05000B +:1059D00093850B00139C0A0113050B00EF00505F29 +:1059E000135C0C019305050013050C00EF00905BA0 +:1059F000131A0A0193570901B367FA0033943C0163 +:105A000063FAA700B387570163E6570163F4A70061 +:105A1000B3875701338BA74093850B0013050B0009 +:105A2000EF00905F130A050093850B0013050B0030 +:105A3000EF00105A9305050013050C00EF00905677 +:105A400093160901131A0A0193D60601B366DA0008 +:105A500063FAA600B386560163E6560163F4A60016 +:105A6000B38656013389A6406FF01FDEB7070001E9 +:105A700093090001E3EAFAF0930980016FF0DFF087 +:105A80006376DA0093050A006FF01FE7B70701009D +:105A900063FAF604930BF00F33B5DB0013153500F2 +:105AA00033D7A60097B701009387C780B387E70075 +:105AB00083CB070093050002B38BAB00338B75419A +:105AC000631C0B0263E4460163EACC003384CC40E0 +:105AD000B306DA4033B98C003389264193050900B7 +:105AE0006FF09FE1B707000113050001E3EAF6FA42 +:105AF000130580016FF0DFFAB3966601335D76011E +:105B0000336DDD00B35D7A01B3156A0133DC7C01CE +:105B100013540D01336CBC0013850D009305040074 +:105B2000B3196601EF00504F930A05009305040076 +:105B300013850D0033996C01931C0D01EF00504942 +:105B400093DC0C01130A05009305050013850C0076 +:105B5000EF005045939A0A0113570C0133E7EA000E +:105B6000930D0A00637EA7003307A701930DFAFF88 +:105B70006368A7016376A700930DEAFF3307A701C7 +:105B8000330AA7409305040013050A00EF00D0482C +:105B900093050400930A050013050A00EF00504323 +:105BA000930505001304050013850C00EF00903FDA +:105BB00093150C01939A0A0193D50501B3E5BA0038 +:105BC0001307040063FEA500B385A5011307F4FFC6 +:105BD00063E8A50163F6A5001307E4FFB385A501FB +:105BE000939D0D01B70C0100B3EDED001384FCFF94 +:105BF000B3F78D0033F48900338AA540138507007D +:105C0000930504002326F10093DD0D01EF00903988 +:105C100093050400930A050013850D00EF009038EA +:105C200013DC090193050C002324A10013850D004A +:105C3000EF0050378327C10013040C0093050400C4 +:105C4000130C050013850700EF00D0358326810073 +:105C500013D70A013305D5003307A7006374D700B3 +:105C6000330C9C01B70701009387F7FF9355070199 +:105C70003377F70013170701B3F7FA00B3858501EF +:105C8000B307F7006366BA00631EBA00637CF900CD +:105C900033863741B3B7C700B385A541B385F54017 +:105CA00093070600B307F9403339F900B305BA404A +:105CB000B385254133947501B3D767013364F4008C +:105CC000B3D565016FF05FC3130101FD232291027B +:105CD000232A510123261102232481022320210398 +:105CE000232E3101232C4101232861012326710138 +:105CF00023248101232291012320A101930A05007D +:105D000093840500639E063813040600930905007A +:105D100017A901001309095A63F8C512B707010052 +:105D2000138B05006378F6101307F00F3337C700A5 +:105D300013173700B357E6003309F900834609000B +:105D40003387E60093060002B386E640638C0600C4 +:105D5000B394D40033D7EA003314D600336B9700E2 +:105D6000B399DA00935A040193850A0013050B00D6 +:105D7000EF00902A1309050093850A00931B040184 +:105D800013050B00EF00D02493DB0B0193040500F7 +:105D90009305050013850B00EF00D02013190901AE +:105DA00093D70901B367F900138A040063FEA700C3 +:105DB000B3878700138AF4FF63E8870063F6A700C0 +:105DC000138AE4FFB3878700B384A74093850A0052 +:105DD00013850400EF0050241309050093850A0081 +:105DE00013850400EF00D01E939909019304050068 +:105DF000930505001319090113850B0093D90901B7 +:105E0000EF00501AB36939011386040063FCA9003E +:105E1000B30934011386F4FF63E6890063F4A90033 +:105E20001386E4FF13140A013364C400130A00004C +:105E30006F000013B707000113070001E36CF6EED3 +:105E4000130780016FF01FEF138A0600631A060024 +:105E50009305000013051000EF00901713040500D0 +:105E6000B7070100637EF4129307F00F63F4870015 +:105E7000130A8000B35744013309F90003470900AE +:105E80009306000233074701B386E6406394061287 +:105E9000B3848440130A1000135B040193050B00C4 +:105EA00013850400EF0050171309050093050B003C +:105EB00013850400931B0401EF00901193DB0B0189 +:105EC000930405009305050013850B00EF00900D6A +:105ED0001319090193D70901B367F900938A0400E4 +:105EE00063FEA700B3878700938AF4FF63E8870007 +:105EF00063F6A700938AE4FFB3878700B384A740C3 +:105F000093050B0013850400EF0010111309050021 +:105F100093050B0013850400EF00900B9399090182 +:105F200093040500930505001319090113850B005F +:105F300093D90901EF001007B369390113860400F2 +:105F400063FCA900B30934011386F4FF63E68900FA +:105F500063F4A9001386E4FF13940A013364C400B8 +:105F60001305040093050A008320C1020324810263 +:105F700083244102032901028329C101032A8101EB +:105F8000832A4101032B0101832BC100032C8100D3 +:105F9000832C4100032D01001301010367800000E1 +:105FA000B7070001130A0001E366F4EC130A80014D +:105FB0006FF05FEC3314D40033DAE400B399DA0005 +:105FC00033D7EA00935A0401B394D40093850A00AE +:105FD00013050A00336B9700EF0010041309050046 +:105FE00093850A0013050A00931B0401EF00407E0D +:105FF00093DB0B01930405009305050013850B004B +:10600000EF00407A1319090113570B013367E900B8 +:10601000138A0400637EA70033078700138AF4FF06 +:10602000636887006376A700138AE4FF330787005D +:10603000B304A74093850A0013850400EF00C07DD8 +:106040001309050093850A0013850400EF004078CA +:10605000930405009305050013850B00EF00807481 +:1060600013170B011357070113190901B367E9004F +:106070001387040063FEA700B38787001387F4FF2C +:1060800063E8870063F6A7001387E4FFB387870000 +:10609000131A0A01B384A740336AEA006FF0DFDF06 +:1060A00063ECD51EB707010063F4F6041307F00F85 +:1060B000B335D7009395350033D7B60097A70100C5 +:1060C0009387471FB387E70003C70700130A00023F +:1060D0003307B700330AEA4063160A0213041000BC +:1060E000E3E096E833B6CA00134416006FF05FE7AA +:1060F000B707000193050001E3E0F6FC930580017A +:106100006FF09FFBB35CE600B3964601B3ECDC0096 +:1061100033D4E40093DB0C01B397440133D7EA0096 +:1061200093850B0013050400336BF700B319460188 +:10613000EF00806E1309050093850B001305040022 +:10614000139C0C01EF00C068135C0C019304050064 +:106150009305050013050C00EF00C0641319090135 +:1061600013570B013367E90013840400637EA70013 +:10617000330797011384F4FF636897016376A700E0 +:106180001384E4FF33079701B304A74093850B0002 +:1061900013850400EF0040681309050093850B0088 +:1061A00013850400EF00C062930405009305050009 +:1061B00013050C00EF00005F93170B011319090181 +:1061C00093D70701B367F9001386040063FEA700A5 +:1061D000B38797011386F4FF63E8970163F6A7007E +:1061E0001386E4FFB387970113140401B70B010072 +:1061F0003364C4001389FBFF337D240133F9290183 +:10620000B384A7409305090013050D00EF008059E2 +:10621000935C040193050900130B050013850C0022 +:10622000EF00405893D90901130C0500938509002C +:1062300013850C00EF000057130905009385090032 +:1062400013050D00EF0000563305850193570B0130 +:106250003385A700637485013309790193570501DC +:10626000B387270163E6F402E392F4BCB7070100A9 +:106270009387F7FF3375F50013150501337BFB009A +:1062800033964A0133056501130A0000E37AA6CC70 +:106290001304F4FF6FF09FB9130A00001304000009 +:1062A0006FF01FCC130101FB2324810423229104EE +:1062B000232E3103232291032326110423202105B9 +:1062C000232C4103232A510323286103232671032E +:1062D000232481032320A103232EB101930C050065 +:1062E000938905001304050093840500639E062628 +:1062F00013090600138A060097AA0100938A8AFBF5 +:1063000063F4C514B70701006376F6129307F00F24 +:1063100063F4C700130A8000B3574601B38AFA003A +:1063200003C70A001305000233074701330AE5409B +:10633000630C0A00B395490133D7EC0033194601C9 +:10634000B364B70033944C01935A090193850A0052 +:1063500013850400EF00404C9309050093850A0063 +:10636000131B090113850400EF008046135B0B012A +:106370009305050013050B00EF00C0429399090136 +:1063800093570401B3E7F90063FAA700B387270125 +:1063900063E6270163F4A700B3872701B384A7400E +:1063A00093850A0013850400EF0000479309050058 +:1063B00093850A0013850400EF0080411314040143 +:1063C000930505009399090113050B00135404016B +:1063D000EF00403D33E48900637AA40033042401D4 +:1063E000636624016374A400330424013304A440CD +:1063F00033554401930500008320C1040324810424 +:1064000083244104032901048329C103032A81034E +:10641000832A4103032B0103832BC102032C810236 +:10642000832C4102032D0102832DC10113010105BB +:1064300067800000B7070001130A0001E36EF6EC65 +:10644000130A80016FF05FED631A060093050000E8 +:1064500013051000EF00C03713090500B70701004E +:10646000637AF90E9307F00F63F42701130A800093 +:10647000B3574901B38AFA0003C70A0013050002A3 +:10648000B384294133074701330AE540E30E0AEAA2 +:1064900033194901B3DAE900B395490133D7EC0068 +:1064A00093540901336BB70013850A0093850400E8 +:1064B000EF0080369309050093850400931B0901C2 +:1064C00013850A00EF00C03093DB0B019305050034 +:1064D00013850B00EF00002D9399090193570B01D1 +:1064E000B3E7F90033944C0163FAA700B38727019F +:1064F00063E6270163F4A700B3872701B38AA740A7 +:106500009385040013850A00EF000031930905000C +:106510009385040013850A00EF00802B9305050086 +:1065200013850B00EF00002893150B0193990901C7 +:1065300093D50501B3E5B90063FAA500B38525013C +:1065400063E6250163F4A500B3852501B384A54066 +:106550006FF09FDFB7070001130A0001E36AF9F04B +:10656000130A80016FF0DFF0E3E8D5E8B707010018 +:1065700063FCF604930BF00F33B5DB001315350005 +:1065800033D7A60097A701009387C7D2B387E70048 +:1065900083CB070093050002B38BAB00338B7541AF +:1065A000631E0B0263E4360163EACC003384CC4003 +:1065B000B386D94033B58C00B384A64013050400DC +:1065C000938504006FF05FE3B70700011305000136 +:1065D000E3E8F6FA130580016FF09FFAB3966601BF +:1065E000335D7601336DDD0033D47901B3956901F4 +:1065F00033DC7C0193540D01336CBC0013050400A3 +:1066000093850400B31A6601EF000021130A050008 +:10661000938504001305040033996C01931C0D014C +:10662000EF00001B93DC0C0113040500930505002B +:1066300013850C00EF000017131A0A0113570C0101 +:106640003367EA00130A0400637EA7003307A7013B +:10665000130AF4FF6368A7016376A700130AE4FF37 +:106660003307A701B309A7409385040013850900E8 +:10667000EF00801A938504001304050013850900B8 +:10668000EF000015930505009304050013850C0029 +:10669000EF00401193150C011314040193D505016B +:1066A000B365B4001387040063FEA500B385A5019C +:1066B0001387F4FF63E8A50163F6A5001387E4FFE1 +:1066C000B385A501131A0A01B70C0100336AEA0069 +:1066D0001384FCFFB3778A0033F48A00B384A540A7 +:1066E00013850700930504002326F100135A0A01BD +:1066F000EF00400B930905009305040013050A0001 +:10670000EF00400A13DC0A01930D050093050C000D +:1067100013050A00EF0000098327C100130A0500D2 +:1067200093050C0013850700EF00C0073305B50182 +:1067300013D709013307A7006374B701330A9A011D +:10674000B70701009387F7FF935507013377F700E9 +:1067500013170701B3F7F900B3854501B307F70035 +:1067600063E6B400639EB400637CF900338657414E +:10677000B3B7C700B385A541B385F54093070600BD +:10678000B307F9403339F900B385B440B3852541E7 +:1067900033947501B3D767013365F400B3D5650150 +:1067A0006FF09FC5130605001305000093F6150052 +:1067B000638406003305C50093D515001316160033 +:1067C000E39605FE678000006340050663C6050684 +:1067D00013860500930505001305F0FF630C060200 +:1067E00093061000637AB6006358C00013161600B3 +:1067F00093961600E36AB6FE1305000063E6C50033 +:10680000B385C5403365D50093D6160013561600E0 +:10681000E39606FE6780000093820000EFF05FFBC6 +:1068200013850500678002003305A04063D805008A +:10683000B305B0406FF0DFF9B305B04093820000BC +:10684000EFF01FF93305A04067800200938200003B +:1068500063CA0500634C0500EFF09FF71385050040 +:1068600067800200B305B040E35805FE3305A04041 +:10687000EFF01FF63305B0406780020097A70100D4 +:10688000938747CE944317A70100130767CC18439B +:10689000C8C2637BE50017A70100130727CC084394 +:1068A0008C4391056FA08F85411122C406C617A79E +:1068B00001001307E7CA2A8408438C439105EFA01F +:1068C000EF8397A701009387E7C69C436376F400A4 +:1068D00097A7010023A087C6B240224441018280CD +:1068E00097A70100938707C8984394437C43FD17FB +:1068F0007CC3FC4299E3736004308280011122CC96 +:1069000026CA2A84AE844AC84EC652C456C206CE8F +:10691000EFB0BF9C1C4003290401B7090001B3E597 +:106920009700930AC4000CC08144FD19370A000285 +:106930001840638C2A03032609004A85B365470182 +:10694000B376360193175600758F0329490063C447 +:10695000070001E7F1BFE39DE6FC9317760063D3E0 +:106960000700D58CEFC0DF86E1B793C4F4FFF98C44 +:1069700004C0EFB09FBB0840F2406244D244424999 +:10698000B249224A924A05618280411106C622C458 +:10699000EFE07FC617F7FFFF130787A08146054684 +:1069A0009305400617A50100130545A5EFD04FD666 +:1069B00019C92A84EFB07F932A860147814685450D +:1069C0002285EFD08FE1EFB0CFEBB24022440145FA +:0469D000410182807F +:02000004800278 +:100000009A4AFEFF984BFEFFA24AFEFF984BFEFF66 +:10001000984BFEFF984BFEFF984BFEFFBA4AFEFF3F +:10002000984BFEFF984BFEFFD44AFEFFE04AFEFFCE +:10003000984BFEFFF24AFEFFFE4AFEFFFE4AFEFF1D +:10004000FE4AFEFFFE4AFEFFFE4AFEFFFE4AFEFF9C +:10005000FE4AFEFFFE4AFEFFFE4AFEFF984BFEFFF1 +:10006000984BFEFF984BFEFF984BFEFF984BFEFF10 +:10007000984BFEFF984BFEFF984BFEFF984BFEFF00 +:10008000984BFEFF984BFEFF984BFEFF984BFEFFF0 +:10009000984BFEFF984BFEFF984BFEFF984BFEFFE0 +:1000A000984BFEFF984BFEFF984BFEFF984BFEFFD0 +:1000B000984BFEFF984BFEFF984BFEFF984BFEFFC0 +:1000C000984BFEFF984BFEFF984BFEFF984BFEFFB0 +:1000D000984BFEFF984BFEFF984BFEFF984BFEFFA0 +:1000E000984BFEFF984BFEFF984BFEFF984BFEFF90 +:1000F000984BFEFF984BFEFF984BFEFF984BFEFF80 +:10010000324BFEFF484BFEFF984BFEFF984BFEFF25 +:10011000984BFEFF984BFEFF984BFEFF984BFEFF5F +:10012000984BFEFF8E4BFEFF984BFEFF984BFEFF59 +:100130004049FEFFF849FEFF984BFEFF984BFEFF3B +:100140002E4AFEFF984BFEFF864AFEFF984BFEFFAD +:10015000984BFEFFB44AFEFFA64EFEFFFA4EFEFF8E +:10016000AE4EFEFFFA4EFEFFFA4EFEFFFA4EFEFFC7 +:10017000FA4EFEFFA04DFEFFFA4EFEFFFA4EFEFFC6 +:10018000BA4DFEFFC64DFEFFFA4EFEFFD84DFEFFF4 +:10019000E44DFEFFE44DFEFFE44DFEFFE44DFEFFA7 +:1001A000E44DFEFFE44DFEFFE44DFEFFE44DFEFF97 +:1001B000E44DFEFFFA4EFEFFFA4EFEFFFA4EFEFF42 +:1001C000FA4EFEFFFA4EFEFFFA4EFEFFFA4EFEFF1B +:1001D000FA4EFEFFFA4EFEFFFA4EFEFFFA4EFEFF0B +:1001E000FA4EFEFFFA4EFEFFFA4EFEFFFA4EFEFFFB +:1001F000FA4EFEFFFA4EFEFFFA4EFEFFFA4EFEFFEB +:10020000FA4EFEFFFA4EFEFFFA4EFEFFFA4EFEFFDA +:10021000FA4EFEFFFA4EFEFFFA4EFEFFFA4EFEFFCA +:10022000FA4EFEFFFA4EFEFFFA4EFEFFFA4EFEFFBA +:10023000FA4EFEFFFA4EFEFFFA4EFEFFFA4EFEFFAA +:10024000FA4EFEFFFA4EFEFFFA4EFEFFFA4EFEFF9A +:10025000FA4EFEFFFA4EFEFF184EFEFF464EFEFF20 +:10026000FA4EFEFFFA4EFEFFFA4EFEFFFA4EFEFF7A +:10027000FA4EFEFFFA4EFEFFFA4EFEFF9C4EFEFFC8 +:10028000FA4EFEFFFA4EFEFFFE4BFEFFF84CFEFF5D +:10029000FA4EFEFFFA4EFEFF6A4DFEFFFA4EFEFFDB +:1002A000904DFEFFFA4EFEFFFA4EFEFFF44EFEFFAB +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020787878207461736B73200D0A00000018 +:1003E0006D69616F750A00006D69616F75320A0091 +:1003F00068756E6772790A00436865636B54696D4E +:040400006572000021 +:100404001000000000000000017A5200017C01018C +:100414001B0D020050000000180000005053FEFFA6 +:100424005805000000440E507489039406990B810A +:1004340001880292049305950796089709980A9AE9 +:100444000C9B0D036C010AC144C844C944D244D373 +:1004540044D444D544D644D744D844D944DA44DBBC +:10046400440E00440B0000004C0000006C0000002F +:100474005458FEFFDC05000000440E3070890395DB +:1004840007810188029204930594069608970998B7 +:100494000A990B9A0C0370020AC144C844C944D295 +:1004A40044D344D444D544D644D744D844D944DA74 +:1004B400440E00440B00000050000000BC0000008B +:1004C400E05DFEFF0005000000440E5074880289C0 +:1004D400039305990B810192049406950796089756 +:1004E40009980A9A0C9B0D0320010AC144C844C907 +:1004F40044D244D344D444D544D644D744D844D92C +:0C05040044DA44DB440E00440B0000000D +:0805A000FC8F010009000000BE +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/crhook_rv32im_O3.hex b/VexRiscv/src/test/resources/freertos/crhook_rv32im_O3.hex new file mode 100644 index 0000000..e2c190f --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/crhook_rv32im_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/crhook_rv32imac_O3.hex b/VexRiscv/src/test/resources/freertos/crhook_rv32imac_O3.hex new file mode 100644 index 0000000..d7e9ef3 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/crhook_rv32imac_O3.hex @@ -0,0 +1,1657 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000A1C5170502001305E54697950300938552 +:1000A000257501461122170502001305E53A97054B +:1000B0000200938525430146C52817A503001305B3 +:1000C000657397B503009385A57237B6ABAB13067E +:1000D000B6BAD92817B103001301C171EF60601BD4 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2422723A02200F322103457 +:1002400096DEEF00002B170102000321E125024199 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E22523A0120023A2AD +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF5060021701020003213D +:10033000A1170241F65273901234B7220000938243 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A21783A0B4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2420523A0220086DEEF203D +:10046000100A17010200032121040241F652739081 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF409062EF10306601E540 +:10050000B24041018280B24041016F20407F011121 +:100510004EC6AA891305800326CA52C406CE22CC31 +:100520004AC856C2AE84328AEF30D06B630B050CDA +:1005300097070200938747F39C432A84B5CBB5E025 +:10054000130944004A8544D4231A0402232844038F +:1005500023203401692613058401512697070200E0 +:10056000938747F0984348548947B384974000C81D +:1005700040D004CC6369A70293172500AA978A0785 +:10058000170502001305C5FF3E95CA85A12E054536 +:10059000F2406244D2444249B249224A924A056139 +:1005A0008280854471BF9707020023ADA7EAE9B7AF +:1005B000170502001305C5FC9707020023A687EA6A +:1005C0002926170502001305E5FC0126970A0200FB +:1005D000938A8AFD5685D52C17090200130909FE56 +:1005E0004A85E52417050200130585FEF92C9707B7 +:1005F000020023AF57E79707020023A927E7A9D0F6 +:100600004DB77D5571B7011126CA4AC8970402003B +:10061000938484E517090200130989E49C4022CCE5 +:10062000032409004EC606CE2A9413854700AE89DE +:10063000F52C8C4083270900C0C16375F40297072D +:1006400002009387A7E2884391054D2C6385090238 +:100650008C406244F240D24442494E85B249E105A1 +:10066000056169AC97070200938787E0884391058D +:100670007124E39F09FCF2406244D2444249B249EA +:100680000561828079714AD04ECE06D622D426D218 +:1006900052CC56CA5AC85EC617090200130949EE61 +:1006A00083270905970902009389C9DBB9C37370D1 +:1006B00004308327C905C04713058401852C736066 +:1006C000043093044400268595245C5483A60900D5 +:1006D000A685139527003E950A052A874A9563F754 +:1006E000F61417070200232FF7D6ED2A83270905F2 +:1006F000DDFFEF10703E97070200938727D69843DF +:10070000970A0200938ACAD583A70A00198D170792 +:1007100002002323A7D4170A0200130A6AD5970BF5 +:100720000200938BABD4170B0200130BEBD251CD0D +:1007300085077D15170702002324F7D2170702004B +:10074000232CA7D003270A0081EF83A60B001706EE +:100750000200232DE6D017070200232BD7D03687BF +:100760001443F1D658474047584063FAE700C1B7F1 +:10077000D84783A70A004047584063E5E7047370F1 +:10078000043093044400268555221C54130584012B +:1007900091C3692A736004305C5403A70900A685DD +:1007A000139527003E950A054A956376F7001707CB +:1007B00002002329F7CA3D2283270A0098434DFBF4 +:1007C00083A70A0003250B0025F583A60900170758 +:1007D00002002325F7C8939726003387D7000A071E +:1007E0004A97184335E385CA9387F6FF1397270086 +:1007F0003E970A074A97184331E391CB9387E6FF68 +:10080000139727003E970A074A97184305EB1707E7 +:1008100002002329F7C4B250225492540259F249DB +:10082000624AD24A424BB24B456182803305E900AD +:10083000552883270905E39C07E665BDBE86170793 +:1008400002002321F7C28A07B6978A073307F90007 +:100850005443A1073E99DC425CC363852703C84724 +:100860002254B2509707020023A0A7C09254025905 +:10087000F249624AD24A424BB24B032305000C595B +:1008800045610283DC435CC3D9BF411106C626C261 +:1008900022C45C45C0479304840126854128A6856F +:1008A000170502001305C5D2352897070200938764 +:1008B000A7BB9C434854B240DC5722449244333592 +:1008C000F5001345150041018280930785007D578F +:1008D0005CC118C55CC51CC9232005008280232883 +:1008E000050082805C41DCC1984798C598474CC39D +:1008F0008CC788C91C4185071CC182809041FD5767 +:10090000130785006314F60039A83E875C439443BF +:10091000E37DD6FEDCC18CC798C54CC388C91C4199 +:1009200085071CC1828018495C43EDB758411445C6 +:100930001C4914C71845544154C3D8436314A70035 +:100940001845D8C32328050088437D1588C38280B5 +:100950003041AE8701CE4C455841B2954CC563E459 +:10096000E5000C414CC53E856F301040828041113E +:1009700022C406C62A8426C2EF2090313C44635B21 +:10098000F0025C5085CB9304440209A83C44FD1757 +:100990003CC43C446350F0025C5089CF2685EF2074 +:1009A000A0776DD5EF2090173C44FD173CC43C4424 +:1009B000E344F0FEFD573CC4EF20D02FEF20502D34 +:1009C0007C40635BF0021C4885CB9304040109A8BA +:1009D0007C40FD177CC07C406350F0021C4889CFEE +:1009E0002685EF2060736DD5EF2050137C40FD17F6 +:1009F0007CC07C40E344F0FEFD577CC02244B24002 +:100A0000924441016F20102B29C5411122C426C2F6 +:100A10002A8406C6AE84EF20B02734405C5C1840C0 +:100A2000232C0402B387F6027D5670C018C430C46C +:100A3000B386D740BA9736975CC058C491EC1C482F +:100A40009DE3EF203027B240224492440545410106 +:100A500082807370043001A013050401BD351305B5 +:100A60004402A535F9BF13050401EF20E06A85476C +:100A7000E319F5FC613AF1B701E57370043001A0A8 +:100A8000011126CA4AC84EC606CE22CCB2892E898A +:100A9000AA84A5C53305B50213055505EF3090149A +:100AA0002A8435C1930745051CC144DC2320240555 +:100AB000EF20101E34405C5C18407D56B387F60270 +:100AC000232C040270C018C430C413050401B3867B +:100AD000D740BA9736975CC058C4C53B130544024B +:100AE000ED33EF20301D230834052285F2406244A7 +:100AF000D2444249B2490561828013054005EF3076 +:100B0000700E2A8401E57370043001A000C071BF2B +:100B100001E57370043001A06375B5007370043093 +:100B200001A0411122C409462E84814506C6A93779 +:100B300011C500DDB240224441018280737004304F +:100B400001A05D7186C6A2C4A6C2CAC04EDE52DC38 +:100B500056DA5AD85ED632C6630B051C6383051C71 +:100B600089476399F600585D85476305F700737000 +:100B7000043001A03689AE842A84EF20A07C11E5E0 +:100B8000B24781C77370043001A0EF207010185C69 +:100B90005C5C014B894BFD59130A0401930A440222 +:100BA0006365F70C63087919B247638307246315FB +:100BB0000B002808EF206069EF20D00FEF10C07005 +:100BC000EF20100D7C4063943701232204043C4441 +:100BD0006394370123240404EF20D00D6C0028080F +:100BE000EF20C06863100520EF20900A185C5C5C61 +:100BF000630CF70AEF20100CEF2090093C44634F80 +:100C0000F00039A85685EF20205119C1EF2000715E +:100C10003C44FD173CC43C446354F0005C50FDF37D +:100C200023243405EF201009EF2090067C40634F09 +:100C3000F00039A85285EF20204E19C1EF20006E38 +:100C40007C40FD177CC07C406354F0001C48FDF3E1 +:100C500023223405EF201006EF10100C054BEF2077 +:100C60003003185C5C5CE37FF7F230406306060CEF +:100C7000631109140844A685EF30100F1C4434405A +:100C80005840B6971CC463EBE70A1C5C14405850EC +:100C9000850714C41CDC45CB13054402EF20C04774 +:100CA00085476312F50AD1A8EF20D000B2455285DE +:100CB000EF204028EF20C07D3C44634FF00039A86E +:100CC0005685EF20604519C1EF2040653C44FD1773 +:100CD0003CC43C446354F0005C50FDF323243405D1 +:100CE000EF20407DEF20C07A7C40634FF00039A8B0 +:100CF0005285EF20604219C1EF2040627C40FD1711 +:100D00007CC07C406354F0001C48FDF32322340572 +:100D1000EF20407AEF10500031F1EFF02FEF054B4C +:100D20003DBF3C41E38E07E27370043001A0737055 +:100D3000043001A0304029E61C4085C71C5C585097 +:100D400085071CDC31FBEF20E0760545B6402644E4 +:100D500096440649F259625AD25A425BB25B6161CB +:100D600082804840EF20206A1C5C585023220400F7 +:100D700085071CDCE31207F279D5EFF02FE9E1B724 +:100D80004844A685EF30407E3C4058441440B307A9 +:100D9000F0403E9758C4637CD7025840BA975CC471 +:100DA00009475C506306E902185C050718DCC1DFDF +:100DB000E5B54844A685EF30207B3C4058441440BC +:100DC000B307F0403E9758C4E37CD7FCF9B75C50BA +:100DD000185C79DB185C7D1718DC185C050718DCDB +:100DE000BDD35DBD22856136EF1000730145B9BFEB +:100DF000EF20406C014599BF411126C2AA8413051A +:100E0000400522C406C6EF30E05D2A8415CD232CB0 +:100E10000502FD577CC10547410558DC3CC423222F +:100E2000040023200400232404002326040023209C +:100E3000040423089404513C130544027934814688 +:100E4000014681452285F5392285B24022449244EB +:100E5000410182800DC5411122C426C206C64AC086 +:100E6000032945002A84EF20204D8144630CA9000A +:100E7000B240224426850249924441018280737027 +:100E8000043001A05C448544FD175CC4F5F3814641 +:100E90000146814522857531E1BF25C1B1C90111E6 +:100EA00022CC26CA4AC852C406CE4EC68947B6844A +:100EB00032892E8A2A846399F600585D854763013A +:100EC000F7067370043001A0EFF02FE0185C5C5C53 +:100ED000AA896367F70281444E85EFF0AFDEF240E6 +:100EE000624426854249D244B249224A0561828041 +:100EF0003C41D5D77370043001A07370043001A059 +:100F0000304049E21C40DDCB1C5C7D5785071CDC72 +:100F10003C446388E7083C44854485073CC46DBF76 +:100F2000EFF0AFDA185C5C5CAA89636DF7023040C1 +:100F300071DA4844D285EF3020633C405844144075 +:100F4000B307F0403E9758C46375D7005840BA972E +:100F50005CC48947E39AF4FA1C5CDDD71C5CFD177E +:100F60001CDC5DB7304059DE4844D285EF30C05FAD +:100F70003C4058441440B307F0403E9758C4E367E0 +:100F8000D7FCD9BFDDF40844D285EF30E05D1C44C6 +:100F900034405840B6971CC4E3E8E7F61C401CC434 +:100FA000A5B75C5085448DDB13054402EF20C016C5 +:100FB00005D5E30309F22320990039BF4840EF200B +:100FC00080442322040089B731CD3C4181C773702E +:100FD000043001A01C41B1CB011122CC26CA2A84C5 +:100FE0004AC84EC606CE2E89EFF02FCE185C5C5C48 +:100FF000AA898144637DF7001C5C7D5785071CDC52 +:101000003C446389E7023C44854485073CC44E85E3 +:10101000EFF04FCBF240624426854249D244B249B8 +:10102000056182807370043001A05C41D5D7737074 +:10103000043001A05C5099E38544D1BF13054402FC +:10104000EF20800D75D9E30909FE85472320F900BB +:10105000E5B7397106DE22DC26DA4AD84ED652D4FC +:1010600056D232C66302051C638A051AB68AAE8957 +:101070002A84EF20202D11E5B24781C77370043018 +:1010800001A0EF20E0401C5C63920714B247638E1E +:10109000070A2808EF20601BFD5413094402130AB5 +:1010A0000401EF202041EF102022EF20603E7C4021 +:1010B00063949700232204043C4463949700232400 +:1010C0000404EF20203F6C002808EF20201A63134F +:1010D0000518EF20E03B1C5CADCFEF20A03DEF20DA +:1010E000203B3C44634FF00039A84A85EF20C00202 +:1010F00019C1EF20A0223C44FD173CC43C4463547A +:10110000F0005C50FDF324C4EF20C03AEF204038DB +:101110007C40634FF00039A85285EF10F07F19C171 +:10112000EF20C01F7C40FD177CC07C406354F00062 +:101130001C48FDF364C0EF20E037EF10E03DEF20E6 +:1011400020351C5CC1E7B247A9FFEF20A03601455E +:1011500055A0EF2020361C40DDC7B2454A85EF1070 +:10116000705DEF20E0323C44634FF00039A84A85BF +:10117000EF10907A19C1EF20601A3C44FD173CC46F +:101180003C446354F0005C50FDF324C4EF208032F3 +:10119000EF2000307C40634FF00039A85285EF10FB +:1011A000B07719C1EF2080177C40FD177CC07C40D0 +:1011B0006354F0001C48FDF364C0EF20A02FEF1033 +:1011C000A03531C9EF20C02C1C5CB5DFCE8522854F +:1011D0004444EFF0EFF7639C0A041C5C1840FD17D1 +:1011E0001CDC01E7EF20803148C01C48A1EFEF2054 +:1011F000602C0545F2506254D2544259B259225AD9 +:10120000925A21618280EF20A0284840EF2080156B +:10121000EF20402A99B7EFF06F9F6DB73C41E3870D +:1012200007E47370043001A07370043001A05C50B7 +:1012300044C4D5DF13054402EF10106E4DD9EFF012 +:10124000EF9C75B713050401EF10106D8547E3108F +:10125000F5FAF5B72285EFF08FF1EF10E02B01459D +:1012600051BF1DC9411122C44AC006C626C244410D +:101270002E892A84EF20400C6383A40281464A868B +:1012800081452285F93385476300F502B240224447 +:1012900092440249410182807370043001A05C4491 +:1012A000054585075CC4DDB75C4485075CC4F9BFB0 +:1012B00015CDADC9011122CC26CA4AC84EC652C4AA +:1012C0002A8406CE3289AE89EFF02FA01C5C2A8AD0 +:1012D000814485E35285EFF0EF9EF240624426851B +:1012E0004249D244B249224A056182807370043077 +:1012F00001A0304001CE4C445C40B2954CC463E444 +:10130000F5000C404CC44E85EF3000261C5C7D5728 +:10131000FD171CDC7C40638CE7007C40854485071E +:101320007CC04DBF3C41D9D77370043001A01C482C +:1013300099E3854445B713050401EF10F05D75D9B5 +:10134000E30909FE85472320F900E5B721C93C419F +:10135000A1CDA1CB011122CC26CA4AC852C42A84ED +:1013600006CE4EC62E8AEFF04F961C5C2A89814429 +:1013700091CB8329C400D2852285EFF06FDD8544AF +:10138000232634014A85EFF0EF93F240624426852C +:101390004249D244B249224A0561828073700430C6 +:1013A00001A07370043001A0EDDF7370043001A060 +:1013B00001E57370043001A0411122C42A8406C6DD +:1013C000EF20000D005CEF20E00EB24022852244A9 +:1013D0004101828001E57370043001A0411106C60D +:1013E00022C42A84EF20C00A1C5C405C1D8CEF20C4 +:1013F000600C2285B24022444101828019C1085DFF +:1014000082807370043001A01DCD978703009387FD +:10141000673AD843630CE502D847630CE504D84B20 +:101420006307E504D84F6302E504D853630DE50272 +:10143000D8576308E502D85B6303E502D85F630E03 +:10144000E5006F30400C7370043001A001470E07B7 +:10145000BA9723A007006F30000B1D47CDBF194777 +:10146000FDB71547EDB71147DDB70D47CDB70947B4 +:10147000F9BF0547E9BF684582806CC58280034596 +:101480000505828009C5085D1335150082807370DB +:10149000043001A019C51C5D485D1D8D1335150074 +:1014A00082807370043001A0411122C426C24AC058 +:1014B00006C62A842E89B28473700430EF10507DE2 +:1014C000185C5C5C630BF704EF10D07E7360043033 +:1014D00073700430185C5C5C0145636AF7007360EC +:1014E0000430B2402244924402494101828030409B +:1014F00029C20844CA85EF3020071C4434405840B4 +:10150000B6971CC463E4E7001C401CC41C5C585024 +:1015100085071CDC1DE70545D9B7EF10B0798DE8CC +:1015200073600430B24022449244024901454101B3 +:1015300082801C40E1FF4840EF10F06C2322040041 +:10154000F1B713054402EFF04FB471D56D5541BFAB +:10155000930504012685EFF00F8B736004307155FD +:1015600049B7411122C406C62A84737004301C5D39 +:1015700089EB25E273600430B24022440145410109 +:10158000828073600430737004301C5D014599E7FC +:1015900073600430B2402244410182802E87304083 +:1015A0004C445C40B2954CC463E4F5000C404CC420 +:1015B0001C5C3A85FD171CDCEF20107B1C4899E36E +:1015C0000545F9B713050401EFF02FAC75D96D553A +:1015D000C1B7930545023285EFF0EF8273600430A6 +:1015E00071554DBF411126C206C622C4185D5C5D0F +:1015F000B2846368F700B2402244268592444101D8 +:10160000828030412A8415CA0845EF20F0751C44B9 +:1016100034405840B6971CC463E4E7001C401CC427 +:101620001C5C85071CDCE1F85C50F1D71305440213 +:10163000EFF0AFA5B334A0007DBF1C41F5F34841E6 +:10164000EF10705C23220400E1BF1C5DA9C74111AB +:1016500006C622C426C25C45032805045841C29729 +:101660005CC563E4E7001C415CC5145D2E87BE8544 +:101670009387F6FF1CDDB2842A8442863A85EF20E8 +:10168000B06E9C4099E31C4889EBB24022449244DE +:101690000545410182800145828013050401EFF078 +:1016A000CF9E65D585479CC0CDB7978703009387AC +:1016B0006710984305C3984705C7984B05C7984FCF +:1016C00005C7985305C7985705C7985B15C7985F16 +:1016D00015C3828001470E07BA978CC3C8C38280A6 +:1016E0000547D5BF0947C5BF0D47F5B71147E5B752 +:1016F0001547D5B71D47C5B71947F1BF97870300F1 +:101700009387470BD8436308E502D847630BE5028C +:10171000D84B630AE502D84F6309E502D853630842 +:10172000E502D8576307E502D85B6308E502D85F96 +:101730006303A702828001470E07BA9723A0070020 +:1017400082800547D5BF0947C5BF0D47F5B711478B +:10175000E5B71547D5B71D47C5B71947F1BF4111C3 +:1017600022C426C24AC02A8406C6AE843289EF103B +:1017700030527840FD576314F700232204043844A4 +:10178000FD576314F70023240404EF10B0521C5CCF +:1017900099E74A86A68513054402EF10B00CEF10B6 +:1017A000304F3C44635BF0025C5085CB93044402B1 +:1017B00009A83C44FD173CC43C446350F0025C5013 +:1017C00089CF2685EF1050156DD5EF1030353C448C +:1017D000FD173CC43C44E344F0FEFD573CC4EF100D +:1017E000704DEF10F04A7C40635BF0021C4885CBE3 +:1017F0009304040109A87C40FD177CC07C40635021 +:10180000F0021C4889CF2685EF1010116DD5EF101E +:10181000F0307C40FD177CC07C40E344F0FEFD5777 +:101820007CC02244B2409244024941016F1090486A +:1018300005C579714ECE52CC06D63E8A22D426D228 +:101840004AD056CA5AC85EC662C4A547BA8963F868 +:10185000E7007370043001A07370043001A0AA8AFD +:10186000AE843289B68B428B6309082213050006C9 +:10187000EF2050372A84630D05200A0923286503C9 +:101880004A869305500A5A85EF20105C03C704006E +:101890001C587119230AE40203C704003E99137906 +:1018A000C9FF79C783C71400A30AF40283C71400D1 +:1018B000E1C383C72400230BF40283C72400CDCBEC +:1018C00083C73400A30BF40283C73400D5C383C796 +:1018D0004400230CF40283C74400D9CB83C75400CF +:1018E000A30CF40283C75400C1C783C76400230D4F +:1018F000F40283C76400ADCF83C77400A30DF40264 +:1019000083C77400B5C783C78400230EF40283C75E +:101910008400B9CF83C79400A30EF40283C7940058 +:10192000A1CB83C7A400230FF40283C7A400A9C3DB +:1019300083C7B400A30FF40283C7B40095CB83C759 +:10194000C4002300F40483C7C4009DC383C7D4002C +:10195000A300F40483C7D40081CF83C7E40023012C +:10196000F40483C7E40089C783C7F400A301F40427 +:10197000130B44005A85A3010404232634032328AF +:101980003405232A0404EFE09FF513058401EFE0FA +:101990001FF5A947B3873741232C040400C81CCC8A +:1019A00040D023220404232E04045E86D6854A8573 +:1019B000EFE05FB208C063040A0023208A0073705E +:1019C000043017F90100130969AC8327090097F463 +:1019D0000100938464AD91C798409C407C43850787 +:1019E0007CC317F70100130727AB1C43850797F645 +:1019F000010023A3F6AA9C40D5CB8327090017FA40 +:101A00000100130A2ABE89EB9C40DC5763E6F9000B +:101A100097F7010023AA87A897F70100938707A6E5 +:101A2000984397F601009386A6A65C549442050756 +:101A300017F601002324E6A438C463F6F60017F76E +:101A400001002327F7A45147B387E702DA8533055E +:101A5000FA00EFE03FE98327090081C79C40FC437F +:101A6000BDE383270900054499C79C40DC5763F414 +:101A70003701EFE0BF992285B250225492540259A7 +:101A8000F249624AD24A424BB24B224C45618280B3 +:101A90005A85EF2050277D54F9BF13152600EF20FB +:101AA00070142A8BE31405DC7D54F1B797F701001D +:101AB00023AC879E184385476301F70217FA01009C +:101AC000130A4AB291BF984094407C43FD177CC3EF +:101AD000FC42C1FB7360043069B7814A17FA010008 +:101AE000130A4AB0514CA94B33858A03850A529593 +:101AF000EFE0BFDDE39A7AFF97FB0100938B0BBB0E +:101B00005E85EFE09FDC97FA0100938A6ABB5685F9 +:101B1000EFE0BFDB17F501001305C5BBEFE0FFDA0F +:101B200017F50100130545BCEFE03FDA17F501009A +:101B30001305C5BCEFE07FD997F7010023A4779781 +:101B400097F7010023AE5795C1BD411122C406C6C7 +:101B500026C24AC02A847370043097F4010093842B +:101B6000E4929C40F9E311E497F701009387C7934F +:101B70008043130944004A85EFE05FDB1C5489C7AA +:101B800013058401EFE09FDACA8517F501001305FC +:101B9000A5B5EFE03FD597F70100938727909843CD +:101BA00097F701009387878D9C43050797F60100FF +:101BB00023A6E68E9840850797F6010023A0F68CB1 +:101BC00001CB97F701009387278E9843784349EF1D +:101BD0009C40B1C797F701009387078D9843630F27 +:101BE000870873700430984039EB17F7010013072A +:101BF000678B14439442A5E27D5797F6010023AD0D +:101C0000E686984009CF9843784311CB9843944394 +:101C10007C43FD177CC3FC4299E373600430B240FF +:101C20002244924402494101828097F70100938740 +:101C3000A78798439C437C4385077CC32DB7944377 +:101C40009843F8420507F8C217F701001307878584 +:101C500014439442D5D2184358475847584397F6EF +:101C6000010023ABE68071BF984394437C43FD178A +:101C70007CC3FC42B1FF7360043099BF97E7010059 +:101C80009387477F9C4381C77370043001A022442F +:101C9000B2409244024941016FE04FF741C517F746 +:101CA00001001307678014438147638BA606411127 +:101CB00006C622C426C27370043097E601009386DC +:101CC000E67C9C4291C710431C437C4285077CC242 +:101CD0009C42AA84404981C71C43FC43B9E797E76B +:101CE00001009387277C9C43638B870497E701005F +:101CF0009387077B9C436384870497F7010093874E +:101D0000E79F6309F40297F701009387E79D63015A +:101D1000F4028547B240224492443E8541018280CC +:101D20003E8582807370043001A0EF40E04B45BFD8 +:101D30009147CDB79C5493B717008907E1BF8947F6 +:101D4000D1BF7370043097E70100938727749843DD +:101D500009EF15C59C43485581CB97E70100938750 +:101D6000A7749843784315E3828017E701001307AF +:101D7000A77314431843F8420507F8C261FD17E73B +:101D80000100130767720843F1B7984394437C43FB +:101D9000FD177CC3FC42E9FB736004308280411173 +:101DA00022C42A8406C6EFE04FF211E497E701004F +:101DB0009387876F80434054EFE0CFF0B240228595 +:101DC000224441018280A54763F5B7007370043057 +:101DD00001A0011122CC06CE26CA4AC84EC652C462 +:101DE0002A847370043017E901001309296A8327D4 +:101DF0000900D5EF79C43C486389F50817E701006D +:101E00001307876A63FCB7001443638D860C18437D +:101E10004457B3B4950093C4140029A00443818CA3 +:101E200093B4140058546384E70A1C4C2CC863C64E +:101E30000700A947B385B7400CCC93172700BA9782 +:101E4000584897E901009389E9798A07CE97631B7F +:101E5000F702130A44005285EFE05FAD17E7010077 +:101E6000130707635C5418436376F70017E7010014 +:101E70002320F7625147B387E702D2853385F90003 +:101E8000EFE05FA699C0EFE06FD88327090081CB10 +:101E900097E70100938747619C43FC439DEBF24029 +:101EA0006244D2444249B249224A0561828097E79E +:101EB00001009387675F98439C437C4385077CC3FD +:101EC0001DF897E701009387275E80432DB74CD418 +:101ED000A9BF6244F240D2444249B249224A056154 +:101EE0006F40803081443DBF25C1011126CA97E46F +:101EF00001009384645B9C4006CE22CC4AC84EC647 +:101F0000638DA7027370043017E9010013090958A3 +:101F10008327090091C798409C407C4385077CC378 +:101F2000584997E701009387677D6302F70283278B +:101F3000090081C79C40FC43A5EBF2406244D244B7 +:101F40004249B249056182807370043001A01C557A +:101F500017E7010013070778E38BE7FCE9FB930918 +:101F600045002A844E85EFE07F9C17E701001307A8 +:101F700027525C5418436376F70017E701002329C2 +:101F8000F7505147B387E70217E501001305856550 +:101F9000CE853E95EFE01F959C405854DC57E36892 +:101FA000F7F8EFE0AFC661B76244F240D24442496D +:101FB000B24905616F40402315CD011122CC26CADC +:101FC0004AC82A8406CE4EC6EFE02FD0584897E77D +:101FD00001009387A7722A8981446300F7024A852A +:101FE000EFE04FCEF240624426854249D244B249E6 +:101FF000056182807370043001A01C5417E5010054 +:102000001305456DE38DA7FCF9FB97E70100938766 +:1020100067469C43A9EB97E701009387E7489C43F9 +:10202000445493094400DC574E85B3B4F400EFE008 +:10203000FF8F17E701001307A7455C54184393C4AB +:1020400014006376F70017E701002323F744514794 +:10205000B387E70217E501001305C558CE853E9505 +:10206000EFE05F88ADBF93058401EFE0BF8785BFD8 +:102070000111056506CE22CC26CA4AC84EC652C4F6 +:1020800056C25AC0EF200036630E051AAA84130503 +:102090000006EF2020352A84630A051C0566930597 +:1020A000500A04D92685EF20205A04588567F11775 +:1020B000BE94B7474C4593879744130A44005CD8B5 +:1020C0005285230C0402A3010404232604022328BE +:1020D0000404232A0404EFE09F8013058401EFE049 +:1020E0001F80A947232C0404F19800C81CCC40D0C1 +:1020F00023220404232E040401469715000093852F +:10210000E5B12685EFE00FBD08C07370043017E914 +:1021100001001309A9378327090097E4010093847C +:10212000A43891C798409C407C4385077CC317E73F +:102130000100130767361C43850797E6010023ADAE +:10214000F6349C40C9C38327090097E901009389AD +:10215000694981EB9C40DC5789E797E7010023A59B +:10216000873497E7010093876731984397E601002A +:10217000938606325C549442050717E60100232F2C +:10218000E62E38C463F6F60017E701002322F73085 +:10219000139527003E950A05D2854E95EFE08FF402 +:1021A0008327090081C79C40FC43DDE783270900A2 +:1021B00091C39C40EF10704985476305F50C59E1C8 +:1021C0007370043001A097E7010023AF872C1843F8 +:1021D000854797E901009389E940E314F7F8CE8A2F +:1021E00017EB0100130B8B4C5685D10AEFE0EFED96 +:1021F000E31C5BFF17E501001305454BEFE0EFEC37 +:1022000097EA0100938ACA4B5685EFE00FEC17E579 +:1022100001001305254CEFE04FEB17E50100130516 +:10222000A54CEFE08FEA17E501001305254DEFE01F +:10223000CFE997E7010023A7672797E7010023A1CC +:10224000572705B7F2406244D2444249B249224A74 +:10225000924A024B05618280984094407C43FD176E +:102260007CC3FC42A1F77360043089B76244F2403A +:102270004249B249224A924A024B2685D24405611C +:102280006F20602873700430FD5717E70100232585 +:10229000F71E624497E7010023AAA71EF240D2442A +:1022A0004249B249224A924A024B97E7010023A3CE +:1022B000071E05616FD0FFFE7370043097E70100C1 +:1022C00023A6071C6FE02F8C17E701001307871A5E +:1022D0001C4385071CC382807370043097E701009C +:1022E0009387C71A984305E317E701001307871A76 +:1022F00008439C4381CB97E701009387E71A9843F3 +:10230000784301EF828017E701001307E7191443B0 +:102310001843F8420507F8C2C1BF984394437C4371 +:10232000FD177CC3FC42F9FF7360043082804111C9 +:1023300006C622C4EFE06F9997E7010093878715DF +:102340008043EFE02F98B2402285224441018280F1 +:1023500097E701009387471488438280797106D6F6 +:1023600022D426D24AD04ECE52CC56CA5AC85EC6C5 +:1023700097E70100938707109C43B1EF17E701002F +:10238000130747111C43850797E6010023A4F610A5 +:10239000832A0700638F0A0697E701009387C70D1A +:1023A0009C43814463F1FA0897E9010093898923EA +:1023B00017EA0100130A4A0F83270A008546D857F7 +:1023C00093172700BA978A07BE9983A7090063FF6E +:1023D000F600854421A897E701009387E70A9C430C +:1023E0008144850717E701002320F70A97E70100DA +:1023F000938747099C4391C38544B2502254268554 +:1024000002599254F249624AD24A424BB24B456158 +:10241000828017E401001304E4081C409C43DDC3E0 +:102420007370043001A017E401001304A40797E9B6 +:1024300001009389291B17EA0100130AEA0617EB2A +:102440000100130BEB041C409C43B5C31C40DC474C +:1024500003A9C70083274900930B49005E8563EEFB +:10246000FA04EFE0AFCC832789021305890199C3F1 +:10247000EFE0CFCB8327C90203270B00DE8513953E +:1024800027003E950A054E956376F70017E7010091 +:102490002320F700EFE00FC583270A000327C902B6 +:1024A000DC57E362F7FA1C4085449C43C5F3FD57B3 +:1024B00017E701002322F7FC01B717E70100232DDE +:1024C000F7FADDBD17E70100130787FD1C4018432D +:1024D00097E6010023A8E6FC17E701002322F7FC9A +:1024E00097E701009387C7F99C43850717E7010029 +:1024F0002328F7F81C409C4399E7FD5717E7010094 +:10250000232CF7F651BD1C40DC47DC47DC4317E7C2 +:1025100001002323F7F649B597E70100938787F574 +:10252000984301E77370043001A0011106CE22CC5C +:1025300026CA4AC84EC652C456C25AC07370043026 +:1025400097E40100938484F4984061EB98437D17ED +:1025500097E6010023A0E6F29C43D9EB97E7010040 +:10256000938787F39C43C9C797EA0100938A8A0738 +:1025700017EA0100130ACAF117E901001309C9F2A9 +:10258000854919A83385EA00EFE0CFB58327090014 +:102590005854DC576379F70483A70A0FC1CF83A788 +:1025A000CA0FC04713058401EFE04FB8130B440076 +:1025B0005A85EFE0AFB75C5483260A00DA8513959D +:1025C00027003E950A052A875695E3FDF6FA17E798 +:1025D0000100232FF7EAEFE0EFB0832709005854FA +:1025E000DC57E36BF7FA97E7010023AD37E96DB7E6 +:1025F00001449C4081CB97E701009387E7EA9C4325 +:10260000FC4395E72285F2406244D2444249B249F4 +:10261000224A924A024B0561828017E701001307A4 +:10262000A7E814431843F8420507F8C205B7EF308E +:10263000B03BC9BF17E40100130404E51C4095C377 +:102640001C40054999CF193B09C597E7010023AB09 +:1026500027E31C40FD1717E701002327F7E21C4082 +:10266000FDF397E701009387E7E198438547E3117E +:10267000F7F8EFD0BFD90544ADBF11CD81E5737038 +:10268000043001A017E701001307C7DE1C4399C7F8 +:102690007370043001A07370043001A0411122C492 +:1026A00006C614431841850617E601002324D6DC2C +:1026B00097E60100938606DE94423304B70000C11A +:1026C00063F7E602637FE40063FD860097E701009D +:1026D000938787DD88431105EFE04FA52285EF3012 +:1026E000702A1D3D01C9B240224441018280E36F3E +:1026F000E4FCD9BF2244B24041016FD03FD111C99F +:1027000017E70100130707D71C4391C77370043004 +:1027100001A06FD0BFCF411106C622C41843AA87BB +:10272000050797E6010023A7E6D497E60100938604 +:10273000A6D717E701001307E7D5184388423304EB +:10274000F7001105EFE08F9E2285EF30B023E933CB +:1027500011E52244B24041016FD05FCBB240224428 +:1027600041018280411122C406C697E70100938788 +:1027700067D09C430144850717E70100232CF7CE5F +:1027800097E70100938747D19C4363E0F51217EE6A +:102790000100130EEEF097E201009382E2E30144A0 +:1027A0000147854F1303500A21A0311E638DC20BD0 +:1027B00083278EFFBA86F5DB8327CEFFDC43232EEB +:1027C000FEFE638AC70F03AFC700DC432A97814E22 +:1027D000232EFEFE6385C70783A8C700938748039F +:1027E000232017015CC383A788042326F7011CC795 +:1027F00083A7C8021CCB83A70805232C07005CCB4A +:1028000003A808038347080063906704C28785070D +:1028100083C60700B3850741E38B66FE8981C20545 +:10282000C181231EB700850E1307070263061F032D +:102830008327CEFFDC43232EFEFEE39FC7F98327C9 +:102840004E00232EFEFE49BF8145231EB700850E94 +:1028500013070702E31E1FFD769413175400311E61 +:10286000BA86E397C2F597E701009387A7C303AE43 +:10287000070083270E00639D070E97E701009387EB +:1028800027C203AE070083270E006392071817EED6 +:102890000100130E2ED583274E109DE383278E1142 +:1028A0006395072019C223200600BD312285B2405E +:1028B00022444101828083274E00232EFEFE21B751 +:1028C00083278E1017EF0100130F8FE2DC4317E709 +:1028D0000100232DF7E06380E73383A2C700DC43C8 +:1028E000AA96814E17E701002322F7E0914F1303C8 +:1028F000500A6388E70783A8C7009387480323A08B +:102900001601DCC283A7880423A6F6019CC683A710 +:10291000C8029CCA83A7080523AC0600DCCA03A82A +:102920000803834708006391672AC287850703C7A6 +:102930000700B3850741E30B67FE93D72500C20765 +:10294000C183239EF600850E9386060263861229B4 +:1029500083278E10DC4317E701002329F7D8E39C77 +:10296000E7F983270E1117E701002321F7D861B794 +:1029700083274E00130F8E00D4432322DE0063888A +:10298000E629B307E500D84283A2C600814E232280 +:10299000EE00894F1303500A6306EF068328C70031 +:1029A0001387480323A01701D8C303A7880423A6CD +:1029B000F70198C703A7C80298CB03A7080523AC63 +:1029C0000700D8CB03A80803034708006318671C57 +:1029D0004287050783460700B3050741E38B66FE80 +:1029E00013D7250042074183239EE700850E938776 +:1029F0000702638D121B83264E00D8422322EE006D +:102A0000E31EEFF80327CE002322EE0041BF832709 +:102A10004E00130F8E00DC432322FE006384E71F69 +:102A200083A2C700DC43AA96814E2322FE00894F71 +:102A30001303500A6306FF0683A8C7009387480361 +:102A400023A01601DCC283A7880423A6F6019CC636 +:102A500083A7C8029CCA83A7080523AC0600DCCA6A +:102A600003A808038347080063966710C287850799 +:102A700003C70700B3850741E30B67FE93D7250023 +:102A8000C207C183239EF600850E93860602638BE0 +:102A9000120F83274E00DC432322FE00E31EFFF8C3 +:102AA0008327CE002322FE0041BF8327CE1197EE5D +:102AB0000100938E2EC5DC4317E701002322F7C4E3 +:102AC0006384D71383AFC700DC433307D5000143CA +:102AD00097E6010023A6F6C20D4F89429308500ADB +:102AE0006387D707C8479307450308C35CC33C45C2 +:102AF0002326E7011CC75C551CCB1C5599C3232614 +:102B000057003C49232C07005CCB0328050383476F +:102B1000080063951705C287850783C60700B3853C +:102B20000741E38B16FF93D72500C207C183231EFD +:102B3000F700050313070702638AAF028327CE114C +:102B4000DC4397E6010023ADF6BAE39DD7F983276E +:102B50004E1297E6010023A5F6BA69B78147231EF6 +:102B6000F700050313070702E39AAFFC1A94E31C6E +:102B700006D225BB8147239EF600850E938606026A +:102B8000E39912F117EE0100130ECEA583274E1024 +:102B9000769493165400E38307D01DB30147239E18 +:102BA000E700850E93870702E39712E597E7010098 +:102BB0009387078F03AE07007694931654008327FC +:102BC0000E00E38607CCA1B58147239EF600850E53 +:102BD00093860602E39E12D783278E11769493166E +:102BE0005400E38107CCD1B583274E1217E70100CB +:102BF0002328F7B0C1BD83270E1117E70100232753 +:102C0000F7AEE1B98327CE002322FE0011BD832653 +:102C1000CE002322DE00B5B3797126D24AD04ECE43 +:102C200052CC56CA5AC85EC606D622D497E90100CD +:102C30009389498417E901001309C99A17EA010029 +:102C4000130ACA8497EA0100938A0A8697EB010067 +:102C5000938B8B8497E4010093844484054B21A8D3 +:102C600083A7090003244910850717E701002323E0 +:102C7000F7805D3011E89C40E5F783270900E37C8D +:102C8000FBFEEFD0AFF8C5BF7370043083270A0096 +:102C9000B9E383270911C04713054400EFD01FC9CA +:102CA00083A70B00FD1717D701002327F77E9C4051 +:102CB000FD1717D701002323F77E83270A0089C752 +:102CC00083A70A00FC4385E30858EF10D003228550 +:102CD000EF1070034DB703A70A0083A70A007C43D7 +:102CE00085077CC37DB703A70A0083A60A007C433F +:102CF000FD177CC3FC42E9FB73600430F1B797D742 +:102D00000100938727779C43DDE3411106C697D7DF +:102D1000010023A9077617D701001307E7781C43A2 +:102D2000B7A6A5A59386565A9C5B8C43639BD5009A +:102D3000D0436318B60094476395C600DC476388A8 +:102D4000D70008430C4393854503EF20807397D643 +:102D500001009386E673904217E701001307878806 +:102D600011A89C42B9CB9C42FD1717D60100232124 +:102D7000F672904293172600B2978A07BA979C433F +:102D8000EDD3904293172600B2978A07B305F70058 +:102D9000D441A107BA97D442D4C16383F602DC467A +:102DA000B24017D701002321F77041018280854787 +:102DB00017D701002328F76C82807370043001A0BC +:102DC000D1473306F602D442329754C3C9BF01112A +:102DD0004AC806CE22CC26CA4EC62A897370043051 +:102DE00097D401009384846A9C4017D40100130493 +:102DF000A46BD9E76314090003290400930949006F +:102E00004E85EFD0BFB28327890289C71305890198 +:102E1000EFD0DFB1CE8517E501001305258EEFD089 +:102E20007FAC9C4081C71C40FC43ADE31C40638BDE +:102E300027079C409DCF737004309C40C1EB97D70F +:102E40000100938727669843184325EBFD5717D752 +:102E500001002323F7629C4089CF1C40FC4391CBA7 +:102E6000184014407C43FD177CC3FC4299E3736017 +:102E70000430F2406244D2444249B2490561828042 +:102E800018401C407C4385077CC3ADB718401440F4 +:102E90007C43FD177CC3FC42D1FB736004301C40B3 +:102EA000E39927F99C40A1C397D701009387875CDA +:102EB0009C43ADCB7370043001A09C43DC47DC47DE +:102EC000DC4317D701002329F75A71B718401C407B +:102ED0007C4385077CC397D701009387A75C984301 +:102EE00018432DD7D9BF97D701009387E75A17D733 +:102EF00001001307276F9C4303278711631DF70009 +:102F0000F240624497D7010023A0075AD2444249B5 +:102F1000B249056182806244F240D2444249B249DA +:102F20000561F1BB6244F240D2444249B2490561B5 +:102F30006FD0CFCD3DC9411126C297D401009384F3 +:102F4000A4564AC02E898C4006C622C4E105EFD0A3 +:102F5000FF9A88401105EFD07F9DFD57630AF90461 +:102F600097D7010093870753804398409C434A9426 +:102F700040C3636CF40497D701009387A75288433A +:102F80008C409105EFD09F9797D701009387C74E4C +:102F90009C436376F40097D7010023AF874CB2407F +:102FA000224492440249410182807370043001A09E +:102FB0008C402244B2409244024917D501001305C7 +:102FC000E573910541016FD0FF9197D70100938779 +:102FD000274D884322448C40B240924402499105D7 +:102FE00041016FD0BF9111C997D7010093878748DE +:102FF0009C4399E77370043001A07370043001A002 +:10300000411126C297D401009384044A9840AE87A8 +:103010008C40B7060080D58F06C622C41CCFE105C0 +:103020003284EFD03F8C88401105EFD03F90FD57A0 +:10303000630EF40097D701009387C7458843B240D9 +:1030400092442295224441016F30C0138C402244A7 +:10305000B240924417D501001305456A910541011C +:103060006FD05F8825CD411126C297D4010093848B +:10307000A4434AC02E898C4006C622C4E10532848E +:10308000EFD05F8688401105EFD05F8A8547630ADD +:10309000F40497D701009387E73F804398409C430F +:1030A0004A9440C3636CF40497D701009387873F29 +:1030B00088438C409105EFD07F8497D70100938798 +:1030C000A73B9C436376F40097D7010023A6873A79 +:1030D000B24022449244024941018280737004301C +:1030E00001A08C402244B2409244024917D501000D +:1030F0001305C560910541016FD0CFFE97D7010040 +:103100009387073A884322448C40B2409244024954 +:10311000910541016FD08FFE411106C622C426C21F +:103120005C45C04735CC930484012685EFD01F80D1 +:1031300097D70100938707349C43B5E79304440075 +:103140002685EFD0AFFE97D7010093876734585498 +:103150009C4363F6E70097D7010023ABE732931750 +:103160002700BA978A0717D501001305A547A6853A +:103170003E95EFD02FF797D701009387E7329C4316 +:1031800058540145DC5763F8E700854717D701001D +:10319000232AF72E0545B240224492444101828001 +:1031A0007370043001A0A68517D501001305855260 +:1031B000EFD04FF3C9B797D701009387A72B9C4354 +:1031C00081E77370043001A0411106C622C426C2F3 +:1031D000B70700804045CD8F1CC12DC4EFD00FF53F +:1031E000930444002685EFD06FF497D701009387AE +:1031F000272A58549C4363F6E70097D7010023A978 +:10320000E72893172700BA978A0717D501001305F7 +:10321000653D3E95A685EFD0EFEC97D701009387EB +:10322000A7289C4358540145DC5763F8E7008547BD +:1032300017D701002328F7240545B24022449244C1 +:10324000410182807370043001A011CD97D7010035 +:1032500093870723984397D701009387A7239C431D +:1032600018C15CC182807370043001A055C1D1CDFA +:10327000411106C622C42A877370043097D8010012 +:103280009388C82083A7080091CB97D70100938724 +:10329000A72194439C43FC428507FCC217D301003D +:1032A0001303431F9C4103260300FD560144638F13 +:1032B000D70217DE0100130EAE1C03250E000328F3 +:1032C000070054436305A80005446371D602330820 +:1032D000D6400544637CF80003250E00918F032639 +:1032E0000300B6979CC108C350C3014483A70800DC +:1032F00081CB97D701009387271B9C43FC4381EF29 +:103300002285B2402244410182807370043001A0C2 +:103310007370043001A0EF20306DDDB7854717D7FB +:1033200001002321F716828019C16845828001457A +:10333000828011C16CC5828097D701009387C71620 +:103340008843828097D70100938747149C430545A3 +:1033500089CB97D701009387E71188431335150070 +:103360000605828031CD011126CA97D401009384CD +:10337000A4139C4006CE22CC4AC84EC65855DC57F2 +:103380006379F7021C4D63C707009C40D457A947D7 +:10339000958F1CCD93172700BA97584917D901006C +:1033A000130949248A07CA97630DF7009C40DC572C +:1033B0005CD5F2406244D2444249B2490561828000 +:1033C0008280930945002A844E85EFD02FD69C40F9 +:1033D00017D701001307C70B1843DC575CD463767B +:1033E000F70017D701002325F70A139527003E950C +:1033F00062440A05F240D244CE854A95B249424918 +:1034000005616FD02FCE19CD411106C622C426C248 +:1034100097D701009387470980436307A40073701F +:10342000043001A0014582807C4881E7737004303C +:1034300001A054543848FD177CC86383E60099C73F +:103440000145B240224492444101828093044400E9 +:103450002685EFD0AFCD3C4817D7010013074703AF +:10346000144329471D8F5CD418CC63F6F60017D798 +:103470000100232FF7005147B387E70217D501005A +:1034800013054516A6853E95EFD0CFC5054555BF1A +:103490007370043097D70100938747FF9C4391CB0B +:1034A00097D701009387470098439C437C43850747 +:1034B0007CC3828097D70100938747FD9C438DC3CF +:1034C00097D70100938747FE9843784311CB9843E1 +:1034D00094437C43FD177CC3FC4299E37360043042 +:1034E000828097D70100938727FC904394439843A9 +:1034F000A947D456084E958F1CCF828097D70100DC +:10350000938787FA984309C79443F84A0507F8CA8E +:103510008843828079714AD006D622D426D24ECEF4 +:103520002A897370043097D40100938424F69C4058 +:1035300017D40100130444F7BDE31C40BC4F89E7D6 +:103540001C400547F8CFD1E19C4081C71C40FC439B +:10355000F1EB737004309C408DEF1C40A84F11C5F7 +:10356000630409021C4023AC07041C4023AE07047B +:103570009C4081C71C40FC438DEBB25022549254B6 +:103580000259F2494561828018403C4FFD173CCFFB +:10359000E9BF18401C407C4385077CC37DBF1840B1 +:1035A0001C407C4385077CC349BF184014407C43C2 +:1035B000FD177CC3FC42F1F373600430B250225417 +:1035C00092540259F2494561828008402EC6110585 +:1035D000EFD0CFB5B245FD576380F50697D7010010 +:1035E000938747EB83A9070018409C43AE99232299 +:1035F000370163ECF90497D701009387A7EA884362 +:103600000C409105EFD08FAF97D701009387C7E6A5 +:103610009C4363F6F90097D7010023AF37E5EFC06D +:10362000FFDE1DB7184014407C43FD177CC3FC42ED +:103630008DF37360043031BF0C4017D501001305C2 +:10364000E50B9105EFD00FAAD9BF97D7010093875B +:1036500027E588430C409105EFD04FAAC9B779718F +:103660004AD04ECE06D622D426D252CC2E89B2894A +:103670007370043097D40100938444E19C4017D4C4 +:103680000100130464E2F9EF18408947784F630C96 +:10369000F7001C401345F5FFB84F798DA8CF1C40AB +:1036A0000547F8CFADE69C4081C71C40FC43F5E3DD +:1036B000737004309C40B1E7638609001C40BC4F26 +:1036C00023A0F900184085470145784F630BF700A8 +:1036D0001C401349F9FF0545B84F3379E90023AC85 +:1036E00027051C4023AE07049C4081C71C40FC43B7 +:1036F000C1E3B250225492540259F249624A4561E0 +:10370000828018401C407C4385077CC375B7084005 +:1037100036C61105EFD08FA1B246FD576385F60876 +:1037200097D70100938707D703AA070018409C4347 +:10373000369A232247016363FA0897D701009387DB +:1037400067D688430C409105EFD04F9B97D7010077 +:10375000938787D29C436370FA0697D7010023AD05 +:1037600047D191A818401C407C4385077CC329BFE2 +:10377000184014407C43FD177CC3FC42BDFB7360C2 +:103780000430B250225492540259F249624A4561BF +:103790008280184014407C43FD177CC3FC4289FBA7 +:1037A0007360043031B70C4017D50100130505F5DF +:1037B0009105EFD02F93EFC07FC5F5B597D70100E6 +:1037C000938707CE88430C409105EFD02F93E5B740 +:1037D00051C1411106C622C426C24AC073700430CA +:1037E00097D40100938484CA9C40B9EB99C23C4DA4 +:1037F0009CC2B2878946704D74CD2E872A84638619 +:10380000D70663FCF6048D456387B7009145639541 +:10381000B7006300D60C38CC8547630FF604054427 +:103820009C4081CB97D70100938707C89C43FC43FA +:10383000A9E32285B2402244924402494101828098 +:1038400097D70100938747C698439C437C438507DD +:103850007CC369BF7370043001A08546E39ED7FA2C +:103860003C4D33E7B70038CD45BF3C4D85073CCDD7 +:1038700065B7EF20701775BF130944004A85EFD074 +:10388000EF8A17D701001307A7C05C54184363766B +:10389000F70017D70100232DF7BE5147B387E70282 +:1038A00017D50100130505D4CA853E95EFD08F8347 +:1038B0001C5481C77370043001A097D7010093870F +:1038C000A7BE9C435854DC57E3FBE7F4EFC01FB49A +:1038D000B9B70144B1B739CD011122CC26CA4AC8C3 +:1038E0004EC652C456C206CEBA89368AB2842E89D2 +:1038F0002A84EFC09FBDAA8A63050A003C4C23209E +:10390000FA00784C89477CCC6389F40263F4970C05 +:103910008D466387D40091466396D400630AF70A04 +:10392000232C24058547631EF7061C5499CB73701E +:10393000043001A07370043001A03C4C85073CCCDE +:10394000D5B797D701009387E7B29C43ADEB9304BB +:1039500044002685EFC09FFD17D70100130747B32A +:103960005C5418436376F70017D701002322F7B29F +:103970005147B387E70217D501001305A5C6A685F1 +:103980003E95EFC03FF697D701009387E7B19C4380 +:103990005854DC5763F7E70063850900854723A087 +:1039A000F90005445685EFC0FFB12285F24062441C +:1039B000D2444249B249224A924A05618280930523 +:1039C000840117D501001305E5D0EFC0BFF165BF35 +:1039D0000144C9BF8547E397F4F43C4C33E9270120 +:1039E000232C240581B74DC1011122CC26CA2A847B +:1039F0004AC806CE4EC6AE84EFC03FAD8947744C70 +:103A00007CCC3C4C05472A8985073CCC6395E6066F +:103A10001C5481C77370043001A097D701009387AD +:103A200067A59C43ADE7930944004E85EFC01FF0A6 +:103A300017D701001307C7A55C5418436376F70036 +:103A400017D701002326F7A45147B387E70217D5FC +:103A50000100130525B9CE853E95EFC0BFE897D785 +:103A60000100938767A49C435854DC5763F5E70033 +:103A700099C085479CC06244F240D244B2494A850D +:103A8000424905616FC01FA47370043001A0930503 +:103A9000840117D501001305E5C3EFC0BFE4C1B72A +:103AA000AA8729C57370043017D701001307079E32 +:103AB00014439DE2F04F894601456315D60023AEBD +:103AC000070405451C4381CB97D701009387C79D09 +:103AD0009843784315E3828097D601009386C69C6D +:103AE000904294427442850674C2E9B797D70100A8 +:103AF0009387879B9C437DB7984394437C43FD17F2 +:103B00007CC3FC42E9FB7360043082805D71A6C215 +:103B1000CAC052DC56DA5AD85ED686C6A2C44EDE79 +:103B200062D466D26AD017D901001309E99817DB6D +:103B30000100130BAB9797D401009384649797DA35 +:103B40000100938A2A97054AA54B832709009843C9 +:103B500063010712DC4783A90700EFE0EFF6EFE00F +:103B6000AFF783270B002A8C6362F50A97D7010011 +:103B700023AEA79201466370351B8840B385894107 +:103B8000EFD0FFBDEFE05F996301051288408146E9 +:103B900001462C00EFD0EFCB4DD9A247E3C807FE7A +:103BA000C24983A7490189C713854900EFC01FD8BF +:103BB000EFE08FF283270B002A8C636DF508A24794 +:103BC00017D701002324878FE3E2FBFCB317FA0029 +:103BD00013F70721631A071613F7770C6318071EEC +:103BE00093F70702C5D74E85EF00F01145B7DC47C4 +:103BF000C04783AC0700930944004E85EFC01FD334 +:103C00005C50228582975C4C6384470B83270900B4 +:103C1000984371FF03A70A0097D6010023A886895D +:103C200097D6010023A8F68897D7010023A6E78836 +:103C3000EFE09F8EA1BFDC47C04703AD0700930CA8 +:103C400044006685EFC09FCE5C50228582975C4C15 +:103C50006386470983270900984371FF03A70A0079 +:103C600097D6010023A8F68497D7010023A6E784FE +:103C7000B9B7EFE06FE5EFE02FE683270B002A8C62 +:103C8000E366F5F883A70A00884081499043B3852D +:103C9000894117D70100232B878113361600EFD0F7 +:103CA0001FACEFE07F87E31305EEEFC02FF6F9BD01 +:103CB0001C4CCE85E69763E9FC049C4089CF02CA80 +:103CC00066CC22CEEFF00FE88840814601464C08D2 +:103CD000EFC03FE705FD7370043001A01C4CE68582 +:103CE000EA97636CFD049C4089CF02CA6ACC22CE5D +:103CF000EFF04FE58840814601464C08EFC07FE475 +:103D000031F97370043001A0032509005CC000C8BC +:103D1000EFC0DFBEE5BDEFE03F8083270900DC4751 +:103D2000C047930C44006685EFC05FC05C4C638F56 +:103D300047035C502285829791BD032509005CC032 +:103D400000C8EFC0BFBB39B7B24723ACF900A5CF5D +:103D5000E29723A2F90023A8390193854900636FF4 +:103D6000FC0403A50A00EFC07FB90DB51C4C00C8C8 +:103D70003387F90058C0636DEC02330C3C41636338 +:103D8000FC029C4089CF02CA4ECC22CEEFF08FDBE2 +:103D90008840814601464C08EFC0BFDA59F973707C +:103DA000043001A003A50A00E685EFC03FB551B776 +:103DB00003250900E685EFC07FB4A5BF03250900F0 +:103DC000EFC0DFB3E1B37370043001A0B24783A644 +:103DD000890123A839013387D70023A2E90063644E +:103DE000EC04B307FC4063EBD70483A749024E857C +:103DF000829783A7C901E39B47D983A68901B2476C +:103E00009840B69711CF02CA3ECC4ECEEFF08FD37A +:103E10008840814601464C08EFC0BFD2E31805D662 +:103E20007370043001A06374FC00E370F7FC032599 +:103E3000090093854900EFC07FAC89BB03A50A0048 +:103E400093854900EFC09FAB91B3411122C406C6D0 +:103E500026C24AC017C4010013048465EFF04FE383 +:103E60001C4095CBEFF00FE51C409DC381480148F5 +:103E70008147094781461306004097C50100938595 +:103E8000E553170500001305A5C8EFD07F9A39E95F +:103E90007370043001A017D90100130969874A859E +:103EA000EFC0BFA297D401009384C4872685EFC0DA +:103EB000DFA10146B145114597C7010023AE275F39 +:103EC00097C7010023A8975EEFC01FBB17C701006B +:103ED0002320A75E11CD97C501009385A54DEFD096 +:103EE000CFFC49B7B240224492440249410182804A +:103EF0007370043001A0A5C9797156CAAA8A130546 +:103F0000C00222D426D24AD04ECE52CC06D65AC8AF +:103F10005EC63A89B689328AAE84E9212A8405C907 +:103F2000EFF00FD797C70100938787589C439DCF29 +:103F3000EFF04FD8130544002320540104CC232E66 +:103F400044012320340323222403EFC05F992285F8 +:103F5000B250225492540259F249624AD24A424B18 +:103F6000B24B456182807370043001A097CB010091 +:103F7000938B0B7A5E85EFC05F9517CB0100130B17 +:103F80006B7A5A85EFC07F940146B145114597C7BA +:103F9000010023A3775397C7010023AD6751EFC0FA +:103FA000BFAD17C701002325A75001C997C5010060 +:103FB00093854540EFD06FEFA5BF7370043001A02B +:103FC00039C5011122CC06CE26CA17C4010013043C +:103FD000244E832804002A88014563850802BA8498 +:103FE0002EC2368732C442C6954663C5B602EFF08C +:103FF0006FB58947630BF5020840814601464C00C6 +:10400000EFC03FB4F2406244D244056182807370D5 +:10401000043001A04C0081463A864685EFC0FFE798 +:10402000F2406244D244056182800840814626867F +:104030004C00EFC01FB1F9B719C1084182807370FD +:10404000043001A001E57370043001A0411106C6DF +:1040500022C42A84EFF0CFC348483334A000EFF0E5 +:104060006FC52285B24022444101828001E5737010 +:10407000043001A0411122C42A8406C6EFF04FC1CA +:104080000050EFF02FC3B2402285224441018280CC +:1040900001E57370043001A0411122C426C22E84B0 +:1040A000AA8406C6EFF0CFBE80D02244B24092442C +:1040B00041016FF02FC04111714522C406C61D2673 +:1040C0002A8411C5232005001105EFC01F80228519 +:1040D000B24022444101828001CDB70700FFED8F3D +:1040E00081C77370043001A099E57370043001A09A +:1040F0007370043001A0011122CC4AC84EC652C4CC +:1041000056C206CE26CABA893689B28A2E842A8A2F +:10411000EFF04FA311E5638509007370043001A02F +:10412000EFE08F9A83240A00B377940063160902A4 +:1041300095C763870A009347F4FFE58F2320FA00B1 +:10414000EFE08FBDF240624426854249D244B24935 +:10415000224A924A05618280E30DF4FCE38209FE63 +:10416000B3355001E20563050900B7070004DD8D92 +:104170004E86C18D13054A00EFE0FFE6EFE0CFB9B0 +:1041800019E1EFC0AFA8EFF0CFB593176500AA898A +:1041900063D80700B7040001FD14B3F499005DB7BC +:1041A000EFF00FAF83290A00B3773401631E0900D3 +:1041B00089CB63880A009347F4FFB3F737012320C4 +:1041C000FA00EFF02FAFF9B7E31DF4FEDDB701C938 +:1041D000B70700FFED8F99C77370043001A07370AB +:1041E000043001A0411122C42A8406C626C24AC056 +:1041F0002E89EFF0EFA904409345F9FFB3F795003E +:104200001CC0EFF02FABB2402244268502499244F5 +:1042100041018280411122C42A8406C6EFC0EFAA60 +:104220000040EFC02FAAB240228522444101828083 +:1042300009C9370700FFB3F7E50099C77370043069 +:1042400001A07370043001A06F10D07BB70700FF8E +:10425000F18F81C77370043001A001E673700430E0 +:1042600001A0011122CC4AC84EC652C406CE26CAAD +:104270003689AE892A8A3284EFF0CF8C21E163053A +:1042800009007370043001A0EFE00F8483240A005A +:10429000CE855285B3E49900613FB37794006307FC +:1042A000F40683240A00EFE02FA7F240624426853B +:1042B0004249D244B249224A05618280EFE0CF8070 +:1042C00083240A00CE855285B3E434019537B3F7D1 +:1042D0008400638D8702E30609FCB70500054A8662 +:1042E000C18D13054A00EFE01FD0EFE0EFA219E106 +:1042F000EFC0CF91EFF0EF9E93176500AA8463DFC4 +:104300000700B7070001FD17FD8C45B783270A009A +:104310001344F4FFE18F2320FA0071B7EFF04F97B9 +:1043200083240A00B3779400639787009347F4FFD0 +:10433000E58F2320FA00EFF0EF97E1B7411122C497 +:1043400006C62A8426C2EFD03FF85C4085C30848E1 +:104350009304C4006316950015A0084863009502F5 +:10436000B7050002EFE03FE55C40E5FB2285612AEE +:104370002244B240924441016FE00F9A73700430BE +:1043800001A009C9370700FFB3F7E50099C77370AB +:10439000043001A07370043001A06F10B06601C931 +:1043A000B70700FFED8F99C77370043001A07370D9 +:1043B000043001A0411122C426C206C62A84AE845C +:1043C000EFF00F8D184093C7F4FFF98F1CC0224403 +:1043D000B240924441016FF0EF8D19C1084D8280C7 +:1043E00001458280411122C406C62A84EFD0DFED48 +:1043F00097C701009387870C9C43A1EBE56717C71C +:1044000001001307A733F11797C6010023AEE60A90 +:1044100017C701002328F70A17C701002322F73224 +:1044200097C701009387C70917C701002328F730F2 +:10443000854717C70100232B070817C70100232151 +:10444000070817C70100232BF70619E8EFE0CF8C08 +:10445000EF10A00201442285B24022444101828033 +:104460001305840093773500C1EFE5671307F5FF67 +:10447000E917E3EDE7FC97C601009386E6049C424A +:1044800029A0984311C7BE86BA87D843E36BA7FE1D +:1044900017C701001307C702E38AE7FA98434146AA +:1044A0001384870098C2D843B306A7406378D60226 +:1044B0003388A7002322D800C8C38325480097C6A5 +:1044C00001009386660011A0BA8698425043E36DBE +:1044D000B6FE2320E80023A00601D84397C70100B9 +:1044E000938787009C43998F17C70100232EF7FEFF +:1044F000EFE08F8231DC2285B2402244410182808C +:10450000719911059DB729C9411122C406C62A8493 +:10451000EFD09FDB930584FF0326C4FF17C701007C +:10452000130787FA11A03E871C43D443E3EDC6FE70 +:10453000232CF4FE0CC397C701009387E7FA984336 +:104540008327C4FF2244B240BA9717C70100232D26 +:10455000F7F841016FD05FFC828097C70100938715 +:10456000A7F88843828082803367B5000D8BAA87C5 +:104570001DE79308D6FFAA982A87AE8663711503B4 +:1045800003A8060011079106232E07FFE36A17FF11 +:104590009347F5FFC697F19B9107BE95AA972A9678 +:1045A00063F3C70A9386450013884700B3B6D70064 +:1045B00033B8050133E7F50093C616001348180019 +:1045C0000D8BB3E6060113371700758F330EF640D7 +:1045D0009386170029CF1337AE0031EB13532E000B +:1045E0002E88BE860147832808000507110823A0EE +:1045F00016019106E36967FE1377CEFFBA95BA9765 +:104600006302EE0483C60500138717002380D700DA +:10461000637AC70283C6150013872700A380D700DB +:104620006372C70203C725002381E7008280B307B6 +:10463000F640AE97850503C7F5FF8506238FE6FE96 +:10464000E39AF5FE82808280B367C5008D8BB30844 +:10465000C500E9C36371150FB307A0408D8B1387A5 +:104660003700954693F5F50F130815003383A840DE +:104670007D166373D70015476363E60CD5CF23001F +:10468000B5000547638DE700A300B5000D4713088B +:1046900025006396E700130835002301B500139643 +:1046A00085004D8E13970501518F3303F340939688 +:1046B0008501D98E13562300AA97014794C3050795 +:1046C0009107E36DC7FE1377C3FFB307E8006307E5 +:1046D000E3062380B70013871700637C1703A380CA +:1046E000B70013872700637617032381B70013876A +:1046F000370063701703A381B70013874700637AFD +:1047000017012382B7001387570063741701A38230 +:10471000B700828093F5F50F13978500D98D139715 +:1047200005014D8FE37715FFAA87910723AEE7FEBA +:10473000E3ED17FF828082802A8895B78280AA875E +:1047400049BF834705002A8799C7050583470500A8 +:10475000EDFF198D82800145828005058347F5FFB5 +:10476000850503C7F5FF91C7E389E7FE3385E74079 +:1047700082808147E5BFAA87850503C7F5FF8507C6 +:10478000A38FE7FE75FB8280834605009307000236 +:104790006397F600050583460500E38DF6FE9387D3 +:1047A00056FD93F7D70F85C7AA87814585CE01456A +:1047B0008507138606FD1317250083C607003A9563 +:1047C00006053295F5F699C13305A04082809385A0 +:1047D00036FD8346150093B5150093071500E1FAE1 +:1047E0000145D5B7014582809C412380A7009C41AB +:1047F00085079CC1828097C60100938666CD9C4246 +:1048000017570300130707F413861700BA9723807E +:10481000A70097C5010023ADC5CAA9476308F500E5 +:10482000930700046305F6000145828075DE3706B4 +:1048300010F005078347F7FF2320F6F09C42FD1791 +:1048400097C5010023A6F5C8EDF7014582804D719B +:104850002322911423202115232A51132324811369 +:104860002326111423248114232E3113232C4113C6 +:104870002328611323267113232291132320A113CC +:10488000232EB111AA842E8932C6130C5002A54AD8 +:104890008347090063808703B9CB804011A0A1CB77 +:1048A0002300F40080400509050480C08347090007 +:1048B000E39787FF0346190093081900C686130D76 +:1048C0000002FD597D5A0148130550059307D6FD96 +:1048D00093F7F70F138916006363F52A17B70100E2 +:1048E000130747728A07BA979C43BA978287832037 +:1048F000C11403248114832441140329011483293E +:10490000C113032A8113832A4113032B0113832B21 +:10491000C112032C8112832C4112032D0112832D0D +:10492000C11171618280A14C02C4854763D507150E +:10493000B2478040938B770093F68BFF03AB060062 +:1049400083A94600938786003EC6668681465A85BF +:10495000CE85EF10E04D2AC8814763853723930D3C +:104960004101854B668681465A85CE85EF106016DB +:10497000814666862A8BAE89EF10804BA24723A022 +:10498000AD0093861B00910D63843701B68BD9BFB0 +:10499000E37E9BFF63DD4601A2872380A701804061 +:1049A0007D1A930714009CC03E84E3C846FF93968B +:1049B0002B001C08BE9631A8938777052300F400CE +:1049C0008040FD1B93871B00050480C0F116E35156 +:1049D000F0EC9C42E3E2FAFE93870703C5B79C40E4 +:1049E0009306000332472380D7009C40930647007C +:1049F000C14C1386170090C013068007A380C70020 +:104A0000804002C4BA87050480C003AB0700814917 +:104A100036C625BFB24783AC0700938B470063843B +:104A20000C1C635F40199307D0026319FD1683C7FE +:104A30000C0085C363DC09189440850C7D1A238023 +:104A4000F6008040050480C083C70C00E5F7635D75 +:104A500040018040930700022300F40080407D1A4B +:104A6000050480C0E31A0AFE5EC61DB502C4854770 +:104A7000A94CE3CF07EBB24780409386470071B75C +:104A800003C61600CA8699B59C409306500223803F +:104A9000D7008040050480C0E5BBC14C02C471B59D +:104AA000B24703C61600CA8683A9070091073EC60F +:104AB000E35E0AE04E8AFD5911BD03C61600130DD0 +:104AC000D002CA8621B59347FAFFFD8703C61600B8 +:104AD000337AFA00CA86DDBB03C61600130D000345 +:104AE000CA86EDB383C51600930906FDCA8693876F +:104AF00005FD2E86E3EEFAFA93972900BE99850606 +:104B00008609AE9983C50600938909FD938705FD43 +:104B10002E86E3F3FAFE69BF32479C401443110727 +:104B20003AC62380D7008040050480C095B38547EE +:104B300063D3070BB24793867700E19A9387860089 +:104B40003EC683A946009C4263DC090A94401306D2 +:104B5000D002B307F0402380C6008040B336F00097 +:104B6000B3093041050480C03E8BB389D940A94CBC +:104B700002C4E1BB03C616000508CA8681BB9C407F +:104B8000468921B7E37D9BDD8547814B8546E3C59B +:104B900047E131BD97CC0100938CCC82CE856685F0 +:104BA000EF001039330AAA40635C400180402300C3 +:104BB000A40180407D1A050480C0E31A0AFE014A60 +:104BC00083C70C00E38207EAE3C809E6FD197D57B5 +:104BD000E394E9E6ADBDB247938647009C4336C6F1 +:104BE00093D9F74195B7635640019307D002E31379 +:104BF000FDFA97BC0100938CEC7C930780021DBDED +:104C00003E8BA94C02C4804089B34D71232E3113D1 +:104C1000B70900409387F9FF2324811423229114BC +:104C2000232C4113232A5113232611142320211549 +:104C30002328611323267113232481132322911324 +:104C40002320A113232EB1112A8A2EC417C40100D8 +:104C500013040488975A0300938ACAAE97B40100DC +:104C60009384C44F3EC6930550022945130800049F +:104C7000B70610F083470A006386B704C1C718401F +:104C8000050A13061700569797C8010023A2C88487 +:104C90002300F7006382A706E31E06FD17570300F3 +:104CA000130747AA05078347F7FF23A0F6F01C4028 +:104CB000FD1717C60100232DF680EDF783470A0084 +:104CC000E39EB7FA83461A0013051A002A87930B4E +:104CD00000027D5B7D5C014893055005A5489387E4 +:104CE000D6FD93F7F70F130A170063E7F5348A0729 +:104CF000A6979C43A697828717570300130787A49C +:104D000055F28DBF8320C1140324811483244114E0 +:104D1000032901148329C113032A8113832A411310 +:104D2000032B0113832BC112032C8112832C4112FC +:104D3000032D0112832DC11171618280A14C014D9F +:104D4000854763DB0719A2479D07E19B83AD0700F9 +:104D500003AB4700138787003AC4668681466E8599 +:104D6000DA85EF10E00C2AC863066D35130941019E +:104D70008549668681466E85DA85EF0090556686A0 +:104D80008146AA8D2E8BEF10A00A2320A900850949 +:104D90001109E3106DFFE3FE9DFD13060004B70645 +:104DA00010F063D189031C4013871700D69797B57D +:104DB000010023AFE57023807701630CC7047D1CDD +:104DC000E3C389FFB2476800A548B386F9008A06A5 +:104DD0001C08BE96294813030004370610F0E3842C +:104DE000A6E89C429305000363F4F8009305700560 +:104DF0001840AE9793051700569717BE0100232958 +:104E0000BE6C2300F7006380072B638C6526F116C8 +:104E1000F9B7175703001307E79205078347F7FF12 +:104E200023A0F6F01C40FD1797B5010023A2F56AF8 +:104E3000EDF77D1C71B71C401306000413871700A3 +:104E400097B5010023A6E568B386FA009305000331 +:104E50002380B600630FC73C890797B6010023A9DA +:104E6000F6665697930680072300D700175703006E +:104E70001307478DB70610F0639EC70005078347E9 +:104E8000F7FF23A0F6F01C40FD1717B60100232101 +:104E9000F664EDF7A247C14C014D91072247014B43 +:104EA000832D07003EC455BDA24783AC070013897C +:104EB0004700638E0C3A635480219307D002639EAF +:104EC000FB2E03C70C00BA856310072025AC85476D +:104ED000A94C014DE3C907E7A2479107C1B7A24713 +:104EE00083461700528703AB070091073EC4E3587F +:104EF0000CDE5A8C7D5BE5B383461700930BD00222 +:104F00005287F1BB9347FCFFFD8783461700337C34 +:104F1000FC005287E9B383461700930B00035287C6 +:104F20007DBB03461700138B06FD5287930706FDD2 +:104F3000B286E3EEF8FA93172B00DA970507860797 +:104F4000B29703460700138B07FD930706FDB28651 +:104F5000E3F3F8FE69BFA2451C40294698419386B9 +:104F60001700D69717B501002324D5562380E700F4 +:104F7000138945006300C72A930700046387F6205E +:104F80004AC4D5B1854763DE0729A2471387770056 +:104F9000619B930787003EC4032B47001C43635A61 +:104FA0000B301840130600049306170097B5010054 +:104FB00023A0D55256979305D0022300B7006387EC +:104FC000C62CB307F0403337F000330B6041BE8D81 +:104FD000330BEB40A94C014D49B38346170005083C +:104FE0005287F5B9834617005287D5B91C409306FE +:104FF00000041387170017B60100232BE64CD69741 +:10500000130650022380C700E31FD7C417470300CD +:1050100013074773B70610F005078347F7FF23A070 +:10502000F6F01C40FD1717B601002323F64AEDF7F2 +:105030001DB9C14C014D29B31C40930600042A8AB6 +:105040001387170017B601002324E648D6971306E6 +:1050500050022380C700E318D7C017470300130787 +:10506000676EB70610F005078347F7FF23A0F6F039 +:105070001C40FD1717B60100232CF644EDF72A8AD1 +:10508000DDB6174703001307E76B05078347F7FFF4 +:105090002320F6F01C40FD1797B5010023AAF54226 +:1050A000EDF7F1162DBB174703001307A769F1FDB4 +:1050B000F11635B3E3FC9DCB8549C5B1014C03C75F +:1050C0000C00BA85E30E07EAFD58294513080004D1 +:1050D000B70610F063570B0A1C4013861700D697CB +:1050E00017B301002326C33E2380E7006384A5068F +:1050F00063040609850C03C70C007D1CBA8579FB87 +:10510000E35080E91C409305000213060004B70633 +:1051100010F021A07D1CE3050CE613871700D6973D +:105120002380B70017B501002324E53ABA87E313BB +:10513000C7FE174703001307E76005078347F7FF1C +:1051400023A0F6F01C40FD1717B501002322F53807 +:10515000EDF7C9B7174703001307C75E41DE050720 +:105160008347F7FF23A0F6F01C40FD1717B6010098 +:105170002320F636EDF7BDBF174703001307875C02 +:10518000F9BF7D1BE31A1BF5A5BF174703001307E3 +:10519000675BB70610F005078347F7FF23A0F6F01B +:1051A0001C40FD1717B601002324F632EDF74AC460 +:1051B0005DBC97BC0100938CEC20DA8566858D2B55 +:1051C000330CAC40E35D80EF1C4013060004B706CF +:1051D00010F021A07D1CE3030CEE13871700D69777 +:1051E0002380770197B5010023A4E52EBA87E31346 +:1051F000C7FE174703001307E75405078347F7FF68 +:1052000023A0F6F01C40FD1797B5010023A2F52C52 +:10521000EDF7C9B7174703001307C752BDFA4AC4D1 +:1052200099B4A247138747009C433AC413DBF74164 +:10523000BDB3174703001307E750B70610F0050783 +:105240008347F7FF23A0F6F01C40FD1717B60100B7 +:105250002320F628EDF7854717B70100232AF72604 +:105260009307800717470300230EF74C25B16356B9 +:1052700080019307D002E39EFBF297BC0100938C60 +:105280006C1413078002930580023DBD974603000E +:105290009386464B370610F0850603C7F6FF23209A +:1052A000E6F018407D1797B5010023A3E5226DF7BE +:1052B00009BBBE8DA94C014D4DB4B70710F023A01A +:1052C000A7F201A03971130341022ED29A8506CEAE +:1052D00032D436D63AD83EDA42DC46DE1AC6353209 +:1052E000F2400145216182805D711303810322D464 +:1052F0002AC632DC2A841A86680006D6BEC236DE8A +:10530000BAC0C2C4C6C61ACEEFF06FD4B24723806B +:1053100007003245B250018D225461618280B70787 +:1053200010F083A647F403A607F403A747F4E31A93 +:10533000D7FE8566938606FAB29633B6C600B305E5 +:10534000E60023A4D7F423A6B7F48280B70710F0B1 +:1053500003A747F403A607F483A647F4E31AD7FE8E +:105360008566938606FAB29633B6C60023A4D7F4B0 +:10537000B305E60023A6B7F49307000873A04730EF +:105380008280411122C406C697B701009387C714D3 +:1053900017B401001304041498431C401306F07C56 +:1053A000930647069387470697B5010023A6D512B3 +:1053B00097B5010023A0F5126346D600B2402244FF +:1053C000410182801307478997B7010023A6E710A0 +:1053D000812E85476310F502184085679387F7761D +:1053E0000145E3DDE7FCEFF05FED2244B24041010F +:1053F0006FC09FEC17B50100130545FDEFF09FEC62 +:105400000945EFF09FEB2244B24041016FC0DFEA53 +:1054100017B50100130505FD6FF0DFEA17B50100B0 +:105420001305C5FC6FF01FEA411122C426C206C64F +:10543000856417B401001304E4FB938784380100EA +:10544000FD17F5FF2285EFF0FFE7C5BF41AA411127 +:1054500006C6F924054781476316E500B2403E853C +:105460004101828017B50100130545F6EFF09FE575 +:10547000B24089473E85410182807370043001A0AB +:1054800082807370043001A0011126CA06CE22CC9E +:105490004AC84EC6835745031307901DAA84638BE1 +:1054A000E70A6368F708D1CF1307801D639CE706FE +:1054B00013942500974903009389C92C33898900E7 +:1054C000032509010146CA85EFC0AF89ED57630C7A +:1054D000F50E85476306F50017B701002324F70092 +:1054E000B387890088530146CA85EFB0FFFBF157A7 +:1054F0006304F508ED57630DF50A85476306F5006B +:1055000017B701002320F7FEB3878900884B7D562B +:10551000CA85EFC00F85F157E31AF5FA9307801D8E +:10552000239AF402F2406244D2444249B2490561EE +:1055300082801307E01E638CE7041307F01EE393D9 +:10554000E7FE974903009389E923139425003389E3 +:1055500089005DBF13942500974903009389892230 +:10556000B38789008853338989000146CA85EFB023 +:10557000BFF3F157E310F5F89307E01E239AF40206 +:10558000F2406244D2444249B24905618280139498 +:105590002500974903009389E91E33898900032573 +:1055A00009020146CA85EFB03FF0ED57E317F5F465 +:1055B0009307F01E239AF402F2406244D244424917 +:1055C000B249056182809307901D239AF402F2404C +:1055D0006244D2444249B24905618280797122D441 +:1055E00026D24AD04ECE06D602C6974403009384F4 +:1055F000641B174903001309E919014497090000C6 +:105600009389C9E8014691450545EFB0EFC688C0CA +:10561000014691450545EFB02FC62320A9008840DB +:1056200081466C000146EFB0CFD1228685454E857C +:10563000EFA0FFED0504914791041109E314F4FC78 +:10564000B250225492540259F2494561828097B770 +:1056500001009387E7E89C431307301F8507637CAD +:10566000F70A79714AD0174903001309A91322D404 +:1056700026D24ECE52CC06D697B7010023A207E61B +:105680004A84974903009389E91217BA0100130A63 +:10569000EAE48544084070002C0002C6EFB0FFFA2F +:1056A000630F950497B7010023AE97E21104E3134B +:1056B00034FF83270A00174403001304A40D97B98D +:1056C00001009389A9E1850717B701002328F7E0B6 +:1056D000854408400146CE85EFB0DFF01104630633 +:1056E000950097B7010023AF97DEE31489FEB2500F +:1056F000225492540259F249624A456182802247FB +:1057000083270A006306F70097B7010023AC97DCF4 +:10571000B247C9FB61BF17B701002323F7DC8280C2 +:1057200097B70100938707DC884313351500828003 +:10573000AA95AA876385B70003C7070001E73385E9 +:10574000A74082808507FDB7814863DA05003305ED +:10575000A040B337A000B305B0409D8DFD5863D97C +:1057600006003306C040B337C000B306D0409D8E5C +:1057700032883683AA872E87639F061C97B601005E +:10578000938646B363F1C50C416E6377C60B130E67 +:10579000F00F6373CE002143335E6600F29603CEB2 +:1057A00006001A9E130300023303C341630C030077 +:1057B000B3956500335EC501331866003367BE00DC +:1057C000B3176500135E0801B355C703131508012D +:1057D000418193D607013376C703B305B50242066C +:1057E000D18E63F8B600C29663E5060163F3B60096 +:1057F000C2968D8E33F7C603C207C183B3D6C603E4 +:10580000B306D50213150701C98F63F8D700C297F5 +:1058100063E5070163F3D700C297958F33D567001F +:105820008145638A0800B307A0403337F000B30511 +:10583000B0403E85998D8280370E00014143E36D73 +:10584000C6F5614391BF01E60548335868024167D8 +:105850006373E8081307F00F63730701214333579D +:105860006800BA9603C606001A96130300023303B3 +:10587000C34063190306B385054193560801131508 +:105880000801418113D6070133F7D502B3D5D502FC +:105890004207518FB305B5026378B700429763653D +:1058A00007016373B7004297B305B74033F7D502DA +:1058B000C207C183B3D5D502B305B50213150701DD +:1058C000C98F63F8B700C29763E5070163F3B700B8 +:1058D000C2978D8FA1B7370700014143E361E8F814 +:1058E0006143B5BF3318680033DEC500935E08011D +:1058F0003356C500B31765003355DE03B395650015 +:105900004D8E93150801C181935606013377DE034E +:105910003385A5024207558F6378A70042976365D8 +:1059200007016373A7004297B306A74033F7D60376 +:1059300042064182B3D6D6034207B386D502B36589 +:10594000C70063F8D500C29563E5050163F3D50090 +:10595000C295958D1DB7E3E6D5EC416863F5060564 +:10596000930EF00F33B8DE000E0833DE060117B3D6 +:105970000100130323947293834E0300130E00025D +:10598000C29E330EDE4163170E0263E4B600636904 +:10599000C500B307C540958D3335F5003387A54065 +:1059A0003E85BA85BDBD370300014148E3EF66FA85 +:1059B000614865BF3357D601B396C601D98EB35738 +:1059C000D50133D7D501B395C501CD8F93D5060148 +:1059D0003373B702139F0601135F0F0113D807013A +:1059E0003316C6013315C5013357B7024203336876 +:1059F0000301B30FEF023A83637CF8013698130377 +:105A0000F7FF6367D8006375F8011303E7FF369863 +:105A10003308F841B37FB8023358B802C20FB30558 +:105A20000F03139F0701135F0F0133EFEF0142874D +:105A3000637CBF00369F1307F8FF6367DF00637561 +:105A4000BF001307E8FF369F4203C16F3363E300D3 +:105A50009387FFFF13580601330FBF40B375F30060 +:105A600013530301F18F3387F502B307F302B385B4 +:105A7000050333030303BE95135807012E98637380 +:105A8000F8007E93C167FD17935508013378F8003D +:105A900042087D8F9A9542976366BF00631BBF00E3 +:105AA0006379E5003306C7403337C700958D998D7C +:105AB00032873307E5403335E500B305BF40898DB4 +:105AC000B397D5013357C70133E5E700B3D5C50117 +:105AD00089BBAE87328836872A836396062097A8CB +:105AE00001009388287D63FEC50A41676374E60A56 +:105AF0001307F00F3337C7000E07B356E600B6980A +:105B000083C60800369793060002998E99CAB39708 +:105B1000D7003357E5003318D600B365F7003313C9 +:105B2000D50013550801B3D7A50213160801418209 +:105B30009356030133F7A502B305F6024207D98E47 +:105B40003E8763FCB600C2961387F7FF63E7060142 +:105B500063F5B6001387E7FFC2968D8EB3F7A602F2 +:105B6000420313530301B3D6A602C20733E367000F +:105B7000B305D6023685637BB30042931385F6FFE7 +:105B8000636603016374B3001385E6FF4207498F20 +:105B900081454DA8B70600014147E360D6F661474D +:105BA000A9BF01E6854633D8C602C166637ED80820 +:105BB0009306F00F63F306012147B356E800B69849 +:105BC00083C60800BA9613070002158F49E7B3870A +:105BD00007418545135608019318080193D8080119 +:105BE0009356030133F7C702B3D7C7024207D98ED2 +:105BF0003385F8023E8763FCA600C2961387F7FF41 +:105C000063E7060163F5A6001387E7FFC296898E56 +:105C1000B3F7C602420313530301B3D6C602C20749 +:105C200033E36700B388D8023685637B1301429360 +:105C30001385F6FF63660301637413011385E6FFA2 +:105C40004207498F3A858280B70600014147E366E3 +:105C5000D8F661479DB73318E800B3D5D7003313A2 +:105C6000E500B356D50013550801B397E70033F7A5 +:105C7000A502B3E8F60093170801C18313D6080103 +:105C8000B3D5A5024207518FB386B7022E86637C37 +:105C9000D70042971386F5FF636707016375D70046 +:105CA0001386E5FF4297B306D74033F7A602C20832 +:105CB00093D80801B3D6A6024207B385D702B367CB +:105CC0001701368763FCB700C2971387F6FF63E7B7 +:105CD000070163F5B7001387E6FFC2978D8F931511 +:105CE0000601D98DC5BD63E2D514416763FEE602A6 +:105CF0001308F00F3337D8000E0733D8E60097A506 +:105D000001009385255BC29503C805009305000239 +:105D10003A98B385054185E10547E3E5F6F2333569 +:105D2000C5001347150039BFB70500014147E3E639 +:105D3000B6FC6147D9B733570601B396B600D98E82 +:105D400093DE060133D70701B378D703B397B700C3 +:105D5000335805013363F80093970601C183135844 +:105D600003013316B6003357D703C20833E80801DE +:105D7000338FE7023A8E637CE8013698130EF7FF03 +:105D80006367D8006375E801130EE7FF36983308A0 +:105D9000E841B378D8033358D803C208B38E070359 +:105DA00093170301C183B3E7F800428763FCD7016F +:105DB000B6971307F8FF63E7D70063F5D70113071A +:105DC000E8FFB697420EB387D741C16E3367EE0046 +:105DD0001388FEFF337307019358070133780601D8 +:105DE0004182330E03033388080393560E013303B5 +:105DF000C30242939A96B388C80263F30601F698E9 +:105E000013D60601B29863E01703E39317D9C1676D +:105E1000FD17FD8EC206337EFE003315B500F296E7 +:105E20008145E371D5E27D17A5B38145014719BDD1 +:105E3000B2883687AA872E886398061C97A6010029 +:105E40009386464763F8C50A4163637E6608130379 +:105E5000F00F6373C30021473353E6009A9603CED5 +:105E60000600130300023A9E3303C341630C030090 +:105E7000B3956500335EC501B31866003368BE0094 +:105E8000B317650013D608013377C80213950801CC +:105E9000418193D607013358C8024207D98E33088F +:105EA000050363F80601C69663E5160163F3060170 +:105EB000C696B386064133F7C602C207C183B3D67E +:105EC000C602B306D50213150701C98F63F8D700C0 +:105ED000C69763E5170163F3D700C697958F33D54F +:105EE000670081458280370300014147E36666F61B +:105EF00061479DB701E60546B3581603416663F353 +:105F0000C8081306F00F63731601214733D6E80063 +:105F1000B29603CE0600130300023A9E3303C34138 +:105F200063190306B385154113D7080113950801BA +:105F3000418113D60701B3F6E502B3D5E502C206E7 +:105F4000D18EB305B50263F8B600C69663E51601B7 +:105F500063F3B600C696B385B640B3F6E502C20752 +:105F6000C183B3D5E502B305B50213950601C98F08 +:105F700063F8B700C69763E5170163F3B700C697E8 +:105F80008D8FB1BF370600014147E3E1C8F8614793 +:105F9000B5BFB398680033D7C501B3176500335E4A +:105FA000C50113D50801B376A702B3956500336E1A +:105FB000BE0093950801C18113560E013357A70205 +:105FC000C206D18E3387E50263F8E600C69663E524 +:105FD000160163F3E600C6963386E640B376A60262 +:105FE000420E135E0E013356A602C2063386C50268 +:105FF000B3E5C60163F8C500C69563E5150163F313 +:10600000C500C695918D0DB7E3EED5EC416763F5FC +:10601000E604930EF00F33B7DE000E0733D3E6002D +:1060200097A80100938808299A9883CE0800130E38 +:106030000002BA9E330EDE4163170E0263E4B6001F +:106040006369C500B307C540958D3335F5003388C6 +:10605000A5403E85C28579B5B70800014147E3EF09 +:1060600016FB614765BF3357D601B396C601D98E7B +:10607000B357D50133D7D501B395C501CD8F93D58E +:1060800006013373B702139F0601135F0F0193D804 +:1060900007013316C6013315C5013357B702420352 +:1060A000B36813013308EF023A8363FC0801B69822 +:1060B0001303F7FF63E7D80063F508011303E7FF55 +:1060C000B698B3880841B3FFB802B3D8B802C20F7C +:1060D000B3051F03139F0701135F0F0133EFEF0198 +:1060E0004687637CBF00369F1387F8FF6367DF0036 +:1060F0006375BF001387E8FF369F4203C1683363AF +:10610000E3009387F8FF13580601330FBF40B375C0 +:10611000F30013530301F18F3387F502B307F30242 +:10612000B385050333030303BE95135807012E9867 +:106130006373F8004693C167FD17935508013378E0 +:10614000F80042087D8F9A9542976366BF00631BF3 +:10615000BF006379E5003306C7403337C700958D2C +:10616000998D32873307E5403335E500B305BF40ED +:10617000898DB397D5013357C70133E5E700B3D510 +:10618000C5018DB397A7010093870732944317A7E2 +:106190000100130727301843C8C2637BE50017A727 +:1061A00001001307E72F08438C4391056FA00FF5FB +:1061B000411122C406C617A701001307A72E2A847F +:1061C00008438C439105EFA06FF397A701009387D5 +:1061D000A72A9C436376F40097A7010023AE872883 +:1061E000B24022444101828097A701009387C72BC8 +:1061F000984394437C43FD177CC3FC4299E373604E +:1062000004308280011122CC26CA2A84AE844AC876 +:106210004EC652C456C206CEEFC00F8B1C40032997 +:106220000401B7090001B3E59700930AC4000CC04C +:106230008144FD19370A00021840638C2A030326A3 +:1062400009004A85B3654701B376360193175600B6 +:10625000758F0329490063C4070001E7F1BFE39D7F +:10626000E6FC9317760063D30700D58CEFC0BFF42C +:10627000E1B793C4F4FFF98C04C0EFC0EFA9084064 +:10628000F2406244D2444249B249224A924A0561EC +:106290008280411106C622C4EFF04FB417F7FFFF0A +:1062A0001307670E814605469305400617A50100B2 +:1062B0001305C514EFD03FC419C92A84EFC0CF819C +:1062C0002A860147814685452285EFD07FCFEFB0F2 +:0C62D0003FDAB2402244014541018280C7 +:02000004800278 +:10000000804AFEFF7E4BFEFF884AFEFF7E4BFEFFCE +:100010007E4BFEFF7E4BFEFF7E4BFEFFA04AFEFFA7 +:100020007E4BFEFF7E4BFEFFBA4AFEFFC64AFEFF36 +:100030007E4BFEFFD84AFEFFE44AFEFFE44AFEFF85 +:10004000E44AFEFFE44AFEFFE44AFEFFE44AFEFF04 +:10005000E44AFEFFE44AFEFFE44AFEFF7E4BFEFF59 +:100060007E4BFEFF7E4BFEFF7E4BFEFF7E4BFEFF78 +:100070007E4BFEFF7E4BFEFF7E4BFEFF7E4BFEFF68 +:100080007E4BFEFF7E4BFEFF7E4BFEFF7E4BFEFF58 +:100090007E4BFEFF7E4BFEFF7E4BFEFF7E4BFEFF48 +:1000A0007E4BFEFF7E4BFEFF7E4BFEFF7E4BFEFF38 +:1000B0007E4BFEFF7E4BFEFF7E4BFEFF7E4BFEFF28 +:1000C0007E4BFEFF7E4BFEFF7E4BFEFF7E4BFEFF18 +:1000D0007E4BFEFF7E4BFEFF7E4BFEFF7E4BFEFF08 +:1000E0007E4BFEFF7E4BFEFF7E4BFEFF7E4BFEFFF8 +:1000F0007E4BFEFF7E4BFEFF7E4BFEFF7E4BFEFFE8 +:10010000184BFEFF2E4BFEFF7E4BFEFF7E4BFEFF8D +:100110007E4BFEFF7E4BFEFF7E4BFEFF7E4BFEFFC7 +:100120007E4BFEFF744BFEFF7E4BFEFF7E4BFEFFC1 +:100130002649FEFFDE49FEFF7E4BFEFF7E4BFEFFA3 +:10014000144AFEFF7E4BFEFF6C4AFEFF7E4BFEFF15 +:100150007E4BFEFF9A4AFEFF8C4EFEFFE04EFEFFF6 +:10016000944EFEFFE04EFEFFE04EFEFFE04EFEFF2F +:10017000E04EFEFF864DFEFFE04EFEFFE04EFEFF2E +:10018000A04DFEFFAC4DFEFFE04EFEFFBE4DFEFF5C +:10019000CA4DFEFFCA4DFEFFCA4DFEFFCA4DFEFF0F +:1001A000CA4DFEFFCA4DFEFFCA4DFEFFCA4DFEFFFF +:1001B000CA4DFEFFE04EFEFFE04EFEFFE04EFEFFAA +:1001C000E04EFEFFE04EFEFFE04EFEFFE04EFEFF83 +:1001D000E04EFEFFE04EFEFFE04EFEFFE04EFEFF73 +:1001E000E04EFEFFE04EFEFFE04EFEFFE04EFEFF63 +:1001F000E04EFEFFE04EFEFFE04EFEFFE04EFEFF53 +:10020000E04EFEFFE04EFEFFE04EFEFFE04EFEFF42 +:10021000E04EFEFFE04EFEFFE04EFEFFE04EFEFF32 +:10022000E04EFEFFE04EFEFFE04EFEFFE04EFEFF22 +:10023000E04EFEFFE04EFEFFE04EFEFFE04EFEFF12 +:10024000E04EFEFFE04EFEFFE04EFEFFE04EFEFF02 +:10025000E04EFEFFE04EFEFFFE4DFEFF2C4EFEFF89 +:10026000E04EFEFFE04EFEFFE04EFEFFE04EFEFFE2 +:10027000E04EFEFFE04EFEFFE04EFEFF824EFEFF30 +:10028000E04EFEFFE04EFEFFE44BFEFFDE4CFEFFC5 +:10029000E04EFEFFE04EFEFF504DFEFFE04EFEFF43 +:1002A000764DFEFFE04EFEFFE04EFEFFDA4EFEFF13 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020787878207461736B73200D0A00000018 +:1003E0006D69616F750A00006D69616F75320A0091 +:1003F00068756E6772790A00436865636B54696D4E +:040400006572000021 +:100404001000000000000000017A5200017C01018C +:100414001B0D020010000000180000002853FEFF0E +:100424008A03000000000000100000002C000000FF +:100434009E56FEFF5E030000000000001000000056 +:1004440040000000E859FEFF5403000000000000D3 +:0804E400FC8F0100090000007B +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/dead_rv32i_O0.hex b/VexRiscv/src/test/resources/freertos/dead_rv32i_O0.hex new file mode 100644 index 0000000..f750ee1 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/dead_rv32i_O0.hex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diff --git a/VexRiscv/src/test/resources/freertos/dead_rv32i_O3.hex b/VexRiscv/src/test/resources/freertos/dead_rv32i_O3.hex new file mode 100644 index 0000000..4c449ed --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/dead_rv32i_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/dead_rv32ic_O0.hex b/VexRiscv/src/test/resources/freertos/dead_rv32ic_O0.hex new file mode 100644 index 0000000..6bc7b83 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/dead_rv32ic_O0.hex @@ -0,0 +1,1470 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900061B5170502001305E536979503009385B2 +:1000A000256701461122170502001305E532970561 +:1000B00002009385E5320146C52817A50300130504 +:1000C000656597B503009385A56437B6ABAB13069A +:1000D000B6BAD92817B103001301C163EF40407DC0 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2421A23A02200F322103464 +:1002400096DEEF006030170102000321E118024141 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E21523A0120023A2BD +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40604D17010200032102 +:10033000A10A0241F65273901234B7220000938250 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A20783A0C4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A242F823A0220086DEEF105A +:10046000B04017010200032121F70241F6527390B8 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F0961730020309707BF +:1004C0000200938727F29843FD576305F700737086 +:1004D000043001A07370043001A041112AC6B24754 +:1004E000739047300100410182804111F327403071 +:1004F0003EC673F04330B2473E85410182804111D0 +:100500002AC62EC432C2B247F1173EC62247B247AE +:1005100098C3B247938787FA3EC61247B24798C33B +:10052000B247A1173EC68E873E87B24798C3B247EF +:10053000D1173EC6170700001307A7F8B24798C3A4 +:10054000B2473E8541018280411106C6EF408023BB +:10055000EF10D014AA8799C3EF1010310100B240F8 +:100560004101828041112AC6B24713878700B247F2 +:10057000D8C3B2477D5798C7B24713878700B247A1 +:10058000D8C7B24713878700B24798CBB24723A09A +:10059000070001004101828041112AC6B24723A809 +:1005A000070001004101828001112AC62EC4B24712 +:1005B000DC433ECEA2477247D8C3F2479847A247D2 +:1005C00098C7F2479C472247D8C3F247224798C7AB +:1005D000A247324798CBB2479C4313871700B247D4 +:1005E00098C301000561828001112AC62EC4A2476A +:1005F0009C433ECC6247FD576316F700B2479C4BC5 +:100600003ECE31A8B247A1073ECE21A0F247DC433F +:100610003ECEF247DC439C436247E379F7FEF24764 +:10062000D843A247D8C3A247DC43224798C7A24772 +:10063000724798C7F2472247D8C3A247324798CBA0 +:10064000B2479C4313871700B24798C30100056166 +:10065000828001112AC6B2479C4B3ECEB247DC4392 +:100660003247184798C7B2479C4732475843D8C3C8 +:10067000F247DC4332476316F700B2479847F24728 +:10068000D8C3B24723A80700F2479C431387F7FF5C +:10069000F24798C3F2479C433E8505618280797199 +:1006A00006D622D42AC62EC4B2473ECEF24781E7F0 +:1006B0007370043001A0EF202057F2478043F247C7 +:1006C000D85FF247BC43BE853A85EF40F07EAA87EB +:1006D0003307F400F247D8C3F24723AC0702F247CE +:1006E0009843F24798C7F2478043F247DC5F13878D +:1006F000F7FFF247BC43BE853A85EF40F07BAA87FF +:100700003307F400F247D8C7F2477D57F8C3F247E2 +:100710007D57B8C7A24799EFF2479C4B8DC7F24768 +:10072000C1073E85EF1090402A878547631DF7007B +:10073000F13911A8F247C1073E852D35F24793875D +:1007400047023E850535EF20005185473E85B25072 +:10075000225445618280797106D62AC62EC4B2879A +:10076000A303F10002CCB24781E77370043001A00B +:10077000A24799E302CE01A8A2453245EF40D073CB +:10078000AA8785073ECEF247938747053E85EF301F +:10079000805C2ACAD24785CFA24789E7D247524711 +:1007A00098C331A0D24713874705D24798C3D24791 +:1007B0003247D8DFD2472247B8C385455245C535B1 +:1007C000D247034771002388E704D2473ECCE24773 +:1007D00081E77370043001A0E2473E85B250456165 +:1007E0008280797106D6AA87A307F1001305400518 +:1007F000EF3060562ACEF247B9CFF24723A2070066 +:10080000F24723A00700F24723A40700F24723A6DC +:100810000700F24723AC0702F2470547D8DFF2474B +:1008200023A00704F2477D57F8C3F2477D57B8C7A6 +:10083000F2470347F1002388E704F247C1073E85EA +:100840001533F247938747023E85293B814601468F +:10085000814572453122F2473E85B2504561828022 +:10086000797106D622D42AC6B2473ECCE24781E748 +:100870007370043001A0E247C043EF20001DAA8737 +:100880006313F402E247DC471387F7FFE247D8C758 +:10089000E247DC4791E78146014681456245C92030 +:1008A00085473ECE11A002CEF2473E85B25022547B +:1008B00045618280797106D622D42AC62EC4B247F9 +:1008C0003ECCE24781E77370043001A0E247C043A9 +:1008D000EF20A017AA87631BF400E247DC471387C9 +:1008E0001700E247D8C785473ECE0DA08146224675 +:1008F00081456245252E2ACE724785476318F70049 +:10090000E247DC4713871700E247D8C7F2473E8526 +:10091000B250225445618280797106D62AC62EC40F +:10092000B24781E77370043001A02247B24763F5F4 +:10093000E7007370043001A0094681453245213D2E +:100940002ACEF24781C7F247224798DFF24781E774 +:100950007370043001A0F2473E85B2504561828039 +:10096000397106DE2AC62EC432C236C002D6B2475C +:100970003ED4A25781E77370043001A0A24781E7FB +:10098000A257BC4399E3854711A0814781E7737063 +:10099000043001A0024789476317F700A257D85FC8 +:1009A00085476314F700854711A0814781E773707D +:1009B000043001A0EF20C00AAA8799E3924799E387 +:1009C000854711A0814781E77370043001A0EF20B3 +:1009D000A025A257985FA257DC5F6366F700024725 +:1009E0008947631DF7020246A245225565232AD294 +:1009F000A257DC5389CFA257938747023E85EF1059 +:100A0000F0122A8785476317F700093421A092570F +:100A100091C3ED3AEF202024854771A8924789E7DA +:100A2000EF206023814741A8B25799E77C083E85B3 +:100A3000EF10702785473ED6EF20E021EF10401AD7 +:100A4000EF20801EA257F843FD576315F700A25709 +:100A500023A20704A257B847FD576315F700A25712 +:100A600023A40704EF20201F58007C08BA853E8588 +:100A7000EF107026AA879DEB2255EF006071AA87C0 +:100A80008DC3A257C1071247BA853E85EF10E070AB +:100A900022551525EF108016AA87E39A07F2BD327A +:100AA0003DB72255092DEF10601515B722552125A8 +:100AB000EF10C01481473E85F25021618280797128 +:100AC00006D62AC62EC432C236C0B2473ECCE24752 +:100AD00081E77370043001A0A24781E7E247BC437D +:100AE00099E3854711A0814781E77370043001A025 +:100AF000024789476317F700E247D85F85476314C9 +:100B0000F700854711A0814781E77370043001A089 +:100B1000E93AAA873ECAE247985FE247DC5F63662C +:100B2000F700024789476315F7040246A24562456C +:100B30009521E247B847FD576313F702E247DC53BC +:100B40008DC7E247938747023E85EF10207EAA8734 +:100B500089CF924799CB9247054798C339A0E2477E +:100B6000BC4713871700E247B8C785473ECE11A0A0 +:100B700002CED2473E859532F2473E85B25045615E +:100B80008280797106D62AC62EC4B2473ECCE2478F +:100B900081E77370043001A0E247BC4381C77370E2 +:100BA000043001A0E2479C4381E7E247DC4399E33C +:100BB000854711A0814781E77370043001A0353269 +:100BC000AA873ECAE247985FE247DC5F6377F70493 +:100BD000E2479C5F13871700E24798DFE247B84778 +:100BE000FD576313F702E247DC538DC7E247938753 +:100BF00047023E85EF108073AA8789CFA24799CB21 +:100C0000A247054798C339A0E247BC47138717009E +:100C1000E247B8C785473ECE11A002CED2473E85F7 +:100C20006D38F2473E85B25045618280397106DEEB +:100C30002AC62EC432C236C002D6B2473ED4A2570C +:100C400081E77370043001A0A24781E7A257BC433B +:100C500099E3854711A0814781E77370043001A0B3 +:100C6000EF101060AA8799E3924799E3854711A096 +:100C7000814781E77370043001A0EF10F07AA2572A +:100C80009C5FB5CBA257DC473ED2A2452255F92E38 +:100C9000824795EFA2579C5F1387F7FFA25798DF13 +:100CA000A2579C4391E7EF2060022A87A257D8C33E +:100CB000A2579C4B8DCFA257C1073E85EF1000670E +:100CC0002A8785476315F702EFF04FF40DA0A2576E +:100CD0001257D8C7A257DC5399CBA2579387470224 +:100CE0003E85EF10A064AA8799C3EFF02FF2EF10B2 +:100CF000907685475DA8924789E7EF10D0758147C8 +:100D00006DA0B25799E77C083E85EF10C079854702 +:100D10003ED6EF105074EF00B06CEF10F070A25799 +:100D2000F843FD576315F700A25723A20704A25703 +:100D3000B847FD576315F700A25723A40704EF1027 +:100D4000907158007C08BA853E85EF10C078AA875C +:100D5000A1EB2255CD2EAA879DCFA2579C4391EBA4 +:100D6000EF10906CA257DC433E85EF107052EF10ED +:100D7000906EA257938747021247BA853E85EF10BF +:100D8000C04122550D2EEF007067AA87E39707EE4A +:100D9000EFF0CFE7DDB52255392EEF003066F1BD1B +:100DA0002255112EEF00906581473E85F25021615A +:100DB0008280797106D62AC62EC432C2B2473ECC92 +:100DC000E24781E77370043001A0A24781E7E24760 +:100DD000BC4399E3854711A0814781E773700430D4 +:100DE00001A0EFF08FF0AA873ECAE2479C5FA1CB3B +:100DF000A2456245A52CE2479C5F1387F7FFE247B7 +:100E000098DFE247F843FD576312F702E2479C4B35 +:100E100085C7E247C1073E85EF104051AA8789CFB9 +:100E2000924799CB9247054798C339A0E247FC43C4 +:100E300013871700E247F8C385473ECE11A002CEC4 +:100E4000D2473E85EFF06FE9F2473E85B2504561EB +:100E50008280797106D62AC62EC4B2473ECCE247BC +:100E600081E77370043001A0A24781E7E247BC43E9 +:100E700099E3854711A0814781E77370043001A091 +:100E8000E247BC4381E77370043001A0EFF0EFE567 +:100E9000AA873ECAE2479C5F89CFE247DC473EC84B +:100EA000A2456245E122E2474247D8C785473ECE88 +:100EB00011A002CED2473E85EFF02FE2F2473E85E9 +:100EC000B25045618280797106D62AC6B24781E761 +:100ED0007370043001A0EF103055B2479C5F3ECED6 +:100EE000EF107057F2473E85B250456182807971AC +:100EF00006D62AC6B2473ECEF24781E77370043069 +:100F000001A0EF107052F247D85FF2479C5FB30721 +:100F1000F7403ECCEF103054E2473E85B250456179 +:100F2000828001112AC6B24781E77370043001A0A4 +:100F3000B2479C5F3ECEF2473E8505618280797163 +:100F400006D62AC6B2473ECEF24781E77370043018 +:100F500001A07245E5247245EF20F0720100B25005 +:100F60004561828041112AC6B247FC473E85410156 +:100F7000828041112AC62EC4B2472247F8C7010019 +:100F80004101828041112AC6B24783C707053E85C9 +:100F900041018280797106D62AC62EC432C202CEA1 +:100FA000B247BC4391EFB2479C43D5E7B247DC431D +:100FB0003E85EF10903B2ACEB24723A2070061A8DE +:100FC000924785EFB2479847B247BC433E86A24559 +:100FD0003A85EF20F079B2479847B247BC433E9735 +:100FE000B24798C7B2479847B247DC436365F706F4 +:100FF000B2479843B24798C7B9A8B247D847B24753 +:10100000BC433E86A2453A85EF209076B247D8474A +:10101000B247BC43B307F0403E97B247D8C7B24788 +:10102000D847B2479C43637BF700B247D843B247E7 +:10103000BC43B307F0403E97B247D8C71247894731 +:10104000631BF700B2479C5F99C7B2479C5F138749 +:10105000F7FFB24798DFB2479C5F13871700B2478C +:1010600098DFF2473E85B25045618280011106CE7D +:101070002AC62EC4B247BC439DCBB247D847B2471D +:10108000BC433E97B247D8C7B247D847B247DC43C4 +:101090006366F700B2479843B247D8C7B247D8470C +:1010A000B247BC433E86BA852245EF20706C0100F2 +:1010B000F24005618280011106CE2AC6EF10D036BB +:1010C00025A0B247DC5395C7B247938747023E85B8 +:1010D000EF10C025AA8799C3EF104049B247BC471B +:1010E0001387F7FFB247B8C7B247BC47E34BF0FCE2 +:1010F00011A00100B2477D57B8C7EF10D035EF10EF +:10110000B0321DA0B2479C4B8DC7B247C1073E8588 +:10111000EF10C021AA8799C3EF104045B247FC43A6 +:101120001387F7FFB247F8C3B247FC43E34CF0FC28 +:1011300011A00100B2477D57F8C3EF10D031010074 +:10114000F24005618280797106D62AC6EF10D02D53 +:10115000B2479C5F81E785473ECE11A002CEEF10DB +:10116000902FF2473E85B2504561828001112AC618 +:10117000B24781E77370043001A0B2479C5F81E7FA +:1011800085473ECE11A002CEF2473E8505618280A2 +:10119000797106D62AC6EF103029B247985FB24758 +:1011A000DC5F6315F70085473ECE11A002CEEF103D +:1011B000902AF2473E85B2504561828001112AC6CD +:1011C000B24781E77370043001A0B247985FB2471D +:1011D000DC5F6315F70085473ECE11A002CEF247D3 +:1011E0003E850561828001112AC62EC402CE81A0EF +:1011F000178703001307C74DF2478E07BA979C4322 +:1012000085E7178703001307A74CF2478E07BA97A5 +:10121000224798C3178703001307874BF2478E07AF +:10122000BA973247D8C301A8F24785073ECE724726 +:101230009D47E3FFE7FA01000561828001112AC69C +:1012400002CE0DA81787030013078748F2478E07C1 +:10125000BA97DC433247631CF70017870300130774 +:101260002747F2478E07BA9723A0070001A8F24745 +:1012700085073ECE72479D47E3F6E7FC0100056116 +:101280008280797106D62AC62EC432C2B2473ECEBB +:10129000EF109019F247F843FD576315F700F24736 +:1012A00023A20704F247B847FD576315F700F2473A +:1012B00023A40704EF10301AF2479C5F89EBF24732 +:1012C000938747021246A2453E85EF00907E724505 +:1012D000DD330100B25045618280397106DE2ACECD +:1012E0002ECC36C83AC63EC442C246C0B287231B83 +:1012F000F100F24781E77370043001A03247A5473F +:1013000063F5E7007370043001A0835761019245D3 +:101310003E85EF1080512AD4A257638F0712A2573F +:10132000985B83566101B7070040FD17B6978A079F +:10133000BA973ED29257F19B3ED292578D8B81C77E +:101340007370043001A0835761013E8782463246A4 +:10135000E2452255EF10E0274246F2451255EFF0E4 +:101360000F9A2A87A25798C3A24781C7A24722573C +:1013700098C3EF10700B97F701009387E7239C4306 +:101380001387170097F701009387072398C397F7F0 +:101390000100938767049C4395E397F701009387C7 +:1013A000A703225798C397F701009387E720984334 +:1013B0008547631AF702EF10C02C35A097F701009C +:1013C000938747209C4385E397F701009387C700E5 +:1013D0009C43DC5732476368F70097F70100938717 +:1013E000A7FF225798C397F701009387A71E9C4336 +:1013F0001387170097F701009387C71D98C397F7C6 +:1014000001009387271D9843A257B8C7A257D85702 +:1014100097F701009387C71A9C4363F9E700A25727 +:10142000D85797F701009387A71998C3A257D857A1 +:10143000BA878A07BA978A0717F701001307470484 +:101440003E97A2579107BE853A85EFF0EF95854705 +:101450003ED6EF10500019A0FD573ED632578547B3 +:101460006313F70297F701009387C7159C4381CF59 +:1014700097F70100938747F69C43DC57324763F4A4 +:10148000E700EFE0BFF8B2573E85F250216182805D +:10149000797106D62AC6EF102079B24799E797F7F7 +:1014A0000100938767F39C4311A0B2473ECEF247F9 +:1014B00091073E85EFF0EF99F2479C5791C7F247AD +:1014C000E1073E85EFF0EF98F2479107BE8517F5EB +:1014D00001001305A50BEFF02F8D97F701009387FF +:1014E000270C9C431387170097F701009387470B3E +:1014F00098C397F701009387E70D9C431387170064 +:1015000097F701009387070D98C3EF10C07497F702 +:1015100001009387270B9C439DCB97F7010093878E +:10152000A7EB9C437247631EF70097F70100938770 +:10153000E70A9C4381C77370043001A0EFE01FED00 +:1015400039A0EF10606EEF10A04BEF10C0700100DB +:10155000B25045618280797106D62AC62EC402CE69 +:10156000B24781E77370043001A0A24781E773702E +:10157000043001A097F70100938747069C4381C779 +:101580007370043001A0A92D97F70100938707031A +:101590009C433ECCB2479C432247BA973ECAB247CF +:1015A0009C436247637EF700B2479C435247637493 +:1015B000F7025247E24763F0E70285473ECE21A893 +:1015C000B2479C4352476366F7005247E24763F4D1 +:1015D000E70085473ECEB247524798C3F24789CFCE +:1015E00097F70100938747DF9C4391073E85EFF013 +:1015F0004F865245EF10001B11252AC8C24799E3B8 +:10160000EFE0DFE00100B25045618280797106D6DB +:101610002AC602CEB247A9C397F70100938707FCF9 +:101620009C4381C77370043001A05D2B97F70100C4 +:101630009387C7F89C433247BA973ECC97F701008F +:10164000938787D99C4391073E85EFF08F80624551 +:10165000EF10401565232ACEF24799E3EFE01FDB38 +:101660000100B25045618280797106D62AC6B24720 +:101670003ECCE24781E77370043001A097F7010088 +:10168000938787D59C4362476314F70002CEBDA0C1 +:10169000EF108059E247DC4B3ECAEF10C05B97F772 +:1016A00001009387E7EC9C435247630AF70097F7E2 +:1016B0000100938727EC9C4352476315F700894745 +:1016C0003ECE2DA8524797F701009387A7ED631BE5 +:1016D000F700E2479C5781E78D473ECE05A089473A +:1016E0003ECE29A8524797F70100938727EA631552 +:1016F000F70091473ECE19A085473ECEF2473E8582 +:10170000B25045618280797106D62AC6EF10C05169 +:10171000B24799E797F70100938707CC9C4311A044 +:10172000B2473ECEF247DC573ECCEF10C052E24704 +:101730003E85B25045618280797106D62AC6EFE0B7 +:10174000DFDAAA873ECEB24799E797F70100938781 +:10175000A7C89C4311A0B2473ECCE247DC573ECA23 +:10176000F2473E85EFE07FD7D2473E85B2504561D4 +:101770008280797106D62AC62EC402CE2247A5479A +:1017800063F5E7007370043001A02247A54763F4B6 +:10179000E700A5473EC4EF102049B24799E797F705 +:1017A0000100938767C39C4311A0B2473ECCE24738 +:1017B000BC4B3ECA5247A247630AF70E2247D247A4 +:1017C00063F6E70297F70100938707C19C436247DE +:1017D0006308F70297F70100938707C09C43DC5723 +:1017E0002247636FF70085473ECE19A897F701009F +:1017F000938787BE9C4362476314F70085473ECEBC +:10180000E247DC573EC8E247B84BE247DC57631576 +:10181000F700E2472247D8D7E2472247B8CBE24752 +:101820009C4F63C707002947A2471D8FE24798CF07 +:10183000E247D44B4247BA878A07BA978A0717F715 +:1018400001001307E7C3BA976394F600854711A018 +:101850008147B9C7E24791073E85EFE09FDFE24746 +:10186000D85797F701009387A7D59C4363F9E70002 +:10187000E247D85797F70100938787D498C3E24788 +:10188000D857BA878A07BA978A0717F7010013074C +:1018900027BF3E97E2479107BE853A85EFE0DFD04C +:1018A000724785476314F700EFE05FB6EF10A03A88 +:1018B0000100B25045618280797106D62AC6EF10C8 +:1018C000A036B24799E797F701009387E7B09C43AA +:1018D00011A0B2473ECEF24791073E85EFE07FD799 +:1018E000F2479C5791C7F247E1073E85EFE07FD66C +:1018F000F2479107BE8517F501001305A5CAEFE071 +:10190000BFCAEF10403597F701009387E7AC9C43BF +:1019100072476319F70497F701009387A7CA9C439E +:1019200089CF97F70100938767CB9C4381C773707A +:10193000043001A0EFE09FAD91A097F701009387DD +:1019400067C6984397F70100938707C79C436319BD +:10195000F70097F70100938727A823A0070039A86D +:10196000212729A897F701009387C7C59C4399C7EA +:10197000EF10802BEF10C008EF10E02D0100B250E7 +:101980004561828001112AC602CEB2473ECCB247E1 +:1019900081E77370043001A0E247D84B97F701004C +:1019A000938747C06314F700854711A0814795C30B +:1019B000E247985797F70100938707BC630BF7003E +:1019C000E2479C5799E3854711A0814799C3854712 +:1019D0003ECEF2473E8505618280797106D62AC6E1 +:1019E000B2473ECEB24781E77370043001A0F247A0 +:1019F000C9C797F701009387279E9C437247630EE0 +:101A0000F706EF1060227245B53F2A8785476314B9 +:101A1000F706F24791073E85EFE0BFC3F247D8577C +:101A200097F701009387C7B99C4363F9E700F24732 +:101A3000D85797F701009387A7B898C3F247D857AC +:101A4000BA878A07BA978A0717F70100130747A3CF +:101A50003E97F2479107BE853A85EFE0FFB4F24723 +:101A6000D85797F70100938727979C43DC57636407 +:101A7000F700EFE0BF99EF10001E0100B250456182 +:101A80008280797106D62AC602CEB2473ECCB247D2 +:101A900081E77370043001A0EFE03FA5AA873ECA3A +:101AA0006245CD352A8785476314F70897F701000B +:101AB0009387C7B29C43A5E7E247D85797F7010041 +:101AC000938787919C43DC576364F70085473ECE3C +:101AD000E24791073E85EFE0DFB7E247D85797F737 +:101AE00001009387E7AD9C4363F9E700E247D857CD +:101AF00097F701009387C7AC98C3E247D857BA87D6 +:101B00008A07BA978A0717F70100130767973E9766 +:101B1000E2479107BE853A85EFE01FA911A8E24789 +:101B2000E107BE8517F50100130505A5EFE0DFA766 +:101B3000D2473E85EFE07F9AF2473E85B25045613D +:101B40008280011106CE81480148814701478146C4 +:101B50001306004097E501009385C54A171500005C +:101B6000130585A5EFF06FF72AC632478547631541 +:101B7000F700EF10C06D2AC6324785476319F70298 +:101B80007370043097F70100938707A57D5798C3BA +:101B900097F70100938707A3054798C397F70100BC +:101BA0009387C7A123A00700EFE0AFEF31A0B247B2 +:101BB00081E77370043001A00100F240056182806A +:101BC000411106C67370043097F701009387879F11 +:101BD00023A00700EFE02FFB0100B240410182800B +:101BE00097F701009387879F9C431387170097F708 +:101BF00001009387A79E98C301008280011106CE41 +:101C000002C697F701009387679D9C4381E7737035 +:101C1000043001A0EF10400197F701009387079C63 +:101C20009C431387F7FF97F701009387279B98C37F +:101C300097F701009387879A9C436390071097F763 +:101C40000100938767979C436389070E59A097F714 +:101C5000010093876792DC47DC473EC4A247E10757 +:101C60003E85EFE01F9FA24791073E85EFE07F9EF4 +:101C7000A247D85797F70100938787949C4363F94D +:101C8000E700A247D85797F701009387679398C357 +:101C9000A247D857BA878A07BA978A0717E7010079 +:101CA0001307077E3E97A2479107BE853A85EFE06E +:101CB000BF8FA247D85797E701009387E7719C43EE +:101CC000DC576368F70097F7010093872790054773 +:101CD00098C397F701009387278A9C43ADFB97F73A +:101CE00001009387678E9C438DCF35A0452AAA8734 +:101CF00099C797F701009387678D054798C397F7B2 +:101D000001009387678C9C431387F7FF97F70100C7 +:101D10009387878B98C397F701009387E78A9C4343 +:101D2000F1F797F701009387678A98438547631611 +:101D3000F70085473EC6EFE06FEDEF00D071B24788 +:101D40003E85F24005618280011106CEEF00D06D24 +:101D500097F70100938787869C433EC6EF00B06FDC +:101D6000B2473E85F24005618280011106CEEFE068 +:101D7000CFF7AA873EC697F70100938727849C4335 +:101D80003EC4B2473E85EFE04FF5A2473E85F240A4 +:101D90000561828097F70100938707829C433E8507 +:101DA0008280797106D62AC62EC432C202CEA947D5 +:101DB0003ECC3D3597F70100938707809C4322472F +:101DC0006368F70CE247FD173ECCF24796073247AF +:101DD000B306F7006247BA878A07BA978A0717E7F8 +:101DE00001001307E769BA970546BE853685EF00FF +:101DF000902A2A87F247BA973ECEE247E1F7F247A8 +:101E0000960732473E9797E70100938767769C4392 +:101E10000946BE853A85EF0010282A87F247BA970F +:101E20003ECEF247960732473E9797E701009387E9 +:101E300067749C430946BE853A85EF00D0252A8702 +:101E4000F247BA973ECEF24796073247BA97114605 +:101E500097E50100938585733E85EF00D0232A879F +:101E6000F247BA973ECEF24796073247BA970D46E9 +:101E700097E50100938505733E85EF00D0212A8701 +:101E8000F247BA973ECE924781C7924723A00700F8 +:101E9000B533F2473E85B25045618280797106D6EE +:101EA00002CE97E70100938767739C4363950718F9 +:101EB00097E70100938787709C431387170097E784 +:101EC00001009387A76F98C397E701009387076F77 +:101ED0009C433ECCE247B9EF97E7010093874769FF +:101EE0009C439C4381C77370043001A097E70100B5 +:101EF000938707689C433ECA97E7010093878767EB +:101F0000984397E701009387A76698C397E7010076 +:101F100093874766524798C397E701009387476BBB +:101F20009C431387170097E701009387676A98C35C +:101F3000EF00102D97E701009387076A9C436247E3 +:101F40006365F70C97E70100938787629C439C4386 +:101F500099E3854711A0814781CB97E701009387DB +:101F6000A7677D5798C355A097E7010093874760FF +:101F70009C43DC47DC473EC8C247DC433EC6624761 +:101F8000B2476379F70097E701009387E764324728 +:101F900098C3A5A8C24791073E85EFE08FEBC247E3 +:101FA0009C5791C7C247E1073E85EFE08FEAC247E1 +:101FB000D85797E701009387A7609C4363F9E70030 +:101FC000C247D85797E701009387875F98C3C247F6 +:101FD000D857BA878A07BA978A0717E70100130705 +:101FE000274A3E97C2479107BE853A85EFE0CFDB8F +:101FF000C247D85797E701009387073E9C43DC57B9 +:10200000E362F7F485473ECE35BF97E7010093873B +:10201000A73C9C43D85797E6010093866646BA874B +:102020008A07BA978A07B6979843854763F1E7020C +:1020300085473ECE29A897E701009387E7589C4340 +:102040001387170097E701009387075898C397E70E +:1020500001009387A7579C4399C385473ECEF2471B +:102060003E85B25045618280011106CE97E701009E +:102070009387C7569C4381CB97E701009387075509 +:10208000054798C339A297E701009387275423A0F7 +:10209000070097E70100938727349C439C5B3EC66B +:1020A000B7A7A5A59387575A3EC4B2479C4322477A +:1020B0006314F702B24791079C432247631EF7005F +:1020C000B247A1079C4322476318F700B247B10704 +:1020D0009C4322476308F70497E701009387C72FC3 +:1020E000984397E701009387272F9C4393874703E3 +:1020F000BE853A85EF20B00A35A097E701009387A7 +:10210000274C9C4381E77370043001A097E70100DE +:102110009387074B9C431387F7FF97E7010093874B +:10212000274A98C397E7010093878749984397E622 +:1021300001009386E634BA878A07BA978A07B6976A +:102140009C43C5DF97E70100938787479843BA8789 +:102150008A07BA978A0717E7010013076732BA9709 +:102160003EC29247DC43D8439247D8C39247D843F4 +:102170009247A1076317F7009247DC43D843924781 +:10218000D8C39247DC43D84797E701009387C72419 +:1021900098C30100F24005618280797106D62AC693 +:1021A0002EC4B24781E77370043001A097E70100A5 +:1021B000938787229C43E107BE853245EFE0CFC27B +:1021C00097E70100938747219C4391073E85EFE005 +:1021D0004FC82247FD576310F70297E70100938726 +:1021E000A71F9C439107BE8517E501001305853B9A +:1021F000EFE08FBB19A897E701009387273C9C432A +:102200002247BA973ECE7245712B0100B25045610C +:102210008280797106D62AC62EC432C2B24781E7BF +:102220007370043001A097E701009387273B9C431C +:1022300081E77370043001A097E701009387C71905 +:102240009C43A24637070080558F98CF97E701003F +:10225000938787189C43E107BE853245EFE0CFB4F2 +:1022600097E70100938747179C4391073E85EFE06E +:102270004FBE1247FD576310F70297E7010093879F +:10228000A7159C439107BE8517E50100130585310D +:10229000EFE08FB119A897E70100938727329C439D +:1022A0001247BA973ECE7245F5290100B2504561FA +:1022B0008280797106D62AC62EC432C2B24781E71F +:1022C0007370043001A097E701009387E7109C43E7 +:1022D000E107BE853245EFE02FAD97E70100938718 +:1022E000A70F9C4391073E85EFE0AFB612478547A5 +:1022F0006310F70297E701009387070E9C4391074D +:10230000BE8517E501001305E529EFE0EFA919A83F +:1023100097E701009387872A9C432247BA973ECECE +:10232000724549210100B25045618280797106D61B +:102330002AC6B247DC47DC473ECCE24781E77370F0 +:10234000043001A0E247E1073E85EFE08FB097E758 +:1023500001009387A7289C43A1EBE24791073E85A4 +:10236000EFE02FAFE247D85797E701009387472563 +:102370009C4363F9E700E247D85797E7010093874A +:10238000272498C3E247D857BA878A07BA978A079B +:1023900017E701001307C70E3E97E2479107BE8576 +:1023A0003A85EFE06FA011A8E247E107BE8517E587 +:1023B00001001305651CEFE02F9FE247D85797E710 +:1023C0000100938767019C43DC5763FBE700854767 +:1023D0003ECE97E701009387671F054798C311A07A +:1023E00002CEF2473E85B25045618280797106D6B1 +:1023F0002AC62EC497E701009387471E9C4381E7B6 +:102400007370043001A02247B70700805D8FB24788 +:1024100098C3B247DC473ECCE24781E77370043093 +:1024200001A03245EFE0EFA2E24791073E85EFE0E1 +:102430004FA2E247D85797E70100938767189C435C +:1024400063F9E700E247D85797E7010093874717FA +:1024500098C3E247D857BA878A07BA978A0717E717 +:1024600001001307E7013E97E2479107BE853A85D1 +:10247000EFE08F93E247D85797E701009387C7F5BE +:102480009C43DC5763FBE70085473ECE97E701009E +:102490009387C713054798C311A002CEF2473E8524 +:1024A000B2504561828041112AC6B24781E77370FC +:1024B000043001A097E70100938787119843B24742 +:1024C00098C397E701009387670F9843B247D8C333 +:1024D000010041018280797106D62AC62EC4B24716 +:1024E00081E77370043001A0A24781E77370043064 +:1024F00001A01D2F97E701009387470C9C433ECC1A +:10250000A2479843FD576314F70002CE91A8B24743 +:10251000984397E701009387A70B9C43630AF70052 +:10252000B247DC4362476365F70085473ECE0DA89E +:10253000B247DC4362471D8FA2479C436370F7029A +:10254000A2479843B247D443E247B387F6403E9749 +:10255000A24798C33245813F02CE19A085473ECE9F +:10256000DD2DF2473E85B2504561828097E701003C +:102570009387C705054798C30100828001112AC6C9 +:10258000B24799C7B2473ECCE247FC473ECE11A0C6 +:1025900002CEF2473E850561828001112AC62EC413 +:1025A000B24791C7B2473ECEF2472247F8C7010073 +:1025B00005618280011106CE2AC6852297E70100B7 +:1025C000938707EC98438547E3F9E7FEEFD01FE4D4 +:1025D000EDB7397106DE2ACE2ECC32CA36C8BA879C +:1025E0002317F10002D625A06247B257BA9703C756 +:1025F0000700F246B257B697238AE7026247B257FE +:10260000BA9783C7070089CBB25785073ED63257A2 +:10261000BD47E3FBE7FC11A00100F247A3810704DB +:102620005247A54763F4E700A5473ECAF247524721 +:10263000D8D7F2475247B8CBF24723AA0704F2474C +:1026400091073E85EFD05FF5F247E1073E85EFD079 +:10265000BFF4F247724798CB2947D2471D8FF24704 +:1026600098CFF2477247D8D3F24723A20704F24724 +:1026700023AC0704F24723AE07040100F2502161A6 +:102680008280011106CE02C60DA03247BA878A07A2 +:10269000BA978A0717E70100130787DEBA973E85C6 +:1026A000EFD05FECB24785073EC63247A547E3FE51 +:1026B000E7FC17E50100130525E9EFD0BFEA17E5B0 +:1026C00001001305A5E9EFD0FFE917E501001305A7 +:1026D000A5EAEFD03FE917E50100130525EBEFD0A0 +:1026E0007FE817E501001305E5EBEFD0BFE797E7BB +:1026F00001009387E7E717E701001307E7E498C3B2 +:1027000097E70100938707E717E70100130707E53D +:1027100098C30100F24005618280011106CE8DA8A8 +:10272000EFF00FCC97E70100938747E69C4393B700 +:10273000170093F7F70F3EC6EFF04FCCB247A9EB67 +:10274000E52197E70100938767E4DC47DC473EC457 +:10275000A24791073E85EFD0DFEF97E7010093870F +:10276000A7E59C431387F7FF97E701009387C7E42A +:1027700098C397E701009387A7E29C431387F7FF6D +:1027800097E701009387C7E198C3F1212245912C77 +:1027900097E701009387C7E09C43D9F30100F2401B +:1027A00005618280011106CE2AC697E70100938752 +:1027B000A7C29C433247D8C397E70100938707E03D +:1027C0009C4332476372F70297E70100938787DAE9 +:1027D000984397E70100938727C09C439107BE85E4 +:1027E0003A85EFD07FE035A897E70100938747D877 +:1027F000984397E70100938727BE9C439107BE85C6 +:102800003A85EFD07FDE97E701009387E7DC9C43B2 +:1028100032476378F70097E701009387E7DB324799 +:1028200098C30100F24005618280797106D6AA87BB +:102830002EC42317F100A24789EB8357E1008A07D2 +:102840003E85EF104051AA8711A0A2473ECCE24737 +:1028500085C313050006EF1000502ACEF24789C742 +:10286000F247624798DB31A06245EF10C06111A0CA +:1028700002CEF24781CFF247985B8357E1008A0787 +:102880003E869305500A3A85EF104075F2473E8523 +:10289000B25045618280397106DE22DC2AC62EC420 +:1028A00032C202D6A2479C43638D070EA2473ED494 +:1028B000A257DC43D843A257D8C3A257D843A25744 +:1028C000A1076317F700A257DC43D843A257D8C328 +:1028D000A257DC43DC473ED2A2473ED08257DC43BE +:1028E000D8438257D8C38257D8438257A10763176A +:1028F000F7008257DC43D8438257D8C38257DC4362 +:10290000DC473ECEB25796073247BA97724798C314 +:10291000B25796073247BA97724713074703D8C38F +:10292000B25796073247BA977247384798C7B25797 +:1029300096073247BA971247D8C7B2579607324719 +:10294000BA977247585798CB12478D47631BF700C9 +:10295000F2479C5799C7B25796073247BA9709472B +:10296000D8C7B25796073247BA977247384BD8CB79 +:10297000B25796073247BA9723AC0700F247945BE9 +:10298000B257960732473304F70036850D20AA87E1 +:10299000231EF400B25785073ED672479257E31DB7 +:1029A000F7F2B2573E85F2506254216182800111E4 +:1029B0002AC602CE39A0B24785073EC6F247850730 +:1029C0003ECEB24703C707009307500AE305F7FE60 +:1029D000F24789833ECEF247C207C1833E85056137 +:1029E0008280011106CE2AC6B2479C5B3E85EF105D +:1029F00080493245EF1020490100F2400561828094 +:102A0000411197E701009387A7B69C439C4399E344 +:102A1000854711A0814781CB97E701009387C7BB0A +:102A20007D5798C305A097E70100938767B49C433F +:102A3000DC47DC473EC6B247D84397E7010093879F +:102A4000A7B998C3010041018280411197E70100B5 +:102A5000938787989C433EC6B2473E85410182805A +:102A6000411197E701009387E7B59C4381E78547CC +:102A70003EC619A897E70100938747B69C4381E7B4 +:102A800089473EC611A002C6B2473E8541018280F9 +:102A9000797106D62AC6B2473ECEB247F9C3F2478D +:102AA000D85797E70100938727939C43DC57637AB5 +:102AB000F70AF2479C4F63CC070097E70100938722 +:102AC000A7919C43DC5729471D8FF24798CFF247C7 +:102AD000D44BF247D857BA878A07BA978A0717E7BD +:102AE00001001307E799BA976394F600854711A090 +:102AF0008147A5C3F24791073E85EFD09FB597E781 +:102B000001009387678D9C43D857F247D8D7F24787 +:102B1000D85797E701009387A7AA9C4363F9E7007A +:102B2000F247D85797E70100938787A998C3F247E0 +:102B3000D857BA878A07BA978A0717E70100130799 +:102B400027943E97F2479107BE853A85EFD0DFA5DF +:102B500009A897E70100938727889C43D857F24735 +:102B6000D8D70100B25045618280797106D62AC655 +:102B7000B2473ECC02CEB247DDC397E70100938750 +:102B8000A7859C4362476305F7007370043001A07A +:102B9000E247FC4B81E77370043001A0E247FC4B35 +:102BA0001387F7FFE247F8CBE247D857E247BC4B21 +:102BB0006307F706E247FC4BBDE3E24791073E851A +:102BC000EFD03FA9E247B84BE247D8D7E247DC57FE +:102BD00029471D8FE24798CFE247D85797E7010072 +:102BE0009387079E9C4363F9E700E247D85797E72E +:102BF00001009387E79C98C3E247D857BA878A07B2 +:102C0000BA978A0717E70100130787873E97E247BD +:102C10009107BE853A85EFD03F9985473ECEF24772 +:102C20003E85B250456182807370043097E70100A1 +:102C3000938747999C4391CF97D701009387C77992 +:102C40009C43F8430507F8C397D701009387C778DB +:102C50009C430100828097E701009387A7969C43DD +:102C60008DCB97D70100938727779C43FC4395C36F +:102C700097D70100938747769C43F8437D17F8C3A5 +:102C800097D70100938747759C43FC4399E3736092 +:102C9000043001008280411197D701009387C773E8 +:102CA0009C439C4F3EC697D701009387E7729C4395 +:102CB000D85797D70100938727729C43A94633873B +:102CC000E64098CFB2473E854101828097D7010008 +:102CD000938787709C4389CB97D701009387C76FF1 +:102CE0009C43F84B0507F8CB97D701009387C76E35 +:102CF0009C433E858280797106D62AC62EC42D3724 +:102D000097D701009387476D9C43BC4FADE397D79E +:102D100001009387676C9C430547F8CFA247A1CB7E +:102D200097D701009387476B9C4391073E85EFD06F +:102D30005F922247FD576310F70297D701009387F0 +:102D4000A7699C439107BE8517E50100130585859A +:102D5000EFD09F8519A897E70100938727869C43AA +:102D60002247BA973ECE7245353CEFD02FEAE53583 +:102D7000653D97D70100938727669C43BC4F3ECCA7 +:102D8000E2479DC3B24789CB97D701009387C764B9 +:102D90009C4323AC070409A897D701009387C76316 +:102DA0009C43B84F7D17B8CF97D701009387C76270 +:102DB0009C4323AE07044535E2473E85B25045614A +:102DC0008280797106D62AC62EC432C236C0A93D89 +:102DD00097D70100938747609C43F84F8947630D5D +:102DE000F70697D701009387275F9C43B44F32477C +:102DF0001347F7FF758FB8CF97D701009387C75D4B +:102E00009C430547F8CF8247A1CB97D70100938712 +:102E1000A75C9C4391073E85EFD0BF830247FD57D7 +:102E20006310F70297D701009387075B9C439107D4 +:102E3000BE8517D501001305E576EFD0EFF619A88A +:102E400097D70100938787779C430247BA973ECC78 +:102E50006245893AEFD08FDBFD3BF933924789CB4E +:102E600097D70100938747579C43B84F924798C321 +:102E700097D70100938747569C43F84F85476314C3 +:102E8000F70002CE31A897D701009387E7549C43FF +:102E9000B44F22471347F7FF758FB8CF85473ECE13 +:102EA00097D70100938747539C4323AE07046533AC +:102EB000F2473E85B25045618280797106D62AC6B6 +:102EC0002EC432C236C085473ECEB24781E773700A +:102ED000043001A0B2473ECC813B824789C7E2471C +:102EE000B84F824798C3E247FC4F3ECAE2470947C2 +:102EF000F8CF1247914763EEE704924713972700F4 +:102F000097D7010093878710BA97984397D7010006 +:102F10009387C70FBA978287E247B84FA2475D8F62 +:102F2000E247B8CF3DA0E247BC4F13871700E24706 +:102F3000B8CF05A0E2472247B8CF21A8524789471A +:102F40006306F700E2472247B8CF21A002CE11A0C6 +:102F5000010052478547631AF706E24791073E850D +:102F6000EFD02FEFE247D85797D7010093874765F7 +:102F70009C4363F9E700E247D85797D7010093874E +:102F8000276498C3E247D857BA878A07BA978A074F +:102F900017D701001307C74E3E97E2479107BE853A +:102FA0003A85EFD06FE0E2479C5781C773700430D9 +:102FB00001A0E247D85797D701009387E7419C4388 +:102FC000DC5763F4E700EFD06FC47131F2473E8500 +:102FD000B25045618280397106DE2ACE2ECC32CACB +:102FE00036C83AC685473ED6F24781E7737004304B +:102FF00001A0F2473ED4EFD04FCFAA873ED2C247BE +:1030000089C7A257B84FC24798C3A257FC4F3ED0BA +:10301000A2570947F8CF5247914763EEE704D247DA +:103020001397270097D70100938787FFBA97984394 +:1030300097D701009387C7FEBA978287A257B84FE8 +:10304000E2475D8FA257B8CF3DA0A257BC4F138770 +:103050001700A257B8CF05A0A2576247B8CF21A842 +:10306000025789476306F700A2576247B8CF21A0ED +:1030700002D611A0010002578547631DF708A25729 +:103080009C5781C77370043001A097D701009387C4 +:10309000E7549C43A1EBA25791073E85EFD06FDB2D +:1030A000A257D85797D70100938787519C4363F95C +:1030B000E700A257D85797D701009387675098C366 +:1030C000A257D857BA878A07BA978A0717D7010035 +:1030D0001307073B3E97A2579107BE853A85EFD06D +:1030E000AFCC11A8A257E107BE8517D50100130583 +:1030F000A548EFD06FCBA257D85797D70100938739 +:10310000A72D9C43DC5763F7E700B24781C7B2475E +:10311000054798C392573E85EFD02FBCB2573E85E6 +:10312000F25021618280797106D62AC62EC4B24738 +:1031300081E77370043001A0B2473ECEEFD0EFBA02 +:10314000AA873ECCF247FC4F3ECAF2470947F8CF68 +:10315000F247BC4F13871700F247B8CF5247854755 +:10316000631DF708F2479C5781C77370043001A0B4 +:1031700097D70100938787469C43A1EBF2479107BD +:103180003E85EFD00FCDF247D85797D701009387F0 +:1031900027439C4363F9E700F247D85797D70100CC +:1031A0009387074298C3F247D857BA878A07BA97D6 +:1031B0008A0717D701001307A72C3E97F2479107FC +:1031C000BE853A85EFD04FBE11A8F247E107BE8514 +:1031D00017D501001305453AEFD00FBDF247D85778 +:1031E00097D701009387471F9C43DC5763F7E7009D +:1031F000A24781C7A247054798C3E2473E85EFD063 +:10320000CFAD0100B25045618280797106D62AC6E1 +:10321000B2473ECCE24799E797D701009387C71B97 +:103220009C4311A0E2473ECC0134E247F84F894766 +:103230006318F700E24723AE070485473ECE11A08E +:1032400002CE113CF2473E85B250456182800111A9 +:1032500006CE02C61D2B97D701009387673B9C4380 +:103260009DC38148014881470947814613060040B4 +:1032700097D50100938505DC170500001305051B94 +:10328000EFE0AF852AC6B24781E77370043001A032 +:10329000B2473E85F24005618280397106DE2ACE52 +:1032A0002ECC32CA36C83AC6E24799E302D62DA8D8 +:1032B0001305C002EF00302A2AD6B25795C7F12174 +:1032C000B257724798C3B257624798CFB257524726 +:1032D000D8CFB257424798D3B2573247D8D3B25714 +:1032E00091073E85EFD04FABE24781E77370043022 +:1032F00001A0B2573E85F25021618280397106DE0D +:103300002ACE2ECC32CA36C83AC602D6F24781E758 +:103310007370043001A097D701009387672F9C43F7 +:10332000B5CBE2473ED0D2473ED2F2473ED46247C9 +:10333000954763C4E704EFF0AFF22A87894763102B +:10334000F70297D701009387A72C9C43181081465A +:103350003246BA853E85EFD0AFE02AD625A897D76A +:1033600001009387E72A9C43181081460146BA85DD +:103370003E85EFD0EFDE2AD631A897D7010093879C +:1033800027299C43181081464246BA853E85EFD0D6 +:103390000FF32AD6B2573E85F25021618280011187 +:1033A0002AC6B2473ECEB24781E77370043001A00F +:1033B000F2479C433E8505618280797106D62AC614 +:1033C0002EC497D70100938727249C43DC47DC4712 +:1033D0003ECEF24791073E85EFD0AFA7F247D84FD8 +:1033E0008547631BF702F247984FB247BA97B24638 +:1033F0002246BE857245B9222A878547631EF7009B +:1034000001478146324681457245CD3D2ACCE2478F +:1034100081E77370043001A0F247DC537245829754 +:103420000100B25045618280797106D62AC63C08F7 +:103430003E8541282ACEE247BE8572451920692281 +:10344000FDB7797106D62AC62EC4EFE06FF93C08A5 +:103450003E8545282ACEE247B9EFA24799EB32478D +:10346000F24763E8E700EFE06FF9F2453245B13724 +:10347000A9A0A24781CF97D70100938727199C4322 +:103480009C4393B7170093F7F70F3EC497D70100FB +:103490009387071894433247F247B307F740224611 +:1034A000BE853685EFD0FFDDEFE04FF5AA8791E7C7 +:1034B000EFC0DFF519A0EFE06FF40100B2504561F5 +:1034C000828001112AC697D701009387E7139C4396 +:1034D0009C4393B7170093F7F70F3E87B24798C303 +:1034E000B2479C4391EB97D701009387E7119C4328 +:1034F000DC479C433ECE11A002CEF2473E850561DB +:103500008280797106D62AC6EFE01F842ACE97D72B +:103510000100938727109C4372476377F700692A5D +:10352000B247054798C321A0B24723A0070097D709 +:1035300001009387270E724798C3F2473E85B25029 +:1035400045618280797106D62AC62EC432C236C041 +:1035500002CEB2472247D8C3B247324798CB224760 +:10356000924763E8E702124782471D8FB2479C4F9C +:103570006365F70085473ECE99A097D701009387F2 +:10358000E7089843B2479107BE853A85EFD0CF85CB +:103590003DA0124782476379F7002247824763655F +:1035A000F70085473ECE21A897D701009387C7052E +:1035B0009843B2479107BE853A85EFD0EF82F24734 +:1035C0003E85B25045618280797106D6D9A0A24766 +:1035D00063C0070CC2473ECEF247DC4B91C7F247AF +:1035E00091073E85EFD0EF865C003E85193F2ACCDF +:1035F000A2472547636FF7081397270097D7010065 +:103600009387C7A3BA97984397D70100938707A3D7 +:10361000BA9782873247F2479C4FBA973247BA86A9 +:103620006246BE857245393F2A8785476313F70690 +:10363000F247DC5372458297F247D84F8547631AA9 +:10364000F7043247F2479C4FBA97014781463E86BE +:103650008145724565312ACAD24785EF73700430BF +:1036600001A03247F24798CFF2479C4F81E7737031 +:10367000043001A0F247984FE247BA97E24662460B +:10368000BE857245C13531A07245EF00C07F11A0E3 +:10369000010097D701009387A7F79C433800814624 +:1036A0000146BA853E85EFD06FD8AA87E39107F22D +:1036B0000100B25045618280797106D671A097D71A +:1036C0000100938767F49C43DC479C433ECC97D72B +:1036D0000100938767F39C43DC47DC473ECAD2472F +:1036E00091073E85EFC0FFF6D247DC5352458297E3 +:1036F000D247D84F85476319F704D2479C4F62479A +:10370000BA973EC84247E24763F4E702D2474247CE +:10371000D8C3D247524798CB97D701009387C7EEBB +:103720009843D2479107BE853A85EFC0FFEB29A8A1 +:1037300001478146624681455245C9362AC6B2478D +:1037400081E77370043001A097D701009387C7EB1E +:103750009C439C43ADF797D701009387E7EA9C43CE +:103760003ECE97D70100938767EA984397D7010029 +:10377000938787E998C397D70100938727E9724712 +:1037800098C30100B25045618280411106C6EFF036 +:10379000AFC997D701009387A7E79C43C9E717D51F +:1037A00001001305E5E3EFC0FFDB17D501001305AA +:1037B00065E4EFC03FDB97D701009387E7E417D7B5 +:1037C00001001307E7E198C397D70100938707E447 +:1037D00017D70100130707E298C30146B145114509 +:1037E000EFC07FF72A8797D70100938767E298C3D6 +:1037F00097D701009387C7E19C4381E7737004303A +:1038000001A097D701009387A7E09C4389CF97D762 +:1038100001009387E7DF9C4397D50100938585845A +:103820003E85EFD05F9CEFF00FC30100B240410135 +:103830008280797106D62AC6B2473ECEB24781E76A +:103840007370043001A0EFF02FBEF247DC4BB337AA +:10385000F00093F7F70F3ECCEFF0EFBFE2473E8565 +:10386000B25045618280797106D62AC6B2473ECEF3 +:10387000B24781E77370043001A0EFF0EFBAF2476E +:103880009C533ECCEFF02FBDE2473E85B2504561E0 +:103890008280797106D62AC62EC4B2473ECEB24780 +:1038A00081E77370043001A0EFF00FB8F2472247B0 +:1038B00098D3EFF04FBA0100B250456182800111F8 +:1038C00006CE714549292AC6B24789CBB24723A003 +:1038D0000700B24791073E85EFC0DFC8B2473E857B +:1038E000F24005618280397106DE2AC62EC432C2DA +:1038F00036C0B2473ED402D21247B70700FFF98F55 +:1039000081C77370043001A0924781E7737004305F +:1039100001A0EFF0EF94AA8799E3824799E38547E6 +:1039200011A0814781E77370043001A0EFE04FAB35 +:10393000A2579C433ED0A245324585240257A24758 +:103940005D8F9247F98F12476310F7020257A24723 +:10395000D98F3ED6A2579843924793C7F7FF7D8FE2 +:10396000A25798C302C025A0824799CFA257938639 +:1039700047001247B7070005D98F0246BE85368536 +:10398000EFE03F8902D621A0A2579C433ED6EFE04C +:10399000EFA62ACE8247B1CBF24799E3EFC01FA72B +:1039A000EFF06FAF2AD63257B7070002F98F85EBD9 +:1039B000EFF08FA7A2579C433ED632579247F98F1C +:1039C0001247631AF700A2579843924793C7F7FF2D +:1039D0007D8FA25798C3EFF00FA885473ED232578C +:1039E000B7070001FD17F98F3ED6B2573E85F2505A +:1039F000216182805D7186C62ACE2ECC32CA36C83D +:103A00003AC6F2473EDA02DC02D8F24781E7737029 +:103A1000043001A06247B70700FFF98F81C77370B8 +:103A2000043001A0E24781E77370043001A0EFF099 +:103A30002F83AA8799E3B24799E3854711A081476D +:103A400081E77370043001A0EFE08F99D2579C4357 +:103A50003ED64246E245325575242AD4A25799CF24 +:103A6000B2573EDE02C6D247A9CBD2579843E247AF +:103A700093C7F7FF7D8FD25798C381A0B24781E7E4 +:103A8000B2573EDE1DA8D24791C76257B707000163 +:103A9000D98F3EDCC24791C76257B7070004D98F60 +:103AA0003EDCD257938647006247E257D98F3246B1 +:103AB000BE853685EFE0EFF502DEEFE02F942AD2E7 +:103AC000B247B9CB925799E3EFC05F94EFF0AF9C48 +:103AD0002ADE7257B7070002F98F8DEBEFF0CF9413 +:103AE000D2579C433EDE4246E2457255212CAA87BE +:103AF00099CBD24789CBD2579843E24793C7F7FF78 +:103B00007D8FD25798C3EFF00F9502D87257B70741 +:103B10000001FD17F98F3EDEF2573E85B640616128 +:103B20008280797106D62AC62EC4B2473ECEB247ED +:103B300081E77370043001A02247B70700FFF98FB7 +:103B400081C77370043001A0EFF00F8EF2479C43E1 +:103B50003ECCF2479843A24793C7F7FF7D8FF247C9 +:103B600098C3EFF04F8FE2473E85B25045618280A7 +:103B7000797106D62AC6B2473ECEEFC01F97AA87F4 +:103B80003ECCF2479C433ECAE2473E85EFC0FF94DD +:103B9000D2473E85B250456182805D7186C62AC695 +:103BA0002EC402DCB2473ED802DAB24781E7737016 +:103BB000043001A02247B70700FFF98F81C7737057 +:103BC000043001A0C25791073ED6B257A1073ED498 +:103BD000EFE00F81B257DC473EDEC2579843A24761 +:103BE0005D8FC25798C3BDA8F257DC433ED2F2574F +:103BF0009C433ED002DA0257B70700FFF98F3ECE52 +:103C00000257B7070001FD17F98F3ED07247B7077B +:103C10000004F98F89EBC25798438257F98F89CFF7 +:103C200085473EDA11A8C25798438257F98F025749 +:103C30006314F70085473EDAD2579DC37247B70732 +:103C40000001F98F89C762578257D98F3EDCC2576E +:103C50009843B7070002D98FBE857255EFE00FF980 +:103C600092573EDE7257A257E310F7F8C2579843B7 +:103C7000E25793C7F7FF7D8FC25798C3EFD01FF865 +:103C8000C2579C433E85B64061618280797106D6F9 +:103C90002AC6B2473ECEF24791073ECCEFD05FF442 +:103CA0000DA0E247D847E247A1076315F7007370FC +:103CB000043001A0E247DC47B70500023E85EFE093 +:103CC000EFF2E2479C43F1FF7245752AEFD01FF3F4 +:103CD0000100B25045618280011106CE2AC62EC471 +:103CE000A24532455D3D0100F2400561828001112F +:103CF00006CE2AC62EC4A245324525350100F24023 +:103D00000561828001112AC62EC432C202CE9247BA +:103D100081EB3247A247F98F81CF85473ECE09A874 +:103D20003247A247F98F22476314F70085473ECEFA +:103D3000F2473E850561828001112AC6B2473ECC1A +:103D4000B24799E302CE21A0E2479C4F3ECEF24714 +:103D50003E8505618280397106DE2AC602D2EFD027 +:103D60003FE8976703009387278C9C4381EB55229C +:103D7000976703009387478B054798C3B24789CF5E +:103D8000A1473E87B247BA973EC6B2478D8B89C7D7 +:103D9000B247F19B91073EC6B247F9CB3247E56780 +:103DA000ED1763E7E70C976703009387E7863ED438 +:103DB00097670300938747869C433ED631A0B2574E +:103DC0003ED4B2579C433ED6B257DC43324763F5EC +:103DD000E700B2579C43E5F7325797670300938794 +:103DE00027846307F708A2579C432147BA973ED21E +:103DF000B2579843A25798C3B257D843B247B307B4 +:103E0000F740214706076378F7043257B247BA975D +:103E10003ECEB257D843B2471D8FF247D8C3B257F0 +:103E20003247D8C3F247DC433ECC97570300938711 +:103E3000A77E3ED021A082579C433ED082579C4310 +:103E4000DC436247E3E9E7FE82579843F24798C3B1 +:103E50008257724798C397C70100938727599843A1 +:103E6000B257DC431D8F97C701009387275898C32B +:103E7000EFD0DFD8925799E3EF00D03192573E85CB +:103E8000F25021618280797106D62AC6B2473ECCB3 +:103E9000B247BDC3A147B307F0406247BA973ECCD3 +:103EA000E2473ECAEFD0DFD3D247DC433EC8975744 +:103EB0000300938767763ECE21A0F2479C433ECE17 +:103EC000F2479C43DC434247E3E9E7FEF24798436D +:103ED000D24798C3F247524798C3D247D84397C7AF +:103EE00001009387A7509C433E9797C70100938793 +:103EF000E74F98C3EFD09FD00100B2504561828058 +:103F000097C701009387874E9C433E8582800100BE +:103F10008280411197C7010093874770F19B3EC68D +:103F2000975703009387476F324798C3975703000B +:103F30009387876E23A20700975703009387476EE6 +:103F400065677117D8C3975703009387676D23A0E0 +:103F50000700B2473EC4A24765677117D8C3A2479E +:103F6000175703001307C76B98C3010041018280F4 +:103F700001112AC62EC432C2A2473ECEB2473ECC61 +:103F80003247A247D98F8D8BA1E319A8F2479843F6 +:103F9000E24798C3E24791073ECCF24791073ECEF5 +:103FA0009247F5173247BA976247E361F7FE29A8AF +:103FB0007247930717003ECEE2479386170036CC30 +:103FC000034707002380E70032479247BA976247CA +:103FD000E360F7FEB2473E850561828001112AC683 +:103FE0002EC432C232479247D98F8D8B9DEFA247A4 +:103FF00093F7F70F3ECAD247A2075247D98F3ECA5E +:10400000D247C2075247D98F3ECAB2473ECE39A0E7 +:10401000F247138747003ACE524798C33247924738 +:10402000BA977247E366F7FE1DA0B2473ECC11A8CF +:10403000E247138717003ACC22471377F70F238004 +:10404000E70032479247BA976247E363F7FEB24709 +:104050003E850561828001112AC6B2473ECE21A06D +:10406000F24785073ECEF24783C70700F5FB72474C +:10407000B247B307F7403E850561828001112AC629 +:104080002EC4B247138717003AC683C70700A30F91 +:10409000F100A247138717003AC483C70700230F14 +:1040A000F1008347F10199C70347F1018347E1011B +:1040B000E309F7FC0347F1018347E101B307F74048 +:1040C0003E850561828001112AC62EC4B2473ECECC +:1040D00001002247930717003EC4F247938617005A +:1040E00036CE034707002380E70083C70700F5F3B8 +:1040F000B2473E850561828001112AC602CE02CCFC +:1041000021A0B24785073EC6B24703C70700930701 +:104110000002E308F7FEB24703C707009307D00287 +:104120006309F700B24703C707009307B0026311A2 +:10413000F704B24783C70700938737FD93B717008B +:1041400093F7F70F3ECCB24785073EC615A07247DE +:10415000BA878A07BA9786073ECEB24713871700F9 +:104160003AC683C70700938707FD7247BA973ECECA +:10417000B24783C70700E1FFE24789C7F247B307A9 +:10418000F04011A0F2473E850561828001112AC6E8 +:10419000975703009387074B9C4393861700175745 +:1041A00003001307274A14C332471377F70F9756B4 +:1041B00003009386264DB6972380E7003247A94730 +:1041C000630BF700975703009387C74798439307FC +:1041D00000046315F704975703009387A74A3ECE60 +:1041E00005A8F247138717003ACE03C70700B707A1 +:1041F00010F0938707F098C397570300938787447D +:104200009C431387F7FF975703009387A74398C3EF +:1042100097570300938707439C43E1F781473E8507 +:10422000056182804D7123261114232481142322D9 +:10423000911423202115232E3113232C4113232ADB +:1042400051132ACE2ECC32C836CA3AC63EC442C218 +:1042500023260112B2473E8A814AC24752485286FB +:10426000D6863E85C285EF003075AA872E883E86A9 +:10427000C2868327C112138717002326E1123287D3 +:104280008A07141AB69723AEE7EEB2473E848144FC +:10429000D247268763E8E702D24726876396E7007E +:1042A000C247228763E0E702B2473E8981494A86D6 +:1042B000CE864245D245EF007012AA872E883EC8AE +:1042C00042CA49BF010029A0F247E2451245829740 +:1042D000A2471387F7FF3AC40327C112E346F7FE4C +:1042E00025A88327C1128A07181ABA9703A7C7EF10 +:1042F0008327C1128A07141AB69783A6C7EFA5476A +:1043000063F5D7009307700519A093070003BA97C8 +:104310007247E2453E8502978327C1121387F7FF54 +:104320002326E112E34FF0FA01008320C114032495 +:10433000811483244114032901148329C113032AFE +:104340008113832A41137161828041112AC62EC4D0 +:10435000A2460547635ED700B2479C439D07E19B99 +:1043600093868700324714C303A847009C4335A0B7 +:10437000224719CB3247184313064700B24690C272 +:104380001843BA87014811A832471843130647005B +:10439000B24690C21843BA8701483E85C2854101A2 +:1043A000828041112AC62EC4A2460547635ED7000B +:1043B000B2479C439D07E19B93868700324714C315 +:1043C00003A847009C4305A8224701CF3247184362 +:1043D00013064700B24690C21843BA877D873A88D1 +:1043E00019A83247184313064700B24690C2184333 +:1043F000BA877D873A883E85C285410182803971BE +:1044000006DE22DC26DA2AC62EC432C236C011A845 +:1044100063060424924785073EC2B247A24522851F +:104420008297924783C707003E8493075002E311A7 +:10443000F4FE924785073EC292473EC893070002AA +:10444000A30BF100FD573ECEFD573ECC02D0924764 +:10445000138717003AC283C707003E849307D4FD31 +:10446000130750056363F71E1397270097C70100D2 +:10447000938747C0BA97984397C70100938787BF30 +:10448000BA9782879307D002A30BF100C9B79307AD +:104490000003A30BF10065BF02CC6247BA878A070D +:1044A000BA978607A297938707FD3ECC924783C7AA +:1044B00007003E849307F00263D6870293079003B8 +:1044C00063C28702924785073EC2C1BF82471387F6 +:1044D00047003AC09C433ECC39A0F247E3D907F6E7 +:1044E00002CEB5B70100F247E3D307F6E2473ECE6E +:1044F000FD573ECCA9BF825785073ED089BF824772 +:10450000138747003AC09C433247A2453E85029735 +:10451000A9A28247138747003AC0844389E497C41D +:1045200001009384A4B4F2476356F0040347710179 +:104530009307D0026300F704E247BE852685AD2DC0 +:104540002A87F247998F3ECE11A8834771013247DF +:10455000A2453E850297F247FD173ECEF247E3465D +:10456000F0FE09A8B247A245228582978504F2474A +:10457000FD173ECE83C704003E841DC0E247E3C35F +:1045800007FEE247FD173ECCE247E3DD07FC09A842 +:10459000B247A245130500028297F247FD173ECEAF +:1045A000F247E347F0FE55A88A8782553E85D53B02 +:1045B000AA872E883ED442D6A2573258C28763D9E2 +:1045C0000702B247A2451305D0028297A255325680 +:1045D00081470148B386B740368533B5A700330716 +:1045E000C840B307A7403E87B6873A883ED442D634 +:1045F000A9473ED21DA8A9473ED215A0A1473ED249 +:1046000039A885473ED0B247A245130500038297DB +:10461000B247A245130580078297C1473ED28A87D9 +:1046200082553E851D332AD42ED6125783477101F9 +:104630003E88F2472256B256A2453245E53631A8A9 +:10464000B247A2452285829709A8B247A245130521 +:1046500050028297C2473EC20100E1B30100F2500E +:104660006254D2542161828041112AC6B70710F0EA +:10467000938707F2324798C301A05D7106D62AC618 +:104680002EDA32DC36DEBAC0BEC2C2C4C6C69C0850 +:1046900091173ECEF247BE8632468145170500008F +:1046A000130505AFA93B81473E85B2506161828009 +:1046B00001112AC62EC41EC2A2473ECEF2479C4319 +:1046C00032471377F70F2380E700F2479C431387A5 +:1046D0001700F24798C30100056182801D7106DE54 +:1046E0002AC62EC4B2C4B6C6BAC8BECAC2CCC6CECA +:1046F0009C103ED25C084C0817060000130686FB8F +:104700007D779346F7FF0565130505803388A5007F +:104710003378E8001368783B23A007013295698F4E +:1047200013677733D8C333F7D5009315470137871D +:104730000300130737394D8F98C73377D600931688 +:1047400047013707030013077706558FD8C70F10A7 +:104750000000B2473ED69C10A1173ED422575C08F9 +:104760003E857C00BA862246BE855139B2472380F9 +:104770000700B2473E87B257B307F7403E85F25075 +:10478000256182804111370510F0130545F4084179 +:104790002AC6370510F0130505F408412AC4370569 +:1047A00010F0130545F40841B248E39EA8FC3245D9 +:1047B0002A8381431317030081462245AA850146B7 +:1047C000B3E7B6003368C700370710F0130587F466 +:1047D0008565938505FA0146B386B700B688B3B8F8 +:1047E000F8003307C800B387E8003E87B6873A88E9 +:1047F0001CC123220501010041018280411106C62E +:1048000051379307000873A047300100B2404101BF +:104810008280011106CE2AC617C501001305059B2B +:10482000EFF0BFE50100F24005618280011106CE84 +:104830002AC617C501001305E599EFF01FE4010032 +:10484000F24005618280797106D62AC602CE29A07F +:104850000100F24785073ECE724785679387773818 +:10486000E3D8E7FE17C5010013054597EFF0FFE019 +:10487000F1BF411106C601450D2A0100B2404101B8 +:104880008280011106CE02C6492C2A878547630C17 +:10489000F70017C501001305E594EFF01FDEB247DE +:1048A00093E727003EC6B2473E85F240056182800D +:1048B000011106CE02C6753F1707000013076704F3 +:1048C000814605469305400617C50100130505936B +:1048D000EFE0BF9C2AC6B24799CBEFD0EFC6AA87BC +:1048E000014781463E8685453245EFE03FA102C43F +:1048F000EFD02FA581473E85F24005618280797116 +:1049000006D62AC697C70100938747AD9C431387F5 +:10491000470697C70100938767AC98C397C7010004 +:10492000938707AC9C431387470697C7010093877B +:1049300027AB98C397C70100938747AA984393076B +:10494000F07C63D3E70497C70100938727A99C43B2 +:104950001387078397C70100938747A898C3153724 +:104960002ACEF24799EB97C70100938767A7984330 +:1049700085679387F77663DBE700F2473E85EFF0C4 +:10498000BFCEEFD0EFA321A0010011A00100B250D3 +:10499000456182807370043001A001008280411162 +:1049A0002AC62EC47370043001A0797106D62AC6B7 +:1049B0001145EFF04FBA2ACEF247324798C38148EB +:1049C000014881473247F2461306C04397C50100AC +:1049D00093858583170500001305650AEFC0FF8FD7 +:1049E000EFD04FBB2A87975703009387E7CD98C333 +:1049F00097570300938747CD9C431387170097571A +:104A00000300938767CC98C3975703009387C7CB5E +:104A10009C4313871700975703009387E7CA98C3EF +:104A20000100B25045618280797106D62AC693078B +:104A3000800C3ECCB24789C7B2479C433ECE11A002 +:104A400002CE89473ECA930790053EC8D2474247E7 +:104A5000BA853E85EF005046AA873EC86245EFC042 +:104A6000FFBAF247F9DF0145EFC05FBA7245EFC008 +:104A70003FA20145EFC0DFA1E9B7797106D62AC68A +:104A80009307803E3ECEB2479C433ECC3245EFF08A +:104A90008FBF7245EFC09FB797570300938747C7F3 +:104AA00023A007008148014897570300938747C612 +:104AB000624781461306004097B50100938545750E +:104AC00017050000130585F6EFC03F8181480148B6 +:104AD0008147624797560300938686C313060040BA +:104AE00097B501009385457317050000130505F47C +:104AF000EFC0AFFE975703009387C7BC83D707006B +:104B0000C207C183850713970701418397570300A5 +:104B1000938747BB2390E700ADBF011106CE8547C1 +:104B20003EC697C701009387278D03D70700975785 +:104B30000300938727B983D70700C207C183631493 +:104B4000F70002C605A0975703009387A7B783D73E +:104B5000070013970701418397C701009387C7890F +:104B60002390E700EFD00FA32A879757030093877E +:104B7000E7B598C397570300938747B5984397576E +:104B80000300938767B49C436374F70002C605A0D3 +:104B900097570300938787B39843975703009387EA +:104BA000A7B29C431D8F8D4763F3E70002C6B2474F +:104BB0003E85F24005618280B305B500930705008C +:104BC0006386B70003C70700631607003385A74055 +:104BD00067800000938717006FF09FFE130101FDAF +:104BE00023229102232A5101232611022324810228 +:104BF00023202103232E3101232C4101232861018D +:104C00002326710123248101232291012320A10164 +:104C1000930A050093840500639E0638130406007A +:104C20009309050017B901001309895F63F8C512DC +:104C3000B7070100138B05006378F6101307F00F18 +:104C40003337C70013173700B357E6003309F900AD +:104C5000834609003387E60093060002B386E640E8 +:104C6000638C0600B394D40033D7EA003314D60023 +:104C7000336B9700B399DA00935A040193850A00C5 +:104C800013050B00EF00902A1309050093850A0015 +:104C9000931B040113050B00EF00D02493DB0B01E1 +:104CA000930405009305050013850B00EF00D02049 +:104CB0001319090193D70901B367F900138A040096 +:104CC00063FEA700B3878700138AF4FF63E88700B9 +:104CD00063F6A700138AE4FFB3878700B384A74075 +:104CE00093850A0013850400EF0050241309050082 +:104CF00093850A0013850400EF00D01E93990901E3 +:104D000093040500930505001319090113850B0091 +:104D100093D90901EF00501AB369390113860400D1 +:104D200063FCA900B30934011386F4FF63E689002C +:104D300063F4A9001386E4FF13140A013364C4006A +:104D4000130A00006F000013B707000113070001EA +:104D5000E36CF6EE130780016FF01FEF138A060075 +:104D6000631A06009305000013051000EF0090176A +:104D700013040500B7070100637EF4129307F00FD8 +:104D800063F48700130A8000B35744013309F90024 +:104D9000034709009306000233074701B386E64044 +:104DA00063940612B3848440130A1000135B040159 +:104DB00093050B0013850400EF005017130905003D +:104DC00093050B0013850400931B0401EF00901161 +:104DD00093DB0B01930405009305050013850B007D +:104DE000EF00900D1319090193D70901B367F9007A +:104DF000938A040063FEA700B3878700938AF4FFB9 +:104E000063E8870063F6A700938AE4FFB38787000F +:104E1000B384A74093050B0013850400EF00101125 +:104E20001309050093050B0013850400EF00900B98 +:104E300093990901930405009305050013190901CD +:104E400013850B0093D90901EF001007B3693901ED +:104E50001386040063FCA900B30934011386F4FF30 +:104E600063E6890063F4A9001386E4FF13940A0142 +:104E70003364C4001305040093050A008320C102B3 +:104E80000324810283244102032901028329C101F1 +:104E9000032A8101832A4101032B0101832BC100D5 +:104EA000032C8100832C4100032D01001301010319 +:104EB00067800000B7070001130A0001E366F4EC05 +:104EC000130A80016FF05FEC3314D40033DAE4008E +:104ED000B399DA0033D7EA00935A0401B394D400AB +:104EE00093850A0013050A00336B9700EF00100446 +:104EF0001309050093850A0013050A00931B04019A +:104F0000EF00407E93DB0B01930405009305050041 +:104F100013850B00EF00407A1319090113570B0199 +:104F20003367E900138A0400637EA7003307870014 +:104F3000138AF4FF636887006376A700138AE4FF8F +:104F400033078700B304A74093850A001385040044 +:104F5000EF00C07D1309050093850A001385040046 +:104F6000EF004078930405009305050013850B00BE +:104F7000EF00807413170B01135707011319090170 +:104F8000B367E9001387040063FEA700B3878700B7 +:104F90001387F4FF63E8870063F6A7001387E4FF35 +:104FA000B3878700131A0A01B384A740336AEA0063 +:104FB0006FF0DFDF63ECD51EB707010063F4F60482 +:104FC0001307F00FB335D7009395350033D7B600EC +:104FD00097B701009387C724B387E70003C707008B +:104FE000130A00023307B700330AEA4063160A02C5 +:104FF00013041000E3E096E833B6CA001344160029 +:105000006FF05FE7B707000193050001E3E0F6FCEE +:10501000930580016FF09FFBB35CE600B3964601F9 +:10502000B3ECDC0033D4E40093DB0C01B397440110 +:1050300033D7EA0093850B0013050400336BF700A8 +:10504000B3194601EF00806E1309050093850B002C +:1050500013050400139C0C01EF00C068135C0C01E5 +:10506000930405009305050013050C00EF00C064D0 +:105070001319090113570B013367E9001384040066 +:10508000637EA700330797011384F4FF63689701D9 +:105090006376A7001384E4FF33079701B304A740A6 +:1050A00093850B0013850400EF0040681309050089 +:1050B00093850B0013850400EF00C0629304050084 +:1050C0009305050013050C00EF00005F93170B011B +:1050D0001319090193D70701B367F9001386040078 +:1050E00063FEA700B38797011386F4FF63E8970177 +:1050F00063F6A7001386E4FFB38797011314040136 +:10510000B70B01003364C4001389FBFF337D240116 +:1051100033F92901B384A7409305090013050D0055 +:10512000EF008059935C040193050900130B0500FF +:1051300013850C00EF00405893D90901130C0500AA +:105140009385090013850C00EF0000571309050033 +:105150009385090013050D00EF0000563305850106 +:1051600093570B013385A7006374850133097901D7 +:1051700093570501B387270163E6F402E392F4BC79 +:10518000B70701009387F7FF3375F5001315050185 +:10519000337BFB0033964A0133056501130A000097 +:1051A000E37AA6CC1304F4FF6FF09FB9130A000052 +:1051B000130400006FF01FCC130101FB23248104B2 +:1051C00023229104232E3103232291032326110449 +:1051D00023202105232C4103232A51032328610383 +:1051E00023267103232481032320A103232EB1014D +:1051F000930C0500938905001304050093840500B2 +:10520000639E062613090600138A060097BA01005A +:10521000938A0A0163F4C514B70701006376F61296 +:105220009307F00F63F4C700130A8000B3574601D9 +:10523000B38AFA0003C70A001305000233074701C7 +:10524000330AE540630C0A00B395490133D7EC00FB +:1052500033194601B364B70033944C01935A0901E2 +:1052600093850A0013850400EF00404C9309050064 +:1052700093850A00131B090113850400EF00804683 +:10528000135B0B019305050013050B00EF00C042F3 +:105290009399090193570401B3E7F90063FAA70052 +:1052A000B387270163E6270163F4A700B3872701CB +:1052B000B384A74093850A0013850400EF000047DC +:1052C0009309050093850A0013850400EF008041CF +:1052D00013140401930505009399090113050B00AC +:1052E00013540401EF00403D33E48900637AA400C5 +:1052F00033042401636624016374A400330424018D +:105300003304A44033554401930500008320C104B5 +:105310000324810483244104032901048329C10354 +:10532000032A8103832A4103032B0103832BC10238 +:10533000032C8102832C4102032D0102832DC10124 +:105340001301010567800000B7070001130A00017F +:10535000E36EF6EC130A80016FF05FED631A06004E +:105360009305000013051000EF00C0371309050076 +:10537000B7070100637AF90E9307F00F63F4270172 +:10538000130A8000B3574901B38AFA0003C70A0021 +:1053900013050002B384294133074701330AE5406E +:1053A000E30E0AEA33194901B3DAE900B39549017A +:1053B00033D7EC0093540901336BB70013850A000F +:1053C00093850400EF00803693090500938504005F +:1053D000931B090113850A00EF00C03093DB0B011A +:1053E0009305050013850B00EF00002D939909012B +:1053F00093570B01B3E7F90033944C0163FAA7000C +:10540000B387270163E6270163F4A700B387270169 +:10541000B38AA7409385040013850A00EF0000318A +:10542000930905009385040013850A00EF00802B83 +:105430009305050013850B00EF00002893150B0161 +:105440009399090193D50501B3E5B90063FAA50065 +:10545000B385250163E6250163F4A500B385250125 +:10546000B384A5406FF09FDFB7070001130A000166 +:10547000E36AF9F0130A80016FF0DFF0E3E8D5E8A2 +:10548000B707010063FCF604930BF00F33B5DB00A4 +:105490001315350033D7A60097B70100938747D877 +:1054A000B387E70083CB070093050002B38BAB0003 +:1054B000338B7541631E0B0263E4360163EACC0053 +:1054C0003384CC40B386D94033B58C00B384A64036 +:1054D00013050400938504006FF05FE3B707000134 +:1054E00013050001E3E8F6FA130580016FF09FFA57 +:1054F000B3966601335D7601336DDD0033D47901F7 +:10550000B395690133DC7C0193540D01336CBC000D +:105510001305040093850400B31A6601EF0000210F +:10552000130A0500938504001305040033996C01E8 +:10553000931C0D01EF00001B93DC0C01130405000C +:105540009305050013850C00EF000017131A0A01DC +:1055500013570C013367EA00130A0400637EA700A7 +:105560003307A701130AF4FF6368A7016376A70056 +:10557000130AE4FF3307A701B309A740938504008A +:1055800013850900EF00801A9385040013040500B9 +:1055900013850900EF00001593050500930405002D +:1055A00013850C00EF00401193150C011314040136 +:1055B00093D50501B365B4001387040063FEA5000D +:1055C000B385A5011387F4FF63E8A50163F6A50081 +:1055D0001387E4FFB385A501131A0A01B70C010074 +:1055E000336AEA001384FCFFB3778A0033F48A003D +:1055F000B384A54013850700930504002326F1001A +:10560000135A0A01EF00400B9309050093050400AB +:1056100013050A00EF00400A13DC0A01930D050090 +:1056200093050C0013050A00EF0000098327C10051 +:10563000130A050093050C0013850700EF00C0074F +:105640003305B50113D709013307A7006374B70108 +:10565000330A9A01B70701009387F7FF93550701B3 +:105660003377F70013170701B3F7F900B385450146 +:10567000B307F70063E6B400639EB400637CF900EF +:1056800033865741B3B7C700B385A541B385F5400D +:1056900093070600B307F9403339F900B385B440E6 +:1056A000B385254133947501B3D767013365F400A1 +:1056B000B3D565016FF09FC5130605001305000003 +:1056C00093F61500638406003305C50093D51500D5 +:1056D00013161600E39605FE67800000634005067A +:1056E00063C6050613860500930505001305F0FF44 +:1056F000630C060293061000637AB6006358C0007C +:105700001316160093961600E36AB6FE1305000002 +:1057100063E6C500B385C5403365D50093D6160052 +:1057200013561600E39606FE678000009382000081 +:10573000EFF05FFB13850500678002003305A04092 +:1057400063D80500B305B0406FF0DFF9B305B04092 +:1057500093820000EFF01FF93305A040678002003C +:105760009382000063CA0500634C0500EFF09FF7C9 +:105770001385050067800200B305B040E35805FEBD +:105780003305A040EFF01FF63305B04067800200FC +:02000004800278 +:1000000049444C4500000000482FFEFF102FFEFF22 +:100010001E2FFEFF2C2FFEFF342FFEFF5830FEFF59 +:100020002030FEFF2E30FEFF3C30FEFF4430FEFF4E +:10003000546D722053766300DC35FEFFDC35FEFF25 +:10004000DC35FEFF5A36FEFF2A36FEFF5036FEFF35 +:10005000DC35FEFFDC35FEFF5A36FEFF2A36FEFF9A +:10006000546D725100000000286E756C6C29000000 +:10007000DE43FEFFDA45FEFFD045FEFFDA45FEFF18 +:10008000DA45FEFFDA45FEFFDA45FEFF5C44FEFF7F +:10009000DA45FEFFDA45FEFF1444FEFF6A44FEFF28 +:1000A000DA45FEFF1E44FEFF2844FEFF2844FEFF03 +:1000B0002844FEFF2844FEFF2844FEFF2844FEFF9C +:1000C0002844FEFF2844FEFF2844FEFFDA45FEFFD9 +:1000D000DA45FEFFDA45FEFFDA45FEFFDA45FEFFB0 +:1000E000DA45FEFFDA45FEFFDA45FEFFDA45FEFFA0 +:1000F000DA45FEFFDA45FEFFDA45FEFFDA45FEFF90 +:10010000DA45FEFFDA45FEFFDA45FEFFDA45FEFF7F +:10011000DA45FEFFDA45FEFFDA45FEFFDA45FEFF6F +:10012000DA45FEFFDA45FEFFDA45FEFFDA45FEFF5F +:10013000DA45FEFFDA45FEFFDA45FEFFDA45FEFF4F +:10014000DA45FEFFDA45FEFFDA45FEFFDA45FEFF3F +:10015000DA45FEFFDA45FEFFDA45FEFFDA45FEFF2F +:10016000DA45FEFFDA45FEFFDA45FEFFDA45FEFF1F +:100170008E44FEFF3845FEFFDA45FEFFDA45FEFFFE +:10018000DA45FEFFDA45FEFFDA45FEFFDA45FEFFFF +:10019000DA45FEFF8644FEFFDA45FEFFDA45FEFF44 +:1001A0008C45FEFF9245FEFFDA45FEFFDA45FEFF75 +:1001B000A244FEFFDA45FEFF8645FEFFDA45FEFF5C +:1001C000DA45FEFFAA45FEFF6D69616F750A000002 +:1001D0006D69616F75320A0068756E6772790A0021 +:1001E0004572726F7220696E206465617468207454 +:1001F00061736B73200D0A00436865636B54696D0E +:100200006572000043524541544F520053554943D3 +:100210004944310053554943494432000001020228 +:10022000030303030404040404040404050505058E +:10023000050505050505050505050505060606066A +:10024000060606060606060606060606060606064E +:10025000060606060606060606060606070707073A +:10026000070707070707070707070707070707071E +:10027000070707070707070707070707070707070E +:1002800007070707070707070707070707070707FE +:1002900007070707070707070707070708080808EA +:1002A00008080808080808080808080808080808CE +:1002B00008080808080808080808080808080808BE +:1002C00008080808080808080808080808080808AE +:1002D000080808080808080808080808080808089E +:1002E000080808080808080808080808080808088E +:1002F000080808080808080808080808080808087E +:10030000080808080808080808080808080808086D +:0C03100008080808080808080808080881 +:10031C001000000000000000017A5200017C010175 +:10032C001B0D02004C00000018000000A448FEFF4A +:10033C00DC05000000440E307089039507810188AC +:10034C000292049305940696089709980A990B9AB9 +:10035C000C0370020AC144C844C944D244D344D4E7 +:10036C0044D544D644D744D844D944DA440E004446 +:10037C000B0000005000000068000000304EFEFF33 +:10038C000005000000440E507488028903930599FF +:10039C000B810192049406950796089709980A9A7E +:1003AC000C9B0D0320010AC144C844C944D244D358 +:1003BC0044D444D544D644D744D844D944DA44DB55 +:0803CC00440E00440B00000088 +:1003E000AAAAAAAA08000000FC8F010009000000C8 +:0603F00003000000FF0FF6 +:0203F600000005 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/dead_rv32ic_O3.hex b/VexRiscv/src/test/resources/freertos/dead_rv32ic_O3.hex new file mode 100644 index 0000000..7c71bdb --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/dead_rv32ic_O3.hex @@ -0,0 +1,1674 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000E1D0170502001305E552979503009385FB +:1000A000657A0146112217050200130525489705B8 +:1000B00002009385454E0146C52817A50300130588 +:1000C000A57897B503009385E57737B6ABAB1306F4 +:1000D000B6BAD92817B1030013010177EF60601E8B +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2C23223A02200F3221034CC +:1002400096DEEF00002B170102000321613102410D +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E23123A0120023A2A1 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40502717010200032138 +:1003300021230241F65273901234B72200009382B7 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A22383A0A8 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2C21023A0220086DEEF20B2 +:10046000602E170102000321A10F0241F652739082 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF407007EF10900A01E5B7 +:10050000B24041018280B24041016F20A023930795 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30B0207E +:1005B0008280411122C406C62A8426C2EF2030124E +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF2040586DD5EF2020783C44FD173C +:1005F0003CC43C44E344F0FEFD573CC4EF20701083 +:10060000EF20F00D7C40635BF0021C4885CB930427 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2000546DD5EF20E0735C +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20B00B29CD0111E8 +:1006500022CC2A8406CE26CA4AC84EC62E89EF204E +:10066000100824404C5C832904002685EF50102795 +:100670007D57232C0402B3079540CE974E9578C042 +:1006800048C0232434015CC438C4631109021C48E7 +:100690008DE7EF201007F2406244D2444249B2494C +:1006A0000545056182807370043001A013050401C3 +:1006B000B93D13054402A13DE9BF13050401EF2034 +:1006C000804A8547E317F5FC9133E1B701E5737084 +:1006D000043001A0011126CA4AC84EC606CE22CC5B +:1006E000AA842E89B289A5C9EF50501F130555055C +:1006F000EF3020742A8435C5930745051CC144DCBE +:1007000023202405EF20A07D24404C5C0329040015 +:100710002685EF50B01CFD56232C0402B3079540EC +:100720003307A900CA9774C058C05CC434C4130509 +:10073000040123242401E13B13054402C93BEF20BB +:10074000407C230834052285F2406244D244424969 +:10075000B2490561828013054005EF30806D2A841F +:1007600001E57370043001A000C051BF01E5737052 +:10077000043001A06375B5007370043001A041110D +:1007800022C409462E84814506C6893711C500DD7D +:10079000B2402244410182807370043001A05D7137 +:1007A00086C6A2C4A6C2CAC04EDE52DC56DA5AD8E9 +:1007B0005ED632C6630A051C6382051C89476399AD +:1007C000F600585D85476305F7007370043001A09B +:1007D0003689AE842A84EF20C05B11E5B24781C719 +:1007E0007370043001A0EF20806F185C5C5C014BDB +:1007F000894BFD59130A0401930A44026365F70CFF +:1008000063077919B2476381072463150B00280831 +:10081000EF208048EF20E06EEF10604FEF20206C5B +:100820007C4063943701232204043C4463943701E1 +:1008300023240404EF20E06C6C002808EF20E0473C +:10084000631E051EEF20A069185C5C5C630CF70A50 +:10085000EF20206BEF20A0683C44634FF00039A8E4 +:100860005685EF20403019C1EF2020503C44FD1741 +:100870003CC43C446354F0005C50FDF32324340535 +:10088000EF202068EF20A0657C40634FF00039A87E +:100890005285EF20402D19C1EF20204D7C40FD17DF +:1008A0007CC07C406354F0001C48FDF323223405D7 +:1008B000EF202065EF10A06A054BEF204062185C26 +:1008C0005C5CE37FF7F230406305060C631F09129E +:1008D0000844A685EF30206E1C4434405840B6973B +:1008E0001CC463EAE70A1C5C14405850850714C412 +:1008F0001CDC5DC713054402EF20E0268547631129 +:10090000F50AC9A8EF20E05FB2455285EF206007E5 +:10091000EF20E05C3C44634FF00039A85685EF209F +:10092000802419C1EF2060443C44FD173CC43C4482 +:100930006354F0005C50FDF323243405EF20605C29 +:10094000EF20E0597C40634FF00039A85285EF203A +:10095000802119C1EF2060417C40FD177CC07C40A4 +:100960006354F0001C48FDF323223405EF20605946 +:10097000EF10E05E31F1593C054B81B73C41E38F0C +:1009800007E27370043001A07370043001A030409E +:1009900021E61C4085C71C5C585085071CDC39FBD0 +:1009A000EF2020560545B640264496440649F259A4 +:1009B000625AD25A425BB25B616182804840EF204A +:1009C00060491C5C58502322040085071CDCE3139B +:1009D00007F279D5253CE9B74844A685EF30A05DFC +:1009E0003C4058441440B307F0403E9758C4637CE1 +:1009F000D7025840BA975CC409475C506306E902C5 +:100A0000185C050718DCC9DFF5B54844A685EF304A +:100A1000805A3C4058441440B307F0403E9758C4B5 +:100A2000E37CD7FCF9B75C50185C79DB185C7D1768 +:100A300018DC185C050718DCA5D76DBD2285953E2E +:100A4000EF10E051014585B7EF20A04B0145A1BF54 +:100A5000411126C2AA841305400522C406C6EF3000 +:100A6000403D2A8415CD232C0502FD577CC1054746 +:100A7000410558DC3CC42322040023200400232425 +:100A80000400232604002320040423089404413492 +:100A900013054402AD3C8146014681452285013360 +:100AA0002285B24022449244410182800DC5411109 +:100AB00022C426C206C64AC0032945002A84EF2064 +:100AC000802C8144630CA900B2402244268502494F +:100AD0009244410182807370043001A05C448544DB +:100AE000FD175CC4F5F381460146814522854539F1 +:100AF000E1BF31CDA1C9011122CC26CA4AC852C4D6 +:100B000006CE4EC68947B68432892E8A2A846399D6 +:100B1000F600585D8547630FF7047370043001A039 +:100B20006D32185C5C5CAA896366F70281444E856D +:100B3000513AF240624426854249D244B249224A9F +:100B4000056182803C41C5DB7370043001A0737085 +:100B5000043001A0304041E21C40D5CB1C5C7D57E5 +:100B600085071CDC3C446387E7083C4485448507D3 +:100B70003CC475BF993A185C5C5CAA89636DF70246 +:100B8000304079DA4844D285EF30E0423C40584466 +:100B90001440B307F0403E9758C46375D7005840DF +:100BA000BA975CC48947E39BF4FA1C5CC5DB1C5C08 +:100BB000FD171CDC65B7304045D24844D285EF3084 +:100BC000803F3C4058441440B307F0403E9758C41F +:100BD000E367D7FCD9BFDDF40844D285EF30A03DF0 +:100BE0001C4434405840B6971CC4E3E9E7F61C4067 +:100BF0001CC4ADB75C5085449DDB13054402EF1067 +:100C0000907615D5E30509F2232099000DB74840E9 +:100C1000EF2040242322040091B721CD3C4181C71D +:100C20007370043001A01C41A1CB011122CC26CA53 +:100C30002A844AC84EC606CE2E894138185C5C5CB0 +:100C4000AA898144637DF7001C5C7D5785071CDC05 +:100C50003C446388E7023C44854485073CC44E8598 +:100C60009530F240624426854249D244B24905613A +:100C700082807370043001A05C41C5DB7370043066 +:100C800001A05C5099E38544D9BF13054402EF10DD +:100C9000906D75D9E30909FE85472320F900E5B772 +:100CA000397106DE22DC26DA4AD84ED652D456D224 +:100CB00032C66302051C638A051AB68AAE892A8485 +:100CC000EF20200D11E5B24781C77370043001A0F9 +:100CD000EF20E0201C5C63920714B247638E070A82 +:100CE0002808EF10707BFD5413094402130A040115 +:100CF000EF202021EF10A001EF20601E7C406394C4 +:100D00009700232204043C446394970023240404A2 +:100D1000EF20201F6C002808EF10307A63130518AD +:100D2000EF20E01B1C5CADCFEF20A01DEF20201BAF +:100D30003C44634FF00039A84A85EF10D06219C1D6 +:100D4000EF20A0023C44FD173CC43C446354F00037 +:100D50005C50FDF324C4EF20C01AEF2040187C4003 +:100D6000634FF00039A85285EF10F05F19C1EF1002 +:100D7000D07F7C40FD177CC07C406354F0001C4851 +:100D8000FDF364C0EF20E017EF10601DEF20201589 +:100D90001C5CC1E7B247A9FFEF20A016014555A092 +:100DA000EF2020161C40DDC7B2454A85EF10703D8C +:100DB000EF20E0123C44634FF00039A84A85EF1061 +:100DC000905A19C1EF10707A3C44FD173CC43C4462 +:100DD0006354F0005C50FDF324C4EF208012EF2038 +:100DE00000107C40634FF00039A85285EF10B057D7 +:100DF00019C1EF1090777C40FD177CC07C40635494 +:100E0000F0001C48FDF364C0EF20A00FEF10201588 +:100E100031C9EF20C00C1C5CB5DFCE85228544446F +:100E2000EFF04FF7639C0A041C5C1840FD171CDCB4 +:100E300001E7EF20801148C01C48A1EFEF20600CB3 +:100E40000545F2506254D2544259B259225A925A2C +:100E500021618280EF20A0084840EF109075EF20BC +:100E6000400A99B7EFF08FDA6DB73C41E38707E4AA +:100E70007370043001A07370043001A05C5044C44E +:100E8000D5DF13054402EF10104E4DD9EFF00FD807 +:100E900075B713050401EF10104D8547E310F5FAFF +:100EA000F5B72285EFF0EFF0EF10600B014551BF71 +:100EB0001DC9411122C44AC006C626C244412E891A +:100EC0002A84EF10506C6383A40281464A868145D0 +:100ED0002285F93385476300F502B24022449244EB +:100EE0000249410182807370043001A05C440545D1 +:100EF00085075CC4DDB75C4485075CC4F9BF15CDCC +:100F0000ADC9011122CC26CA4AC84EC652C42A8491 +:100F100006CE3289AE89EFF04FDB1C5C2A8A814411 +:100F200085E35285EFF00FDAF240624426854249AC +:100F3000D244B249224A056182807370043001A014 +:100F4000304001CE4C445C40B2954CC463E4F500A3 +:100F50000C404CC44E85EF3000061C5C7D57FD17DD +:100F60001CDC7C40638CE7007C40854485077CC0AA +:100F70004DBF3C41D9D77370043001A01C4899E3A0 +:100F8000854445B713050401EF10F03D75D9E30919 +:100F900009FE85472320F900E5B721C93C41A1CDD1 +:100FA000A1CB011122CC26CA4AC852C42A8406CE3B +:100FB0004EC62E8AEFF06FD11C5C2A89814491CBFA +:100FC0008329C400D2852285EFF0CFDC8544232617 +:100FD00034014A85EFF00FCFF24062442685424942 +:100FE000D244B249224A056182807370043001A064 +:100FF0007370043001A0EDDF7370043001A001E5CF +:101000007370043001A0411122C42A8406C6EF1077 +:10101000106D005CEF10F06EB24022852244410159 +:10102000828001E57370043001A0411106C622C41C +:101030002A84EF10D06A1C5C405C1D8CEF10706C31 +:101040002285B24022444101828019C1085D82801C +:101050007370043001A01DCD978703009387877AB2 +:10106000D843630CE502D847630CE504D84B63070B +:10107000E504D84F6302E504D853630DE502D85761 +:101080006308E502D85B6303E502D85F630EE50001 +:101090006F20506C7370043001A001470E07BA979F +:1010A00023A007006F20106B1D47CDBF1947FDB768 +:1010B0001547EDB71147DDB70D47CDB70947F9BF64 +:1010C0000547E9BF684582806CC5828003450505F8 +:1010D000828009C5085D1335150082807370043065 +:1010E00001A019C51C5D485D1D8D1335150082805A +:1010F0007370043001A0978703009387A77098430B +:1011000005C3984705C7984B05C7984F05C798531F +:1011100005C7985705C7985B15C7985F15C38280A8 +:1011200001470E07BA978CC3C8C382800547D5BF55 +:101130000947C5BF0D47F5B71147E5B71547D5B7FF +:101140001D47C5B71947F1BF978703009387876B82 +:10115000D8436308E502D847630BE502D84B630A1E +:10116000E502D84F6309E502D8536308E502D85772 +:101170006307E502D85B6308E502D85F6303A70253 +:10118000828001470E07BA9723A007008280054797 +:10119000D5BF0947C5BF0D47F5B71147E5B7154797 +:1011A000D5B71D47C5B71947F1BF411122C426C2A3 +:1011B0004AC02A8406C6AE843289EF105052784065 +:1011C000FD576314F700232204043844FD576314C9 +:1011D000F70023240404EF10D0521C5C99E74A86E0 +:1011E000A68513054402EF10D00CEF10504F3C447D +:1011F000635BF0025C5085CB9304440209A83C4435 +:10120000FD173CC43C446350F0025C5089CF2685F6 +:10121000EF1070156DD5EF1050353C44FD173CC4F0 +:101220003C44E344F0FEFD573CC4EF10904DEF10FA +:10123000104B7C40635BF0021C4885CB9304040197 +:1012400009A87C40FD177CC07C406350F0021C481C +:1012500089CF2685EF1030116DD5EF1010317C400D +:10126000FD177CC07C40E344F0FEFD577CC0224467 +:10127000B2409244024941016F10B0481DC17971DA +:101280004ECE52CC06D63E8A22D426D24AD056CA58 +:101290005AC85EC6A547BA8963F8E7007370043080 +:1012A00001A07370043001A02A8BAE843289B68B02 +:1012B000C28A6307082213050006EF2090372A84AC +:1012C000630B05200A09232855034A869305500A13 +:1012D0005685EF20505C03C704001C587119230A7F +:1012E000E40203C704003E991379C9FF79C783C795 +:1012F0001400A30AF40283C71400E1C383C72400C7 +:10130000230BF40283C72400CDCB83C73400A30B87 +:10131000F40283C73400D5C383C74400230CF4020E +:1013200083C74400D9CB83C75400A30CF40283C7FE +:101330005400C1C783C76400230DF40283C764004F +:10134000ADCF83C77400A30DF40283C77400B5C783 +:1013500083C78400230EF40283C78400B9CF83C7F8 +:101360009400A30EF40283C79400A1CB83C7A4000A +:10137000230FF40283C7A400A9C383C7B400A30F3B +:10138000F40283C7B40095CB83C7C4002300F404E0 +:1013900083C7C4009DC383C7D400A300F40483C7DC +:1013A000D40081CF83C7E4002301F40483C7E400A1 +:1013B00089C783C7F400A301F404930A4400568547 +:1013C000A30104042326340323283405232A040418 +:1013D000EFF02F9513058401EFF0AF94A947B38781 +:1013E0003741232C040400C81CCC40D02322040421 +:1013F000232E04045E86DA854A85EFF0AF8D08C09F +:1014000063040A0023208A007370043017F9010076 +:10141000130949138327090097F4010093844414A6 +:1014200091C798409C407C4385077CC317F7010017 +:10143000130707121C43850797F6010023AAF6102D +:101440009C40C5CB8327090017FB0100130B4B1FE2 +:1014500089EB9C40DC5763E6F90097F7010023A174 +:10146000871097F701009387E70C9C4317F701005B +:101470001307870D48541843850797F6010023ABDF +:10148000F60A3CC46376A70097F7010023AEA70ACB +:10149000D145EF40B044D6855A95EFF0EF888327C9 +:1014A000090081C79C40FC43B5E38327090005443C +:1014B00099C79C40DC5763F43701EFE03FF5228584 +:1014C000B250225492540259F249624AD24A424BD3 +:1014D000B24B456182805685EF20D0277D54C5B739 +:1014E00013152600EF20F014AA8AE31605DC7D54BC +:1014F000F9B797F7010023A58706184385476301CD +:10150000F70217FB0100130BAB13A1BF98409440E7 +:101510007C43FD177CC3FC42C9FB7360043071B788 +:10152000014A17FB0100130BAB11A94B5285D145A2 +:10153000EF40D03A050A5A95EFE07FFDE3187AFFB5 +:1015400097FB0100938B4B1C5E85EFE05FFC17FA65 +:101550000100130AAA1C5285EFE07FFB17F501007A +:101560001305051DEFE0BFFA17F501001305851DF2 +:10157000EFE0FFF917F501001305051EEFE03FF955 +:1015800097F7010023AC77FD97F7010023A647FDED +:10159000C9BD411122C406C626C24AC02A8473703E +:1015A000043097F401009384E4F99C40F9E311E4DA +:1015B00097F701009387C7FA8043130944004A85CF +:1015C000EFE01FFB1C5489C713058401EFE05FFAAD +:1015D000CA8517F501001305E516EFE0FFF497F74C +:1015E0000100938727F7984397F70100938787F4C3 +:1015F0009C43050797F6010023AEE6F49840850763 +:1016000097F6010023A8F6F201CB97F70100938724 +:1016100027F59843784349EF9C40B1C797F70100FD +:10162000938707F49843630F8708737004309840DA +:1016300039EB17F70100130767F214439442A5E250 +:101640007D5797F6010023A5E6EE984009CF984311 +:10165000784311CB984394437C43FD177CC3FC42F1 +:1016600099E373600430B24022449244024941013C +:10167000828097F701009387A7EE98439C437C43B1 +:1016800085077CC32DB794439843F8420507F8C2F9 +:1016900017F70100130787EC14439442D5D218437F +:1016A00058475847584397F6010023A3E6E871BF0F +:1016B000984394437C43FD177CC3FC42B1FF7360A5 +:1016C000043099BF97F70100938747E69C4381C791 +:1016D0007370043001A02244B24092440249410197 +:1016E0006FE0DFD241C517F70100130767E7144326 +:1016F0008147638BA606411106C622C426C27370B9 +:10170000043097F601009386E6E39C4291C71043AC +:101710001C437C4285077CC29C42AA84404981C705 +:101720001C43FC43B9E797F70100938727E39C43E9 +:10173000638B870497F70100938707E29C436384D8 +:10174000870497F70100938727016309F40297F74D +:101750000100938727FF6301F4028547B2402244CA +:1017600092443E85410182803E85828073700430C0 +:1017700001A0EF40702A45BF9147CDB79C5493B765 +:1017800017008907E1BF8947D1BF7370043097F70D +:101790000100938727DB984309EF15C59C43485503 +:1017A00081CB97F701009387A7DB9843784315E334 +:1017B000828017F701001307A7DA14431843F84291 +:1017C0000507F8C261FD17F70100130767D9084341 +:1017D000F1B7984394437C43FD177CC3FC42E9FB7B +:1017E000736004308280411122C42A8406C6EFE06F +:1017F000DFCD11E497F70100938787D680434054EB +:10180000EFE05FCCB2402285224441018280A547AF +:1018100063F5B7007370043001A0011122CC06CE2D +:1018200026CA4AC84EC652C42A847370043017F9B7 +:101830000100130929D183270900CDEF71C43C4869 +:101840006388F50817F70100130787D163FCB70019 +:101850001443638C860C18434457B3B4950093C467 +:10186000140029A00443818C93B41400585463835A +:10187000E70A1C4C2CC863C60700A947B385B740CC +:101880000CCC93172700BA97584897F90100938911 +:1018900029DB8A07CE97631AF702130A44005285A0 +:1018A000EFE01FCD97F70100938707CA48549C4388 +:1018B00063F6A70097F7010023A8A7C8D145EF401A +:1018C000F001D2854E95EFE03FC699C0EFE01FB41E +:1018D0008327090081CB97F70100938767C89C4352 +:1018E000FC439DEBF2406244D2444249B249224A51 +:1018F0000561828097F70100938787C698439C43D0 +:101900007C4385077CC305FC97F70100938747C597 +:10191000804335B74CD4B1BF6244F240D24442490F +:10192000B249224A05616F40300F814481B725C119 +:10193000011126CA97F40100938484C29C4006CE0C +:1019400022CC4AC84EC6638DA7027370043017F9C3 +:101950000100130929BF8327090091C798409C40C3 +:101960007C4385077CC3584997F701009387C7DEFE +:101970006302F7028327090081C79C40FC43A5EB63 +:10198000F2406244D2444249B24905618280737098 +:10199000043001A01C5517F70100130767D9E38B2A +:1019A000E7FCE9FB930945002A844E85EFE05FBC24 +:1019B00097F70100938747B948549C4363F6A70003 +:1019C00097F7010023A2A7B8D145EF40207197F700 +:1019D00001009387E7C63E95CE85EFE0FFB49C40BB +:1019E0005854DC57E368F7F8EFE05FA261B7624450 +:1019F000F240D2444249B24905616F40F00115CD31 +:101A0000011122CC26CA4EC62A8406CE4AC8EFE06F +:101A1000DFAB584897F70100938707D4AA89814420 +:101A20006300F7024E85EFE0FFA9F240624426858D +:101A30004249D244B249056182807370043001A0EA +:101A40001C5417F501001305A5CEE38DA7FCF9FB87 +:101A500097F70100938787AD9C43A9EB97F70100A7 +:101A6000938707B09C43445413094400DC574A85CC +:101A7000B3B4F400EFE0DFAF97F701009387C7AC92 +:101A800048549C4393C4140063F6A70097F70100E1 +:101A900023ACA7AAD145EF40606497F70100938774 +:101AA00027BACA853E95EFE03FA8ADBF93058401F4 +:101AB000EFE09FA785BF0111056506CE22CC26CA9F +:101AC0004AC84EC652C456C25AC0EF208036630E72 +:101AD000051AAA8413050006EF20A0352A84630A9C +:101AE000051C05669305500A04D92685EF20A05AE7 +:101AF00004588567F117BE94B7474C4593879744C0 +:101B0000130A44005CD85285230C0402A301040488 +:101B10002326040223280404232A0404EFE07FA0E0 +:101B200013058401EFE0FF9FA947232C0404F198DB +:101B300000C81CCC40D023220404232E04040146F8 +:101B4000971500009385E5B12685EFE0BF9808C0A2 +:101B50007370043017F901001309C99E8327090027 +:101B600097F401009384C49F91C798409C407C43A4 +:101B700085077CC317F701001307879D1C43850762 +:101B800097F6010023A6F69C9C40C9C38327090051 +:101B900097F901009389C9AA81EB9C40DC5789E73A +:101BA00097F7010023AE879A97F7010093878798EC +:101BB000984397F60100938626995C549442050752 +:101BC00017F601002328E69638C463F6F60017F7E7 +:101BD0000100232BF796139527003E950A05D28521 +:101BE0004E95EFE07F948327090081C79C40FC431A +:101BF000DDE78327090091C39C40EF10F04985473A +:101C00006305F50C59E17370043001A097F70100EA +:101C100023A887941843854797F90100938949A21F +:101C2000E314F7F8CE8A17FB0100130BEBAD5685D2 +:101C3000D10AEFE0DF8DE31C5BFF17F50100130510 +:101C4000A5ACEFE0DF8C97FA0100938A2AAD5685A8 +:101C5000EFE0FF8B17F50100130585ADEFE03F8B3B +:101C600017F50100130505AEEFE07F8A17F50100B7 +:101C7000130585AEEFE0BF8997F7010023A0678FBA +:101C800097F7010023AA578D05B7F2406244D2446A +:101C90004249B249224A924A024B056182809840E9 +:101CA00094407C43FD177CC3FC42A1F77360043071 +:101CB00089B76244F2404249B249224A924A024BF1 +:101CC0002685D24405616F20E02873700430FD57EB +:101CD00017F70100232EF784624497F7010023A32E +:101CE000A786F240D2444249B249224A924A024B64 +:101CF00097F7010023AC078405616FE08FDA7370FA +:101D0000043097F7010023AF07826FE0CFE717F7A2 +:101D100001001307A7811C4385071CC382807370D1 +:101D2000043097F701009387E781984305E317F79D +:101D300001001307A78108439C4381CB97F701005B +:101D4000938707829843784301EF828017F7010059 +:101D50001307078114431843F8420507F8C2C1BFAF +:101D6000984394437C43FD177CC3FC42F9FF7360A6 +:101D700004308280411106C622C4EFE00FF597E7D8 +:101D800001009387A77C8043EFE0CFF3B240228528 +:101D900022444101828097E701009387677B884353 +:101DA0008280797106D622D426D24AD04ECE52CC29 +:101DB00056CA5AC85EC697E70100938727779C43A7 +:101DC000B1EF17E70100130767781C43850797E613 +:101DD000010023ADF676832A0700638F0A0697E792 +:101DE00001009387E7749C43814463F1FA0897F9F3 +:101DF00001009389E98417EA0100130A6A768327B0 +:101E00000A008546D85793172700BA978A07BE99C4 +:101E100083A7090063FFF600854421A897E7010026 +:101E2000938707729C438144850717E701002329A4 +:101E3000F77097E70100938767709C4391C38544CF +:101E4000B2502254268502599254F249624AD24A2B +:101E5000424BB24B4561828017E4010013040470C9 +:101E60001C409C43DDC37370043001A017E40100E3 +:101E70001304C46E97E901009389897C17EA010075 +:101E8000130A0A6E17EB0100130B0B6C1C409C43EA +:101E9000B5C31C40DC4703A9C70083274900930B47 +:101EA00049005E8563EEFA04EFE08FEC8327890238 +:101EB0001305890199C3EFE0AFEB8327C90203271C +:101EC0000B00DE85139527003E950A054E95637637 +:101ED000F70017E701002329F766EFE0EFE4832717 +:101EE0000A000327C902DC57E362F7FA1C40854465 +:101EF0009C43C5F3FD5717E70100232BF76201B799 +:101F000017E701002326F762DDBD17E7010013077D +:101F1000A7641C40184397E6010023A1E66417E775 +:101F20000100232BF76297E701009387E7609C434A +:101F3000850717E701002321F7601C409C4399E7C0 +:101F4000FD5717E701002325F75E51BD1C40DC4714 +:101F5000DC47DC4317E70100232CF75C49B597E722 +:101F600001009387A75C984301E77370043001A0D8 +:101F7000011106CE22CC26CA4AC84EC652C456C249 +:101F80005AC07370043097E401009384A45B9840B6 +:101F900061EB98437D1797E6010023A9E6589C431F +:101FA000D9EB97E701009387A75A9C43C9C797EAE3 +:101FB0000100938AEA6817EA0100130AEA5817E950 +:101FC00001001309E959854919A83385EA00EFE0B2 +:101FD000AFD5832709005854DC576379F70483A7EA +:101FE0000A0FC1CF83A7CA0FC04713058401EFE0D2 +:101FF0002FD8130B44005A85EFE08FD75C5483260B +:102000000A00DA85139527003E950A052A8756951A +:10201000E3FDF6FA17E701002328F752EFE0CFD0EF +:10202000832709005854DC57E36BF7FA97E7010060 +:1020300023A637516DB701449C4081CB97E701003F +:10204000938707529C43FC4395E72285F240624404 +:10205000D2444249B249224A924A024B05618280E7 +:1020600017E701001307C74F14431843F842050749 +:10207000F8C205B7EF40401AC9BF17E401001304C6 +:10208000244C1C4095C31C40054999CF193B09C5F8 +:1020900097E7010023A4274B1C40FD1717E7010019 +:1020A0002320F74A1C40FDF397E701009387074977 +:1020B00098438547E311F7F8EFE04FB50544ADBF0E +:1020C00011CD81E57370043001A017E701001307FB +:1020D000E7451C4399C77370043001A07370043046 +:1020E00001A0411122C406C614431841850617E613 +:1020F0000100232DD64297E601009386264594429F +:102100003304B70000C163F7E602637FE40063FDB8 +:10211000860097E701009387A74488431105EFE005 +:102120002FC52285EF4000091D3D01C9B240224460 +:1021300041018280E36FE4FCD9BF2244B2404101F7 +:102140006FE0CFAC11C917E701001307273E1C430E +:1021500091C77370043001A06FE04FAB411106C608 +:1021600022C41843AA87050797E6010023A0E63C8E +:1021700097E601009386C63E17E701001307073D67 +:10218000184388423304F7001105EFE06FBE228543 +:10219000EF404002E93311E52244B24041016FE0D3 +:1021A000EFA6B240224441018280411122C406C6FA +:1021B00097E70100938787379C430144850717E71A +:1021C00001002325F73697E70100938767389C4382 +:1021D00063E0F51217EE0100130E4E5297E2010074 +:1021E0009382424501440147854F1303500A21A0C1 +:1021F000311E638DC20B83278EFFBA86F5DB8327E2 +:10220000CEFFDC43232EFEFE638AC70F03AFC70059 +:10221000DC432A97814E232EFEFE6385C70783A8E1 +:10222000C70093874803232017015CC383A7880452 +:102230002326F7011CC783A7C8021CCB83A7080568 +:10224000232C07005CCB03A8080383470800639096 +:102250006704C287850783C60700B3850741E38B00 +:1022600066FE8981C205C181231EB700850E130752 +:10227000070263061F038327CEFFDC43232EFEFEE7 +:10228000E39FC7F983274E00232EFEFE49BF8145F9 +:10229000231EB700850E13070702E31E1FFD769469 +:1022A00013175400311EBA86E397C2F597E7010071 +:1022B0009387C72A03AE070083270E00639D070E8E +:1022C00097E701009387472903AE070083270E0095 +:1022D0006392071817EE0100130E8E3683274E10F7 +:1022E0009DE383278E116395072019C223200600E2 +:1022F000BD312285B24022444101828083274E00B5 +:10230000232EFEFE21B783278E1017EF0100130F37 +:10231000EF43DC4317E701002328F7426380E733EC +:1023200083A2C700DC43AA96814E17E70100232D44 +:10233000F740914F1303500A6388E70783A8C7004B +:102340009387480323A01601DCC283A7880423A631 +:10235000F6019CC683A7C8029CCA83A7080523ACC4 +:102360000600DCCA03A80803834708006391672AB4 +:10237000C287850703C70700B3850741E30B67FEE4 +:1023800093D72500C207C183239EF600850E93864E +:1023900006026386122983278E10DC4317E70100AB +:1023A0002324F73AE39CE7F983270E1117E701008E +:1023B000232CF73861B783274E00130F8E00D443C8 +:1023C0002322DE006388E629B307E500D84283A212 +:1023D000C600814E2322EE00894F1303500A630684 +:1023E000EF068328C7001387480323A01701D8C32B +:1023F00003A7880423A6F70198C703A7C80298CBB0 +:1024000003A7080523AC0700D8CB03A8080303479C +:1024100008006318671C4287050783460700B30559 +:102420000741E38B66FE13D7250042074183239EB5 +:10243000E700850E93870702638D121B83264E00EB +:10244000D8422322EE00E31EEFF80327CE0023221A +:10245000EE0041BF83274E00130F8E00DC43232282 +:10246000FE006384E71F83A2C700DC43AA96814E67 +:102470002322FE00894F1303500A6306FF0683A838 +:10248000C7009387480323A01601DCC283A78804F2 +:1024900023A6F6019CC683A7C8029CCA83A7080589 +:1024A00023AC0600DCCA03A8080383470800639630 +:1024B0006710C287850703C70700B3850741E30B91 +:1024C00067FE93D72500C207C183239EF600850EC1 +:1024D00093860602638B120F83274E00DC43232270 +:1024E000FE00E31EFFF88327CE002322FE0041BF3B +:1024F0008327CE1197EE0100938E8E26DC4317E7DB +:102500000100232DF7246384D71383AFC700DC4376 +:102510003307D500014397E6010023A1F6240D4FB0 +:1025200089429308500A6387D707C8479307450332 +:1025300008C35CC33C452326E7011CC75C551CCB84 +:102540001C5599C3232657003C49232C07005CCB1C +:10255000032805038347080063951705C28785078D +:1025600083C60700B3850741E38B16FF93D7250089 +:10257000C207C183231EF700050313070702638AFE +:10258000AF028327CE11DC4397E6010023A8F61C97 +:10259000E39DD7F983274E1297E6010023A0F61C8E +:1025A00069B78147231EF700050313070702E39A63 +:1025B000AFFC1A94E31C06D225BB8147239EF6008C +:1025C000850E93860602E39912F117EE0100130EB1 +:1025D0002E0783274E10769493165400E38307D07A +:1025E0001DB30147239EE700850E93870702E397FB +:1025F00012E597E70100938727F603AE070076946C +:102600009316540083270E00E38607CCA1B58147BB +:10261000239EF600850E93860602E39E12D783273B +:102620008E11769493165400E38107CCD1B583279D +:102630004E1217E701002323F712C1BD83270E11A5 +:1026400017E701002322F710E1B98327CE002322E8 +:10265000FE0011BD8326CE002322DE00B5B37971C2 +:1026600026D24AD04ECE52CC56CA5AC85EC606D6DC +:1026700022D497E90100938969EB17E90100130956 +:1026800029FC17EA0100130AEAEB97EA0100938A92 +:102690002AED97EB0100938BABEB97E40100938459 +:1026A00064EB054B21A883A7090003244910850783 +:1026B00017E70100232CF7E65D3011E89C40E5F7B1 +:1026C00083270900E37CFBFEEFD05FD4C5BF7370A6 +:1026D000043083270A00B9E383270911C047130593 +:1026E0004400EFD0FFE883A70B00FD1717E70100B8 +:1026F0002320F7E69C40FD1717E70100232CF7E4A1 +:1027000083270A0089C783A70A00FC4385E308588A +:10271000EF1050042285EF10F0034DB703A70A0015 +:1027200083A70A007C4385077CC37DB703A70A0003 +:1027300083A60A007C43FD177CC3FC42E9FB73605F +:102740000430F1B797E70100938747DE9C43DDE74C +:10275000411106C622C426C297E7010023A007DE66 +:1027600017E701001307C7DF1C43B7A6A5A593868B +:10277000565A9C5B8C43639BD500D0436318B600CC +:1027800094476395C600DC476388D70008430C4331 +:1027900093854503EF20807317E701001307C7DA1D +:1027A000144317E401001304A4E911A81C43A9CFA2 +:1027B0001C43FD1797E6010023A8F6D8144393970E +:1027C0002600B6978A07A2979C43EDD30843931738 +:1027D0002500AA978A073307F4005443A107A2975C +:1027E000C44244C36385F402DC44B240224417E788 +:1027F00001002327F7D6924441018280854717E7DD +:102800000100232DF7D282807370043001A0C440F0 +:10281000D145EF30B00C229544C1F9B701114AC837 +:1028200006CE22CC26CA4EC62A897370043097E49D +:102830000100938424D19C4017E40100130444D286 +:10284000D9E76314090003290400930949004E8560 +:10285000EFD01FD28327890289C713058901EFD0E2 +:102860003FD1CE8517E50100130505EFEFD0DFCB93 +:102870009C4081C71C40FC43ADE31C40638B270791 +:102880009C409DCF737004309C40C1EB97E70100E2 +:102890009387C7CC9843184325EBFD5717E70100F2 +:1028A0002328F7C89C4089CF1C40FC4391CB18409B +:1028B00014407C43FD177CC3FC4299E373600430F1 +:1028C000F2406244D2444249B249056182801840D4 +:1028D0001C407C4385077CC3ADB7184014407C4343 +:1028E000FD177CC3FC42D1FB736004301C40E399AC +:1028F00027F99C40A1C397E70100938727C39C4316 +:10290000ADCB7370043001A09C43DC47DC47DC4353 +:1029100017E70100232EF7C071B718401C407C4315 +:1029200085077CC397E70100938747C39843184303 +:102930002DD7D9BF97E70100938787C117E701001B +:10294000130707D09C4303278711631DF700F2404C +:10295000624497E7010023A507C0D2444249B24927 +:10296000056182806244F240D2444249B249056125 +:10297000D1BB6244F240D2444249B24905616FD0B2 +:10298000FFA83DC9411126C297E40100938444BDCC +:102990004AC02E898C4006C622C4E105EFD05FBA3A +:1029A00088401105EFD0DFBCFD57630AF90497E7B3 +:1029B00001009387A7B9804398409C434A9440C341 +:1029C000636CF40497E70100938747B988438C4010 +:1029D0009105EFD0FFB697E70100938767B59C4359 +:1029E0006376F40097E7010023A487B4B240224441 +:1029F00092440249410182807370043001A08C40EE +:102A00002244B2409244024917E501001305C5D49F +:102A1000910541016FD05FB197E701009387C7B37C +:102A2000884322448C40B2409244024991054101BE +:102A30006FD01FB111C997E70100938727AF9C435F +:102A400099E77370043001A07370043001A0411144 +:102A500026C297E401009384A4B09840AE878C40CE +:102A6000B7060080D58F06C622C41CCFE10532848C +:102A7000EFD09FAB88401105EFD09FAFFD57630E9D +:102A8000F40097E70100938767AC8843B240924413 +:102A90002295224441016F30E0718C402244B240C3 +:102AA000924417E50100130525CB910541016FD034 +:102AB000BFA725CD411126C297E40100938444AA03 +:102AC0004AC02E898C4006C622C4E1053284EFD06C +:102AD000BFA588401105EFD0BFA98547630AF4045C +:102AE00097E70100938787A6804398409C434A94C8 +:102AF00040C3636CF40497E70100938727A68843DB +:102B00008C409105EFD0DFA397E70100938747A2A0 +:102B10009C436376F40097E7010023AB87A0B240A3 +:102B2000224492440249410182807370043001A022 +:102B30008C402244B2409244024917E5010013053B +:102B4000A5C1910541016FD03F9E97E70100938792 +:102B5000A7A0884322448C40B24092440249910588 +:102B600041016FD0FF9D411106C622C426C25C45BB +:102B7000C04735CC930484012685EFD07F9F97E72B +:102B800001009387A79A9C43B5E7930444002685E8 +:102B9000EFD01F9E97E701009387079B58549C43F3 +:102BA00063F6E70097E7010023A0E79A9317270051 +:102BB000BA978A0717E50100130585A8A6853E95F3 +:102BC000EFD09F9697E70100938787999C435854CD +:102BD0000145DC5763F8E700854717E70100232F1D +:102BE000F7940545B24022449244410182807370BB +:102BF000043001A0A68517E50100130565B3EFD0E9 +:102C0000BF92C9B797E70100938747929C4381E73A +:102C10007370043001A0411106C622C426C2B70752 +:102C200000804045CD8F1CC12DC4EFD07F9493040C +:102C300044002685EFD0DF9397E701009387C79084 +:102C400058549C4363F6E70097E7010023AEE78EF4 +:102C500093172700BA978A0717E501001305459EC9 +:102C60003E95A685EFD05F8C97E701009387478F4D +:102C70009C4358540145DC5763F8E700854717E744 +:102C80000100232DF78A0545B240224492444101B8 +:102C900082807370043001A011CD97E70100938703 +:102CA000A789984397E701009387478A9C4318C1F7 +:102CB0005CC182807370043001A055C1D1CD411137 +:102CC00006C622C42A877370043097E801009388EF +:102CD000688783A7080091CB97E70100938747880F +:102CE00094439C43FC428507FCC217E30100130395 +:102CF000E3859C4103260300FD560144638FD70200 +:102D000017EE0100130E4E8303250E000328070063 +:102D100054436305A80005446371D6023308D640C6 +:102D20000544637CF80003250E00918F0326030001 +:102D3000B6979CC108C350C3014483A7080081CB48 +:102D400097E701009387C7819C43FC4381EF22856D +:102D5000B2402244410182807370043001A073703C +:102D6000043001A0EF30404BDDB7854717D7010095 +:102D70002326F77C828019C16845828001458280C4 +:102D800011C16CC5828097D701009387677D884306 +:102D9000828097D701009387E77A9C43054589CBCA +:102DA00097D7010093878778884313351500060568 +:102DB000828031CD011126CA97D401009384447AD0 +:102DC0009C4006CE22CC4AC84EC65855DC57637983 +:102DD000F7021C4D63C707009C40D457A947958F45 +:102DE0001CCD93172700BA97584917E9010013091A +:102DF00029858A07CA97630DF7009C40DC575CD58C +:102E0000F2406244D2444249B249056182808280E4 +:102E1000930945002A844E85EFD08FF59C4017D743 +:102E20000100130767721843DC575CD46376F70020 +:102E300017D70100232AF770139527003E956244A7 +:102E40000A05F240D244CE854A95B249424905610D +:102E50006FD08FED19CD411106C622C426C297D777 +:102E600001009387E76F80436307A4007370043009 +:102E700001A0014582807C4881E77370043001A085 +:102E800054543848FD177CC86383E60099C7014550 +:102E9000B24022449244410182809304440026853A +:102EA000EFD00FED284897D701009387E769984343 +:102EB000A947898F48D41CCC6376A70097D7010017 +:102EC00023A4A768D145EF30602197D701009387ED +:102ED00027773E95A685EFD02FE5054555BF737042 +:102EE000043097D701009387E7659C4391CB97D730 +:102EF00001009387E76698439C437C4385077CC326 +:102F0000828097D701009387E7639C438DC397D74F +:102F100001009387E7649843784311CB9843944327 +:102F20007C43FD177CC3FC4299E3736004308280CC +:102F300097D701009387C762904394439843A9476A +:102F4000D456084E958F1CCF828097D70100938767 +:102F50002761984309C79443F84A0507F8CA88438C +:102F6000828079714AD006D622D426D24ECE2A89C2 +:102F70007370043097D401009384C45C9C4017D4D0 +:102F800001001304E45DBDE31C40BC4F89E71C4015 +:102F90000547F8CFD1E19C4081C71C40FC43F1EBD1 +:102FA000737004309C408DEF1C40A84F11C5630422 +:102FB00009021C4023AC07041C4023AE07049C40BC +:102FC00081C71C40FC438DEBB250225492540259ED +:102FD000F2494561828018403C4FFD173CCFE9BF64 +:102FE00018401C407C4385077CC37DBF18401C40B3 +:102FF0007C4385077CC349BF184014407C43FD17C0 +:103000007CC3FC42F1F373600430B25022549254FA +:103010000259F2494561828008402EC61105EFD061 +:103020002FD5B245FD576380F50697D701009387EA +:10303000E75183A9070018409C43AE99232237012A +:1030400063ECF90497D701009387475188430C40FC +:103050009105EFD0EFCE97D701009387674D9C4342 +:1030600063F6F90097D7010023A4374DEFD00FBACC +:103070001DB7184014407C43FD177CC3FC428DF300 +:103080007360043031BF0C4017D501001305C56CC7 +:103090009105EFD06FC9D9BF97D701009387C74B70 +:1030A00088430C409105EFD0AFC9C9B779714AD0B8 +:1030B0004ECE06D622D426D252CC2E89B289737037 +:1030C000043097D401009384E4479C4017D4010056 +:1030D00013040449F9EF18408947784F630CF7004F +:1030E0001C401345F5FFB84F798DA8CF1C4005470C +:1030F000F8CFADE69C4081C71C40FC43F5E37370FC +:1031000004309C40B1E7638609001C40BC4F23A0FB +:10311000F900184085470145784F630BF7001C40C4 +:103120001349F9FF0545B84F3379E90023AC27056A +:103130001C4023AE07049C4081C71C40FC43C1E3F4 +:10314000B250225492540259F249624A4561828037 +:1031500018401C407C4385077CC375B7084036C6C1 +:103160001105EFD0EFC0B246FD576385F60897D73B +:1031700001009387A73D03AA070018409C43369A95 +:10318000232247016363FA0897D701009387073D1D +:1031900088430C409105EFD0AFBA97D701009387D1 +:1031A00027399C436370FA0697D7010023A2473959 +:1031B00091A818401C407C4385077CC329BF184058 +:1031C00014407C43FD177CC3FC42BDFB736004309C +:1031D000B250225492540259F249624A45618280A7 +:1031E000184014407C43FD177CC3FC4289FB73608C +:1031F000043031B70C4017D501001305E555910592 +:10320000EFD08FB2EFD08FA0F5B597D7010093879D +:10321000A73488430C409105EFD08FB2E5B751C178 +:10322000411106C622C426C24AC07370043097D426 +:103230000100938424319C40B9EB99C23C4D9CC25F +:10324000B2878946704D74CD2E872A846386D7064F +:1032500063FCF6048D456387B70091456395B7001D +:103260006300D60C38CC8547630FF60405449C40B8 +:1032700081CB97D701009387A72E9C43FC43A9E3FA +:103280002285B2402244924402494101828097D76C +:1032900001009387E72C98439C437C4385077CC3BC +:1032A00069BF7370043001A08546E39ED7FA3C4D98 +:1032B00033E7B70038CD45BF3C4D85073CCD65B7FA +:1032C000EF20907575BF130944004A85EFD04FAACF +:1032D00097D701009387472748549C4363F6A7007C +:1032E00097D7010023A2A726D145EF20305F97D7BB +:1032F00001009387E7343E95CA85EFD0EFA21C54B6 +:1033000081C77370043001A097D7010093874725C8 +:103310009C435854DC57E3FBE7F4EFD02F8FB9B749 +:103320000144B1B739CD011122CC26CA4AC84EC6D4 +:1033300052C456C206CEBA89368AB2842E892A84ED +:10334000EFD0AF98AA8A63050A003C4C2320FA000C +:10335000784C89477CCC6389F40263F4970C8D46E2 +:103360006387D40091466396D400630AF70A232C3E +:1033700024058547631EF7061C5499CB73700430EF +:1033800001A07370043001A03C4C85073CCCD5B73C +:1033900097D70100938787199C43ADEB93044400B2 +:1033A0002685EFD0EF9C97D701009387E719485403 +:1033B0009C4363F6A70097D7010023A7A718D14520 +:1033C000EF20D05197D7010093878727A6853E9598 +:1033D000EFD08F9597D70100938787189C43585457 +:1033E000DC5763F7E70063850900854723A0F900F0 +:1033F00005445685EFD00F8D2285F2406244D244B9 +:103400004249B249224A924A056182809305840169 +:1034100017D501001305C531EFD00F9165BF0144E9 +:10342000C9BF8547E397F4F43C4C33E92701232CCB +:10343000240581B74DC1011122CC26CA2A844EC66B +:1034400006CE4AC8AE84EFD04F888947744C7CCCF6 +:103450003C4C0547AA8985073CCC6395E6061C547D +:1034600081C77370043001A097D701009387070CC0 +:103470009C43ADE7130944004A85EFD06F8F97D77F +:1034800001009387670C48549C4363F6A70097D7C5 +:10349000010023ABA70AD145EF20504497D7010084 +:1034A0009387071ACA853E95EFD00F8897D70100FA +:1034B0009387070B9C435854DC5763F5E70099C08A +:1034C00085479CC06244F240D24442494E85B2498D +:1034D00005616FC03FFF7370043001A09305840144 +:1034E00017D501001305C524EFD00F84C1B7AA87F3 +:1034F00029C57370043017D701001307A7041443BC +:103500009DE2F04F894601456315D60023AE0704BE +:1035100005451C4381CB97D70100938767049843E7 +:10352000784315E3828097D6010093866603904224 +:1035300094427442850674C2E9B797D70100938715 +:1035400027029C437DB7984394437C43FD177CC37B +:10355000FC42E9FB7360043082805D71A6C2CAC080 +:1035600052DC56DA5AD85ED686C6A2C44EDE62D483 +:1035700066D26AD017D90100130989FF17DB010051 +:10358000130B4BFE97D40100938404FE97DA0100DD +:10359000938ACAFD054AA54B832709009843630116 +:1035A0000712DC4783A90700EFE06FF6EFE02FF783 +:1035B00083270B002A8C6362F50A97D7010023A3A7 +:1035C000A7FA01466370351B8840B3858941EFD067 +:1035D000DFBDEFE0DF9863010512884081460146B8 +:1035E0002C00EFD0EFEB4DD9A247E3C807FEC2494C +:1035F00083A7490189C713854900EFC07FF7EFE032 +:103600000FF283270B002A8C636DF508A24717D7AA +:103610000100232987F5E3E2FBFCB317FA0013F757 +:103620000721631A071613F7770C6318071E93F721 +:103630000702C5D74E85EF00F01145B7DC47C047FC +:1036400083AC0700930944004E85EFC07FF25C50C5 +:10365000228582975C4C6384470B8327090098433B +:1036600071FF03A70A0097D6010023AD86EF97D616 +:10367000010023ADF6EE97D7010023ABE7EEEFE0B4 +:103680001F8EA1BFDC47C04703AD0700930C440069 +:103690006685EFC0FFED5C50228582975C4C6386A7 +:1036A000470983270900984371FF03A70A0097D6AB +:1036B000010023ADF6EA97D7010023ABE7EAB9B7DB +:1036C000EFE0EFE4EFE0AFE583270B002A8CE36641 +:1036D000F5F883A70A00884081499043B385894162 +:1036E00017D70100232087E913361600EFD0FFAB70 +:1036F000EFE0FF86E31305EEEFC05FD1F9BD1C4C90 +:10370000CE85E69763E9FC049C4089CF02CA66CC6B +:1037100022CEEFF00FE88840814601464C08EFD0FA +:103720000F8805FD7370043001A01C4CE685EA97F4 +:10373000636CFD049C4089CF02CA6ACC22CEEFF0B4 +:103740004FE58840814601464C08EFD04F8531F95E +:103750007370043001A0032509005CC000C8EFC0ED +:103760003FDEE5BDEFE0AFFF83270900DC47C04740 +:10377000930C44006685EFC0BFDF5C4C638F47034A +:103780005C502285829791BD032509005CC000C86A +:10379000EFC01FDB39B7B24723ACF900A5CFE297E2 +:1037A00023A2F90023A8390193854900636FFC0423 +:1037B00003A50A00EFC0DFD80DB51C4C00C8338745 +:1037C000F90058C0636DEC02330C3C416363FC02AA +:1037D0009C4089CF02CA4ECC22CEEFF08FDB8840CE +:1037E000814601464C08EFC09FFB59F973700430C5 +:1037F00001A003A50A00E685EFC09FD451B70325B9 +:103800000900E685EFC0DFD3A5BF03250900EFC09F +:103810003FD3E1B37370043001A0B24783A689019E +:1038200023A839013387D70023A2E9006364EC049D +:10383000B307FC4063EBD70483A749024E85829708 +:1038400083A7C901E39B47D983A68901B247984062 +:10385000B69711CF02CA3ECC4ECEEFF08FD3884040 +:10386000814601464C08EFC09FF3E31805D67370FC +:10387000043001A06374FC00E370F7FC0325090029 +:1038800093854900EFC0DFCB89BB03A50A00938570 +:103890004900EFC0FFCA91B3411122C406C626C237 +:1038A0004AC017D40100130424CCEFF04FE31C40AE +:1038B00095CBEFF00FE51C409DC38148014881473F +:1038C000094781461306004097D50100938505AF4F +:1038D000170500001305A5C8EFD05F9A39E973708A +:1038E000043001A017D90100130949E84A85EFC047 +:1038F0001FC297D401009384A4E82685EFC03FC17E +:103900000146B145114597D7010023A327C797D793 +:10391000010023AD97C4EFC07FDB17D7010023253B +:10392000A7C411CD97D501009385C5A8EFD0AFFCF2 +:1039300049B7B240224492440249410182807370E7 +:10394000043001A0A5C9797156CAAA8A1305C0021C +:1039500022D426D24AD04ECE52CC06D65AC85EC603 +:103960003A89B689328AAE84E9212A8405C9EFF002 +:103970000FD797D70100938727BF9C439DCFEFF0C8 +:103980004FD8130544002320540104CC232E4401B6 +:103990002320340323222403EFC0BFB82285B25072 +:1039A000225492540259F249624AD24A424BB24BD3 +:1039B000456182807370043001A097DB0100938B16 +:1039C000EBDA5E85EFC0BFB417DB0100130B4BDBF6 +:1039D0005A85EFC0DFB30146B145114597D70100C5 +:1039E00023A877B997D7010023A267B9EFC01FCEEC +:1039F00017D70100232AA7B601C997D501009385DF +:103A0000659BEFD04FEFA5BF7370043001A039C59F +:103A1000011122CC06CE26CA17D401001304C4B467 +:103A2000832804002A88014563850802BA842EC2CF +:103A3000368732C442C6954663C5B602EFF06FB50D +:103A40008947630BF5020840814601464C00EFC0F0 +:103A50001FD5F2406244D244056182807370043005 +:103A600001A04C0081463A864685EFD08F88F2400F +:103A70006244D244056182800840814626864C001B +:103A8000EFC0FFD1F9B719C10841828073700430CB +:103A900001A001E57370043001A0411106C622C4E3 +:103AA0002A84EFF0CFC348483334A000EFF06FC54D +:103AB0002285B24022444101828001E573700430C6 +:103AC00001A0411122C42A8406C6EFF04FC1005064 +:103AD000EFF02FC3B240228522444101828001E5EC +:103AE0007370043001A0411122C426C22E84AA841E +:103AF00006C6EFF0CFBE80D02244B24092444101CE +:103B00006FF02FC04111714522C406C61D262A84BC +:103B100011C5232005001105EFC07F9F2285B2400B +:103B200022444101828001CDB70700FFED8F81C79C +:103B30007370043001A099E57370043001A07370B4 +:103B4000043001A0011122CC4AC84EC652C456C24C +:103B500006CE26CABA893689B28A2E842A8AEFF01E +:103B60004FA311E5638509007370043001A0EFE0F5 +:103B70000F9A83240A00B37794006316090295C74D +:103B800063870A009347F4FFE58F2320FA00EFE0F4 +:103B90000FBDF240624426854249D244B249224ACE +:103BA000924A05618280E30DF4FCE38209FEB3359D +:103BB0005001E20563050900B7070004DD8D4E865C +:103BC000C18D13054A00EFE0FFE6EFE04FB919E1C0 +:103BD000EFC0DF83EFF0CFB593176500AA8963D8F4 +:103BE0000700B7040001FD14B3F499005DB7EFF0CE +:103BF0000FAF83290A00B3773401631E090089CB14 +:103C000063880A009347F4FFB3F737012320FA00D3 +:103C1000EFF02FAFF9B7E31DF4FEDDB701C9B70729 +:103C200000FFED8F99C77370043001A073700430EA +:103C300001A0411122C42A8406C626C24AC02E8988 +:103C4000EFF0EFA904409345F9FFB3F795001CC0CE +:103C5000EFF02FABB2402244268502499244410145 +:103C60008280411122C42A8406C6EFC01F8600400C +:103C7000EFC05F85B240228522444101828009C99C +:103C8000370700FFB3F7E50099C77370043001A050 +:103C90007370043001A06F20E059B70700FFF18F67 +:103CA00081C77370043001A001E67370043001A075 +:103CB000011122CC4AC84EC652C406CE26CA368945 +:103CC000AE892A8A3284EFF0CF8C21E163050900A6 +:103CD0007370043001A0EFE08F8383240A00CE8547 +:103CE0005285B3E49900613FB37794006307F4060B +:103CF00083240A00EFE0AFA6F240624426854249E1 +:103D0000D244B249224A05618280EFE04F80832489 +:103D10000A00CE855285B3E434019537B3F78400A9 +:103D2000638D8702E30609FCB70500054A86C18D4D +:103D300013054A00EFE01FD0EFE06FA219E1EFC0DA +:103D4000EFECEFF0EF9E93176500AA8463DF0700A6 +:103D5000B7070001FD17FD8C45B783270A00134400 +:103D6000F4FFE18F2320FA0071B7EFF04F9783241F +:103D70000A00B3779400639787009347F4FFE58FB9 +:103D80002320FA00EFF0EF97E1B7411122C406C6F5 +:103D90002A8426C2EFD0BFF75C4085C3084893044D +:103DA000C4006316950015A0084863009502B70586 +:103DB0000002EFE03FE55C40E5FB2285612A2244FA +:103DC000B240924441016FE08F997370043001A0BA +:103DD00009C9370700FFB3F7E50099C773700430CE +:103DE00001A07370043001A06F20C04401C9B7075F +:103DF00000FFED8F99C77370043001A07370043019 +:103E000001A0411122C426C206C62A84AE84EFF066 +:103E10000F8D184093C7F4FFF98F1CC02244B240A5 +:103E2000924441016FF0EF8D19C1084D8280014528 +:103E30008280411122C406C62A84EFD05FED97C765 +:103E40000100938727739C43A1EBE56717D7010017 +:103E500013078794F11797C6010023A3E67217C7CB +:103E60000100232DF77017D701002329F79297C778 +:103E700001009387677017D70100232FF7908547BC +:103E800017C701002320077017C701002326076EFC +:103E900017C701002320F76E19E8EFE04F8CEF10F1 +:103EA000600201442285B240224441018280130510 +:103EB000840093773500C1EFE5671307F5FFE91735 +:103EC000E3EDE7FC97C601009386866B9C4229A030 +:103ED000984311C7BE86BA87D843E36BA7FE17C7BE +:103EE000010013076769E38AE7FA984341461384A0 +:103EF000870098C2D843B306A7406378D6023388B8 +:103F0000A7002322D800C8C38325480097C6010014 +:103F10009386066711A0BA8698425043E36DB6FEB9 +:103F20002320E80023A00601D84397C70100938708 +:103F3000E7669C43998F17C701002321F766EFE0DE +:103F40000F8231DC2285B240224441018280719986 +:103F500011059DB729C9411122C406C62A84EFD094 +:103F60001FDB930584FF0326C4FF17C70100130757 +:103F7000276111A03E871C43D443E3EDC6FE232CEA +:103F8000F4FE0CC397C701009387476198438327CA +:103F9000C4FF2244B240BA9717C701002320F7603C +:103FA00041016FD0DFFB828097C701009387075FD5 +:103FB0008843828082803367B5000D8BAA871DE716 +:103FC0009308D6FFAA982A87AE866371150303A8C3 +:103FD000060011079106232E07FFE36A17FF934798 +:103FE000F5FFC697F19B9107BE95AA972A9663F3B2 +:103FF000C70A9386450013884700B3B6D70033B885 +:10400000050133E7F50093C61600134818000D8B21 +:10401000B3E6060113371700758F330EF64093860B +:10402000170029CF1337AE0031EB13532E002E8823 +:10403000BE860147832808000507110823A0160142 +:104040009106E36967FE1377CEFFBA95BA976302CC +:10405000EE0483C60500138717002380D700637A18 +:10406000C70283C6150013872700A380D700637299 +:10407000C70203C725002381E7008280B307F6400B +:10408000AE97850503C7F5FF8506238FE6FEE39A05 +:10409000F5FE82808280B367C5008D8BB308C500B2 +:1040A000E9C36371150FB307A0408D8B13873700E9 +:1040B000954693F5F50F130815003383A8407D1638 +:1040C0006373D70015476363E60CD5CF2300B500B3 +:1040D0000547638DE700A300B5000D4713082500D1 +:1040E0006396E700130835002301B5001396850099 +:1040F0004D8E13970501518F3303F340939685013D +:10410000D98E13562300AA97014794C30507910738 +:10411000E36DC7FE1377C3FFB307E8006307E30649 +:104120002380B70013871700637C1703A380B700B1 +:1041300013872700637617032381B700138737009F +:1041400063701703A381B70013874700637A1701D1 +:104150002382B7001387570063741701A382B70047 +:10416000828093F5F50F13978500D98D139705017C +:104170004D8FE37715FFAA87910723AEE7FEE3EDA6 +:1041800017FF828082802A8895B78280AA8749BFDC +:10419000834705002A8799C7050583470500EDFF7A +:1041A000198D82800145828005058347F5FF8505CD +:1041B00003C7F5FF91C7E389E7FE3385E7408280B7 +:1041C0008147E5BFAA87850503C7F5FF8507A38F4C +:1041D000E7FE75FB82808346050093070002639724 +:1041E000F600050583460500E38DF6FE938756FD30 +:1041F00093F7D70F85C7AA87814585CE01458507E7 +:10420000138606FD1317250083C607003A95060599 +:104210003295F5F699C13305A0408280938536FD2D +:104220008346150093B5150093071500E1FA014583 +:10423000D5B7014582809C412380A7009C4185071A +:104240009CC1828097C60100938606349C42175712 +:1042500003001307275713861700BA972380A70078 +:1042600097C5010023A2C532A9476308F50093074B +:1042700000046305F6000145828075DE370610F004 +:1042800005078347F7FF2320F6F09C42FD1797C5EB +:10429000010023ABF52EEDF7014582804D712322FD +:1042A000911423202115232A51132324811323261B +:1042B000111423248114232E3113232C411323287A +:1042C000611323267113232291132320A113232E7C +:1042D000B111AA842E8932C6130C5002A54A834715 +:1042E000090063808703B9CB804011A0A1CB2300D4 +:1042F000F40080400509050480C083470900E39766 +:1043000087FF0346190093081900C686130D0002A3 +:10431000FD597D5A0148130550059307D6FD93F7C3 +:10432000F70F138916006363F52A17C701001307F7 +:1043300067CD8A07BA979C43BA9782878320C114B6 +:104340000324811483244114032901148329C113F4 +:10435000032A8113832A4113032B0113832BC112D8 +:10436000032C8112832C4112032D0112832DC111C4 +:1043700071618280A14C02C4854763D50715B2479D +:104380008040938B770093F68BFF03AB060083A9E5 +:104390004600938786003EC6668681465A85CE854E +:1043A000EF10D0032AC8814763853723930D41015D +:1043B000854B668681465A85CE85EF1060248146FE +:1043C00066862A8BAE89EF107001A24723A0AD004C +:1043D00093861B00910D63843701B68BD9BFE37EB2 +:1043E0009BFF63DD4601A2872380A70180407D1AE1 +:1043F000930714009CC03E84E3C846FF93962B00AD +:104400001C08BE9631A8938777052300F4008040EE +:10441000FD1B93871B00050480C0F116E351F0ECEF +:104420009C42E3E2FAFE93870703C5B79C409306DC +:10443000000332472380D7009C4093064700C14CBD +:104440001386170090C013068007A380C700804022 +:1044500002C4BA87050480C003AB0700814936C691 +:1044600025BFB24783AC0700938B470063840C1CC5 +:10447000635F40199307D0026319FD1683C70C00D0 +:1044800085C363DC09189440850C7D1A2380F600EF +:104490008040050480C083C70C00E5F7635D4001E0 +:1044A0008040930700022300F40080407D1A050439 +:1044B00080C0E31A0AFE5EC61DB502C48547A94C3A +:1044C000E3CF07EBB24780409386470071B703C63E +:1044D0001600CA8699B59C40930650022380D700E7 +:1044E0008040050480C0E5BBC14C02C471B5B24731 +:1044F00003C61600CA8683A9070091073EC6E35E7D +:104500000AE04E8AFD5911BD03C61600130DD002F4 +:10451000CA8621B59347FAFFFD8703C61600337A92 +:10452000FA00CA86DDBB03C61600130D0003CA8657 +:10453000EDB383C51600930906FDCA86938705FD72 +:104540002E86E3EEFAFA93972900BE99850686092E +:10455000AE9983C50600938909FD938705FD2E86D4 +:10456000E3F3FAFE69BF32479C40144311073AC691 +:104570002380D7008040050480C095B3854763D36E +:10458000070BB24793867700E19A938786003EC671 +:1045900083A946009C4263DC090A94401306D002BA +:1045A000B307F0402380C6008040B336F000B30963 +:1045B0003041050480C03E8BB389D940A94C02C468 +:1045C000E1BB03C616000508CA8681BB9C4046892C +:1045D00021B7E37D9BDD8547814B8546E3C547E1F8 +:1045E00031BD97CC0100938CECDDCE856685EF0064 +:1045F0007029330AAA40635C400180402300A40173 +:1046000080407D1A050480C0E31A0AFE014A83C770 +:104610000C00E38207EAE3C809E6FD197D57E3943D +:10462000E9E6ADBDB247938647009C4336C693D9B1 +:10463000F74195B7635640019307D002E313FDFAA3 +:1046400097CC0100938C0CD8930780021DBD3E8B44 +:10465000A94C02C4804089B34D71232E3113B70990 +:1046600000409387F9FF2324811423229114232CE3 +:104670004113232A51132326111423202115232803 +:1046800061132326711323248113232291132320E2 +:10469000A113232EB1112A8A2EC417C401001304BA +:1046A000A4EE975A0300938AEA1197C401009384F9 +:1046B000E4AA3EC693055002294513080004B70634 +:1046C00010F083470A006386B704C1C71840050A83 +:1046D00013061700569797C8010023A7C8EA2300BE +:1046E000F7006382A706E31E06FD175703001307B2 +:1046F000670D05078347F7FF23A0F6F01C40FD1761 +:1047000017C601002322F6E8EDF783470A00E39E6F +:10471000B7FA83461A0013051A002A87930B000282 +:104720007D5B7D5C014893055005A5489387D6FDC8 +:1047300093F7F70F130A170063E7F5348A07A69774 +:104740009C43A6978287175703001307A70755F2C4 +:104750008DBF8320C11403248114832441140329B1 +:1047600001148329C113032A8113832A4113032BC4 +:104770000113832BC112032C8112832C4112032DB0 +:104780000112832DC11171618280A14C014D8547B9 +:1047900063DB0719A2479D07E19B83AD070003ABCD +:1047A0004700138787003AC4668681466E85DA859E +:1047B000EF10C0422AC863066D35130941018549CF +:1047C000668681466E85DA85EF009063668681464F +:1047D000AA8D2E8BEF1080402320A9008509110996 +:1047E000E3106DFFE3FE9DFD13060004B70610F015 +:1047F00063D189031C4013871700D69797C5010022 +:1048000023A4E5D823807701630CC7047D1CE3C390 +:1048100089FFB2476800A548B386F9008A061C08DC +:10482000BE96294813030004370610F0E384A6E877 +:104830009C429305000363F4F8009305700518404B +:10484000AE9793051700569717CE0100232EBED2C0 +:104850002300F7006380072B638C6526F116F9B7F8 +:1048600017570300130707F605078347F7FF23A031 +:10487000F6F01C40FD1797C5010023A7F5D0EDF712 +:104880007D1C71B71C40130600041387170097C5E1 +:10489000010023ABE5CEB386FA0093050003238025 +:1048A000B600630FC73C890797C6010023AEF6CC5C +:1048B0005697930680072300D70017570300130766 +:1048C00067F0B70610F0639EC70005078347F7FF40 +:1048D00023A0F6F01C40FD1717C601002326F6CAD8 +:1048E000EDF7A247C14C014D91072247014B832DA3 +:1048F00007003EC455BDA24783AC0700138947009B +:10490000638E0C3A635480219307D002639EFB2E82 +:1049100003C70C00BA856310072025AC8547A94C56 +:10492000014DE3C907E7A2479107C1B7A2478346F4 +:104930001700528703AB070091073EC4E3580CDE13 +:104940005A8C7D5BE5B383461700930BD0025287E8 +:10495000F1BB9347FCFFFD8783461700337CFC00C7 +:104960005287E9B383461700930B000352877DBB40 +:1049700003461700138B06FD5287930706FDB28688 +:10498000E3EEF8FA93172B00DA9705078607B2973C +:1049900003460700138B07FD930706FDB286E3F37A +:1049A000F8FE69BFA2451C4029469841938617002E +:1049B000D69717C501002329D5BC2380E7001389AA +:1049C00045006300C72A930700046387F6204AC4A2 +:1049D000D5B1854763DE0729A24713877700619B1E +:1049E000930787003EC4032B47001C43635A0B30D8 +:1049F0001840130600049306170097C5010023A56D +:104A0000D5B856979305D0022300B7006387C62C0C +:104A1000B307F0403337F000330B6041BE8D330BEA +:104A2000EB40A94C014D49B3834617000508528756 +:104A3000F5B9834617005287D5B91C409306000488 +:104A40001387170017C601002320E6B4D697130674 +:104A500050022380C700E31FD7C417570300130772 +:104A600067D6B70610F005078347F7FF23A0F6F0D7 +:104A70001C40FD1717C601002328F6B0EDF71DB93D +:104A8000C14C014D29B31C40930600042A8A1387A8 +:104A9000170017C601002329E6AED6971306500269 +:104AA0002380C700E318D7C017570300130787D127 +:104AB000B70610F005078347F7FF23A0F6F01C4068 +:104AC000FD1717C601002321F6ACEDF72A8ADDB6E3 +:104AD00017570300130707CF05078347F7FF232066 +:104AE000F6F01C40FD1797C5010023AFF5A8EDF7C0 +:104AF000F1162DBB175703001307C7CCF1FDF116B4 +:104B000035B3E3FC9DCB8549C5B1014C03C70C000F +:104B1000BA85E30E07EAFD58294513080004B706D5 +:104B200010F063570B0A1C4013861700D69717C363 +:104B30000100232BC3A42380E7006384A50663043C +:104B40000609850C03C70C007D1CBA8579FBE35070 +:104B500080E91C409305000213060004B70610F01C +:104B600021A07D1CE3050CE613871700D697238050 +:104B7000B70017C501002329E5A0BA87E313C7FED4 +:104B800017570300130707C405078347F7FF23A040 +:104B9000F6F01C40FD1717C501002327F59EEDF721 +:104BA000C9B7175703001307E7C141DE050783475D +:104BB000F7FF23A0F6F01C40FD1717C601002325C0 +:104BC000F69CEDF7BDBF175703001307A7BFF9BF4A +:104BD0007D1BE31A1BF5A5BF17570300130787BEFC +:104BE000B70610F005078347F7FF23A0F6F01C4037 +:104BF000FD1717C601002329F698EDF74AC45DBCDE +:104C000097BC0100938C0C7CDA856685A529330C52 +:104C1000AC40E35D80EF1C4013060004B70610F0C3 +:104C200021A07D1CE3030CEE13871700D697238089 +:104C3000770197C5010023A9E594BA87E313C7FE5E +:104C400017570300130707B805078347F7FF23A08B +:104C5000F6F01C40FD1797C5010023A7F592EDF76C +:104C6000C9B7175703001307E7B5BDFA4AC499B48B +:104C7000A247138747009C433AC413DBF741BDB3F7 +:104C800017570300130707B4B70610F0050783474B +:104C9000F7FF23A0F6F01C40FD1717C601002325DF +:104CA000F68EEDF7854717C70100232FF78C930782 +:104CB0008007175703002307F7B025B1635680011B +:104CC0009307D002E39EFBF297BC0100938C8C6F9C +:104CD00013078002930580023DBD9756030093861B +:104CE00066AE370610F0850603C7F6FF2320E6F010 +:104CF00018407D1797C5010023A8E5886DF709BB0B +:104D0000BE8DA94C014D4DB4B70710F023A0A7F2FA +:104D100001A03971130341022ED29A8506CE32D4F6 +:104D200036D63AD83EDA42DC46DE1AC63532F24092 +:104D30000145216182805D711303810322D42AC65B +:104D400032DC2A841A86680006D6BEC236DEBAC0B5 +:104D5000C2C4C6C61ACEEFF06FD4B2472380070094 +:104D60003245B250018D225461618280B70710F044 +:104D700083A647F403A607F403A747F4E31AD7FE74 +:104D80008566938606FAB29633B6C600B305E6008A +:104D900023A4D7F423A6B7F48280B70710F003A7A3 +:104DA00047F403A607F483A647F4E31AD7FE856603 +:104DB000938606FAB29633B6C60023A4D7F4B30599 +:104DC000E60023A6B7F49307000873A0473082805B +:104DD000411122C406C697B701009387677B17B4B9 +:104DE00001001304A47A98431C401306F07C930638 +:104DF00047069387470697B5010023ABD57897B54B +:104E0000010023A5F5786346D600B2402244410153 +:104E100082801307478997B7010023ABE776F52A0D +:104E20008547631FF500184085679387F77601452E +:104E3000E3DDE7FCD13D2244B24041016FC03FECCD +:104E400017B5010013058558E9350945753D22441C +:104E5000B24041016FC0BFEA17B501001305855884 +:104E60006FF03FEB17B50100130545586FF07FEA6F +:104E7000411122C426C206C6856417B4010013047A +:104E80006457938784380100FD17F5FF2285EFF002 +:104E90005FE8C5BF01452DA2411106C6BD2A0547E1 +:104EA00081476316E500B2403E854101828017B517 +:104EB00001001305A551EFF0DFE5B24089473E85BB +:104EC000410182807370043001A0828073700430CD +:104ED00001A0011122CC26CA4EC652C456C206CE2B +:104EE0004AC80329050097BA0100938AEA6AEFF0DD +:104EF0006F86975403009384E49417BA0100130A51 +:104F0000AA50170400001304C40597B9010093893F +:104F100029501305803EEFD0EFA281480148A687B3 +:104F20004A87814613060040D28522851753030025 +:104F3000232A0390EFC08FB481474A8781480148F4 +:104F4000A68613060040CE852285EFC02FB383D7F7 +:104F50000A00850717B701002310F7645DBF011130 +:104F600006CE22CC26CA4AC811C50041094993047D +:104F700090050DE089441304900526C422C62245FD +:104F8000B245EF10A015AA871305800C3EC6EFD0DE +:104F90006F9BE5B74AC426C6A2453245EF10001400 +:104FA000AA871305800C3EC6EFD0CF990145EFD0FC +:104FB0006F992285EFC0EFDD0145EFC08FDD55BF52 +:104FC000411122C42A84114506C6EFE09FE68147BD +:104FD0002287AA8600C1814801481306C04397B5BD +:104FE000010093856543170500001305C5EEEFC06A +:104FF000EFA8EFC05FDA97B7010023ADA75897B7C6 +:105000000100938727599843050798C398430507DC +:1050100098C3B240224441018280411122C406C695 +:1050200097B7010083D7475717B701000357875732 +:105030000144630DF70097B701009387E75583D7C5 +:105040000700054417B70100231EF754EFC0BFD473 +:1050500097B70100938707549843636EE5009C431C +:10506000B2401D8D133545003305A040698C228563 +:1050700022444101828001442285B2402244410100 +:1050800082800000B305B500930705006386B70072 +:1050900003C70700631607003385A7406780000039 +:1050A000938717006FF09FFE130101FB23229104E9 +:1050B000232C41032322910323261104232481045A +:1050C00023202105232E3103232A510323286103A2 +:1050D00023267103232481032320A103232EB1015E +:1050E000930C0500138A05009304000063DE05009D +:1050F0003305A0403337A000B305B040930C050042 +:10510000338AE5409304F0FF63DA06003306C040BB +:10511000B337C000B306D040B386F640930A06000A +:105120009389060013840C0013090A00639606286D +:1051300017BB0100130B0B186370CA16B7070100E9 +:105140006372F6149307F00F63F4C70093098000AD +:10515000B3573601330BFB0083470B0013050002E6 +:10516000B3873701B309F540638C0900B3153A01E1 +:10517000B3D7FC00B31A360133E9B70033943C01CE +:1051800013DB0A0193050B0013050900EF00507CA7 +:10519000130A050093050B00939B0A0113050900F0 +:1051A000EF00907693DB0B019305050013850B0050 +:1051B000EF00D072131A0A0193570401B367FA0083 +:1051C00063FAA700B387570163E6570163F4A700AA +:1051D000B38757013389A74093050B0013050900D6 +:1051E000EF001077130A050093050B001305090063 +:1051F000EF0090711314040193050500131A0A01BE +:1052000013850B0013540401EF00506D33648A00C2 +:10521000637AA40033045401636654016374A400E8 +:10522000330454013304A440335434019305000083 +:10523000638A040033048040B3378000B305B04074 +:10524000B385F540130504008320C10403248104C1 +:1052500083244104032901048329C103032A810310 +:10526000832A4103032B0103832BC102032C8102F8 +:10527000832C4102032D0102832DC101130101057D +:1052800067800000B707000193090001E362F6ECB4 +:10529000930980016FF0DFEB631A060093050000AD +:1052A00013051000EF005066930A0500B7070100D0 +:1052B00063FAFA0E9307F00F63F457019309800025 +:1052C000B3D73A01330BFB0083470B0013050002F1 +:1052D00033095A41B3873701B309F540E38209EA3C +:1052E000B39A3A01335BFA00B3153A01B3D7FC0025 +:1052F00093DB0A0133E9B70013050B0093850B001C +:10530000EF001065130A050093850B00139C0A013A +:1053100013050B00EF00505F135C0C0193050500B3 +:1053200013050C00EF00905B131A0A019357090153 +:10533000B367FA0033943C0163FAA700B3875701BF +:1053400063E6570163F4A700B3875701338BA74087 +:1053500093850B0013050B00EF00905F130A050007 +:1053600093850B0013050B00EF00105A9305050001 +:1053700013050C00EF00905693160901131A0A0149 +:1053800093D60601B366DA0063FAA600B386560127 +:1053900063E6560163F4A600B38656013389A6403E +:1053A0006FF01FDEB707000193090001E3EAFAF08E +:1053B000930980016FF0DFF06376DA0093050A004D +:1053C0006FF01FE7B707010063FAF604930BF00FC5 +:1053D00033B5DB001315350033D7A60097B70100AE +:1053E000938747EDB387E70083CB0700930500025F +:1053F000B38BAB00338B7541631C0B0263E4460136 +:1054000063EACC003384CC40B306DA4033B98C0075 +:1054100033892641930509006FF09FE1B70700012A +:1054200013050001E3EAF6FA130580016FF0DFFAD5 +:10543000B3966601335D7601336DDD00B35D7A01AD +:10544000B3156A0133DC7C0113540D01336CBC00CD +:1054500013850D0093050400B3196601EF00504F4A +:10546000930A05009305040013850D0033996C0120 +:10547000931C0D01EF00504993DC0C01130A050049 +:105480009305050013850C00EF005045939A0A011F +:1054900013570C0133E7EA00930D0A00637EA7005F +:1054A0003307A701930DFAFF6368A7016376A7008E +:1054B000930DEAFF3307A701330AA74093050400C1 +:1054C00013050A00EF00D04893050400930A050075 +:1054D00013050A00EF00504393050500130405006F +:1054E00013850C00EF00903F93150C01939A0A016D +:1054F00093D50501B3E5BA001307040063FEA500C8 +:10550000B385A5011307F4FF63E8A50163F6A500C1 +:105510001307E4FFB385A501939D0D01B70C0100AE +:10552000B3EDED001384FCFFB3F78D0033F4890075 +:10553000338AA54013850700930504002326F10054 +:1055400093DD0D01EF00903993050400930A0500E7 +:1055500013850D00EF00903813DC090193050C0052 +:105560002324A10013850D00EF0050378327C100CD +:1055700013040C0093050400130C050013850700A9 +:10558000EF00D0358326810013D70A013305D500FB +:105590003307A7006374D700330C9C01B7070100E1 +:1055A0009387F7FF935507013377F7001317070128 +:1055B000B3F7FA00B3858501B307F7006366BA0055 +:1055C000631EBA00637CF90033863741B3B7C70066 +:1055D000B385A541B385F54093070600B307F940AD +:1055E0003339F900B305BA40B385254133947501C9 +:1055F000B3D767013364F400B3D565016FF05FC3BF +:10560000130101FD23229102232A510123261102B5 +:105610002324810223202103232E3101232C410145 +:105620002328610123267101232481012322910172 +:105630002320A101930A050093840500639E063888 +:10564000130406009309050017B90100130989C660 +:1056500063F8C512B7070100138B05006378F610D5 +:105660001307F00F3337C70013173700B357E6009F +:105670003309F900834609003387E60093060002E8 +:10568000B386E640638C0600B394D40033D7EA00B7 +:105690003314D600336B9700B399DA00935A0401A0 +:1056A00093850A0013050B00EF00902A13090500EB +:1056B00093850A00931B040113050B00EF00D0240F +:1056C00093DB0B01930405009305050013850B0084 +:1056D000EF00D0201319090193D70901B367F9002E +:1056E000138A040063FEA700B3878700138AF4FFC0 +:1056F00063E8870063F6A700138AE4FFB387870097 +:10570000B384A74093850A0013850400EF0050245A +:105710001309050093850A0013850400EF00D01ECD +:1057200093990901930405009305050013190901D4 +:1057300013850B0093D90901EF00501AB3693901A1 +:105740001386040063FCA900B30934011386F4FF37 +:1057500063E6890063F4A9001386E4FF13140A01C9 +:105760003364C400130A00006F000013B707000180 +:1057700013070001E36CF6EE130780016FF01FEFD3 +:10578000138A0600631A0600930500001305100033 +:10579000EF00901713040500B7070100637EF412B1 +:1057A0009307F00F63F48700130A8000B357440196 +:1057B0003309F90003470900930600023307470144 +:1057C000B386E64063940612B3848440130A100043 +:1057D000135B040193050B0013850400EF005017C1 +:1057E0001309050093050B0013850400931B0401A6 +:1057F000EF00901193DB0B01930405009305050066 +:1058000013850B00EF00900D1319090193D70901BF +:10581000B367F900938A040063FEA700B38787008B +:10582000938AF4FF63E8870063F6A700938AE4FF96 +:10583000B3878700B384A74093050B00138504004A +:10584000EF0010111309050093050B0013850400E8 +:10585000EF00900B9399090193040500930505004F +:105860001319090113850B0093D90901EF001007E3 +:10587000B36939011386040063FCA900B30934013C +:105880001386F4FF63E6890063F4A9001386E4FF3E +:1058900013940A013364C4001305040093050A003D +:1058A0008320C102032481028324410203290102CF +:1058B0008329C101032A8101832A4101032B0101AC +:1058C000832BC100032C8100832C4100032D010098 +:1058D0001301010367800000B7070001130A0001EC +:1058E000E366F4EC130A80016FF05FEC3314D4002C +:1058F00033DAE400B399DA0033D7EA00935A0401AB +:10590000B394D40093850A0013050A00336B970003 +:10591000EF0010041309050093850A0013050A001F +:10592000931B0401EF00407E93DB0B019304050001 +:105930009305050013850B00EF00407A1319090148 +:1059400013570B013367E900138A0400637EA70035 +:1059500033078700138AF4FF636887006376A70024 +:10596000138AE4FF33078700B304A74093850A0036 +:1059700013850400EF00C07D1309050093850A001C +:1059800013850400EF00407893040500930505009B +:1059900013850B00EF00807413170B0113570701D9 +:1059A00013190901B367E9001387040063FEA70018 +:1059B000B38787001387F4FF63E8870063F6A700C7 +:1059C0001387E4FFB3878700131A0A01B384A74043 +:1059D000336AEA006FF0DFDF63ECD51EB707010022 +:1059E00063F4F6041307F00FB335D7009395350031 +:1059F00033D7B60097B701009387C78BB387E7000B +:105A000003C70700130A00023307B700330AEA404E +:105A100063160A0213041000E3E096E833B6CA00E6 +:105A2000134416006FF05FE7B7070001930500010C +:105A3000E3E0F6FC930580016FF09FFBB35CE600AA +:105A4000B3964601B3ECDC0033D4E40093DB0C01E5 +:105A5000B397440133D7EA0093850B001305040084 +:105A6000336BF700B3194601EF00806E1309050090 +:105A700093850B0013050400139C0C01EF00C06814 +:105A8000135C0C01930405009305050013050C003D +:105A9000EF00C0641319090113570B013367E900C4 +:105AA00013840400637EA700330797011384F4FF77 +:105AB000636897016376A7001384E4FF33079701B7 +:105AC000B304A74093850B0013850400EF004068E2 +:105AD0001309050093850B0013850400EF00C062D5 +:105AE000930405009305050013050C00EF00005F0B +:105AF00093170B011319090193D70701B367F90035 +:105B00001386040063FEA700B38797011386F4FF92 +:105B100063E8970163F6A7001386E4FFB387970154 +:105B200013140401B70B01003364C4001389FBFF95 +:105B3000337D240133F92901B384A740930509007B +:105B400013050D00EF008059935C040193050900D3 +:105B5000130B050013850C00EF00405893D9090181 +:105B6000130C05009385090013850C00EF00005706 +:105B7000130905009385090013050D00EF00005679 +:105B80003305850193570B013385A70063748501A5 +:105B90003309790193570501B387270163E6F402BE +:105BA000E392F4BCB70701009387F7FF3375F50064 +:105BB00013150501337BFB0033964A01330565015C +:105BC000130A0000E37AA6CC1304F4FF6FF09FB928 +:105BD000130A0000130400006FF01FCC130101FB37 +:105BE0002324810423229104232E310323229103B1 +:105BF0002326110423202105232C4103232A5103AA +:105C00002328610323267103232481032320A10376 +:105C1000232EB101930C05009389050013040500A0 +:105C200093840500639E062613090600138A060066 +:105C300097AA0100938A0A6863F4C514B7070100A4 +:105C40006376F6129307F00F63F4C700130A80001F +:105C5000B3574601B38AFA0003C70A0013050002CE +:105C600033074701330AE540630C0A00B395490145 +:105C700033D7EC0033194601B364B70033944C01B9 +:105C8000935A090193850A0013850400EF00404CE4 +:105C90009309050093850A00131B0901138504006D +:105CA000EF008046135B0B019305050013050B0005 +:105CB000EF00C0429399090193570401B3E7F9003B +:105CC00063FAA700B387270163E6270163F4A700FF +:105CD000B3872701B384A74093850A001385040086 +:105CE000EF0000479309050093850A00138504001F +:105CF000EF008041131404019305050093990901F5 +:105D000013050B0013540401EF00403D33E48900F8 +:105D1000637AA40033042401636624016374A4003D +:105D2000330424013304A440335544019305000097 +:105D30008320C10403248104832441040329010432 +:105D40008329C103032A8103832A4103032B01030F +:105D5000832BC102032C8102832C4102032D0102FB +:105D6000832DC1011301010567800000B707000101 +:105D7000130A0001E36EF6EC130A80016FF05FED89 +:105D8000631A06009305000013051000EF00C037EA +:105D900013090500B7070100637AF90E9307F00FA6 +:105DA00063F42701130A8000B3574901B38AFA004C +:105DB00003C70A0013050002B384294133074701D2 +:105DC000330AE540E30E0AEA33194901B3DAE90080 +:105DD000B395490133D7EC0093540901336BB700F5 +:105DE00013850A0093850400EF00803693090500AF +:105DF00093850400931B090113850A00EF00C0304E +:105E000093DB0B019305050013850B00EF00002DBC +:105E10009399090193570B01B3E7F90033944C01AF +:105E200063FAA700B387270163E6270163F4A7009D +:105E3000B3872701B38AA7409385040013850A001E +:105E4000EF000031930905009385040013850A00D3 +:105E5000EF00802B9305050013850B00EF00002851 +:105E600093150B019399090193D50501B3E5B90089 +:105E700063FAA500B385250163E6250163F4A50057 +:105E8000B3852501B384A5406FF09FDFB7070001FC +:105E9000130A0001E36AF9F0130A80016FF0DFF0E2 +:105EA000E3E8D5E8B707010063FCF604930BF00FB5 +:105EB00033B5DB001315350033D7A60097A70100D3 +:105EC0009387473FB387E70083CB07009305000222 +:105ED000B38BAB00338B7541631E0B0263E4360159 +:105EE00063EACC003384CC40B386D94033B58C0010 +:105EF000B384A64013050400938504006FF05FE3AC +:105F0000B707000113050001E3E8F6FA1305800165 +:105F10006FF09FFAB3966601335D7601336DDD0055 +:105F200033D47901B395690133DC7C0193540D01BD +:105F3000336CBC001305040093850400B31A66019A +:105F4000EF000021130A05009385040013050400E7 +:105F500033996C01931C0D01EF00001B93DC0C01C5 +:105F6000130405009305050013850C00EF000017CE +:105F7000131A0A0113570C013367EA00130A0400CD +:105F8000637EA7003307A701130AF4FF6368A70124 +:105F90006376A700130AE4FF3307A701B309A740FC +:105FA0009385040013850900EF00801A938504008F +:105FB0001304050013850900EF0000159305050083 +:105FC0009304050013850C00EF00401193150C019C +:105FD0001314040193D50501B365B40013870400BD +:105FE00063FEA500B385A5011387F4FF63E8A5014F +:105FF00063F6A5001387E4FFB385A501131A0A0110 +:10600000B70C0100336AEA001384FCFFB3778A00FF +:1060100033F48A00B384A540138507009305040078 +:106020002326F100135A0A01EF00400B93090500E3 +:106030009305040013050A00EF00400A13DC0A016F +:10604000930D050093050C0013050A00EF000009ED +:106050008327C100130A050093050C001385070070 +:10606000EF00C0073305B50113D709013307A700B7 +:106070006374B701330A9A01B70701009387F7FFEA +:10608000935507013377F70013170701B3F7F900AA +:10609000B3854501B307F70063E6B400639EB4001F +:1060A000637CF90033865741B3B7C700B385A54178 +:1060B000B385F54093070600B307F9403339F9007B +:1060C000B385B440B385254133947501B3D76701D7 +:1060D0003365F400B3D565016FF09FC51306050065 +:1060E0001305000093F61500638406003305C50010 +:1060F00093D5150013161600E39605FE6780000081 +:106100006340050663C60506138605009305050072 +:106110001305F0FF630C060293061000637AB600C5 +:106120006358C0001316160093961600E36AB6FE75 +:106130001305000063E6C500B385C5403365D5008F +:1061400093D6160013561600E39606FE67800000ED +:1061500093820000EFF05FFB13850500678002006B +:106160003305A04063D80500B305B0406FF0DFF9F8 +:10617000B305B04093820000EFF01FF93305A04053 +:10618000678002009382000063CA0500634C05002B +:10619000EFF09FF71385050067800200B305B0405C +:1061A000E35805FE3305A040EFF01FF63305B0407D +:1061B0006780020097A701009387873A944317A747 +:1061C00001001307A7381843C8C2637BE50017A76F +:1061D00001001307673808438C4391056FA04FB641 +:1061E000411122C406C617A70100130727372A84C6 +:1061F00008438C439105EFA0AFB497A701009387A4 +:1062000027339C436376F40097A7010023A28732CB +:10621000B24022444101828097A70100938747340E +:10622000984394437C43FD177CC3FC4299E373601D +:1062300004308280011122CC26CA2A84AE844AC846 +:106240004EC652C456C206CEEFB07FAC1C400329E6 +:106250000401B7090001B3E59700930AC4000CC01C +:106260008144FD19370A00021840638C2A03032673 +:1062700009004A85B3654701B37636019317560086 +:10628000758F0329490063C4070001E7F1BFE39D4F +:10629000E6FC9317760063D30700D58CEFC09F967A +:1062A000E1B793C4F4FFF98C04C0EFB05FCB0840B2 +:1062B000F2406244D2444249B249224A924A0561BC +:1062C00082804111014506C622C4EFE07FCF17F757 +:1062D000FFFF130727B0814605469305400617A523 +:1062E00001001305A511EFD0EFE519C92A84EFB01D +:1062F0001FA32A860147814685452285EFD02FF1CD +:0E630000EFB06FFBB2402244014541018280A4 +:02000004800278 +:10000000CE44FEFFCC45FEFFD644FEFFCC45FEFFAE +:10001000CC45FEFFCC45FEFFCC45FEFFEE44FEFF87 +:10002000CC45FEFFCC45FEFF0845FEFF1445FEFF14 +:10003000CC45FEFF2645FEFF3245FEFF3245FEFF62 +:100040003245FEFF3245FEFF3245FEFF3245FEFFE0 +:100050003245FEFF3245FEFF3245FEFFCC45FEFF36 +:10006000CC45FEFFCC45FEFFCC45FEFFCC45FEFF58 +:10007000CC45FEFFCC45FEFFCC45FEFFCC45FEFF48 +:10008000CC45FEFFCC45FEFFCC45FEFFCC45FEFF38 +:10009000CC45FEFFCC45FEFFCC45FEFFCC45FEFF28 +:1000A000CC45FEFFCC45FEFFCC45FEFFCC45FEFF18 +:1000B000CC45FEFFCC45FEFFCC45FEFFCC45FEFF08 +:1000C000CC45FEFFCC45FEFFCC45FEFFCC45FEFFF8 +:1000D000CC45FEFFCC45FEFFCC45FEFFCC45FEFFE8 +:1000E000CC45FEFFCC45FEFFCC45FEFFCC45FEFFD8 +:1000F000CC45FEFFCC45FEFFCC45FEFFCC45FEFFC8 +:100100006645FEFF7C45FEFFCC45FEFFCC45FEFF6D +:10011000CC45FEFFCC45FEFFCC45FEFFCC45FEFFA7 +:10012000CC45FEFFC245FEFFCC45FEFFCC45FEFFA1 +:100130007443FEFF2C44FEFFCC45FEFFCC45FEFF82 +:100140006244FEFFCC45FEFFBA44FEFFCC45FEFFF5 +:10015000CC45FEFFE844FEFFDA48FEFF2E49FEFFD5 +:10016000E248FEFF2E49FEFF2E49FEFF2E49FEFF0C +:100170002E49FEFFD447FEFF2E49FEFF2E49FEFF0B +:10018000EE47FEFFFA47FEFF2E49FEFF0C48FEFF3A +:100190001848FEFF1848FEFF1848FEFF1848FEFFEB +:1001A0001848FEFF1848FEFF1848FEFF1848FEFFDB +:1001B0001848FEFF2E49FEFF2E49FEFF2E49FEFF86 +:1001C0002E49FEFF2E49FEFF2E49FEFF2E49FEFF5F +:1001D0002E49FEFF2E49FEFF2E49FEFF2E49FEFF4F +:1001E0002E49FEFF2E49FEFF2E49FEFF2E49FEFF3F +:1001F0002E49FEFF2E49FEFF2E49FEFF2E49FEFF2F +:100200002E49FEFF2E49FEFF2E49FEFF2E49FEFF1E +:100210002E49FEFF2E49FEFF2E49FEFF2E49FEFF0E +:100220002E49FEFF2E49FEFF2E49FEFF2E49FEFFFE +:100230002E49FEFF2E49FEFF2E49FEFF2E49FEFFEE +:100240002E49FEFF2E49FEFF2E49FEFF2E49FEFFDE +:100250002E49FEFF2E49FEFF4C48FEFF7A48FEFF66 +:100260002E49FEFF2E49FEFF2E49FEFF2E49FEFFBE +:100270002E49FEFF2E49FEFF2E49FEFFD048FEFF0D +:100280002E49FEFF2E49FEFF3246FEFF2C47FEFFA1 +:100290002E49FEFF2E49FEFF9E47FEFF2E49FEFF20 +:1002A000C447FEFF2E49FEFF2E49FEFF2849FEFFF0 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D000206465617468207461736B73200D0A007A +:1003E0006D69616F750A00006D69616F75320A0091 +:1003F00068756E6772790A00436865636B54696D4E +:1004000065720000535549434944310053554943EF +:0C0410004944320043524541544F520011 +:10041C001000000000000000017A5200017C010174 +:10042C001B0D02005000000018000000704CFEFF75 +:10043C005805000000440E507489039406990B81F2 +:10044C0001880292049305950796089709980A9AD1 +:10045C000C9B0D036C010AC144C844C944D244D35B +:10046C0044D444D544D644D744D844D944DA44DBA4 +:10047C00440E00440B0000004C0000006C00000017 +:10048C007451FEFFDC05000000440E3070890395AA +:10049C00078101880292049305940696089709989F +:1004AC000A990B9A0C0370020AC144C844C944D27D +:1004BC0044D344D444D544D644D744D844D944DA5C +:1004CC00440E00440B00000050000000BC00000073 +:1004DC000057FEFF0005000000440E50748802898E +:1004EC00039305990B81019204940695079608973E +:1004FC0009980A9A0C9B0D0320010AC144C844C9EF +:10050C0044D244D344D444D544D644D744D844D913 +:0C051C0044DA44DB440E00440B000000F5 +:0A059800FC8F010009000000FF0FB6 +:0205A200000057 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/dead_rv32im_O3.hex b/VexRiscv/src/test/resources/freertos/dead_rv32im_O3.hex new file mode 100644 index 0000000..b416e8a --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/dead_rv32im_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/dead_rv32imac_O3.hex b/VexRiscv/src/test/resources/freertos/dead_rv32imac_O3.hex new file mode 100644 index 0000000..3e84c40 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/dead_rv32imac_O3.hex @@ -0,0 +1,1550 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900021C5170502001305E546979503009385D2 +:1000A000656E01461122170502001305653C970590 +:1000B0000200938585420146C52817A50300130554 +:1000C000A56C97B503009385E56B37B6ABAB13060C +:1000D000B6BAD92817B103001301016BEF50502EA7 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2022723A02200F322103497 +:1002400096DEEF00002B170102000321A1250241D9 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E22523A0120023A2AD +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40B025170102000321DA +:1003300061170241F65273901234B7220000938283 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A21783A0B4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2020523A0220086DEEF207D +:10046000402D170102000321E1030241F65273906F +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF40D005EF10700901E57A +:10050000B24041018280B24041016F2080229307B6 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30101F1F +:1005B0008280411122C406C62A8426C2EF209010F0 +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF20A0566DD5EF2080763C44FD1780 +:1005F0003CC43C44E344F0FEFD573CC4EF20D00E25 +:10060000EF20500C7C40635BF0021C4885CB9304C8 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2060526DD5EF2040729F +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20100A29C5411151 +:1006500022C426C22A8406C6AE84EF20B0063440E7 +:100660005C5C1840232C0402B387F6027D5670C0F0 +:1006700018C430C4B386D740BA9736975CC058C404 +:1006800091EC1C489DE3EF203006B2402244924496 +:100690000545410182807370043001A013050401F7 +:1006A000BD3513054402A535F9BF13050401EF203C +:1006B000E0498547E319F5FC913BF1B701E573701B +:1006C000043001A0011126CA4AC84EC606CE22CC6B +:1006D000B2892E89AA84A5C53305B502130555052F +:1006E000EF3080732A8435C1930745051CC144DC73 +:1006F00023202405EF20007D34405C5C18407D56AB +:10070000B387F602232C040270C018C430C413054A +:100710000401B386D740BA9736975CC058C4C53B2E +:1007200013054402ED33EF20207C23083405228595 +:10073000F2406244D2444249B249056182801305C5 +:100740004005EF30606D2A8401E57370043001A02C +:1007500000C071BF01E57370043001A06375B5007E +:100760007370043001A0411122C409462E848145D2 +:1007700006C6A93711C500DDB2402244410182807E +:100780007370043001A05D7186C6A2C4A6C2CAC03F +:100790004EDE52DC56DA5AD85ED632C6630A051CE3 +:1007A0006382051C89476399F600585D8547630598 +:1007B000F7007370043001A03689AE842A84EF20DC +:1007C000A05B11E5B24781C77370043001A0EF2030 +:1007D000606F185C5C5C014B894BFD59130A040186 +:1007E000930A44026365F70C63077919B247638182 +:1007F000072463150B002808EF206048EF20C06E27 +:10080000EF10C04FEF20006C7C406394370123222F +:1008100004043C446394370123240404EF20C06C97 +:100820006C002808EF20C047631E051EEF2080697A +:10083000185C5C5C630CF70AEF20006BEF208068AB +:100840003C44634FF00039A85685EF20203019C191 +:10085000EF2000503C44FD173CC43C446354F0007E +:100860005C50FDF323243405EF200068EF20806501 +:100870007C40634FF00039A85285EF20202D19C12C +:10088000EF20004D7C40FD177CC07C406354F0009D +:100890001C48FDF323223405EF200065EF10006BA8 +:1008A000054BEF202062185C5C5CE37FF7F2304080 +:1008B0006305060C631F09120844A685EF30006E1D +:1008C0001C4434405840B6971CC463EAE70A1C5CD9 +:1008D00014405850850714C41CDC5DC7130544023E +:1008E000EF20C02685476311F50AC9A8EF20C05F35 +:1008F000B2455285EF204007EF20C05C3C44634F77 +:10090000F00039A85685EF20602419C1EF2040443B +:100910003C44FD173CC43C446354F0005C50FDF380 +:1009200023243405EF20405CEF20C0597C40634F06 +:10093000F00039A85285EF20602119C1EF20404115 +:100940007C40FD177CC07C406354F0001C48FDF3E4 +:1009500023223405EF204059EF10405F31F17D3400 +:10096000054B81B73C41E38F07E27370043001A06F +:100970007370043001A0304021E61C4085C71C5C28 +:10098000585085071CDC39FBEF2000560545B64062 +:10099000264496440649F259625AD25A425BB25BE7 +:1009A000616182804840EF2040491C5C58502322FE +:1009B000040085071CDCE31307F279D5813CE9B715 +:1009C0004844A685EF30805D3C4058441440B3074E +:1009D000F0403E9758C4637CD7025840BA975CC435 +:1009E00009475C506306E902185C050718DCC9DF9B +:1009F000F5B54844A685EF30605A3C405844144051 +:100A0000B307F0403E9758C4E37CD7FCF9B75C507D +:100A1000185C79DB185C7D1718DC185C050718DC9E +:100A2000A5D76DBD22857136EF104052014585B7BF +:100A3000EF20804B0145A1BF411126C2AA841305B6 +:100A4000400522C406C6EF30203D2A8415CD232C54 +:100A50000502FD577CC10547410558DC3CC42322F3 +:100A60000400232004002324040023260400232060 +:100A7000040423089404613C13054402493C814664 +:100A800001468145228501332285B24022449244A9 +:100A9000410182800DC5411122C426C206C64AC04A +:100AA000032945002A84EF20602C8144630CA900AF +:100AB000B2402244268502499244410182807370EB +:100AC000043001A05C448544FD175CC4F5F3814605 +:100AD0000146814522854539E1BF31CDA1C90111CA +:100AE00022CC26CA4AC852C406CE4EC68947B6840E +:100AF00032892E8A2A846399F600585D8547630FF0 +:100B0000F7047370043001A0C932185C5C5CAA89D8 +:100B10006366F70281444E857532F2406244268551 +:100B20004249D244B249224A056182803C41C5DB38 +:100B30007370043001A07370043001A0304041E2B2 +:100B40001C40D5CB1C5C7D5785071CDC3C4463876F +:100B5000E7083C44854485073CC475BFBD32185C3A +:100B60005C5CAA89636DF702304079DA4844D2852B +:100B7000EF30C0423C4058441440B307F0403E9729 +:100B800058C46375D7005840BA975CC48947E39B43 +:100B9000F4FA1C5CC5DB1C5CFD171CDC65B730403F +:100BA00045D24844D285EF30603F3C405844144021 +:100BB000B307F0403E9758C4E367D7FCD9BFDDF4D4 +:100BC0000844D285EF30803D1C4434405840B697ED +:100BD0001CC4E3E9E7F61C401CC4ADB75C50854477 +:100BE0009DDB13054402EF10707615D5E30509F27D +:100BF000232099000DB74840EF2020242322040031 +:100C000091B721CD3C4181C77370043001A01C41D4 +:100C1000A1CB011122CC26CA2A844AC84EC606CED0 +:100C20002E896530185C5C5CAA898144637DF7007D +:100C30001C5C7D5785071CDC3C446388E7023C4410 +:100C4000854485073CC44E85B538F240624426850C +:100C50004249D244B249056182807370043001A0D8 +:100C60005C41C5DB7370043001A05C5099E385449E +:100C7000D9BF13054402EF10706D75D9E30909FE61 +:100C800085472320F900E5B7397106DE22DC26DA34 +:100C90004AD84ED652D456D232C66302051C638A55 +:100CA000051AB68AAE892A84EF20000D11E5B247F5 +:100CB00081C77370043001A0EF20C0201C5C6392D8 +:100CC0000714B247638E070A2808EF10507BFD54C3 +:100CD00013094402130A0401EF200021EF1000025F +:100CE000EF20401E7C4063949700232204043C4480 +:100CF0006394970023240404EF20001F6C0028084D +:100D0000EF10107A63130518EF20C01B1C5CADCFE9 +:100D1000EF20801DEF20001B3C44634FF00039A8FA +:100D20004A85EF10B06219C1EF2080023C44FD17E4 +:100D30003CC43C446354F0005C50FDF324C4EF20F9 +:100D4000A01AEF2020187C40634FF00039A852858C +:100D5000EF10D05F19C1EF10B07F7C40FD177CC051 +:100D60007C406354F0001C48FDF364C0EF20C017C2 +:100D7000EF10C01DEF2000151C5CC1E7B247A9FFB2 +:100D8000EF208016014555A0EF2000161C40DDC75E +:100D9000B2454A85EF10503DEF20C0123C44634FEE +:100DA000F00039A84A85EF10705A19C1EF10507A37 +:100DB0003C44FD173CC43C446354F0005C50FDF3DC +:100DC00024C4EF206012EF20E00F7C40634FF0005E +:100DD00039A85285EF10905719C1EF1070777C40F9 +:100DE000FD177CC07C406354F0001C48FDF364C0D8 +:100DF000EF20800FEF10801531C9EF20A00C1C5C94 +:100E0000B5DFCE8522854444EFF0CFF8639C0A0419 +:100E10001C5C1840FD171CDC01E7EF20601148C086 +:100E20001C48A1EFEF20400C0545F2506254D2540B +:100E30004259B259225A925A21618280EF20800889 +:100E40004840EF107075EF20200A99B7EFF00FDCE3 +:100E50006DB73C41E38707E47370043001A0737001 +:100E6000043001A05C5044C4D5DF13054402EF10E8 +:100E7000F04D4DD9EFF08FD975B713050401EF1080 +:100E8000F04C8547E310F5FAF5B72285EFF06FF2E5 +:100E9000EF10C00B014551BF1DC9411122C44AC00A +:100EA00006C626C244412E892A84EF10306C638323 +:100EB000A40281464A8681452285F933854763002D +:100EC000F502B2402244924402494101828073708B +:100ED000043001A05C44054585075CC4DDB75C4473 +:100EE00085075CC4F9BF15CDADC9011122CC26CA56 +:100EF0004AC84EC652C42A8406CE3289AE89EFF063 +:100F0000CFDC1C5C2A8A814485E35285EFF08FDBBD +:100F1000F240624426854249D244B249224A0561E0 +:100F200082807370043001A0304001CE4C445C409C +:100F3000B2954CC463E4F5000C404CC44E85EF30D0 +:100F4000E0051C5C7D57FD171CDC7C40638CE700D2 +:100F50007C40854485077CC04DBF3C41D9D7737028 +:100F6000043001A01C4899E3854445B713050401EA +:100F7000EF10D03D75D9E30909FE85472320F9001C +:100F8000E5B721C93C41A1CDA1CB011122CC26CA94 +:100F90004AC852C42A8406CE4EC62E8AEFF0EFD23B +:100FA0001C5C2A89814491CB8329C400D285228587 +:100FB000EFF04FDE8544232634014A85EFF08FD0D1 +:100FC000F240624426854249D244B249224A056130 +:100FD00082807370043001A07370043001A0EDDFD3 +:100FE0007370043001A001E57370043001A0411159 +:100FF00022C42A8406C6EF10F06C005CEF10D06E9D +:10100000B240228522444101828001E573700430A0 +:1010100001A0411106C622C42A84EF10B06A1C5CEC +:10102000405C1D8CEF10506C2285B240224441017F +:10103000828019C1085D82807370043001A01DCDCB +:101040009787030093870770D843630CE502D8475E +:10105000630CE504D84B6307E504D84F6302E5044D +:10106000D853630DE502D8576308E502D85B6303E4 +:10107000E502D85F630EE5006F20306C73700430BA +:1010800001A001470E07BA9723A007006F20F06A5E +:101090001D47CDBF1947FDB71547EDB71147DDB760 +:1010A0000D47CDB70947F9BF0547E9BF68458280BD +:1010B0006CC5828003450505828009C5085D13352E +:1010C000150082807370043001A019C51C5D485D55 +:1010D0001D8D1335150082807370043001A0978731 +:1010E000030093872766984305C3984705C7984B25 +:1010F00005C7984F05C7985305C7985705C7985B0C +:1011000015C7985F15C3828001470E07BA978CC335 +:10111000C8C382800547D5BF0947C5BF0D47F5B78E +:101120001147E5B71547D5B71D47C5B71947F1BFF3 +:101130009787030093870761D8436308E502D84780 +:10114000630BE502D84B630AE502D84F6309E50259 +:10115000D8536308E502D8576307E502D85B6308F4 +:10116000E502D85F6303A702828001470E07BA97A2 +:1011700023A0070082800547D5BF0947C5BF0D479B +:10118000F5B71147E5B71547D5B71D47C5B7194797 +:10119000F1BF411122C426C24AC02A8406C6AE84C9 +:1011A0003289EF1030527840FD576314F700232244 +:1011B00004043844FD576314F70023240404EF109B +:1011C000B0521C5C99E74A86A68513054402EF10CD +:1011D000B00CEF10304F3C44635BF0025C5085CBA9 +:1011E0009304440209A83C44FD173CC43C446350AA +:1011F000F0025C5089CF2685EF1050156DD5EF10A9 +:1012000030353C44FD173CC43C44E344F0FEFD57FC +:101210003CC4EF10704DEF10F04A7C40635BF0026D +:101220001C4885CB9304040109A87C40FD177CC0B1 +:101230007C406350F0021C4889CF2685EF101011C6 +:101240006DD5EF10F0307C40FD177CC07C40E3444E +:10125000F0FEFD577CC02244B24092440249410155 +:101260006F10904805C579714ECE52CC06D63E8A95 +:1012700022D426D24AD056CA5AC85EC662C4A547EE +:10128000BA8963F8E7007370043001A0737004300A +:1012900001A0AA8AAE843289B68B428B63090822E8 +:1012A00013050006EF2050372A84630D05200A0934 +:1012B000232865034A869305500A5A85EF20105C5F +:1012C00003C704001C587119230AE40203C7040071 +:1012D0003E991379C9FF79C783C71400A30AF402A2 +:1012E00083C71400E1C383C72400230BF40283C720 +:1012F0002400CDCB83C73400A30BF40283C7340092 +:10130000D5C383C74400230CF40283C74400D9CB60 +:1013100083C75400A30CF40283C75400C1C783C71A +:101320006400230DF40283C76400ADCF83C774004B +:10133000A30DF40283C77400B5C783C78400230ECE +:10134000F40283C78400B9CF83C79400A30EF402CC +:1013500083C79400A1CB83C7A400230FF40283C7E3 +:10136000A400A9C383C7B400A30FF40283C7B400C9 +:1013700095CB83C7C4002300F40483C7C4009DC376 +:1013800083C7D400A300F40483C7D40081CF83C7EC +:10139000E4002301F40483C7E40089C783C7F40091 +:1013A000A301F404130B44005A85A301040423266B +:1013B000340323283405232A0404EFF08F96130501 +:1013C0008401EFF00F96A947B3873741232C04041B +:1013D00000C81CCC40D023220404232E04045E86C3 +:1013E000D6854A85EFF00F8F08C063040A002320DA +:1013F0008A007370043017F901001309E908832784 +:10140000090097F401009384E40991C798409C4037 +:101410007C4385077CC317F701001307A7071C430C +:10142000850797F6010023A7F6069C40D5CB8327B6 +:10143000090017FA0100130AAA1489EB9C40DC5733 +:1014400063E6F90097F7010023AE870497F70100E0 +:1014500093878702984397F60100938626035C548E +:101460009442050717F601002328E60038C463F606 +:10147000F60017F70100232BF7005147B387E70267 +:10148000DA853305FA00EFF02F8A8327090081C738 +:101490009C40FC43BDE383270900054499C79C4059 +:1014A000DC5763F43701EFE07FF62285B250225417 +:1014B00092540259F249624AD24A424BB24B224CF0 +:1014C000456182805A85EF2050277D54F9BF13155E +:1014D0002600EF2070142A8BE31405DC7D54F1B74D +:1014E00097F7010023A087FC184385476301F702A3 +:1014F00017FA0100130ACA0891BF984094407C4330 +:10150000FD177CC3FC42C1FB7360043069B7814A9C +:1015100017FA0100130ACA06514CA94B33858A03F6 +:10152000850A5295EFE0BFFEE39A7AFF97FB010030 +:10153000938B8B115E85EFE09FFD97FA0100938AF4 +:10154000EA115685EFE0BFFC17F5010013054512BF +:10155000EFE0FFFB17F501001305C512EFE03FFBBD +:1015600017F5010013054513EFE07FFA97F7010027 +:1015700023A877F397F7010023A257F3C1BD4111C8 +:1015800022C406C626C24AC02A847370043097F467 +:101590000100938464EF9C40F9E311E497F70100A4 +:1015A000938747F08043130944004A85EFE05FFCCE +:1015B0001C5489C713058401EFE09FFBCA8517F50A +:1015C00001001305250CEFE03FF697F70100938724 +:1015D000A7EC984397F70100938707EA9C43050718 +:1015E00097F6010023AAE6EA9840850797F60100DE +:1015F00023A4F6E801CB97F701009387A7EA984365 +:10160000784349EF9C40B1C797F70100938787E97A +:101610009843630F870873700430984039EB17F7CD +:1016200001001307E7E714439442A5E27D5797F6BC +:10163000010023A1E6E4984009CF9843784311CBF9 +:10164000984394437C43FD177CC3FC4299E3736049 +:101650000430B2402244924402494101828097F70B +:101660000100938727E498439C437C4385077CC310 +:101670002DB794439843F8420507F8C217F70100C5 +:10168000130707E214439442D5D2184358475847EA +:10169000584397F6010023AFE6DC71BF98439443AB +:1016A0007C43FD177CC3FC42B1FF7360043099BFDB +:1016B00097F701009387C7DB9C4381C773700430A1 +:1016C00001A02244B2409244024941016FE01FD47C +:1016D00041C517F701001307E7DC14438147638B0B +:1016E000A606411106C622C426C27370043097F6BE +:1016F0000100938666D99C4291C710431C437C42EB +:1017000085077CC29C42AA84404981C71C43FC4394 +:10171000B9E797F701009387A7D89C43638B8704A9 +:1017200097F70100938787D79C436384870497F7D3 +:101730000100938767F66309F40297F70100938726 +:1017400067F46301F4028547B240224492443E8527 +:10175000410182803E8582807370043001A0EF4099 +:10176000803B45BF9147CDB79C5493B7170089077D +:10177000E1BF8947D1BF7370043097F701009387A9 +:10178000A7D0984309EF15C59C43485581CB97F7DF +:101790000100938727D19843784315E3828017F798 +:1017A0000100130727D014431843F8420507F8C275 +:1017B00061FD17F701001307E7CE0843F1B798431F +:1017C00094437C43FD177CC3FC42E9FB7360043007 +:1017D0008280411122C42A8406C6EFE01FCF11E4A3 +:1017E00097F70100938707CC80434054EFE09FCDEB +:1017F000B2402285224441018280A54763F5B700AB +:101800007370043001A0011122CC06CE26CA4AC84A +:101810004EC652C42A847370043017F901001309AC +:10182000A9C683270900D5EF79C43C486389F50828 +:1018300017F70100130707C763FCB7001443638D54 +:10184000860C18434457B3B4950093C4140029A0E0 +:101850000443818C93B4140058546384E70A1C4CED +:101860002CC863C60700A947B385B7400CCC9317B3 +:101870002700BA97584897F90100938969D08A07D9 +:10188000CE97631BF702130A44005285EFE05FCE48 +:1018900017F70100130787BF5C5418436376F700FE +:1018A00017F701002324F7BE5147B387E702D2851B +:1018B0003385F900EFE05FC799C0EFE03FB58327BC +:1018C000090081CB97F701009387C7BD9C43FC4378 +:1018D0009DEBF2406244D2444249B249224A05613A +:1018E000828097F701009387E7BB98439C437C4332 +:1018F00085077CC31DF897F701009387A7BA80433B +:101900002DB74CD4A9BF6244F240D2444249B249F7 +:10191000224A05616F40202081443DBF25C101114D +:1019200026CA97F401009384E4B79C4006CE22CCEB +:101930004AC84EC6638DA7027370043017F90100C0 +:10194000130989B48327090091C798409C407C43C0 +:1019500085077CC3584997F701009387E7D3630253 +:10196000F7028327090081C79C40FC43A5EBF240A6 +:101970006244D2444249B2490561828073700430A6 +:1019800001A01C5517F70100130787CEE38BE7FC76 +:10199000E9FB930945002A844E85EFE07FBD17F7E8 +:1019A00001001307A7AE5C5418436376F70017F7DE +:1019B0000100232DF7AC5147B387E70217F501006B +:1019C000130505BCCE853E95EFE01FB69C405854EC +:1019D000DC57E368F7F8EFE07FA361B76244F240B9 +:1019E000D2444249B24905616F40E01215CD011160 +:1019F00022CC26CA4AC82A8406CE4EC6EFE0FFACE7 +:101A0000584897F70100938727C92A8981446300C2 +:101A1000F7024A85EFE01FABF24062442685424957 +:101A2000D244B249056182807370043001A01C5415 +:101A300017F501001305C5C3E38DA7FCF9FB97F764 +:101A400001009387E7A29C43A9EB97F701009387D6 +:101A500067A59C43445493094400DC574E85B3B4B6 +:101A6000F400EFE0FFB017F70100130727A25C5462 +:101A7000184393C414006376F70017F70100232777 +:101A8000F7A05147B387E70217F50100130545AFEB +:101A9000CE853E95EFE05FA9ADBF93058401EFE0F1 +:101AA000BFA885BF0111056506CE22CC26CA4AC84B +:101AB0004EC652C456C25AC0EF200036630E051AF5 +:101AC000AA8413050006EF2020352A84630A051C2A +:101AD00005669305500A04D92685EF20205A04583C +:101AE0008567F117BE94B7474C4593879744130A0F +:101AF00044005CD85285230C0402A301040423266D +:101B0000040223280404232A0404EFE09FA1130500 +:101B10008401EFE01FA1A947232C0404F19800C819 +:101B20001CCC40D023220404232E04040146971524 +:101B300000009385E5B12685EFE0DF9908C073705A +:101B4000043017F90100130929948327090097F439 +:101B500001009384249591C798409C407C4385075D +:101B60007CC317F701001307E7921C43850797F61C +:101B7000010023A1F6929C40C9C38327090097F96D +:101B800001009389E99F81EB9C40DC5789E797F737 +:101B9000010023A9879097F701009387E78D984369 +:101BA00097F601009386868E5C549442050717F6DB +:101BB00001002323E68C38C463F6F60017F7010012 +:101BC0002326F78C139527003E950A05D2854E955E +:101BD000EFE09F958327090081C79C40FC43DDE728 +:101BE0008327090091C39C40EF1070498547630526 +:101BF000F50C59E17370043001A097F7010023A39D +:101C0000878A1843854797F9010093896997E314F8 +:101C1000F7F8CE8A17FB0100130B0BA35685D10AE8 +:101C2000EFE0FF8EE31C5BFF17F501001305C5A174 +:101C3000EFE0FF8D97FA0100938A4AA25685EFE004 +:101C40001F8D17F501001305A5A2EFE05F8C17F5B6 +:101C50000100130525A3EFE09F8B17F50100130585 +:101C6000A5A3EFE0DF8A97F7010023AB678397F71F +:101C7000010023A5578305B7F2406244D24442498C +:101C8000B249224A924A024B0561828098409440B0 +:101C90007C43FD177CC3FC42A1F77360043089B715 +:101CA0006244F2404249B249224A924A024B268596 +:101CB000D24405616F20602873700430FD5717E728 +:101CC00001002329F77A624497E7010023AEA77A3F +:101CD000F240D2444249B249224A924A024B97E723 +:101CE000010023A7077A05616FE0AFDB7370043052 +:101CF00097E7010023AA07786FE0EFE817E70100F4 +:101D0000130707771C4385071CC382807370043058 +:101D100097E7010093874777984305E317E70100AA +:101D20001307077708439C4381CB97E7010093870C +:101D300067779843784301EF828017E70100130724 +:101D4000677614431843F8420507F8C2C1BF9843A9 +:101D500094437C43FD177CC3FC42F9FF736004305D +:101D60008280411106C622C4EFE02FF697E70100FA +:101D7000938707728043EFE0EFF4B240228522445C +:101D80004101828097E701009387C7708843828072 +:101D9000797106D622D426D24AD04ECE52CC56CA1B +:101DA0005AC85EC697E701009387876C9C43B1EFE2 +:101DB00017E701001307C76D1C43850797E601006D +:101DC00023A8F66C832A0700638F0A0697E70100B1 +:101DD0009387476A9C43814463F1FA0897E90100BD +:101DE0009389097A17EA0100130ACA6B83270A004C +:101DF0008546D85793172700BA978A07BE9983A7B5 +:101E0000090063FFF600854421A897E70100938746 +:101E100067679C438144850717E701002324F76621 +:101E200097E701009387C7659C4391C38544B250EF +:101E30002254268502599254F249624AD24A424BB0 +:101E4000B24B4561828017E40100130464651C40B5 +:101E50009C43DDC37370043001A017E40100130438 +:101E6000246497E901009389A97117EA0100130A14 +:101E70006A6317EB0100130B6B611C409C43B5C3F5 +:101E80001C40DC4703A9C70083274900930B490086 +:101E90005E8563EEFA04EFE0AFED83278902130558 +:101EA000890199C3EFE0CFEC8327C90203270B0018 +:101EB000DE85139527003E950A054E956376F7005B +:101EC00017E701002324F75CEFE00FE683270A0001 +:101ED0000327C902DC57E362F7FA1C4085449C43A0 +:101EE000C5F3FD5717E701002326F75801B717E799 +:101EF00001002321F758DDBD17E701001307075A3A +:101F00001C40184397E6010023ACE65817E7010090 +:101F10002326F75897E70100938747569C43850788 +:101F200017E70100232CF7541C409C4399E7FD5709 +:101F300017E701002320F75451BD1C40DC47DC4764 +:101F4000DC4317E701002327F75249B597E7010063 +:101F500093870752984301E77370043001A0011181 +:101F600006CE22CC26CA4AC84EC652C456C25AC051 +:101F70007370043097E4010093840451984061EB3E +:101F800098437D1797E6010023A4E64E9C43D9EBC6 +:101F900097E70100938707509C43C9C797EA010060 +:101FA000938A0A5E17EA0100130A4A4E17E90100F4 +:101FB0001309494F854919A83385EA00EFE0CFD6C8 +:101FC000832709005854DC576379F70483A70A0F65 +:101FD000C1CF83A7CA0FC04713058401EFE04FD9D3 +:101FE000130B44005A85EFE0AFD85C5483260A00F7 +:101FF000DA85139527003E950A052A875695E3FD55 +:10200000F6FA17E701002323F748EFE0EFD1832723 +:1020100009005854DC57E36BF7FA97E7010023A156 +:1020200037476DB701449C4081CB97E70100938708 +:1020300067479C43FC4395E72285F2406244D244C3 +:102040004249B249224A924A024B0561828017E70F +:1020500001001307274514431843F8420507F8C247 +:1020600005B7EF30502BC9BF17E4010013048441BA +:102070001C4095C31C40054999CF193B09C597E7FA +:10208000010023AF273F1C40FD1717E70100232B5A +:10209000F73E1C40FDF397E701009387673E9843A6 +:1020A0008547E311F7F8EFE06FB60544ADBF11CDFA +:1020B00081E57370043001A017E701001307473B67 +:1020C0001C4399C77370043001A07370043001A0E1 +:1020D000411122C406C614431841850617E60100C3 +:1020E0002328D63897E601009386863A9442330433 +:1020F000B70000C163F7E602637FE40063FD86007A +:1021000097E701009387073A88431105EFE04FC630 +:102110002285EF30101A1D3D01C9B2402244410111 +:102120008280E36FE4FCD9BF2244B24041016FE0FA +:10213000EFAD11C917E70100130787331C4391C79F +:102140007370043001A06FE06FAC411106C622C469 +:102150001843AA87050797E6010023ABE63097E608 +:1021600001009386263417E70100130767321843EE +:1021700088423304F7001105EFE08FBF2285EF306E +:102180005013E93311E52244B24041016FE00FA83A +:10219000B240224441018280411122C406C697E721 +:1021A00001009387E72C9C430144850717E7010052 +:1021B0002320F72C97E701009387C72D9C4363E00A +:1021C000F51217EE0100130E6E4797E2010093829D +:1021D000623A01440147854F1303500A21A0311E82 +:1021E000638DC20B83278EFFBA86F5DB8327CEFF74 +:1021F000DC43232EFEFE638AC70F03AFC700DC4318 +:102200002A97814E232EFEFE6385C70783A8C70049 +:1022100093874803232017015CC383A788042326E0 +:10222000F7011CC783A7C8021CCB83A70805232C72 +:1022300007005CCB03A8080383470800639067048A +:10224000C287850783C60700B3850741E38B66FE17 +:102250008981C205C181231EB700850E13070702BD +:1022600063061F038327CEFFDC43232EFEFEE39F7E +:10227000C7F983274E00232EFEFE49BF8145231E4A +:10228000B700850E13070702E31E1FFD7694131790 +:102290005400311EBA86E397C2F597E70100938791 +:1022A000272003AE070083270E00639D070E97E7E4 +:1022B00001009387A71E03AE070083270E006392D9 +:1022C000071817EE0100130EAE2B83274E109DE367 +:1022D00083278E116395072019C223200600BD3184 +:1022E0002285B24022444101828083274E00232E62 +:1022F000FEFE21B783278E1017EF0100130F0F3951 +:10230000DC4317E701002321F7386380E73383A21A +:10231000C700DC43AA96814E17E701002326F73653 +:10232000914F1303500A6388E70783A8C700938778 +:10233000480323A01601DCC283A7880423A6F60164 +:102340009CC683A7C8029CCA83A7080523AC0600C5 +:10235000DCCA03A80803834708006391672AC28781 +:10236000850703C70700B3850741E30B67FE93D7D3 +:102370002500C207C183239EF600850E93860602C0 +:102380006386122983278E10DC4317E70100232D73 +:10239000F72EE39CE7F983270E1117E701002325A9 +:1023A000F72E61B783274E00130F8E00D4432322EC +:1023B000DE006388E629B307E500D84283A2C600A1 +:1023C000814E2322EE00894F1303500A6306EF0665 +:1023D0008328C7001387480323A01701D8C303A786 +:1023E000880423A6F70198C703A7C80298CB03A7C0 +:1023F000080523AC0700D8CB03A80803034708004F +:102400006318671C4287050783460700B305074129 +:10241000E38B66FE13D7250042074183239EE70026 +:10242000850E93870702638D121B83264E00D842C8 +:102430002322EE00E31EEFF80327CE002322EE0056 +:1024400041BF83274E00130F8E00DC432322FE0082 +:102450006384E71F83A2C700DC43AA96814E232230 +:10246000FE00894F1303500A6306FF0683A8C700C6 +:102470009387480323A01601DCC283A7880423A600 +:10248000F6019CC683A7C8029CCA83A7080523AC93 +:102490000600DCCA03A80803834708006396671098 +:1024A000C287850703C70700B3850741E30B67FEB3 +:1024B00093D72500C207C183239EF600850E93861D +:1024C0000602638B120F83274E00DC432322FE009B +:1024D000E31EFFF88327CE002322FE0041BF83279F +:1024E000CE1197EE0100938EAE1BDC4317E701007F +:1024F0002326F71A6384D71383AFC700DC4333075F +:10250000D500014397E6010023AAF6180D4F894232 +:102510009308500A6387D707C8479307450308C342 +:102520005CC33C452326E7011CC75C551CCB1C55EE +:1025300099C3232657003C49232C07005CCB032872 +:1025400005038347080063951705C287850783C67F +:102550000700B3850741E38B16FF93D72500C20719 +:10256000C183231EF700050313070702638AAF0226 +:102570008327CE11DC4397E6010023A1F612E39DE9 +:10258000D7F983274E1297E6010023A9F61069B701 +:102590008147231EF700050313070702E39AAFFCE8 +:1025A0001A94E31C06D225BB8147239EF600850EB4 +:1025B00093860602E39912F117EE0100130E4EFC0A +:1025C00083274E10769493165400E38307D01DB3EF +:1025D0000147239EE700850E93870702E39712E5E4 +:1025E00097E70100938787EB03AE07007694931675 +:1025F000540083270E00E38607CCA1B58147239EB4 +:10260000F600850E93860602E39E12D783278E116D +:10261000769493165400E38107CCD1B583274E12EC +:1026200017E70100232CF706C1BD83270E1117E71A +:102630000100232BF704E1B98327CE002322FE00FB +:1026400011BD8326CE002322DE00B5B3797126D2D8 +:102650004AD04ECE52CC56CA5AC85EC606D622D4EE +:1026600097E901009389C9E017E90100130949F1CD +:1026700017EA0100130A4AE197EA0100938A8AE205 +:1026800097EB0100938B0BE197E401009384C4E086 +:10269000054B21A883A7090003244910850717E7E4 +:1026A00001002327F7DC5D3011E89C40E5F7832724 +:1026B0000900E37CFBFEEFD07FD5C5BF737004300B +:1026C00083270A00B9E383270911C0471305440093 +:1026D000EFD01FEA83A70B00FD1717E70100232B9C +:1026E000F7DA9C40FD1717E701002327F7DA832765 +:1026F0000A0089C783A70A00FC4385E30858EF1046 +:10270000D0032285EF1070034DB703A70A0083A7FB +:102710000A007C4385077CC37DB703A70A0083A614 +:102720000A007C43FD177CC3FC42E9FB7360043064 +:10273000F1B797E701009387A7D39C43DDE34111ED +:1027400006C697E7010023AD07D217E7010013077C +:1027500067D51C43B7A6A5A59386565A9C5B8C43A8 +:10276000639BD500D0436318B60094476395C600B9 +:10277000DC476388D70008430C4393854503EF206B +:10278000E07297E60100938666D0904217E7010059 +:10279000130707DF11A89C42B9CB9C42FD1717E62F +:1027A00001002325F6CE904293172600B2978A07A0 +:1027B000BA979C43EDD3904293172600B2978A07AD +:1027C000B305F700D441A107BA97D442D4C16383BB +:1027D000F602DC46B24017E701002325F7CC4101A1 +:1027E0008280854717E70100232CF7C88280737029 +:1027F000043001A0D1473306F602D442329754C3C5 +:10280000C9BF01114AC806CE22CC26CA4EC62A89A3 +:102810007370043097E40100938404C79C4017E46C +:102820000100130424C8D9E7631409000329040034 +:10283000930949004E85EFD0BFD38327890289C70A +:1028400013058901EFD0DFD2CE8517E5010013050E +:10285000A5E4EFD07FCD9C4081C71C40FC43ADE395 +:102860001C40638B27079C409DCF737004309C40B5 +:10287000C1EB97E701009387A7C29843184325EB64 +:10288000FD5717E701002327F7BE9C4089CF1C4066 +:10289000FC4391CB184014407C43FD177CC3FC42A1 +:1028A00099E373600430F2406244D2444249B24931 +:1028B0000561828018401C407C4385077CC3ADB70E +:1028C000184014407C43FD177CC3FC42D1FB73606D +:1028D00004301C40E39927F99C40A1C397E701000D +:1028E000938707B99C43ADCB7370043001A09C4320 +:1028F000DC47DC47DC4317E70100232DF7B671B74F +:1029000018401C407C4385077CC397E701009387F0 +:1029100027B9984318432DD7D9BF97E7010093876C +:1029200067B717E701001307A7C59C430327871163 +:10293000631DF700F240624497E7010023A407B645 +:10294000D2444249B249056182806244F240D24495 +:102950004249B2490561F1BB6244F240D244424966 +:10296000B24905616FD09FAA3DC9411126C297E4C3 +:102970000100938424B34AC02E898C4006C622C429 +:10298000E105EFD0FFBB88401105EFD07FBEFD57BA +:10299000630AF90497E70100938787AF8043984063 +:1029A0009C434A9440C3636CF40497E70100938707 +:1029B00027AF88438C409105EFD09FB897E701007F +:1029C000938747AB9C436376F40097E7010023A30A +:1029D00087AAB24022449244024941018280737026 +:1029E000043001A08C402244B2409244024917E5D1 +:1029F0000100130565CA910541016FD0FFB297E749 +:102A000001009387A7A9884322448C40B240924496 +:102A10000249910541016FD0BFB211C997E701008A +:102A2000938707A59C4399E77370043001A07370E6 +:102A3000043001A0411126C297E40100938484A6CA +:102A40009840AE878C40B7060080D58F06C622C45A +:102A50001CCFE1053284EFD03FAD88401105EFD0A7 +:102A60003FB1FD57630EF40097E70100938747A23B +:102A70008843B24092442295224441016F30600362 +:102A80008C402244B240924417E501001305C5C0B2 +:102A9000910541016FD05FA925CD411126C297E470 +:102AA0000100938424A04AC02E898C4006C622C40B +:102AB000E1053284EFD05FA788401105EFD05FAB0E +:102AC0008547630AF40497E701009387679C804376 +:102AD00098409C434A9440C3636CF40497E7010018 +:102AE0009387079C88438C409105EFD07FA597E79B +:102AF0000100938727989C436376F40097E70100D1 +:102B000023AA8796B240224492440249410182801E +:102B10007370043001A08C402244B24092440249B8 +:102B200017E50100130545B7910541016FD0DF9FFF +:102B300097E7010093878796884322448C40B240F0 +:102B400092440249910541016FD09F9F411106C6F1 +:102B500022C426C25C45C04735CC93048401268537 +:102B6000EFD01FA197E70100938787909C43B5E7BB +:102B7000930444002685EFD0BF9F97E70100938719 +:102B8000E79058549C4363F6E70097E7010023AFB2 +:102B9000E78E93172700BA978A0717E501001305F8 +:102BA000259EA6853E95EFD03F9897E70100938735 +:102BB000678F9C4358540145DC5763F8E70085470D +:102BC00017E70100232EF78A0545B24022449244BC +:102BD000410182807370043001A0A68517E50100D1 +:102BE000130505A9EFD05F94C9B797E70100938754 +:102BF00027889C4381E77370043001A0411106C609 +:102C000022C426C2B70700804045CD8F1CC12DC409 +:102C1000EFD01F96930444002685EFD07F9597E769 +:102C200001009387A78658549C4363F6E70097E713 +:102C3000010023ADE78493172700BA978A0717E5A9 +:102C400001001305E5933E95A685EFD0FF8D97E72C +:102C50000100938727859C4358540145DC5763F84E +:102C6000E700854717E70100232CF7800545B240B0 +:102C700022449244410182807370043001A011CD3E +:102C800097D701009387877F984397E70100938741 +:102C900027809C4318C15CC182807370043001A0FE +:102CA00055C1D1CD411106C622C42A8773700430A4 +:102CB00097D801009388487D83A7080091CB97D7C8 +:102CC00001009387277E94439C43FC428507FCC206 +:102CD00017D301001303C37B9C4103260300FD5659 +:102CE0000144638FD70217DE0100130E2E790325EE +:102CF0000E000328070054436305A80005446371D0 +:102D0000D6023308D6400544637CF80003250E0044 +:102D1000918F03260300B6979CC108C350C301449A +:102D200083A7080081CB97D701009387A7779C439F +:102D3000FC4381EF2285B2402244410182807370BE +:102D4000043001A07370043001A0EF20D05CDDB727 +:102D5000854717D701002325F772828019C168457E +:102D600082800145828011C16CC5828097D70100A5 +:102D7000938747738843828097D701009387C770F2 +:102D80009C43054589CB97D701009387676E88439D +:102D9000133515000605828031CD011126CA97D45E +:102DA0000100938424709C4006CE22CC4AC84EC6B3 +:102DB0005855DC576379F7021C4D63C707009C40E8 +:102DC000D457A947958F1CCD93172700BA97584918 +:102DD00017D901001309C97A8A07CA97630DF7004A +:102DE0009C40DC575CD5F2406244D2444249B2492F +:102DF000056182808280930945002A844E85EFD048 +:102E00002FF79C4017D70100130747681843DC577A +:102E10005CD46376F70017D701002329F766139572 +:102E200027003E9562440A05F240D244CE854A9579 +:102E3000B249424905616FD02FEF19CD411106C645 +:102E400022C426C297D701009387C76580436307D2 +:102E5000A4007370043001A0014582807C4881E7A2 +:102E60007370043001A054543848FD177CC8638344 +:102E7000E60099C70145B240224492444101828054 +:102E8000930444002685EFD0AFEE3C4817D70100ED +:102E90001307C75F144329471D8F5CD418CC63F612 +:102EA000F60017D701002323F75E5147B387E702E7 +:102EB00017D501001305C56CA6853E95EFD0CFE66A +:102EC000054555BF7370043097D701009387C75BE2 +:102ED0009C4391CB97D701009387C75C98439C4351 +:102EE0007C4385077CC3828097D701009387C759AD +:102EF0009C438DC397D701009387C75A9843784363 +:102F000011CB984394437C43FD177CC3FC4299E367 +:102F100073600430828097D701009387A75890434D +:102F200094439843A947D456084E958F1CCF82806E +:102F300097D7010093870757984309C79443F84AE6 +:102F40000507F8CA8843828079714AD006D622D410 +:102F500026D24ECE2A897370043097D40100938410 +:102F6000A4529C4017D401001304C453BDE31C4079 +:102F7000BC4F89E71C400547F8CFD1E19C4081C791 +:102F80001C40FC43F1EB737004309C408DEF1C40FF +:102F9000A84F11C5630409021C4023AC07041C4060 +:102FA00023AE07049C4081C71C40FC438DEBB2500C +:102FB000225492540259F2494561828018403C4F94 +:102FC000FD173CCFE9BF18401C407C4385077CC3FC +:102FD0007DBF18401C407C4385077CC349BF184017 +:102FE00014407C43FD177CC3FC42F1F37360043052 +:102FF000B250225492540259F249456182800840ED +:103000002EC61105EFD0CFD6B245FD576380F50629 +:1030100097D701009387C74783A9070018409C43AF +:10302000AE992322370163ECF90497D70100938707 +:10303000274788430C409105EFD08FD097D70100E8 +:10304000938747439C4363F6F90097D7010023A376 +:103050003743EFD0AFBB1DB7184014407C43FD177A +:103060007CC3FC428DF37360043031BF0C4017D534 +:103070000100130565629105EFD00FCBD9BF97D73B +:1030800001009387A74188430C409105EFD04FCBB7 +:10309000C9B779714AD04ECE06D622D426D252CCA8 +:1030A0002E89B2897370043097D401009384C43D93 +:1030B0009C4017D401001304E43EF9EF18408947FF +:1030C000784F630CF7001C401345F5FFB84F798D1E +:1030D000A8CF1C400547F8CFADE69C4081C71C40F7 +:1030E000FC43F5E3737004309C40B1E7638609004C +:1030F0001C40BC4F23A0F900184085470145784F7C +:10310000630BF7001C401349F9FF0545B84F3379AD +:10311000E90023AC27051C4023AE07049C4081C76F +:103120001C40FC43C1E3B250225492540259F2496C +:10313000624A4561828018401C407C4385077CC3FD +:1031400075B7084036C61105EFD08FC2B246FD579D +:103150006385F60897D701009387873303AA070092 +:1031600018409C43369A232247016363FA0897D795 +:1031700001009387E73288430C409105EFD04FBCA4 +:1031800097D701009387072F9C436370FA0697D760 +:10319000010023A1472F91A818401C407C438507BC +:1031A0007CC329BF184014407C43FD177CC3FC42FC +:1031B000BDFB73600430B250225492540259F2495C +:1031C000624A45618280184014407C43FD177CC3ED +:1031D000FC4289FB7360043031B70C4017D5010005 +:1031E0001305854B9105EFD02FB4EFD02FA2F5B585 +:1031F00097D701009387872A88430C409105EFD029 +:103200002FB4E5B751C1411106C622C426C24AC037 +:103210007370043097D40100938404279C40B9EB69 +:1032200099C23C4D9CC2B2878946704D74CD2E87A1 +:103230002A846386D70663FCF6048D456387B7004E +:1032400091456395B7006300D60C38CC8547630F72 +:10325000F60405449C4081CB97D7010093878724CF +:103260009C43FC43A9E32285B24022449244024994 +:103270004101828097D701009387C72298439C43DE +:103280007C4385077CC369BF7370043001A0854609 +:10329000E39ED7FA3C4D33E7B70038CD45BF3C4DF0 +:1032A00085073CCD65B7EF20100775BF13094400B3 +:1032B0004A85EFD0EFAB17D701001307271D5C54E9 +:1032C00018436376F70017D701002321F71C5147F5 +:1032D000B387E70217D501001305852ACA853E95F5 +:1032E000EFD08FA41C5481C77370043001A097D70E +:1032F00001009387271B9C435854DC57E3FBE7F4FA +:10330000EFD0CF90B9B70144B1B739CD011122CC7C +:1033100026CA4AC84EC652C456C206CEBA89368A92 +:10332000B2842E892A84EFD04F9AAA8A63050A00B4 +:103330003C4C2320FA00784C89477CCC6389F4020A +:1033400063F4970C8D466387D40091466396D4004E +:10335000630AF70A232C24058547631EF7061C54CD +:1033600099CB7370043001A07370043001A03C4C01 +:1033700085073CCCD5B797D701009387670F9C434F +:10338000ADEB930444002685EFD08F9E17D7010044 +:103390001307C70F5C5418436376F70017D7010073 +:1033A0002326F70E5147B387E70217D5010013050F +:1033B000251DA6853E95EFD02F9797D701009387BF +:1033C000670E9C435854DC5763F7E7006385090098 +:1033D000854723A0F90005445685EFD0AF8E22859E +:1033E000F2406244D2444249B249224A924A0561BB +:1033F00082809305840117D5010013056527EFD05E +:10340000AF9265BF0144C9BF8547E397F4F43C4CD4 +:1034100033E92701232C240581B74DC1011122CCAA +:1034200026CA2A844AC806CE4EC6AE84EFD0EF899B +:103430008947744C7CCC3C4C05472A8985073CCC99 +:103440006395E6061C5481C77370043001A097D7BA +:1034500001009387E7019C43ADE7930944004E8543 +:10346000EFD00F9117D70100130747025C541843A0 +:103470006376F70017D70100232AF7005147B38777 +:10348000E70217D501001305A50FCE853E95EFD0B5 +:10349000AF8997D701009387E7009C435854DC57C6 +:1034A00063F5E70099C085479CC06244F240D2446E +:1034B000B2494A85424905616FD0CF8073700430AC +:1034C00001A09305840117D501001305651AEFD0FB +:1034D000AF85C1B7AA8729C57370043017D701001B +:1034E000130787FA14439DE2F04F8946014563159F +:1034F000D60023AE070405451C4381CB97D70100B6 +:10350000938747FA9843784315E3828097D6010062 +:10351000938646F9904294427442850674C2E9B794 +:1035200097D70100938707F89C437DB7984394434E +:103530007C43FD177CC3FC42E9FB7360043082804E +:103540005D71A6C2CAC052DC56DA5AD85ED686C6AB +:10355000A2C44EDE62D466D26AD017D90100130924 +:1035600069F517DB0100130B2BF497D4010093844A +:10357000E4F397DA0100938AAAF3054AA54B83275F +:103580000900984363010712DC4783A90700EFE0B5 +:10359000EFF6EFE0AFF783270B002A8C6362F50AA2 +:1035A00097D7010023A2A7F001466370351B88401E +:1035B000B3858941EFD0FFBDEFE05F99630105124C +:1035C0008840814601462C00EFD00FEC4DD9A24730 +:1035D000E3C807FEC24983A7490189C7138549008B +:1035E000EFC01FF9EFE08FF283270B002A8C636D89 +:1035F000F508A24717D70100232887EBE3E2FBFC7D +:10360000B317FA0013F70721631A071613F7770C9D +:103610006318071E93F70702C5D74E85EF00F01118 +:1036200045B7DC47C04783AC0700930944004E858B +:10363000EFC01FF45C50228582975C4C6384470B7B +:1036400083270900984371FF03A70A0097D601005A +:1036500023AC86E597D6010023ACF6E497D70100AA +:1036600023AAE7E4EFE09F8EA1BFDC47C04703AD8C +:103670000700930C44006685EFC09FEF5C502285E5 +:1036800082975C4C6386470983270900984371FF42 +:1036900003A70A0097D6010023ACF6E097D70100F4 +:1036A00023AAE7E0B9B7EFE06FE5EFE02FE6832765 +:1036B0000B002A8CE366F5F883A70A00884081494D +:1036C0009043B385894117D70100232F87DD133637 +:1036D0001600EFD01FACEFE07F87E31305EEEFC0DD +:1036E000FFD2F9BD1C4CCE85E69763E9FC049C40F3 +:1036F00089CF02CA66CC22CEEFF00FE8884081461F +:1037000001464C08EFD02F8805FD7370043001A0EE +:103710001C4CE685EA97636CFD049C4089CF02CA85 +:103720006ACC22CEEFF04FE58840814601464C0836 +:10373000EFD06F8531F97370043001A003250900C3 +:103740005CC000C8EFC0DFDFE5BDEFE03F8083274E +:103750000900DC47C047930C44006685EFC05FE179 +:103760005C4C638F47035C502285829791BD032593 +:1037700009005CC000C8EFC0BFDC39B7B24723AC5A +:10378000F900A5CFE29723A2F90023A83901938578 +:103790004900636FFC0403A50A00EFC07FDA0DB592 +:1037A0001C4C00C83387F90058C0636DEC02330C21 +:1037B0003C416363FC029C4089CF02CA4ECC22CEBE +:1037C000EFF08FDB8840814601464C08EFC0BFFB1D +:1037D00059F97370043001A003A50A00E685EFC013 +:1037E0003FD651B703250900E685EFC07FD5A5BFB9 +:1037F00003250900EFC0DFD4E1B37370043001A0EA +:10380000B24783A6890123A839013387D70023A2B1 +:10381000E9006364EC04B307FC4063EBD70483A7BF +:1038200049024E85829783A7C901E39B47D983A6A6 +:103830008901B2479840B69711CF02CA3ECC4ECE0E +:10384000EFF08FD38840814601464C08EFC0BFF3AC +:10385000E31805D67370043001A06374FC00E370B4 +:10386000F7FC0325090093854900EFC07FCD89BB94 +:1038700003A50A0093854900EFC09FCC91B3411185 +:1038800022C406C626C24AC017D40100130404C2CB +:10389000EFF04FE31C4095CBEFF00FE51C409DC3CC +:1038A000814801488147094781461306004097D562 +:1038B00001009385A5B0170500001305A5C8EFD03A +:1038C0007F9A39E97370043001A017D901001309F8 +:1038D000E9DD4A85EFC0BFC397D40100938444DE7D +:1038E0002685EFC0DFC20146B145114597D70100DB +:1038F00023A227BD97D7010023AC97BAEFC01FDCE6 +:1039000017D701002324A7BA11CD97D501009385BD +:1039100065AAEFD0CFFC49B7B24022449244024995 +:10392000410182807370043001A0A5C9797156CA23 +:10393000AA8A1305C00222D426D24AD04ECE52CC37 +:1039400006D65AC85EC63A89B689328AAE84E9215B +:103950002A8405C9EFF00FD797D70100938707B5E1 +:103960009C439DCFEFF04FD8130544002320540112 +:1039700004CC232E44012320340323222403EFC04C +:103980005FBA2285B250225492540259F249624AD7 +:10399000D24A424BB24B456182807370043001A021 +:1039A00097DB0100938B8BD05E85EFC05FB617DB92 +:1039B0000100130BEBD05A85EFC07FB50146B1452E +:1039C000114597D7010023A777AF97D7010023A10F +:1039D00067AFEFC0BFCE17D701002329A7AC01C93D +:1039E00097D501009385059DEFD06FEFA5BF73704C +:1039F000043001A039C5011122CC06CE26CA17D445 +:103A000001001304A4AA832804002A8801456385C1 +:103A10000802BA842EC2368732C442C6954663C5B0 +:103A2000B602EFF06FB58947630BF5020840814697 +:103A300001464C00EFC03FD5F2406244D2440561DC +:103A400082807370043001A04C0081463A8646851E +:103A5000EFD0AF88F2406244D244056182800840D2 +:103A6000814626864C00EFC01FD2F9B719C1084124 +:103A700082807370043001A001E57370043001A0EE +:103A8000411106C622C42A84EFF0CFC3484833341C +:103A9000A000EFF06FC52285B24022444101828030 +:103AA00001E57370043001A0411122C42A8406C6C6 +:103AB000EFF04FC10050EFF02FC3B24022852244F7 +:103AC0004101828001E57370043001A0411122C4DC +:103AD00026C22E84AA8406C6EFF0CFBE80D0224430 +:103AE000B240924441016FF02FC04111714522C490 +:103AF00006C61D262A8411C5232005001105EFC026 +:103B00001FA12285B24022444101828001CDB70726 +:103B100000FFED8F81C77370043001A099E57370C9 +:103B2000043001A07370043001A0011122CC4AC8F6 +:103B30004EC652C456C206CE26CABA893689B28A41 +:103B40002E842A8AEFF04FA311E563850900737074 +:103B5000043001A0EFE08F9A83240A00B377940029 +:103B60006316090295C763870A009347F4FFE58F40 +:103B70002320FA00EFE08FBDF240624426854249DF +:103B8000D244B249224A924A05618280E30DF4FC94 +:103B9000E38209FEB3355001E20563050900B7076A +:103BA0000004DD8D4E86C18D13054A00EFE0FFE66F +:103BB000EFE0CFB919E1EFC07F85EFF0CFB59317F4 +:103BC0006500AA8963D80700B7040001FD14B3F4A7 +:103BD00099005DB7EFF00FAF83290A00B377340186 +:103BE000631E090089CB63880A009347F4FFB3F78B +:103BF00037012320FA00EFF02FAFF9B7E31DF4FEF1 +:103C0000DDB701C9B70700FFED8F99C773700430A6 +:103C100001A07370043001A0411122C42A8406C699 +:103C200026C24AC02E89EFF0EFA904409345F9FF60 +:103C3000B3F795001CC0EFF02FABB24022442685AD +:103C40000249924441018280411122C42A8406C65D +:103C5000EFC0BF870040EFC0FF86B24022852244FC +:103C60004101828009C9370700FFB3F7E50099C712 +:103C70007370043001A07370043001A06F10706B7A +:103C8000B70700FFF18F81C77370043001A001E610 +:103C90007370043001A0011122CC4AC84EC652C430 +:103CA00006CE26CA3689AE892A8A3284EFF0CF8CB6 +:103CB00021E1630509007370043001A0EFE00F8477 +:103CC00083240A00CE855285B3E49900613FB3771F +:103CD00094006307F40683240A00EFE02FA7F24064 +:103CE000624426854249D244B249224A0561828013 +:103CF000EFE0CF8083240A00CE855285B3E43401FF +:103D00009537B3F78400638D8702E30609FCB70596 +:103D100000054A86C18D13054A00EFE01FD0EFE091 +:103D2000EFA219E1EFC08FEEEFF0EF9E9317650061 +:103D3000AA8463DF0700B7070001FD17FD8C45B7B4 +:103D400083270A001344F4FFE18F2320FA0071B7A0 +:103D5000EFF04F9783240A00B377940063978700AE +:103D60009347F4FFE58F2320FA00EFF0EF97E1B7D8 +:103D7000411122C406C62A8426C2EFD03FF85C4017 +:103D800085C308489304C4006316950015A008482D +:103D900063009502B7050002EFE03FE55C40E5FBFC +:103DA0002285612A2244B240924441016FE00F9A79 +:103DB0007370043001A009C9370700FFB3F7E500AD +:103DC00099C77370043001A07370043001A06F10A4 +:103DD000505601C9B70700FFED8F99C773700430C3 +:103DE00001A07370043001A0411122C426C206C68E +:103DF0002A84AE84EFF00F8D184093C7F4FFF98F3B +:103E00001CC02244B240924441016FF0EF8D19C1B1 +:103E1000084D828001458280411122C406C62A8451 +:103E2000EFD0DFED97C70100938707699C43A1EBB3 +:103E3000E56717D701001307278AF11797C6010016 +:103E400023A2E66817C70100232CF76617D70100E5 +:103E50002326F78897C701009387476617D7010085 +:103E6000232CF786854717C70100232F076417C740 +:103E700001002325076417C70100232FF76219E803 +:103E8000EFE0CF8CEF10000201442285B2402244C3 +:103E9000410182801305840093773500C1EFE56707 +:103EA0001307F5FFE917E3EDE7FC97C601009386DA +:103EB00066619C4229A0984311C7BE86BA87D84341 +:103EC000E36BA7FE17C701001307475FE38AE7FA12 +:103ED000984341461384870098C2D843B306A7404D +:103EE0006378D6023388A7002322D800C8C383256D +:103EF000480097C601009386E65C11A0BA869842F6 +:103F00005043E36DB6FE2320E80023A00601D8430A +:103F100097C701009387C75C9C43998F17C701001F +:103F20002320F75CEFE08F8231DC2285B24022440F +:103F300041018280719911059DB729C9411122C49F +:103F400006C62A84EFD09FDB930584FF0326C4FFB7 +:103F500017C701001307075711A03E871C43D4431E +:103F6000E3EDC6FE232CF4FE0CC397C70100938734 +:103F7000275798438327C4FF2244B240BA9717C7F4 +:103F80000100232FF75441016FD05FFC828097C757 +:103F900001009387E7548843828082803367B500AD +:103FA0000D8BAA871DE79308D6FFAA982A87AE86AD +:103FB0006371150303A8060011079106232E07FF5E +:103FC000E36A17FF9347F5FFC697F19B9107BE95EC +:103FD000AA972A9663F3C70A938645001388470079 +:103FE000B3B6D70033B8050133E7F50093C6160022 +:103FF000134818000D8BB3E6060113371700758FB1 +:10400000330EF6409386170029CF1337AE0031EBFD +:1040100013532E002E88BE8601478328080005070B +:10402000110823A016019106E36967FE1377CEFFFE +:10403000BA95BA976302EE0483C60500138717008A +:104040002380D700637AC70283C615001387270031 +:10405000A380D7006372C70203C725002381E7004E +:104060008280B307F640AE97850503C7F5FF850646 +:10407000238FE6FEE39AF5FE82808280B367C50057 +:104080008D8BB308C500E9C36371150FB307A0405A +:104090008D8B13873700954693F5F50F13081500A0 +:1040A0003383A8407D166373D70015476363E60C1E +:1040B000D5CF2300B5000547638DE700A300B50009 +:1040C0000D47130825006396E70013083500230108 +:1040D000B500139685004D8E13970501518F33035C +:1040E000F34093968501D98E13562300AA97014772 +:1040F00094C305079107E36DC7FE1377C3FFB307AA +:10410000E8006307E3062380B70013871700637C8A +:104110001703A380B7001387270063761703238153 +:10412000B7001387370063701703A381B7001387A5 +:104130004700637A17012382B7001387570063741F +:104140001701A382B700828093F5F50F13978500BE +:10415000D98D139705014D8FE37715FFAA87910736 +:1041600023AEE7FEE3ED17FF828082802A8895B7B1 +:104170008280AA8749BF834705002A8799C705051A +:1041800083470500EDFF198D82800145828005057A +:104190008347F5FF850503C7F5FF91C7E389E7FE70 +:1041A0003385E74082808147E5BFAA87850503C73D +:1041B000F5FF8507A38FE7FE75FB82808346050028 +:1041C000930700026397F600050583460500E38D1B +:1041D000F6FE938756FD93F7D70F85C7AA878145CB +:1041E00085CE01458507138606FD1317250083C676 +:1041F00007003A9506053295F5F699C13305A040BA +:104200008280938536FD8346150093B5150093078C +:104210001500E1FA0145D5B7014582809C41238014 +:10422000A7009C4185079CC1828097C601009386A8 +:10423000E6299C42175703001307C74C1386170043 +:10424000BA972380A70097C5010023A1C528A947D5 +:104250006308F500930700046305F60001458280BA +:1042600075DE370610F005078347F7FF2320F6F0C9 +:104270009C42FD1797C5010023AAF524EDF70145DF +:1042800082804D712322911423202115232A51135A +:10429000232481132326111423248114232E311364 +:1042A000232C4113232861132326711323229113F6 +:1042B0002320A113232EB111AA842E8932C6130CF8 +:1042C0005002A54A8347090063808703B9CB804029 +:1042D00011A0A1CB2300F40080400509050480C093 +:1042E00083470900E39787FF0346190093081900E5 +:1042F000C686130D0002FD597D5A0148130550056D +:104300009307D6FD93F7F70F138916006363F52A19 +:1043100017C70100130707CF8A07BA979C43BA97BC +:1043200082878320C1140324811483244114032928 +:1043300001148329C113032A8113832A4113032BF8 +:104340000113832BC112032C8112832C4112032DE4 +:104350000112832DC11171618280A14C02C4854775 +:1043600063D50715B2478040938B770093F68BFF98 +:1043700003AB060083A94600938786003EC6668687 +:1043800081465A85CE85EF10803D2AC881476385D6 +:104390003723930D4101854B668681465A85CE852C +:1043A000EF100006814666862A8BAE89EF10203B0F +:1043B000A24723A0AD0093861B00910D63843701B3 +:1043C000B68BD9BFE37E9BFF63DD4601A2872380C6 +:1043D000A70180407D1A930714009CC03E84E3C867 +:1043E00046FF93962B001C08BE9631A8938777054D +:1043F0002300F4008040FD1B93871B00050480C050 +:10440000F116E351F0EC9C42E3E2FAFE93870703D6 +:10441000C5B79C409306000332472380D7009C40D9 +:1044200093064700C14C1386170090C013068007FF +:10443000A380C700804002C4BA87050480C003ABD4 +:104440000700814936C625BFB24783AC0700938B6E +:10445000470063840C1C635F40199307D002631903 +:10446000FD1683C70C0085C363DC09189440850CD6 +:104470007D1A2380F6008040050480C083C70C00AD +:10448000E5F7635D40018040930700022300F400DC +:1044900080407D1A050480C0E31A0AFE5EC61DB581 +:1044A00002C48547A94CE3CF07EBB247804093860F +:1044B000470071B703C61600CA8699B59C4093069B +:1044C00050022380D7008040050480C0E5BBC14C6A +:1044D00002C471B5B24703C61600CA8683A9070095 +:1044E00091073EC6E35E0AE04E8AFD5911BD03C640 +:1044F0001600130DD002CA8621B59347FAFFFD8737 +:1045000003C61600337AFA00CA86DDBB03C616005E +:10451000130D0003CA86EDB383C51600930906FD8B +:10452000CA86938705FD2E86E3EEFAFA9397290053 +:10453000BE9985068609AE9983C50600938909FD53 +:10454000938705FD2E86E3F3FAFE69BF32479C4050 +:10455000144311073AC62380D7008040050480C069 +:1045600095B3854763D3070BB24793867700E19AEB +:10457000938786003EC683A946009C4263DC090AF5 +:1045800094401306D002B307F0402380C600804059 +:10459000B336F000B3093041050480C03E8BB389C7 +:1045A000D940A94C02C4E1BB03C616000508CA865F +:1045B00081BB9C40468921B7E37D9BDD8547814BCC +:1045C0008546E3C547E131BD97CC0100938C8CDF74 +:1045D000CE856685EF00B028330AAA40635C4001AF +:1045E00080402300A40180407D1A050480C0E31AA6 +:1045F0000AFE014A83C70C00E38207EAE3C809E622 +:10460000FD197D57E394E9E6ADBDB24793864700B7 +:104610009C4336C693D9F74195B76356400193073B +:10462000D002E313FDFA97CC0100938CACD9930729 +:1046300080021DBD3E8BA94C02C4804089B34D71E0 +:10464000232E3113B70900409387F9FF23248114E7 +:1046500023229114232C4113232A511323261114AE +:10466000232021152328611323267113232481136A +:10467000232291132320A113232EB1112A8A2EC4A1 +:1046800017C40100130484E4975A0300938A8A072D +:1046900097C40100938484AC3EC69305500229451B +:1046A00013080004B70610F083470A006386B704B6 +:1046B000C1C71840050A13061700569797C801008E +:1046C00023A6C8E02300F7006382A706E31E06FDC9 +:1046D000175703001307070305078347F7FF23A0B6 +:1046E000F6F01C40FD1717C601002321F6DEEDF79A +:1046F00083470A00E39EB7FA83461A0013051A009F +:104700002A87930B00027D5B7D5C01489305500571 +:10471000A5489387D6FD93F7F70F130A170063E7B1 +:10472000F5348A07A6979C43A697828717570300FC +:10473000130747FD55F28DBF8320C1140324811454 +:1047400083244114032901148329C113032A8113EB +:10475000832A4113032B0113832BC112032C8112D3 +:10476000832C4112032D0112832DC11171618280AE +:10477000A14C014D854763DB0719A2479D07E19BCB +:1047800083AD070003AB4700138787003AC46686F2 +:1047900081466E85DA85EF00907C2AC863066D3508 +:1047A000130941018549668681466E85DA85EF00E9 +:1047B000304566868146AA8D2E8BEF00507A2320E5 +:1047C000A90085091109E3106DFFE3FE9DFD1306A5 +:1047D0000004B70610F063D189031C40138717004B +:1047E000D69797C5010023A3E5CE23807701630CFC +:1047F000C7047D1CE3C389FFB2476800A548B386A0 +:10480000F9008A061C08BE962948130300043706DF +:1048100010F0E384A6E89C429305000363F4F800DB +:10482000930570051840AE9793051700569717CE5D +:104830000100232DBEC82300F7006380072B638C83 +:104840006526F116F9B7175703001307A7EB0507FD +:104850008347F7FF23A0F6F01C40FD1797C5010022 +:1048600023A6F5C6EDF77D1C71B71C4013060004A6 +:104870001387170097C5010023AAE5C4B386FA0081 +:10488000930500032380B600630FC73C890797C6D2 +:10489000010023ADF6C25697930680072300D70088 +:1048A00017570300130707E6B70610F0639EC7000B +:1048B00005078347F7FF23A0F6F01C40FD1717C636 +:1048C00001002325F6C0EDF7A247C14C014D910729 +:1048D0002247014B832D07003EC455BDA24783AC40 +:1048E000070013894700638E0C3A635480219307B5 +:1048F000D002639EFB2E03C70C00BA85631007200D +:1049000025AC8547A94C014DE3C907E7A2479107AC +:10491000C1B7A24783461700528703AB0700910730 +:104920003EC4E3580CDE5A8C7D5BE5B3834617002A +:10493000930BD0025287F1BB9347FCFFFD87834660 +:104940001700337CFC005287E9B383461700930BB2 +:10495000000352877DBB03461700138B06FD528769 +:10496000930706FDB286E3EEF8FA93172B00DA9769 +:1049700005078607B29703460700138B07FD9307C9 +:1049800006FDB286E3F3F8FE69BFA2451C40294646 +:10499000984193861700D69717C501002328D5B2F2 +:1049A0002380E700138945006300C72A93070004AA +:1049B0006387F6204AC4D5B1854763DE0729A2473D +:1049C00013877700619B930787003EC4032B470042 +:1049D0001C43635A0B30184013060004930617005B +:1049E00097C5010023A4D5AE56979305D0022300A6 +:1049F000B7006387C62CB307F0403337F000330BA2 +:104A00006041BE8D330BEB40A94C014D49B3834649 +:104A1000170005085287F5B9834617005287D5B9A4 +:104A20001C40930600041387170017C60100232FAC +:104A3000E6A8D697130650022380C700E31FD7C409 +:104A400017570300130707CCB70610F00507834775 +:104A5000F7FF23A0F6F01C40FD1717C6010023271F +:104A6000F6A6EDF71DB9C14C014D29B31C409306C4 +:104A700000042A8A1387170017C601002328E6A41A +:104A8000D697130650022380C700E318D7C01757E4 +:104A90000300130727C7B70610F005078347F7FF82 +:104AA00023A0F6F01C40FD1717C601002320F6A234 +:104AB000EDF72A8ADDB6175703001307A7C40507C9 +:104AC0008347F7FF2320F6F01C40FD1797C5010030 +:104AD00023AEF59EEDF7F1162DBB17570300130714 +:104AE00067C2F1FDF11635B3E3FC9DCB8549C5B135 +:104AF000014C03C70C00BA85E30E07EAFD582945AF +:104B000013080004B70610F063570B0A1C40138605 +:104B10001700D69717C30100232AC39A2380E70002 +:104B20006384A50663040609850C03C70C007D1C7D +:104B3000BA8579FBE35080E91C4093050002130617 +:104B40000004B70610F021A07D1CE3050CE61387D6 +:104B50001700D6972380B70017C501002328E596D4 +:104B6000BA87E313C7FE175703001307A7B9050752 +:104B70008347F7FF23A0F6F01C40FD1717C501007F +:104B80002326F594EDF7C9B717570300130787B726 +:104B900041DE05078347F7FF23A0F6F01C40FD1711 +:104BA00017C601002324F692EDF7BDBF1757030087 +:104BB000130747B5F9BF7D1BE31A1BF5A5BF1757B0 +:104BC0000300130727B4B70610F005078347F7FF64 +:104BD00023A0F6F01C40FD1717C601002328F68E0F +:104BE000EDF74AC45DBC97BC0100938CAC7DDA85BF +:104BF0006685B521330CAC40E35D80EF1C401306A5 +:104C00000004B70610F021A07D1CE3030CEE13870F +:104C10001700D6972380770197C5010023A8E58A5E +:104C2000BA87E313C7FE175703001307A7AD05079D +:104C30008347F7FF23A0F6F01C40FD1797C501003E +:104C400023A6F588EDF7C9B717570300130787ABFD +:104C5000BDFA4AC499B4A247138747009C433AC49B +:104C600013DBF741BDB3175703001307A7A9B70616 +:104C700010F005078347F7FF23A0F6F01C40FD174F +:104C800017C601002324F684EDF7854717C70100F6 +:104C9000232EF78293078007175703002304F7A6F4 +:104CA00025B1635680019307D002E39EFBF297BCC7 +:104CB0000100938C2C7113078002930580023DBD87 +:104CC00097560300938606A4370610F0850603C79F +:104CD000F6FF2320E6F018407D1797B5010023A7C3 +:104CE000E57E6DF709BBBE8DA94C014D4DB4B707EC +:104CF00010F023A0A7F201A03971130341022ED2B4 +:104D00009A8506CE32D436D63AD83EDA42DC46DE32 +:104D10001AC63532F2400145216182805D7113036C +:104D2000810322D42AC632DC2A841A86680006D679 +:104D3000BEC236DEBAC0C2C4C6C61ACEEFF06FD449 +:104D4000B247238007003245B250018D2254616181 +:104D50008280B70710F083A647F403A607F403A7E1 +:104D600047F4E31AD7FE8566938606FAB29633B601 +:104D7000C600B305E60023A4D7F423A6B7F48280C7 +:104D8000B70710F003A747F403A607F483A647F478 +:104D9000E31AD7FE8566938606FAB29633B6C60046 +:104DA00023A4D7F4B305E60023A6B7F493070008BD +:104DB00073A047308280411122C406C697B7010014 +:104DC0009387477117B401001304847098431C4003 +:104DD0001306F07C930647069387470697B50100B4 +:104DE00023AAD56E97B5010023A4F56E6346D600BD +:104DF000B2402244410182801307478997B70100DE +:104E000023AAE76CCD2A8547631FF5001840856704 +:104E10009387F7760145E3DDE7FCD13D2244B240BC +:104E200041016FC0BFEC17B501001305255AE935E4 +:104E30000945753D2244B24041016FC03FEB17B5B3 +:104E400001001305255A4DBD17B501001305055A7C +:104E500065B5411122C426C206C6856417B4010097 +:104E600013044459938784380100FD17F5FF228508 +:104E70006135CDBF01451DA2411106C6AD2A0547CA +:104E800081476316E500B2403E854101828017B537 +:104E900001001305A553EFF03FE6B24089473E8578 +:104EA000410182807370043001A0828073700430ED +:104EB00001A0011122CC26CA4EC652C456C206CE4B +:104EC0004AC80329050097BA0100938A2A61EFF0C6 +:104ED000CF86975403009384E48A17BA0100130A1B +:104EE000AA52170400001304C40597B9010093895E +:104EF00029521305803EEFD0CFA381480148A687F1 +:104F00004A87814613060040D28522851753030045 +:104F1000232A0386EFC00FB581474A87814801489D +:104F2000A68613060040CE852285EFC0AFB383D797 +:104F30000A00850717B701002312F75A5DBF011158 +:104F400006CE22CC26CA4AC811C50041094993049D +:104F5000900505E089441304900526C422C6A247A3 +:104F600032471305800CB387E7023EC6EFD06F9C33 +:104F7000EDB74AC426C62247B2471305800CB38753 +:104F8000E7023EC6EFD0EF9A0145EFD08F9A228517 +:104F9000EFC0EFDE0145EFC08FDE65BF411122C4D7 +:104FA0002A84114506C6EFE03FE781472287AA869B +:104FB00000C1814801481306C04397B5010093859D +:104FC000A54517050000130505EFEFC0AFA9EFC019 +:104FD0007FDB97B7010023A1A75097B70100938704 +:104FE000A74F9843050798C39843050798C3B24055 +:104FF000224441018280411122C406C697B70100B4 +:1050000083D7C74D17B701000357074E0144630DFF +:10501000F70097B701009387674C83D707000544D3 +:1050200017B701002312F74CEFC0DFD597B7010087 +:105030009387874A9843636EE5009C43B2401D8D79 +:10504000133545003305A040698C22852244410177 +:10505000828001442285B240224441018280AA9587 +:10506000AA876385B70003C7070001E73385A74018 +:1050700082808507FDB7814863DA05003305A040CB +:10508000B337A000B305B0409D8DFD5863D906002D +:105090003306C040B337C000B306D0409D8E32887F +:1050A0003683AA872E87639F061C97B601009386D6 +:1050B000662063F1C50C416E6377C60B130EF00FCB +:1050C0006373CE002143335E6600F29603CE060082 +:1050D0001A9E130300023303C341630C0300B3950C +:1050E0006500335EC501331866003367BE00B31731 +:1050F0006500135E0801B355C7031315080141810C +:1051000093D607013376C703B305B5024206D18EA5 +:1051100063F8B600C29663E5060163F3B600C29673 +:105120008D8E33F7C603C207C183B3D6C603B30659 +:10513000D50213150701C98F63F8D700C29763E53D +:10514000070163F3D700C297958F33D56700814578 +:10515000638A0800B307A0403337F000B305B040BE +:105160003E85998D8280370E00014143E36DC6F57F +:10517000614391BF01E60548335868024167637394 +:10518000E8081307F00F63730701214333576800E2 +:10519000BA9603C606001A96130300023303C340EF +:1051A00063190306B38505419356080113150801D9 +:1051B000418113D6070133F7D502B3D5D502420793 +:1051C000518FB305B5026378B70042976365070155 +:1051D0006373B7004297B305B74033F7D502C207F0 +:1051E000C183B3D5D502B305B50213150701C98F25 +:1051F00063F8B700C29763E5070163F3B700C2978E +:105200008D8FA1B7370700014143E361E8F861439F +:10521000B5BF3318680033DEC500935E080133560E +:10522000C500B31765003355DE03B39565004D8E99 +:1052300093150801C181935606013377DE03338548 +:10524000A5024207558F6378A7004297636507015F +:105250006373A7004297B306A74033F7D60342060D +:105260004182B3D6D6034207B386D502B365C700E1 +:1052700063F8D500C29563E5050163F3D500C295D7 +:10528000958D1DB7E3E6D5EC416863F50605930EF1 +:10529000F00F33B8DE000E0833DE060117B301004D +:1052A000130343017293834E0300130E0002C29E48 +:1052B000330EDE4163170E0263E4B6006369C50076 +:1052C000B307C540958D3335F5003387A5403E853E +:1052D000BA85BDBD370300014148E3EF66FA614876 +:1052E00065BF3357D601B396C601D98EB357D501E2 +:1052F00033D7D501B395C501CD8F93D5060133734F +:10530000B702139F0601135F0F0113D8070133166D +:10531000C6013315C5013357B70242033368030191 +:10532000B30FEF023A83637CF80136981303F7FF5B +:105330006367D8006375F8011303E7FF36983308F5 +:10534000F841B37FB8023358B802C20FB3050F0358 +:10535000139F0701135F0F0133EFEF014287637C57 +:10536000BF00369F1307F8FF6367DF006375BF0058 +:105370001307E8FF369F4203C16F3363E30093874F +:10538000FFFF13580601330FBF40B375F3001353EB +:105390000301F18F3387F502B307F302B3850503E9 +:1053A00033030303BE95135807012E986373F80067 +:1053B0007E93C167FD17935508013378F8004208C2 +:1053C0007D8F9A9542976366BF00631BBF00637928 +:1053D000E5003306C7403337C700958D998D328776 +:1053E0003307E5403335E500B305BF40898DB397FA +:1053F000D5013357C70133E5E700B3D5C50189BBF4 +:10540000AE87328836872A836396062097B80100D4 +:10541000938848EA63FEC50A41676374E60A130786 +:10542000F00F3337C7000E07B356E600B69883C6B1 +:105430000800369793060002998E99CAB397D70051 +:105440003357E5003318D600B365F7003313D500A2 +:1054500013550801B3D7A5021316080141829356CC +:10546000030133F7A502B305F6024207D98E3E8742 +:1054700063FCB600C2961387F7FF63E7060163F586 +:10548000B6001387E7FFC2968D8EB3F7A6024203DC +:1054900013530301B3D6A602C20733E36700B30573 +:1054A000D6023685637BB30042931385F6FF6366AD +:1054B00003016374B3001385E6FF4207498F8145FA +:1054C0004DA8B70600014147E360D6F66147A9BF82 +:1054D00001E6854633D8C602C166637ED8089306C6 +:1054E000F00F63F306012147B356E800B69883C670 +:1054F0000800BA9613070002158F49E7B3870741E2 +:105500008545135608019318080193D8080193564E +:10551000030133F7C702B3D7C7024207D98E3385D9 +:10552000F8023E8763FCA600C2961387F7FF63E785 +:10553000060163F5A6001387E7FFC296898EB3F7CD +:10554000C602420313530301B3D6C602C20733E3B4 +:105550006700B388D8023685637B130142931385B5 +:10556000F6FF63660301637413011385E6FF4207C8 +:10557000498F3A858280B70600014147E366D8F635 +:1055800061479DB73318E800B3D5D7003313E50062 +:10559000B356D50013550801B397E70033F7A502BA +:1055A000B3E8F60093170801C18313D60801B3D5F9 +:1055B000A5024207518FB386B7022E86637CD700BF +:1055C00042971386F5FF636707016375D70013865B +:1055D000E5FF4297B306D74033F7A602C20893D837 +:1055E0000801B3D6A6024207B385D702B3671701F5 +:1055F000368763FCB700C2971387F6FF63E707019E +:1056000063F5B7001387E6FFC2978D8F93150601E8 +:10561000D98DC5BD63E2D514416763FEE602130868 +:10562000F00F3337D8000E0733D8E60097B50100E6 +:10563000938545C8C29503C80500930500023A98B2 +:10564000B385054185E10547E3E5F6F23335C5004D +:105650001347150039BFB70500014147E3E6B6FC23 +:105660006147D9B733570601B396B600D98E93DE9A +:10567000060133D70701B378D703B397B700335880 +:1056800005013363F80093970601C18313580301A2 +:105690003316B6003357D703C20833E80801338FF7 +:1056A000E7023A8E637CE8013698130EF7FF6367D2 +:1056B000D8006375E801130EE7FF36983308E84118 +:1056C000B378D8033358D803C208B38E07039317AF +:1056D0000301C183B3E7F800428763FCD701B697A3 +:1056E0001307F8FF63E7D70063F5D7011307E8FF57 +:1056F000B697420EB387D741C16E3367EE00138869 +:10570000FEFF337307019358070133780601418286 +:10571000330E03033388080393560E013303C30289 +:1057200042939A96B388C80263F30601F69813D69B +:105730000601B29863E01703E39317D9C167FD1719 +:10574000FD8EC206337EFE003315B500F29681450C +:10575000E371D5E27D17A5B38145014719BDB28834 +:105760003687AA872E886398061C97B60100938611 +:1057700066B463F8C50A4163637E66081303F00FDD +:105780006373C30021473353E6009A9603CE0600A5 +:10579000130300023A9E3303C341630C0300B39525 +:1057A0006500335EC501B31866003368BE00B317E9 +:1057B000650013D608013377C802139508014181AB +:1057C00093D607013358C8024207D98E3308050320 +:1057D00063F80601C69663E5160163F30601C696F3 +:1057E000B386064133F7C602C207C183B3D6C602E9 +:1057F000B306D50213150701C98F63F8D700C69702 +:1058000063E5170163F3D700C697958F33D567001B +:1058100081458280370300014147E36666F66147B0 +:105820009DB701E60546B3581603416663F3C80801 +:105830001306F00F63731601214733D6E800B296C2 +:1058400003CE0600130300023A9E3303C3416319DB +:105850000306B385154113D708011395080141814B +:1058600013D60701B3F6E502B3D5E502C206D18E21 +:10587000B305B50263F8B600C69663E5160163F397 +:10588000B600C696B385B640B3F6E502C207C1833B +:10589000B3D5E502B305B50213950601C98F63F8C8 +:1058A000B700C69763E5170163F3B700C6978D8FFE +:1058B000B1BF370600014147E3E1C8F86147B5BF12 +:1058C000B398680033D7C501B3176500335EC501CF +:1058D00013D50801B376A702B3956500336EBE00F9 +:1058E00093950801C18113560E013357A702C206D2 +:1058F000D18E3387E50263F8E600C69663E51601AC +:1059000063F3E600C6963386E640B376A602420EFF +:10591000135E0E013356A602C2063386C502B3E5F6 +:10592000C60163F8C500C69563E5150163F3C500BC +:10593000C695918D0DB7E3EED5EC416763F5E604AE +:10594000930EF00F33B7DE000E0733D3E60097B89F +:105950000100938828969A9883CE0800130E0002BF +:10596000BA9E330EDE4163170E0263E4B60063692C +:10597000C500B307C540958D3335F5003388A54084 +:105980003E85C28579B5B70800014147E3EF16FBB4 +:10599000614765BF3357D601B396C601D98EB35759 +:1059A000D50133D7D501B395C501CD8F93D5060168 +:1059B0003373B702139F0601135F0F0193D80701DA +:1059C0003316C6013315C5013357B7024203B36816 +:1059D00013013308EF023A8363FC0801B6981303FE +:1059E000F7FF63E7D80063F508011303E7FFB698F4 +:1059F000B3880841B3FFB802B3D8B802C20FB305E9 +:105A00001F03139F0701135F0F0133EFEF01468759 +:105A1000637CBF00369F1387F8FF6367DF00637501 +:105A2000BF001387E8FF369F4203C1683363E3007A +:105A30009387F8FF13580601330FBF40B375F30087 +:105A400013530301F18F3387F502B307F302B385D4 +:105A5000050333030303BE95135807012E986373A0 +:105A6000F8004693C167FD17935508013378F80095 +:105A700042087D8F9A9542976366BF00631BBF0003 +:105A80006379E5003306C7403337C700958D998D9C +:105A900032873307E5403335E500B305BF40898DD4 +:105AA000B397D5013357C70133E5E700B3D5C50137 +:105AB0008DB397B701009387E79E944317B7010012 +:105AC0001307079D1843C8C2637BE50017B70100A1 +:105AD0001307C79C08438C4391056FA07FA6411113 +:105AE00022C406C617B701001307879B2A84084300 +:105AF0008C439105EFA0DFA497B7010093878797A8 +:105B00009C436376F40097B7010023A58796B240C3 +:105B100022444101828097B701009387A798984358 +:105B200094437C43FD177CC3FC4299E373600430CB +:105B30008280011122CC26CA2A84AE844AC84EC66D +:105B400052C456C206CEEFC06F9B1C40032904010D +:105B5000B7090001B3E59700930AC4000CC0814463 +:105B6000FD19370A00021840638C2A030326090036 +:105B70004A85B3654701B376360193175600758F92 +:105B80000329490063C4070001E7F1BFE39DE6FC78 +:105B90009317760063D30700D58CEFD00F85E1B75C +:105BA00093C4F4FFF98C04C0EFC04FBA0840F24030 +:105BB0006244D2444249B249224A924A05618280F3 +:105BC0004111014506C622C4EFF04FBD17F7FFFF94 +:105BD0001307A71E814605469305400617B5010029 +:105BE0001305C581EFD07FD419C92A84EFC00F9265 +:105BF0002A860147814685452285EFD0BFDFEFB079 +:0C5C00007FEAB24022440145410182804D +:02000004800278 +:10000000B444FEFFB245FEFFBC44FEFFB245FEFF16 +:10001000B245FEFFB245FEFFB245FEFFD444FEFFEF +:10002000B245FEFFB245FEFFEE44FEFFFA44FEFF7E +:10003000B245FEFF0C45FEFF1845FEFF1845FEFFCA +:100040001845FEFF1845FEFF1845FEFF1845FEFF48 +:100050001845FEFF1845FEFF1845FEFFB245FEFF9E +:10006000B245FEFFB245FEFFB245FEFFB245FEFFC0 +:10007000B245FEFFB245FEFFB245FEFFB245FEFFB0 +:10008000B245FEFFB245FEFFB245FEFFB245FEFFA0 +:10009000B245FEFFB245FEFFB245FEFFB245FEFF90 +:1000A000B245FEFFB245FEFFB245FEFFB245FEFF80 +:1000B000B245FEFFB245FEFFB245FEFFB245FEFF70 +:1000C000B245FEFFB245FEFFB245FEFFB245FEFF60 +:1000D000B245FEFFB245FEFFB245FEFFB245FEFF50 +:1000E000B245FEFFB245FEFFB245FEFFB245FEFF40 +:1000F000B245FEFFB245FEFFB245FEFFB245FEFF30 +:100100004C45FEFF6245FEFFB245FEFFB245FEFFD5 +:10011000B245FEFFB245FEFFB245FEFFB245FEFF0F +:10012000B245FEFFA845FEFFB245FEFFB245FEFF09 +:100130005A43FEFF1244FEFFB245FEFFB245FEFFEA +:100140004844FEFFB245FEFFA044FEFFB245FEFF5D +:10015000B245FEFFCE44FEFFC048FEFF1449FEFF3D +:10016000C848FEFF1449FEFF1449FEFF1449FEFF74 +:100170001449FEFFBA47FEFF1449FEFF1449FEFF73 +:10018000D447FEFFE047FEFF1449FEFFF247FEFFA3 +:10019000FE47FEFFFE47FEFFFE47FEFFFE47FEFF57 +:1001A000FE47FEFFFE47FEFFFE47FEFFFE47FEFF47 +:1001B000FE47FEFF1449FEFF1449FEFF1449FEFFEF +:1001C0001449FEFF1449FEFF1449FEFF1449FEFFC7 +:1001D0001449FEFF1449FEFF1449FEFF1449FEFFB7 +:1001E0001449FEFF1449FEFF1449FEFF1449FEFFA7 +:1001F0001449FEFF1449FEFF1449FEFF1449FEFF97 +:100200001449FEFF1449FEFF1449FEFF1449FEFF86 +:100210001449FEFF1449FEFF1449FEFF1449FEFF76 +:100220001449FEFF1449FEFF1449FEFF1449FEFF66 +:100230001449FEFF1449FEFF1449FEFF1449FEFF56 +:100240001449FEFF1449FEFF1449FEFF1449FEFF46 +:100250001449FEFF1449FEFF3248FEFF6048FEFFCE +:100260001449FEFF1449FEFF1449FEFF1449FEFF26 +:100270001449FEFF1449FEFF1449FEFFB648FEFF75 +:100280001449FEFF1449FEFF1846FEFF1247FEFF09 +:100290001449FEFF1449FEFF8447FEFF1449FEFF88 +:1002A000AA47FEFF1449FEFF1449FEFF0E49FEFF58 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D000206465617468207461736B73200D0A007A +:1003E0006D69616F750A00006D69616F75320A0091 +:1003F00068756E6772790A00436865636B54696D4E +:1004000065720000535549434944310053554943EF +:0C0410004944320043524541544F520011 +:10041C001000000000000000017A5200017C010174 +:10042C001B0D020010000000180000003E4CFEFFE7 +:10043C008A03000000000000100000002C000000E7 +:10044C00B44FFEFF5E03000000000000100000002F +:10045C0040000000FE52FEFF5403000000000000AC +:0A04DC00FC8F010009000000FF0F73 +:0204E600000014 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/dynamic_rv32i_O0.hex b/VexRiscv/src/test/resources/freertos/dynamic_rv32i_O0.hex new file mode 100644 index 0000000..d6d6856 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/dynamic_rv32i_O0.hex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diff --git a/VexRiscv/src/test/resources/freertos/dynamic_rv32i_O3.hex b/VexRiscv/src/test/resources/freertos/dynamic_rv32i_O3.hex new file mode 100644 index 0000000..12d5142 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/dynamic_rv32i_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/dynamic_rv32ic_O0.hex b/VexRiscv/src/test/resources/freertos/dynamic_rv32ic_O0.hex new file mode 100644 index 0000000..7e3fb2e --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/dynamic_rv32ic_O0.hex @@ -0,0 +1,1512 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000E1B7170502001305E53A9795030093852C +:1000A000E56C014611221705020013056535970519 +:1000B0000200938565350146C52817A50300130581 +:1000C000256B97B503009385656A37B6ABAB13060E +:1000D000B6BAD92817B1030013018169EF40407DFA +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2C21C23A02200F3221034E2 +:1002400096DEEF006030170102000321611B0241BE +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E21923A0120023A2B9 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40804D170102000321E2 +:10033000210D0241F65273901234B72200009382CD +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A20B83A0C0 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2C2FA23A0220086DEEF10D8 +:10046000B040170102000321A1F90241F652739036 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F0961730020309707BF +:1004C00002009387A7F49843FD576305F700737004 +:1004D000043001A07370043001A041112AC6B24754 +:1004E000739047300100410182804111F327403071 +:1004F0003EC673F04330B2473E85410182804111D0 +:100500002AC62EC432C2B247F1173EC62247B247AE +:1005100098C3B247938787FA3EC61247B24798C33B +:10052000B247A1173EC68E873E87B24798C3B247EF +:10053000D1173EC6170700001307A7F8B24798C3A4 +:10054000B2473E8541018280411106C6EF40A0239B +:10055000EF10D014AA8799C3EF1010310100B240F8 +:100560004101828041112AC6B24713878700B247F2 +:10057000D8C3B2477D5798C7B24713878700B247A1 +:10058000D8C7B24713878700B24798CBB24723A09A +:10059000070001004101828041112AC6B24723A809 +:1005A000070001004101828001112AC62EC4B24712 +:1005B000DC433ECEA2477247D8C3F2479847A247D2 +:1005C00098C7F2479C472247D8C3F247224798C7AB +:1005D000A247324798CBB2479C4313871700B247D4 +:1005E00098C301000561828001112AC62EC4A2476A +:1005F0009C433ECC6247FD576316F700B2479C4BC5 +:100600003ECE31A8B247A1073ECE21A0F247DC433F +:100610003ECEF247DC439C436247E379F7FEF24764 +:10062000D843A247D8C3A247DC43224798C7A24772 +:10063000724798C7F2472247D8C3A247324798CBA0 +:10064000B2479C4313871700B24798C30100056166 +:10065000828001112AC6B2479C4B3ECEB247DC4392 +:100660003247184798C7B2479C4732475843D8C3C8 +:10067000F247DC4332476316F700B2479847F24728 +:10068000D8C3B24723A80700F2479C431387F7FF5C +:10069000F24798C3F2479C433E8505618280797199 +:1006A00006D622D42AC62EC4B2473ECEF24781E7F0 +:1006B0007370043001A0EF202057F2478043F247C7 +:1006C000D85FF247BC43BE853A85EF50E027AA8742 +:1006D0003307F400F247D8C3F24723AC0702F247CE +:1006E0009843F24798C7F2478043F247DC5F13878D +:1006F000F7FFF247BC43BE853A85EF50E024AA8756 +:100700003307F400F247D8C7F2477D57F8C3F247E2 +:100710007D57B8C7A24799EFF2479C4B8DC7F24768 +:10072000C1073E85EF1090402A878547631DF7007B +:10073000F13911A8F247C1073E852D35F24793875D +:1007400047023E850535EF20005185473E85B25072 +:10075000225445618280797106D62AC62EC4B2879A +:10076000A303F10002CCB24781E77370043001A00B +:10077000A24799E302CE01A8A2453245EF50C01C22 +:10078000AA8785073ECEF247938747053E85EF301F +:10079000805C2ACAD24785CFA24789E7D247524711 +:1007A00098C331A0D24713874705D24798C3D24791 +:1007B0003247D8DFD2472247B8C385455245C535B1 +:1007C000D247034771002388E704D2473ECCE24773 +:1007D00081E77370043001A0E2473E85B250456165 +:1007E0008280797106D6AA87A307F1001305400518 +:1007F000EF3060562ACEF247B9CFF24723A2070066 +:10080000F24723A00700F24723A40700F24723A6DC +:100810000700F24723AC0702F2470547D8DFF2474B +:1008200023A00704F2477D57F8C3F2477D57B8C7A6 +:10083000F2470347F1002388E704F247C1073E85EA +:100840001533F247938747023E85293B814601468F +:10085000814572453122F2473E85B2504561828022 +:10086000797106D622D42AC6B2473ECCE24781E748 +:100870007370043001A0E247C043EF20001DAA8737 +:100880006313F402E247DC471387F7FFE247D8C758 +:10089000E247DC4791E78146014681456245C92030 +:1008A00085473ECE11A002CEF2473E85B25022547B +:1008B00045618280797106D622D42AC62EC4B247F9 +:1008C0003ECCE24781E77370043001A0E247C043A9 +:1008D000EF20A017AA87631BF400E247DC471387C9 +:1008E0001700E247D8C785473ECE0DA08146224675 +:1008F00081456245252E2ACE724785476318F70049 +:10090000E247DC4713871700E247D8C7F2473E8526 +:10091000B250225445618280797106D62AC62EC40F +:10092000B24781E77370043001A02247B24763F5F4 +:10093000E7007370043001A0094681453245213D2E +:100940002ACEF24781C7F247224798DFF24781E774 +:100950007370043001A0F2473E85B2504561828039 +:10096000397106DE2AC62EC432C236C002D6B2475C +:100970003ED4A25781E77370043001A0A24781E7FB +:10098000A257BC4399E3854711A0814781E7737063 +:10099000043001A0024789476317F700A257D85FC8 +:1009A00085476314F700854711A0814781E773707D +:1009B000043001A0EF20C00AAA8799E3924799E387 +:1009C000854711A0814781E77370043001A0EF20B3 +:1009D000A025A257985FA257DC5F6366F700024725 +:1009E0008947631DF7020246A245225565232AD294 +:1009F000A257DC5389CFA257938747023E85EF1059 +:100A0000F0122A8785476317F700093421A092570F +:100A100091C3ED3AEF202024854771A8924789E7DA +:100A2000EF206023814741A8B25799E77C083E85B3 +:100A3000EF10702785473ED6EF20E021EF10401AD7 +:100A4000EF20801EA257F843FD576315F700A25709 +:100A500023A20704A257B847FD576315F700A25712 +:100A600023A40704EF20201F58007C08BA853E8588 +:100A7000EF107026AA879DEB2255EF006071AA87C0 +:100A80008DC3A257C1071247BA853E85EF10E070AB +:100A900022551525EF108016AA87E39A07F2BD327A +:100AA0003DB72255092DEF10601515B722552125A8 +:100AB000EF10C01481473E85F25021618280797128 +:100AC00006D62AC62EC432C236C0B2473ECCE24752 +:100AD00081E77370043001A0A24781E7E247BC437D +:100AE00099E3854711A0814781E77370043001A025 +:100AF000024789476317F700E247D85F85476314C9 +:100B0000F700854711A0814781E77370043001A089 +:100B1000E93AAA873ECAE247985FE247DC5F63662C +:100B2000F700024789476315F7040246A24562456C +:100B30009521E247B847FD576313F702E247DC53BC +:100B40008DC7E247938747023E85EF10207EAA8734 +:100B500089CF924799CB9247054798C339A0E2477E +:100B6000BC4713871700E247B8C785473ECE11A0A0 +:100B700002CED2473E859532F2473E85B25045615E +:100B80008280797106D62AC62EC4B2473ECCE2478F +:100B900081E77370043001A0E247BC4381C77370E2 +:100BA000043001A0E2479C4381E7E247DC4399E33C +:100BB000854711A0814781E77370043001A0353269 +:100BC000AA873ECAE247985FE247DC5F6377F70493 +:100BD000E2479C5F13871700E24798DFE247B84778 +:100BE000FD576313F702E247DC538DC7E247938753 +:100BF00047023E85EF108073AA8789CFA24799CB21 +:100C0000A247054798C339A0E247BC47138717009E +:100C1000E247B8C785473ECE11A002CED2473E85F7 +:100C20006D38F2473E85B25045618280397106DEEB +:100C30002AC62EC432C236C002D6B2473ED4A2570C +:100C400081E77370043001A0A24781E7A257BC433B +:100C500099E3854711A0814781E77370043001A0B3 +:100C6000EF101060AA8799E3924799E3854711A096 +:100C7000814781E77370043001A0EF10F07AA2572A +:100C80009C5FB5CBA257DC473ED2A2452255F92E38 +:100C9000824795EFA2579C5F1387F7FFA25798DF13 +:100CA000A2579C4391E7EF2060022A87A257D8C33E +:100CB000A2579C4B8DCFA257C1073E85EF1000670E +:100CC0002A8785476315F702EFF04FF40DA0A2576E +:100CD0001257D8C7A257DC5399CBA2579387470224 +:100CE0003E85EF10A064AA8799C3EFF02FF2EF10B2 +:100CF000907685475DA8924789E7EF10D0758147C8 +:100D00006DA0B25799E77C083E85EF10C079854702 +:100D10003ED6EF105074EF00B06CEF10F070A25799 +:100D2000F843FD576315F700A25723A20704A25703 +:100D3000B847FD576315F700A25723A40704EF1027 +:100D4000907158007C08BA853E85EF10C078AA875C +:100D5000A1EB2255CD2EAA879DCFA2579C4391EBA4 +:100D6000EF10906CA257DC433E85EF107052EF10ED +:100D7000906EA257938747021247BA853E85EF10BF +:100D8000C04122550D2EEF007067AA87E39707EE4A +:100D9000EFF0CFE7DDB52255392EEF003066F1BD1B +:100DA0002255112EEF00906581473E85F25021615A +:100DB0008280797106D62AC62EC432C2B2473ECC92 +:100DC000E24781E77370043001A0A24781E7E24760 +:100DD000BC4399E3854711A0814781E773700430D4 +:100DE00001A0EFF08FF0AA873ECAE2479C5FA1CB3B +:100DF000A2456245A52CE2479C5F1387F7FFE247B7 +:100E000098DFE247F843FD576312F702E2479C4B35 +:100E100085C7E247C1073E85EF104051AA8789CFB9 +:100E2000924799CB9247054798C339A0E247FC43C4 +:100E300013871700E247F8C385473ECE11A002CEC4 +:100E4000D2473E85EFF06FE9F2473E85B2504561EB +:100E50008280797106D62AC62EC4B2473ECCE247BC +:100E600081E77370043001A0A24781E7E247BC43E9 +:100E700099E3854711A0814781E77370043001A091 +:100E8000E247BC4381E77370043001A0EFF0EFE567 +:100E9000AA873ECAE2479C5F89CFE247DC473EC84B +:100EA000A2456245E122E2474247D8C785473ECE88 +:100EB00011A002CED2473E85EFF02FE2F2473E85E9 +:100EC000B25045618280797106D62AC6B24781E761 +:100ED0007370043001A0EF103055B2479C5F3ECED6 +:100EE000EF107057F2473E85B250456182807971AC +:100EF00006D62AC6B2473ECEF24781E77370043069 +:100F000001A0EF107052F247D85FF2479C5FB30721 +:100F1000F7403ECCEF103054E2473E85B250456179 +:100F2000828001112AC6B24781E77370043001A0A4 +:100F3000B2479C5F3ECEF2473E8505618280797163 +:100F400006D62AC6B2473ECEF24781E77370043018 +:100F500001A07245E5247245EF20F0720100B25005 +:100F60004561828041112AC6B247FC473E85410156 +:100F7000828041112AC62EC4B2472247F8C7010019 +:100F80004101828041112AC6B24783C707053E85C9 +:100F900041018280797106D62AC62EC432C202CEA1 +:100FA000B247BC4391EFB2479C43D5E7B247DC431D +:100FB0003E85EF10903B2ACEB24723A2070061A8DE +:100FC000924785EFB2479847B247BC433E86A24559 +:100FD0003A85EF20F079B2479847B247BC433E9735 +:100FE000B24798C7B2479847B247DC436365F706F4 +:100FF000B2479843B24798C7B9A8B247D847B24753 +:10100000BC433E86A2453A85EF209076B247D8474A +:10101000B247BC43B307F0403E97B247D8C7B24788 +:10102000D847B2479C43637BF700B247D843B247E7 +:10103000BC43B307F0403E97B247D8C71247894731 +:10104000631BF700B2479C5F99C7B2479C5F138749 +:10105000F7FFB24798DFB2479C5F13871700B2478C +:1010600098DFF2473E85B25045618280011106CE7D +:101070002AC62EC4B247BC439DCBB247D847B2471D +:10108000BC433E97B247D8C7B247D847B247DC43C4 +:101090006366F700B2479843B247D8C7B247D8470C +:1010A000B247BC433E86BA852245EF20706C0100F2 +:1010B000F24005618280011106CE2AC6EF10D036BB +:1010C00025A0B247DC5395C7B247938747023E85B8 +:1010D000EF10C025AA8799C3EF104049B247BC471B +:1010E0001387F7FFB247B8C7B247BC47E34BF0FCE2 +:1010F00011A00100B2477D57B8C7EF10D035EF10EF +:10110000B0321DA0B2479C4B8DC7B247C1073E8588 +:10111000EF10C021AA8799C3EF104045B247FC43A6 +:101120001387F7FFB247F8C3B247FC43E34CF0FC28 +:1011300011A00100B2477D57F8C3EF10D031010074 +:10114000F24005618280797106D62AC6EF10D02D53 +:10115000B2479C5F81E785473ECE11A002CEEF10DB +:10116000902FF2473E85B2504561828001112AC618 +:10117000B24781E77370043001A0B2479C5F81E7FA +:1011800085473ECE11A002CEF2473E8505618280A2 +:10119000797106D62AC6EF103029B247985FB24758 +:1011A000DC5F6315F70085473ECE11A002CEEF103D +:1011B000902AF2473E85B2504561828001112AC6CD +:1011C000B24781E77370043001A0B247985FB2471D +:1011D000DC5F6315F70085473ECE11A002CEF247D3 +:1011E0003E850561828001112AC62EC402CE81A0EF +:1011F0001787030013078753F2478E07BA979C435C +:1012000085E71787030013076752F2478E07BA97DF +:10121000224798C31787030013074751F2478E07E9 +:10122000BA973247D8C301A8F24785073ECE724726 +:101230009D47E3FFE7FA01000561828001112AC69C +:1012400002CE0DA8178703001307474EF2478E07FB +:10125000BA97DC433247631CF70017870300130774 +:10126000E74CF2478E07BA9723A0070001A8F24780 +:1012700085073ECE72479D47E3F6E7FC0100056116 +:101280008280797106D62AC62EC432C2B2473ECEBB +:10129000EF109019F247F843FD576315F700F24736 +:1012A00023A20704F247B847FD576315F700F2473A +:1012B00023A40704EF10301AF2479C5F89EBF24732 +:1012C000938747021246A2453E85EF00907E724505 +:1012D000DD330100B25045618280397106DE2ACECD +:1012E0002ECC36C83AC63EC442C246C0B287231B83 +:1012F000F100F24781E77370043001A03247A5473F +:1013000063F5E7007370043001A0835761019245D3 +:101310003E85EF1080512AD4A257638F0712A2573F +:10132000985B83566101B7070040FD17B6978A079F +:10133000BA973ED29257F19B3ED292578D8B81C77E +:101340007370043001A0835761013E8782463246A4 +:10135000E2452255EF10E0274246F2451255EFF0E4 +:101360000F9A2A87A25798C3A24781C7A24722573C +:1013700098C3EF10700B97F701009387E7279C4302 +:101380001387170097F701009387072798C397F7EC +:1013900001009387E7069C4395E397F70100938745 +:1013A0002706225798C397F701009387E7249843AD +:1013B0008547631AF702EF10C02C35A097F701009C +:1013C000938747249C4385E397F70100938747035E +:1013D0009C43DC5732476368F70097F70100938717 +:1013E0002702225798C397F701009387A7229C43AF +:1013F0001387170097F701009387C72198C397F7C2 +:101400000100938727219843A257B8C7A257D857FE +:1014100097F701009387C71E9C4363F9E700A25723 +:10142000D85797F701009387A71D98C3A257D8579D +:10143000BA878A07BA978A0717F701001307470880 +:101440003E97A2579107BE853A85EFF0EF95854705 +:101450003ED6EF10500019A0FD573ED632578547B3 +:101460006313F70297F701009387C7199C4381CF55 +:1014700097F701009387C7F89C43DC57324763F422 +:10148000E700EFE0BFF8B2573E85F250216182805D +:10149000797106D62AC6EF102079B24799E797F7F7 +:1014A00001009387E7F59C4311A0B2473ECEF24777 +:1014B00091073E85EFF0EF99F2479C5791C7F247AD +:1014C000E1073E85EFF0EF98F2479107BE8517F5EB +:1014D00001001305A50FEFF02F8D97F701009387FB +:1014E00027109C431387170097F701009387470F36 +:1014F00098C397F701009387E7119C431387170060 +:1015000097F701009387071198C3EF10C07497F7FE +:1015100001009387270F9C439DCB97F7010093878A +:1015200027EE9C437247631EF70097F701009387ED +:10153000E70E9C4381C77370043001A0EFE01FEDFC +:1015400039A0EF10606EEF10A04BEF10C0700100DB +:10155000B25045618280797106D62AC62EC402CE69 +:10156000B24781E77370043001A0A24781E773702E +:10157000043001A097F701009387470A9C4381C775 +:101580007370043001A0A92D97F701009387070716 +:101590009C433ECCB2479C432247BA973ECAB247CF +:1015A0009C436247637EF700B2479C435247637493 +:1015B000F7025247E24763F0E70285473ECE21A893 +:1015C000B2479C4352476366F7005247E24763F4D1 +:1015D000E70085473ECEB247524798C3F24789CFCE +:1015E00097F701009387C7E19C4391073E85EFF091 +:1015F0004F865245EF10001B11252AC8C24799E3B8 +:10160000EFE0DFE00100B25045618280797106D6DB +:101610002AC602CEB247A9C397F7010093870700F5 +:101620009C4381C77370043001A05D2B97F70100C4 +:101630009387C7FC9C433247BA973ECC97F701008B +:10164000938707DC9C4391073E85EFF08F806245CE +:10165000EF10401565232ACEF24799E3EFE01FDB38 +:101660000100B25045618280797106D62AC6B24720 +:101670003ECCE24781E77370043001A097F7010088 +:10168000938707D89C4362476314F70002CEBDA03E +:10169000EF108059E247DC4B3ECAEF10C05B97F772 +:1016A00001009387E7F09C435247630AF70097F7DE +:1016B0000100938727F09C4352476315F700894741 +:1016C0003ECE2DA8524797F701009387A7F1631BE1 +:1016D000F700E2479C5781E78D473ECE05A089473A +:1016E0003ECE29A8524797F70100938727EE63154E +:1016F000F70091473ECE19A085473ECEF2473E8582 +:10170000B25045618280797106D62AC6EF10C05169 +:10171000B24799E797F70100938787CE9C4311A0C2 +:10172000B2473ECEF247DC573ECCEF10C052E24704 +:101730003E85B25045618280797106D62AC6EFE0B7 +:10174000DFDAAA873ECEB24799E797F70100938781 +:1017500027CB9C4311A0B2473ECCE247DC573ECAA0 +:10176000F2473E85EFE07FD7D2473E85B2504561D4 +:101770008280797106D62AC62EC402CE2247A5479A +:1017800063F5E7007370043001A02247A54763F4B6 +:10179000E700A5473EC4EF102049B24799E797F705 +:1017A00001009387E7C59C4311A0B2473ECCE247B6 +:1017B000BC4B3ECA5247A247630AF70E2247D247A4 +:1017C00063F6E70297F70100938787C39C4362475C +:1017D0006308F70297F70100938787C29C43DC57A1 +:1017E0002247636FF70085473ECE19A897F701009F +:1017F000938707C19C4362476314F70085473ECE39 +:10180000E247DC573EC8E247B84BE247DC57631576 +:10181000F700E2472247D8D7E2472247B8CBE24752 +:101820009C4F63C707002947A2471D8FE24798CF07 +:10183000E247D44B4247BA878A07BA978A0717F715 +:1018400001001307E7C7BA976394F600854711A014 +:101850008147B9C7E24791073E85EFE09FDFE24746 +:10186000D85797F701009387A7D99C4363F9E700FE +:10187000E247D85797F70100938787D898C3E24784 +:10188000D857BA878A07BA978A0717F7010013074C +:1018900027C33E97E2479107BE853A85EFE0DFD048 +:1018A000724785476314F700EFE05FB6EF10A03A88 +:1018B0000100B25045618280797106D62AC6EF10C8 +:1018C000A036B24799E797F70100938767B39C4327 +:1018D00011A0B2473ECEF24791073E85EFE07FD799 +:1018E000F2479C5791C7F247E1073E85EFE07FD66C +:1018F000F2479107BE8517F501001305A5CEEFE06D +:10190000BFCAEF10403597F70100938767AF9C433C +:1019100072476319F70497F701009387A7CE9C439A +:1019200089CF97F70100938767CF9C4381C7737076 +:10193000043001A0EFE09FAD91A097F701009387DD +:1019400067CA984397F70100938707CB9C436319B5 +:10195000F70097F701009387A7AA23A0070039A8EB +:10196000212729A897F701009387C7C99C4399C7E6 +:10197000EF10802BEF10C008EF10E02D0100B250E7 +:101980004561828001112AC602CEB2473ECCB247E1 +:1019900081E77370043001A0E247D84B97F701004C +:1019A000938747C46314F700854711A0814795C307 +:1019B000E247985797F70100938707C0630BF7003A +:1019C000E2479C5799E3854711A0814799C3854712 +:1019D0003ECEF2473E8505618280797106D62AC6E1 +:1019E000B2473ECEB24781E77370043001A0F247A0 +:1019F000C9C797F701009387A7A09C437247630E5E +:101A0000F706EF1060227245B53F2A8785476314B9 +:101A1000F706F24791073E85EFE0BFC3F247D8577C +:101A200097F701009387C7BD9C4363F9E700F2472E +:101A3000D85797F701009387A7BC98C3F247D857A8 +:101A4000BA878A07BA978A0717F70100130747A7CB +:101A50003E97F2479107BE853A85EFE0FFB4F24723 +:101A6000D85797F701009387A7999C43DC57636485 +:101A7000F700EFE0BF99EF10001E0100B250456182 +:101A80008280797106D62AC602CEB2473ECCB247D2 +:101A900081E77370043001A0EFE03FA5AA873ECA3A +:101AA0006245CD352A8785476314F70897F701000B +:101AB0009387C7B69C43A5E7E247D85797F701003D +:101AC000938707949C43DC576364F70085473ECEB9 +:101AD000E24791073E85EFE0DFB7E247D85797F737 +:101AE00001009387E7B19C4363F9E700E247D857C9 +:101AF00097F701009387C7B098C3E247D857BA87D2 +:101B00008A07BA978A0717F701001307679B3E9762 +:101B1000E2479107BE853A85EFE01FA911A8E24789 +:101B2000E107BE8517F50100130505A9EFE0DFA762 +:101B3000D2473E85EFE07F9AF2473E85B25045613D +:101B40008280011106CE81480148814701478146C4 +:101B50001306004097E501009385C54A171500005C +:101B6000130585A5EFF06FF72AC632478547631541 +:101B7000F700EF10C06D2AC6324785476319F70298 +:101B80007370043097F70100938707A97D5798C3B6 +:101B900097F70100938707A7054798C397F70100B8 +:101BA0009387C7A523A00700EFE0AFEF31A0B247AE +:101BB00081E77370043001A00100F240056182806A +:101BC000411106C67370043097F70100938787A30D +:101BD00023A00700EFE02FFB0100B240410182800B +:101BE00097F70100938787A39C431387170097F704 +:101BF00001009387A7A298C301008280011106CE3D +:101C000002C697F70100938767A19C4381E7737031 +:101C1000043001A0EF10400197F70100938707A05F +:101C20009C431387F7FF97F701009387279F98C37B +:101C300097F701009387879E9C436390071097F75F +:101C400001009387679B9C436389070E59A097F710 +:101C5000010093876796DC47DC473EC4A247E10753 +:101C60003E85EFE01F9FA24791073E85EFE07F9EF4 +:101C7000A247D85797F70100938787989C4363F949 +:101C8000E700A247D85797F701009387679798C353 +:101C9000A247D857BA878A07BA978A0717F7010069 +:101CA000130707823E97A2479107BE853A85EFE06A +:101CB000BF8FA247D85797E70100938767749C436B +:101CC000DC576368F70097F701009387279405476F +:101CD00098C397F701009387278E9C43ADFB97F736 +:101CE0000100938767929C438DCF35A0452AAA8730 +:101CF00099C797F7010093876791054798C397F7AE +:101D00000100938767909C431387F7FF97F70100C3 +:101D10009387878F98C397F701009387E78E9C433B +:101D2000F1F797F701009387678E9843854763160D +:101D3000F70085473EC6EFE06FEDEF00D071B24788 +:101D40003E85F24005618280011106CEEF00D06D24 +:101D500097F701009387878A9C433EC6EF00B06FD8 +:101D6000B2473E85F24005618280011106CEEFE068 +:101D7000CFF7AA873EC697F70100938727889C4331 +:101D80003EC4B2473E85EFE04FF5A2473E85F240A4 +:101D90000561828097F70100938707869C433E8503 +:101DA0008280797106D62AC62EC432C202CEA947D5 +:101DB0003ECC3D3597F70100938707849C4322472B +:101DC0006368F70CE247FD173ECCF24796073247AF +:101DD000B306F7006247BA878A07BA978A0717E7F8 +:101DE00001001307E76DBA970546BE853685EF00FB +:101DF000902A2A87F247BA973ECEE247E1F7F247A8 +:101E0000960732473E9797E701009387677A9C438E +:101E10000946BE853A85EF0010282A87F247BA970F +:101E20003ECEF247960732473E9797E701009387E9 +:101E300067789C430946BE853A85EF00D0252A87FE +:101E4000F247BA973ECEF24796073247BA97114605 +:101E500097E50100938585773E85EF00D0232A879B +:101E6000F247BA973ECEF24796073247BA970D46E9 +:101E700097E50100938505773E85EF00D0212A87FD +:101E8000F247BA973ECE924781C7924723A00700F8 +:101E9000B533F2473E85B25045618280797106D6EE +:101EA00002CE97E70100938767779C4363950718F5 +:101EB00097E70100938787749C431387170097E780 +:101EC00001009387A77398C397E70100938707736F +:101ED0009C433ECCE247B9EF97E701009387476DFB +:101EE0009C439C4381C77370043001A097E70100B5 +:101EF0009387076C9C433ECA97E701009387876BE3 +:101F0000984397E701009387A76A98C397E7010072 +:101F10009387476A524798C397E701009387476FB3 +:101F20009C431387170097E701009387676E98C358 +:101F3000EF00102D97E701009387076E9C436247DF +:101F40006365F70C97E70100938787669C439C4382 +:101F500099E3854711A0814781CB97E701009387DB +:101F6000A76B7D5798C355A097E7010093874764F7 +:101F70009C43DC47DC473EC8C247DC433EC6624761 +:101F8000B2476379F70097E701009387E768324724 +:101F900098C3A5A8C24791073E85EFE08FEBC247E3 +:101FA0009C5791C7C247E1073E85EFE08FEAC247E1 +:101FB000D85797E701009387A7649C4363F9E7002C +:101FC000C247D85797E701009387876398C3C247F2 +:101FD000D857BA878A07BA978A0717E70100130705 +:101FE000274E3E97C2479107BE853A85EFE0CFDB8B +:101FF000C247D85797E70100938787409C43DC5737 +:10200000E362F7F485473ECE35BF97E7010093873B +:10201000273F9C43D85797E601009386664ABA87C4 +:102020008A07BA978A07B6979843854763F1E7020C +:1020300085473ECE29A897E701009387E75C9C433C +:102040001387170097E701009387075C98C397E70A +:1020500001009387A75B9C4399C385473ECEF24717 +:102060003E85B25045618280011106CE97E701009E +:102070009387C75A9C4381CB97E701009387075901 +:10208000054798C339A297E701009387275823A0F3 +:10209000070097E701009387A7369C439C5B3EC6E9 +:1020A000B7A7A5A59387575A3EC4B2479C4322477A +:1020B0006314F702B24791079C432247631EF7005F +:1020C000B247A1079C4322476318F700B247B10704 +:1020D0009C4322476308F70497E701009387473240 +:1020E000984397E701009387A7319C439387470361 +:1020F000BE853A85EF20B00A35A097E701009387A7 +:1021000027509C4381E77370043001A097E70100DA +:102110009387074F9C431387F7FF97E70100938747 +:10212000274E98C397E701009387874D984397E61A +:1021300001009386E638BA878A07BA978A07B69766 +:102140009C43C5DF97E701009387874B9843BA8785 +:102150008A07BA978A0717E7010013076736BA9705 +:102160003EC29247DC43D8439247D8C39247D843F4 +:102170009247A1076317F7009247DC43D843924781 +:10218000D8C39247DC43D84797E701009387472796 +:1021900098C30100F24005618280797106D62AC693 +:1021A0002EC4B24781E77370043001A097E70100A5 +:1021B000938707259C43E107BE853245EFE0CFC2F8 +:1021C00097E701009387C7239C4391073E85EFE083 +:1021D0004FC82247FD576310F70297E70100938726 +:1021E00027229C439107BE8517E501001305853F13 +:1021F000EFE08FBB19A897E70100938727409C4326 +:102200002247BA973ECE7245712B0100B25045610C +:102210008280797106D62AC62EC432C2B24781E7BF +:102220007370043001A097E701009387273F9C4318 +:1022300081E77370043001A097E701009387471C82 +:102240009C43A24637070080558F98CF97E701003F +:102250009387071B9C43E107BE853245EFE0CFB46F +:1022600097E701009387C7199C4391073E85EFE0EC +:102270004FBE1247FD576310F70297E7010093879F +:1022800027189C439107BE8517E501001305853586 +:10229000EFE08FB119A897E70100938727369C4399 +:1022A0001247BA973ECE7245F5290100B2504561FA +:1022B0008280797106D62AC62EC432C2B24781E71F +:1022C0007370043001A097E70100938767139C4364 +:1022D000E107BE853245EFE02FAD97E70100938718 +:1022E00027129C4391073E85EFE0AFB61247854722 +:1022F0006310F70297E70100938787109C439107CB +:10230000BE8517E501001305E52DEFE0EFA919A83B +:1023100097E701009387872E9C432247BA973ECECA +:10232000724549210100B25045618280797106D61B +:102330002AC6B247DC47DC473ECCE24781E77370F0 +:10234000043001A0E247E1073E85EFE08FB097E758 +:1023500001009387A72C9C43A1EBE24791073E85A0 +:10236000EFE02FAFE247D85797E70100938747295F +:102370009C4363F9E700E247D85797E7010093874A +:10238000272898C3E247D857BA878A07BA978A0797 +:1023900017E701001307C7123E97E2479107BE8572 +:1023A0003A85EFE06FA011A8E247E107BE8517E587 +:1023B000010013056520EFE02F9FE247D85797E70C +:1023C00001009387E7039C43DC5763FBE7008547E5 +:1023D0003ECE97E7010093876723054798C311A076 +:1023E00002CEF2473E85B25045618280797106D6B1 +:1023F0002AC62EC497E70100938747229C4381E7B2 +:102400007370043001A02247B70700805D8FB24788 +:1024100098C3B247DC473ECCE24781E77370043093 +:1024200001A03245EFE0EFA2E24791073E85EFE0E1 +:102430004FA2E247D85797E701009387671C9C4358 +:1024400063F9E700E247D85797E701009387471BF6 +:1024500098C3E247D857BA878A07BA978A0717E717 +:1024600001001307E7053E97E2479107BE853A85CD +:10247000EFE08F93E247D85797E70100938747F83B +:102480009C43DC5763FBE70085473ECE97E701009E +:102490009387C717054798C311A002CEF2473E8520 +:1024A000B2504561828041112AC6B24781E77370FC +:1024B000043001A097E70100938787159843B2473E +:1024C00098C397E70100938767139843B247D8C32F +:1024D000010041018280797106D62AC62EC4B24716 +:1024E00081E77370043001A0A24781E77370043064 +:1024F00001A01D2F97E70100938747109C433ECC16 +:10250000A2479843FD576314F70002CE91A8B24743 +:10251000984397E701009387A70F9C43630AF7004E +:10252000B247DC4362476365F70085473ECE0DA89E +:10253000B247DC4362471D8FA2479C436370F7029A +:10254000A2479843B247D443E247B387F6403E9749 +:10255000A24798C33245813F02CE19A085473ECE9F +:10256000DD2DF2473E85B2504561828097E701003C +:102570009387C709054798C30100828001112AC6C5 +:10258000B24799C7B2473ECCE247FC473ECE11A0C6 +:1025900002CEF2473E850561828001112AC62EC413 +:1025A000B24791C7B2473ECEF2472247F8C7010073 +:1025B00005618280011106CE2AC6852297E70100B7 +:1025C000938707F098438547E3F9E7FEEFD01FE4D0 +:1025D000EDB7397106DE2ACE2ECC32CA36C8BA879C +:1025E0002317F10002D625A06247B257BA9703C756 +:1025F0000700F246B257B697238AE7026247B257FE +:10260000BA9783C7070089CBB25785073ED63257A2 +:10261000BD47E3FBE7FC11A00100F247A3810704DB +:102620005247A54763F4E700A5473ECAF247524721 +:10263000D8D7F2475247B8CBF24723AA0704F2474C +:1026400091073E85EFD05FF5F247E1073E85EFD079 +:10265000BFF4F247724798CB2947D2471D8FF24704 +:1026600098CFF2477247D8D3F24723A20704F24724 +:1026700023AC0704F24723AE07040100F2502161A6 +:102680008280011106CE02C60DA03247BA878A07A2 +:10269000BA978A0717E70100130787E2BA973E85C2 +:1026A000EFD05FECB24785073EC63247A547E3FE51 +:1026B000E7FC17E50100130525EDEFD0BFEA17E5AC +:1026C00001001305A5EDEFD0FFE917E501001305A3 +:1026D000A5EEEFD03FE917E50100130525EFEFD098 +:1026E0007FE817E501001305E5EFEFD0BFE797E7B7 +:1026F00001009387E7EB17E701001307E7E898C3AA +:1027000097E70100938707EB17E70100130707E935 +:1027100098C30100F24005618280011106CE8DA8A8 +:10272000EFF00FCC97E70100938747EA9C4393B7FC +:10273000170093F7F70F3EC6EFF04FCCB247A9EB67 +:10274000E52197E70100938767E8DC47DC473EC453 +:10275000A24791073E85EFD0DFEF97E7010093870F +:10276000A7E99C431387F7FF97E701009387C7E822 +:1027700098C397E701009387A7E69C431387F7FF69 +:1027800097E701009387C7E598C3F1212245912C73 +:1027900097E701009387C7E49C43D9F30100F24017 +:1027A00005618280011106CE2AC697E70100938752 +:1027B00027C59C433247D8C397E70100938707E4B6 +:1027C0009C4332476372F70297E70100938787DEE5 +:1027D000984397E701009387A7C29C439107BE8562 +:1027E0003A85EFD07FE035A897E70100938747DC73 +:1027F000984397E701009387A7C09C439107BE8544 +:102800003A85EFD07FDE97E701009387E7E09C43AE +:1028100032476378F70097E701009387E7DF324795 +:1028200098C30100F24005618280797106D6AA87BB +:102830002EC42317F100A24789EB8357E1008A07D2 +:102840003E85EF104051AA8711A0A2473ECCE24737 +:1028500085C313050006EF1000502ACEF24789C742 +:10286000F247624798DB31A06245EF10C06111A0CA +:1028700002CEF24781CFF247985B8357E1008A0787 +:102880003E869305500A3A85EF104075F2473E8523 +:10289000B25045618280397106DE22DC2AC62EC420 +:1028A00032C202D6A2479C43638D070EA2473ED494 +:1028B000A257DC43D843A257D8C3A257D843A25744 +:1028C000A1076317F700A257DC43D843A257D8C328 +:1028D000A257DC43DC473ED2A2473ED08257DC43BE +:1028E000D8438257D8C38257D8438257A10763176A +:1028F000F7008257DC43D8438257D8C38257DC4362 +:10290000DC473ECEB25796073247BA97724798C314 +:10291000B25796073247BA97724713074703D8C38F +:10292000B25796073247BA977247384798C7B25797 +:1029300096073247BA971247D8C7B2579607324719 +:10294000BA977247585798CB12478D47631BF700C9 +:10295000F2479C5799C7B25796073247BA9709472B +:10296000D8C7B25796073247BA977247384BD8CB79 +:10297000B25796073247BA9723AC0700F247945BE9 +:10298000B257960732473304F70036850D20AA87E1 +:10299000231EF400B25785073ED672479257E31DB7 +:1029A000F7F2B2573E85F2506254216182800111E4 +:1029B0002AC602CE39A0B24785073EC6F247850730 +:1029C0003ECEB24703C707009307500AE305F7FE60 +:1029D000F24789833ECEF247C207C1833E85056137 +:1029E0008280011106CE2AC6B2479C5B3E85EF105D +:1029F00080493245EF1020490100F2400561828094 +:102A0000411197E701009387A7BA9C439C4399E340 +:102A1000854711A0814781CB97E701009387C7BF06 +:102A20007D5798C305A097E70100938767B89C433B +:102A3000DC47DC473EC6B247D84397E7010093879F +:102A4000A7BD98C3010041018280411197E70100B1 +:102A50009387079B9C433EC6B2473E8541018280D7 +:102A6000411197E701009387E7B99C4381E78547C8 +:102A70003EC619A897E70100938747BA9C4381E7B0 +:102A800089473EC611A002C6B2473E8541018280F9 +:102A9000797106D62AC6B2473ECEB247F9C3F2478D +:102AA000D85797E701009387A7959C43DC57637A33 +:102AB000F70AF2479C4F63CC070097E70100938722 +:102AC00027949C43DC5729471D8FF24798CFF24744 +:102AD000D44BF247D857BA878A07BA978A0717E7BD +:102AE00001001307E79DBA976394F600854711A08C +:102AF0008147A5C3F24791073E85EFD09FB597E781 +:102B000001009387E78F9C43D857F247D8D7F24705 +:102B1000D85797E701009387A7AE9C4363F9E70076 +:102B2000F247D85797E70100938787AD98C3F247DC +:102B3000D857BA878A07BA978A0717E70100130799 +:102B400027983E97F2479107BE853A85EFD0DFA5DB +:102B500009A897E701009387A78A9C43D857F247B3 +:102B6000D8D70100B25045618280797106D62AC655 +:102B7000B2473ECC02CEB247DDC397E70100938750 +:102B800027889C4362476305F7007370043001A0F7 +:102B9000E247FC4B81E77370043001A0E247FC4B35 +:102BA0001387F7FFE247F8CBE247D857E247BC4B21 +:102BB0006307F706E247FC4BBDE3E24791073E851A +:102BC000EFD03FA9E247B84BE247D8D7E247DC57FE +:102BD00029471D8FE24798CFE247D85797E7010072 +:102BE000938707A29C4363F9E700E247D85797E72A +:102BF00001009387E7A098C3E247D857BA878A07AE +:102C0000BA978A0717E701001307878B3E97E247B9 +:102C10009107BE853A85EFD03F9985473ECEF24772 +:102C20003E85B250456182807370043097E70100A1 +:102C30009387479D9C4391CF97D701009387477C0B +:102C40009C43F8430507F8C397D701009387477B58 +:102C50009C430100828097E701009387A79A9C43D9 +:102C60008DCB97D701009387A7799C43FC4395C3ED +:102C700097D701009387C7789C43F8437D17F8C323 +:102C800097D701009387C7779C43FC4399E3736010 +:102C9000043001008280411197D701009387477665 +:102CA0009C439C4F3EC697D70100938767759C4312 +:102CB000D85797D701009387A7749C43A9463387B9 +:102CC000E64098CFB2473E854101828097D7010008 +:102CD000938707739C4389CB97D7010093874772EB +:102CE0009C43F84B0507F8CB97D7010093874771B2 +:102CF0009C433E858280797106D62AC62EC42D3724 +:102D000097D701009387C76F9C43BC4FADE397D71C +:102D100001009387E76E9C430547F8CFA247A1CBFC +:102D200097D701009387C76D9C4391073E85EFD0ED +:102D30005F922247FD576310F70297D701009387F0 +:102D4000276C9C439107BE8517E501001305858913 +:102D5000EFD09F8519A897E701009387278A9C43A6 +:102D60002247BA973ECE7245353CEFD02FEAE53583 +:102D7000653D97D701009387A7689C43BC4F3ECC25 +:102D8000E2479DC3B24789CB97D701009387476736 +:102D90009C4323AC070409A897D701009387476693 +:102DA0009C43B84F7D17B8CF97D7010093874765ED +:102DB0009C4323AE07044535E2473E85B25045614A +:102DC0008280797106D62AC62EC432C236C0A93D89 +:102DD00097D701009387C7629C43F84F8947630DDB +:102DE000F70697D701009387A7619C43B44F3247FA +:102DF0001347F7FF758FB8CF97D7010093874760C8 +:102E00009C430547F8CF8247A1CB97D70100938712 +:102E1000275F9C4391073E85EFD0BF830247FD5754 +:102E20006310F70297D701009387875D9C43910752 +:102E3000BE8517D501001305E57AEFD0EFF619A886 +:102E400097D701009387877B9C430247BA973ECC74 +:102E50006245893AEFD08FDBFD3BF933924789CB4E +:102E600097D701009387C7599C43B84F924798C39F +:102E700097D701009387C7589C43F84F8547631441 +:102E8000F70002CE31A897D70100938767579C437C +:102E9000B44F22471347F7FF758FB8CF85473ECE13 +:102EA00097D701009387C7559C4323AE070465332A +:102EB000F2473E85B25045618280797106D62AC6B6 +:102EC0002EC432C236C085473ECEB24781E773700A +:102ED000043001A0B2473ECC813B824789C7E2471C +:102EE000B84F824798C3E247FC4F3ECAE2470947C2 +:102EF000F8CF1247914763EEE704924713972700F4 +:102F000097D7010093878710BA97984397D7010006 +:102F10009387C70FBA978287E247B84FA2475D8F62 +:102F2000E247B8CF3DA0E247BC4F13871700E24706 +:102F3000B8CF05A0E2472247B8CF21A8524789471A +:102F40006306F700E2472247B8CF21A002CE11A0C6 +:102F5000010052478547631AF706E24791073E850D +:102F6000EFD02FEFE247D85797D7010093874769F3 +:102F70009C4363F9E700E247D85797D7010093874E +:102F8000276898C3E247D857BA878A07BA978A074B +:102F900017D701001307C7523E97E2479107BE8536 +:102FA0003A85EFD06FE0E2479C5781C773700430D9 +:102FB00001A0E247D85797D70100938767449C4305 +:102FC000DC5763F4E700EFD06FC47131F2473E8500 +:102FD000B25045618280397106DE2ACE2ECC32CACB +:102FE00036C83AC685473ED6F24781E7737004304B +:102FF00001A0F2473ED4EFD04FCFAA873ED2C247BE +:1030000089C7A257B84FC24798C3A257FC4F3ED0BA +:10301000A2570947F8CF5247914763EEE704D247DA +:103020001397270097D70100938787FFBA97984394 +:1030300097D701009387C7FEBA978287A257B84FE8 +:10304000E2475D8FA257B8CF3DA0A257BC4F138770 +:103050001700A257B8CF05A0A2576247B8CF21A842 +:10306000025789476306F700A2576247B8CF21A0ED +:1030700002D611A0010002578547631DF708A25729 +:103080009C5781C77370043001A097D701009387C4 +:10309000E7589C43A1EBA25791073E85EFD06FDB29 +:1030A000A257D85797D70100938787559C4363F958 +:1030B000E700A257D85797D701009387675498C362 +:1030C000A257D857BA878A07BA978A0717D7010035 +:1030D0001307073F3E97A2579107BE853A85EFD069 +:1030E000AFCC11A8A257E107BE8517D50100130583 +:1030F000A54CEFD06FCBA257D85797D70100938735 +:1031000027309C43DC5763F7E700B24781C7B247DB +:10311000054798C392573E85EFD02FBCB2573E85E6 +:10312000F25021618280797106D62AC62EC4B24738 +:1031300081E77370043001A0B2473ECEEFD0EFBA02 +:10314000AA873ECCF247FC4F3ECAF2470947F8CF68 +:10315000F247BC4F13871700F247B8CF5247854755 +:10316000631DF708F2479C5781C77370043001A0B4 +:1031700097D701009387874A9C43A1EBF2479107B9 +:103180003E85EFD00FCDF247D85797D701009387F0 +:1031900027479C4363F9E700F247D85797D70100C8 +:1031A0009387074698C3F247D857BA878A07BA97D2 +:1031B0008A0717D701001307A7303E97F2479107F8 +:1031C000BE853A85EFD04FBE11A8F247E107BE8514 +:1031D00017D501001305453EEFD00FBDF247D85774 +:1031E00097D701009387C7219C43DC5763F7E7001B +:1031F000A24781C7A247054798C3E2473E85EFD063 +:10320000CFAD0100B25045618280797106D62AC6E1 +:10321000B2473ECCE24799E797D701009387471E14 +:103220009C4311A0E2473ECC0134E247F84F894766 +:103230006318F700E24723AE070485473ECE11A08E +:1032400002CE113CF2473E85B250456182800111A9 +:1032500006CE02C61D2B97D701009387673F9C437C +:103260009DC38148014881470947814613060040B4 +:1032700097D50100938505DC170500001305051B94 +:10328000EFE0AF852AC6B24781E77370043001A032 +:10329000B2473E85F24005618280397106DE2ACE52 +:1032A0002ECC32CA36C83AC6E24799E302D62DA8D8 +:1032B0001305C002EF00302A2AD6B25795C7F12174 +:1032C000B257724798C3B257624798CFB257524726 +:1032D000D8CFB257424798D3B2573247D8D3B25714 +:1032E00091073E85EFD04FABE24781E77370043022 +:1032F00001A0B2573E85F25021618280397106DE0D +:103300002ACE2ECC32CA36C83AC602D6F24781E758 +:103310007370043001A097D70100938767339C43F3 +:10332000B5CBE2473ED0D2473ED2F2473ED46247C9 +:10333000954763C4E704EFF0AFF22A87894763102B +:10334000F70297D701009387A7309C431810814656 +:103350003246BA853E85EFD0AFE02AD625A897D76A +:1033600001009387E72E9C43181081460146BA85D9 +:103370003E85EFD0EFDE2AD631A897D7010093879C +:10338000272D9C43181081464246BA853E85EFD0D2 +:103390000FF32AD6B2573E85F25021618280011187 +:1033A0002AC6B2473ECEB24781E77370043001A00F +:1033B000F2479C433E8505618280797106D62AC614 +:1033C0002EC497D70100938727289C43DC47DC470E +:1033D0003ECEF24791073E85EFD0AFA7F247D84FD8 +:1033E0008547631BF702F247984FB247BA97B24638 +:1033F0002246BE857245B9222A878547631EF7009B +:1034000001478146324681457245CD3D2ACCE2478F +:1034100081E77370043001A0F247DC537245829754 +:103420000100B25045618280797106D62AC63C08F7 +:103430003E8541282ACEE247BE8572451920692281 +:10344000FDB7797106D62AC62EC4EFE06FF93C08A5 +:103450003E8545282ACEE247B9EFA24799EB32478D +:10346000F24763E8E700EFE06FF9F2453245B13724 +:10347000A9A0A24781CF97D701009387271D9C431E +:103480009C4393B7170093F7F70F3EC497D70100FB +:103490009387071C94433247F247B307F74022460D +:1034A000BE853685EFD0FFDDEFE04FF5AA8791E7C7 +:1034B000EFC0DFF519A0EFE06FF40100B2504561F5 +:1034C000828001112AC697D701009387E7179C4392 +:1034D0009C4393B7170093F7F70F3E87B24798C303 +:1034E000B2479C4391EB97D701009387E7159C4324 +:1034F000DC479C433ECE11A002CEF2473E850561DB +:103500008280797106D62AC6EFE01F842ACE97D72B +:103510000100938727149C4372476377F700692A59 +:10352000B247054798C321A0B24723A0070097D709 +:10353000010093872712724798C3F2473E85B25025 +:1035400045618280797106D62AC62EC432C236C041 +:1035500002CEB2472247D8C3B247324798CB224760 +:10356000924763E8E702124782471D8FB2479C4F9C +:103570006365F70085473ECE99A097D701009387F2 +:10358000E70C9843B2479107BE853A85EFD0CF85C7 +:103590003DA0124782476379F7002247824763655F +:1035A000F70085473ECE21A897D701009387C7092A +:1035B0009843B2479107BE853A85EFD0EF82F24734 +:1035C0003E85B25045618280797106D6D9A0A24766 +:1035D00063C0070CC2473ECEF247DC4B91C7F247AF +:1035E00091073E85EFD0EF865C003E85193F2ACCDF +:1035F000A2472547636FF7081397270097D7010065 +:103600009387C7A3BA97984397D70100938707A3D7 +:10361000BA9782873247F2479C4FBA973247BA86A9 +:103620006246BE857245393F2A8785476313F70690 +:10363000F247DC5372458297F247D84F8547631AA9 +:10364000F7043247F2479C4FBA97014781463E86BE +:103650008145724565312ACAD24785EF73700430BF +:1036600001A03247F24798CFF2479C4F81E7737031 +:10367000043001A0F247984FE247BA97E24662460B +:10368000BE857245C13531A07245EF00C07F11A0E3 +:10369000010097D701009387A7FB9C433800814620 +:1036A0000146BA853E85EFD06FD8AA87E39107F22D +:1036B0000100B25045618280797106D671A097D71A +:1036C0000100938767F89C43DC479C433ECC97D727 +:1036D0000100938767F79C43DC47DC473ECAD2472B +:1036E00091073E85EFC0FFF6D247DC5352458297E3 +:1036F000D247D84F85476319F704D2479C4F62479A +:10370000BA973EC84247E24763F4E702D2474247CE +:10371000D8C3D247524798CB97D701009387C7F2B7 +:103720009843D2479107BE853A85EFC0FFEB29A8A1 +:1037300001478146624681455245C9362AC6B2478D +:1037400081E77370043001A097D701009387C7EF1A +:103750009C439C43ADF797D701009387E7EE9C43CA +:103760003ECE97D70100938767EE984397D7010025 +:10377000938787ED98C397D70100938727ED72470A +:1037800098C30100B25045618280411106C6EFF036 +:10379000AFC997D701009387A7EB9C43C9E717D51B +:1037A00001001305E5E7EFC0FFDB17D501001305A6 +:1037B00065E8EFC03FDB97D701009387E7E817D7AD +:1037C00001001307E7E598C397D70100938707E83F +:1037D00017D70100130707E698C30146B145114505 +:1037E000EFC07FF72A8797D70100938767E698C3D2 +:1037F00097D701009387C7E59C4381E77370043036 +:1038000001A097D701009387A7E49C4389CF97D75E +:1038100001009387E7E39C4397D501009385858456 +:103820003E85EFD05F9CEFF00FC30100B240410135 +:103830008280797106D62AC6B2473ECEB24781E76A +:103840007370043001A0EFF02FBEF247DC4BB337AA +:10385000F00093F7F70F3ECCEFF0EFBFE2473E8565 +:10386000B25045618280797106D62AC6B2473ECEF3 +:10387000B24781E77370043001A0EFF0EFBAF2476E +:103880009C533ECCEFF02FBDE2473E85B2504561E0 +:103890008280797106D62AC62EC4B2473ECEB24780 +:1038A00081E77370043001A0EFF00FB8F2472247B0 +:1038B00098D3EFF04FBA0100B250456182800111F8 +:1038C00006CE714549292AC6B24789CBB24723A003 +:1038D0000700B24791073E85EFC0DFC8B2473E857B +:1038E000F24005618280397106DE2AC62EC432C2DA +:1038F00036C0B2473ED402D21247B70700FFF98F55 +:1039000081C77370043001A0924781E7737004305F +:1039100001A0EFF0EF94AA8799E3824799E38547E6 +:1039200011A0814781E77370043001A0EFE04FAB35 +:10393000A2579C433ED0A245324585240257A24758 +:103940005D8F9247F98F12476310F7020257A24723 +:10395000D98F3ED6A2579843924793C7F7FF7D8FE2 +:10396000A25798C302C025A0824799CFA257938639 +:1039700047001247B7070005D98F0246BE85368536 +:10398000EFE03F8902D621A0A2579C433ED6EFE04C +:10399000EFA62ACE8247B1CBF24799E3EFC01FA72B +:1039A000EFF06FAF2AD63257B7070002F98F85EBD9 +:1039B000EFF08FA7A2579C433ED632579247F98F1C +:1039C0001247631AF700A2579843924793C7F7FF2D +:1039D0007D8FA25798C3EFF00FA885473ED232578C +:1039E000B7070001FD17F98F3ED6B2573E85F2505A +:1039F000216182805D7186C62ACE2ECC32CA36C83D +:103A00003AC6F2473EDA02DC02D8F24781E7737029 +:103A1000043001A06247B70700FFF98F81C77370B8 +:103A2000043001A0E24781E77370043001A0EFF099 +:103A30002F83AA8799E3B24799E3854711A081476D +:103A400081E77370043001A0EFE08F99D2579C4357 +:103A50003ED64246E245325575242AD4A25799CF24 +:103A6000B2573EDE02C6D247A9CBD2579843E247AF +:103A700093C7F7FF7D8FD25798C381A0B24781E7E4 +:103A8000B2573EDE1DA8D24791C76257B707000163 +:103A9000D98F3EDCC24791C76257B7070004D98F60 +:103AA0003EDCD257938647006247E257D98F3246B1 +:103AB000BE853685EFE0EFF502DEEFE02F942AD2E7 +:103AC000B247B9CB925799E3EFC05F94EFF0AF9C48 +:103AD0002ADE7257B7070002F98F8DEBEFF0CF9413 +:103AE000D2579C433EDE4246E2457255212CAA87BE +:103AF00099CBD24789CBD2579843E24793C7F7FF78 +:103B00007D8FD25798C3EFF00F9502D87257B70741 +:103B10000001FD17F98F3EDEF2573E85B640616128 +:103B20008280797106D62AC62EC4B2473ECEB247ED +:103B300081E77370043001A02247B70700FFF98FB7 +:103B400081C77370043001A0EFF00F8EF2479C43E1 +:103B50003ECCF2479843A24793C7F7FF7D8FF247C9 +:103B600098C3EFF04F8FE2473E85B25045618280A7 +:103B7000797106D62AC6B2473ECEEFC01F97AA87F4 +:103B80003ECCF2479C433ECAE2473E85EFC0FF94DD +:103B9000D2473E85B250456182805D7186C62AC695 +:103BA0002EC402DCB2473ED802DAB24781E7737016 +:103BB000043001A02247B70700FFF98F81C7737057 +:103BC000043001A0C25791073ED6B257A1073ED498 +:103BD000EFE00F81B257DC473EDEC2579843A24761 +:103BE0005D8FC25798C3BDA8F257DC433ED2F2574F +:103BF0009C433ED002DA0257B70700FFF98F3ECE52 +:103C00000257B7070001FD17F98F3ED07247B7077B +:103C10000004F98F89EBC25798438257F98F89CFF7 +:103C200085473EDA11A8C25798438257F98F025749 +:103C30006314F70085473EDAD2579DC37247B70732 +:103C40000001F98F89C762578257D98F3EDCC2576E +:103C50009843B7070002D98FBE857255EFE00FF980 +:103C600092573EDE7257A257E310F7F8C2579843B7 +:103C7000E25793C7F7FF7D8FC25798C3EFD01FF865 +:103C8000C2579C433E85B64061618280797106D6F9 +:103C90002AC6B2473ECEF24791073ECCEFD05FF442 +:103CA0000DA0E247D847E247A1076315F7007370FC +:103CB000043001A0E247DC47B70500023E85EFE093 +:103CC000EFF2E2479C43F1FF7245752AEFD01FF3F4 +:103CD0000100B25045618280011106CE2AC62EC471 +:103CE000A24532455D3D0100F2400561828001112F +:103CF00006CE2AC62EC4A245324525350100F24023 +:103D00000561828001112AC62EC432C202CE9247BA +:103D100081EB3247A247F98F81CF85473ECE09A874 +:103D20003247A247F98F22476314F70085473ECEFA +:103D3000F2473E850561828001112AC6B2473ECC1A +:103D4000B24799E302CE21A0E2479C4F3ECEF24714 +:103D50003E8505618280397106DE2AC602D2EFD027 +:103D60003FE897670300938727909C4381EB552298 +:103D7000976703009387478F054798C3B24789CF5A +:103D8000A1473E87B247BA973EC6B2478D8B89C7D7 +:103D9000B247F19B91073EC6B247F9CB3247E56780 +:103DA000ED1763E7E70C976703009387E78A3ED434 +:103DB000976703009387478A9C433ED631A0B2574A +:103DC0003ED4B2579C433ED6B257DC43324763F5EC +:103DD000E700B2579C43E5F7325797670300938794 +:103DE00027886307F708A2579C432147BA973ED21A +:103DF000B2579843A25798C3B257D843B247B307B4 +:103E0000F740214706076378F7043257B247BA975D +:103E10003ECEB257D843B2471D8FF247D8C3B257F0 +:103E20003247D8C3F247DC433ECC97670300938701 +:103E3000A7823ED021A082579C433ED082579C430C +:103E4000DC436247E3E9E7FE82579843F24798C3B1 +:103E50008257724798C397C701009387A75B98431F +:103E6000B257DC431D8F97C701009387A75A98C3A9 +:103E7000EFD0DFD8925799E3EF00D03192573E85CB +:103E8000F25021618280797106D62AC6B2473ECCB3 +:103E9000B247BDC3A147B307F0406247BA973ECCD3 +:103EA000E2473ECAEFD0DFD3D247DC433EC8975744 +:103EB00003009387677A3ECE21A0F2479C433ECE13 +:103EC000F2479C43DC434247E3E9E7FEF24798436D +:103ED000D24798C3F247524798C3D247D84397C7AF +:103EE0000100938727539C433E9797C70100938710 +:103EF000675298C3EFD09FD00100B25045618280D5 +:103F000097C70100938707519C433E85828001003B +:103F10008280411197C7010093874774F19B3EC689 +:103F20009757030093874773324798C39757030007 +:103F30009387877223A207009757030093874772DE +:103F400065677117D8C3975703009387677123A0DC +:103F50000700B2473EC4A24765677117D8C3A2479E +:103F6000175703001307C76F98C3010041018280F0 +:103F700001112AC62EC432C2A2473ECEB2473ECC61 +:103F80003247A247D98F8D8BA1E319A8F2479843F6 +:103F9000E24798C3E24791073ECCF24791073ECEF5 +:103FA0009247F5173247BA976247E361F7FE29A8AF +:103FB0007247930717003ECEE2479386170036CC30 +:103FC000034707002380E70032479247BA976247CA +:103FD000E360F7FEB2473E850561828001112AC683 +:103FE0002EC432C232479247D98F8D8B9DEFA247A4 +:103FF00093F7F70F3ECAD247A2075247D98F3ECA5E +:10400000D247C2075247D98F3ECAB2473ECE39A0E7 +:10401000F247138747003ACE524798C33247924738 +:10402000BA977247E366F7FE1DA0B2473ECC11A8CF +:10403000E247138717003ACC22471377F70F238004 +:10404000E70032479247BA976247E363F7FEB24709 +:104050003E850561828001112AC6B2473ECE21A06D +:10406000F24785073ECEF24783C70700F5FB72474C +:10407000B247B307F7403E850561828001112AC629 +:104080002EC4B247138717003AC683C70700A30F91 +:10409000F100A247138717003AC483C70700230F14 +:1040A000F1008347F10199C70347F1018347E1011B +:1040B000E309F7FC0347F1018347E101B307F74048 +:1040C0003E850561828001112AC62EC4B2473ECECC +:1040D00001002247930717003EC4F247938617005A +:1040E00036CE034707002380E70083C70700F5F3B8 +:1040F000B2473E850561828001112AC602CE02CCFC +:1041000021A0B24785073EC6B24703C70700930701 +:104110000002E308F7FEB24703C707009307D00287 +:104120006309F700B24703C707009307B0026311A2 +:10413000F704B24783C70700938737FD93B717008B +:1041400093F7F70F3ECCB24785073EC615A07247DE +:10415000BA878A07BA9786073ECEB24713871700F9 +:104160003AC683C70700938707FD7247BA973ECECA +:10417000B24783C70700E1FFE24789C7F247B307A9 +:10418000F04011A0F2473E850561828001112AC6E8 +:10419000975703009387074F9C4393861700175741 +:1041A00003001307274E14C332471377F70F9756B0 +:1041B000030093862651B6972380E7003247A9472C +:1041C000630BF700975703009387C74B98439307F8 +:1041D00000046315F704975703009387A74E3ECE5C +:1041E00005A8F247138717003ACE03C70700B707A1 +:1041F00010F0938707F098C3975703009387874879 +:104200009C431387F7FF975703009387A74798C3EB +:1042100097570300938707479C43E1F781473E8503 +:10422000056182804D7123261114232481142322D9 +:10423000911423202115232E3113232C4113232ADB +:1042400051132ACE2ECC32C836CA3AC63EC442C218 +:1042500023260112B2473E8A814AC24752485286FB +:10426000D6863E85C285EF10201EAA872E883E8600 +:10427000C2868327C112138717002326E1123287D3 +:104280008A07141AB69723AEE7EEB2473E848144FC +:10429000D247268763E8E702D24726876396E7007E +:1042A000C247228763E0E702B2473E8981494A86D6 +:1042B000CE864245D245EF00703BAA872E883EC885 +:1042C00042CA49BF010029A0F247E2451245829740 +:1042D000A2471387F7FF3AC40327C112E346F7FE4C +:1042E00025A88327C1128A07181ABA9703A7C7EF10 +:1042F0008327C1128A07141AB69783A6C7EFA5476A +:1043000063F5D7009307700519A093070003BA97C8 +:104310007247E2453E8502978327C1121387F7FF54 +:104320002326E112E34FF0FA01008320C114032495 +:10433000811483244114032901148329C113032AFE +:104340008113832A41137161828041112AC62EC4D0 +:10435000A2460547635ED700B2479C439D07E19B99 +:1043600093868700324714C303A847009C4335A0B7 +:10437000224719CB3247184313064700B24690C272 +:104380001843BA87014811A832471843130647005B +:10439000B24690C21843BA8701483E85C2854101A2 +:1043A000828041112AC62EC4A2460547635ED7000B +:1043B000B2479C439D07E19B93868700324714C315 +:1043C00003A847009C4305A8224701CF3247184362 +:1043D00013064700B24690C21843BA877D873A88D1 +:1043E00019A83247184313064700B24690C2184333 +:1043F000BA877D873A883E85C285410182803971BE +:1044000006DE22DC26DA2AC62EC432C236C011A845 +:1044100063070424924785073EC2B247A24522851E +:104420008297924783C707003E8493075002E311A7 +:10443000F4FE924785073EC292473EC893070002AA +:10444000A30BF100FD573ECEFD573ECC02D0924764 +:10445000138717003AC283C707003E849307D4FD31 +:10446000130750056364F71E1397270097C70100D1 +:10447000938747C0BA97984397C70100938787BF30 +:10448000BA9782879307D002A30BF100C9B79307AD +:104490000003A30BF10065BF02CC6247BA878A070D +:1044A000BA978607A297938707FD3ECC924783C7AA +:1044B00007003E849307F00263D6870293079003B8 +:1044C00063C28702924785073EC2C1BF82471387F6 +:1044D00047003AC09C433ECC39A0F247E3D907F6E7 +:1044E00002CEB5B70100F247E3D307F6E2473ECE6E +:1044F000FD573ECCA9BF825785073ED089BF824772 +:10450000138747003AC09C433247A2453E85029735 +:10451000B1A28247138747003AC0844389E497C415 +:1045200001009384A4B4F2476357F0040347710178 +:104530009307D0026301F704E247BE852685EF00AA +:10454000B0102A87F247998F3ECE11A88347710198 +:104550003247A2453E850297F247FD173ECEF2470D +:10456000E346F0FE09A8B247A2452285829785045A +:10457000F247FD173ECE83C704003E841DC0E247CC +:10458000E3C307FEE247FD173ECCE247E3DD07FC4D +:1045900009A8B247A245130500028297F247FD170A +:1045A0003ECEF247E347F0FE55A88A8782553E8506 +:1045B000CD3BAA872E883ED442D6A2573258C28716 +:1045C00063D90702B247A2451305D0028297A255CC +:1045D000325681470148B386B740368533B5A700C8 +:1045E0003307C840B307A7403E87B6873A883ED412 +:1045F00042D6A9473ED21DA8A9473ED215A0A14741 +:104600003ED239A885473ED0B247A24513050003E4 +:104610008297B247A245130580078297C1473ED2D1 +:104620008A8782553E8515332AD42ED61257834762 +:1046300071013E88F2472256B256A2453245DD3618 +:1046400031A8B247A2452285829709A8B247A24560 +:10465000130550028297C2473EC20100D9B3010040 +:10466000F2506254D2542161828041112AC6B707A8 +:1046700010F0938707F2324798C301A05D7106D608 +:104680002AC62EDA32DC36DEBAC0BEC2C2C4C6C604 +:104690009C0891173ECEF247BE86324681451705EB +:1046A00000001305E5AEA13B81473E85B250616134 +:1046B000828001112AC62EC41EC2A2473ECEF247F6 +:1046C0009C4332471377F70F2380E700F2479C4360 +:1046D00013871700F24798C30100056182801D719E +:1046E00006DE2AC62EC4B2C4B6C6BAC8BECAC2CC7A +:1046F000C6CE9C103ED25C084C081706000013067C +:1047000086FB7D779346F7FF0565130505803388A3 +:10471000A5003378E8001368783B23A007013295A1 +:10472000698F13677733D8C333F7D50093154701E3 +:1047300037870300130737394D8F98C73377D60073 +:10474000931647013707030013077706558FD8C71D +:104750000F100000B2473ED69C10A1173ED422573E +:104760005C083E857C00BA862246BE854939B24740 +:1047700023800700B2473E87B257B307F7403E8514 +:10478000F250256182804111370510F0130545F480 +:1047900008412AC6370510F0130505F408412AC45C +:1047A000370510F0130545F40841B248E39EA8FC14 +:1047B00032452A8381431317030081462245AA8587 +:1047C0000146B3E7B6003368C700370710F013059A +:1047D00087F48565938505770146B386B700B6886B +:1047E000B3B8F8003307C800B387E8003E87B68740 +:1047F0003A881CC123220501010041018280411138 +:1048000006C651379307000873A047300100B24035 +:1048100041018280011106CE2AC617C50100130589 +:10482000E59AEFF0BFE50100F240056182800111D9 +:1048300006CE2AC617C501001305C599EFF01FE47F +:104840000100F24005618280797106D62AC602CE47 +:1048500029A00100F24785073ECE724785679387FE +:104860007738E3D8E7FE17C5010013052597EFF069 +:10487000FFE0F1BF411106C60D2A0100B24041011F +:104880008280011106CE02C6392B2A878547630C28 +:10489000F70017C501001305E594EFF03FDEB247BE +:1048A00093E727003EC6B2473E85F240056182800D +:1048B000011106CE02C67D3F1707000013076704EB +:1048C000814605469305400617C50100130505936B +:1048D000EFE0BF9C2AC6B24799CBEFD0EFC6AA87BC +:1048E000014781463E8685453245EFE03FA102C43F +:1048F000EFD02FA581473E85F24005618280797116 +:1049000006D62AC697C701009387C7AF9C43138773 +:10491000470697C701009387E7AE98C397C7010082 +:10492000938787AE9C431387470697C701009387F9 +:10493000A7AD98C397C701009387C7AC9843930767 +:10494000F07C63D3E70497C701009387A7AB9C4330 +:104950001387078397C701009387C7AA98C31537A2 +:104960002ACEF24799EB97C701009387E7A99843AE +:1049700085679387F77663DBE700F2473E85EFF0C4 +:10498000DFCEEFD0EFA321A0010011A00100B250B3 +:10499000456182807370043001A001008280411162 +:1049A0002AC62EC47370043001A0411106C6014608 +:1049B00091450545EFB03FDA2A8797570300938763 +:1049C000E7DA98C397570300938747DA9C4397C564 +:1049D0000100938565833E85EFC0FF8081480148D3 +:1049E00097570300938707D2014797560300938692 +:1049F000E6D11306004097C501009385658217052F +:104A000000001305850DEFC05F8D81480148975761 +:104A10000300938767CF054797560300938606CF19 +:104A20001306004097C50100938505801705000017 +:104A30001305A507EFC07F8A8148014881470147D8 +:104A400081461306004097B501009385657E1705E2 +:104A500000001305450EEFC05F888148014881477B +:104A6000014781461306004097B501009385C57C38 +:104A7000170500001305C524EFC03F868148014893 +:104A80008147014781461306004097B50100938591 +:104A9000257B170500001305A528EFC01F84010022 +:104AA000B24041018280797106D62AC6B2473ECE15 +:104AB0000145EFC07FE0F2479C4313871700F247A0 +:104AC00098C3F24798439307E00FE3F6E7FE0145EA +:104AD000EFC09FDECDB7797106D62AC6B2473ECE6B +:104AE0000145EFC05FC22ACCE2478507BE8501457C +:104AF000EFC03FC80145EFC01FC12A87E2478507C5 +:104B00006305F7007370043001A0F2479C431387DC +:104B10001700F24798C3E2450145EFC09FC5014524 +:104B2000EFC07FBE2A87E247E380E7FC7370043062 +:104B300001A0797106D62AC6231E010097570300EB +:104B40009387C7BC23A00700231F01004DA09757E0 +:104B50000300938727BB9C433E85EFC0FFD5975743 +:104B60000300938727BA9C433E85EFC0FFAF2A8797 +:104B70008D476305F7007370043001A09757030059 +:104B80009387C7B89C433ECC97570300938787B75A +:104B90009C433E85EFC07FE497570300938787B619 +:104BA0009C433E85EFC05FAC2A8785476305F700CD +:104BB0007370043001A013050008EFC03FA5EFD0CB +:104BC0002F8297570300938767B49C43624763150E +:104BD000F7008547231EF100EFD04F828317E101D4 +:104BE000C207C1838507C207C183231FF1000317D2 +:104BF000E1019147E3DDE7F497570300938787B01E +:104C00009C433E85EFC05FCB97570300938707B067 +:104C100023A0070097570300938707AF9C433E8567 +:104C2000EFC09FA42A878D476305F7007370043097 +:104C300001A097570300938727AD9C433E85EFC0A3 +:104C4000DFD997570300938727AC9C433E85EFC07D +:104C5000BFA12A878D476305F7007370043001A058 +:104C600097570300938787AA98439307F00F63052C +:104C7000F7008547231EF1008317C1019DE7EFD0A0 +:104C8000BFFA975703009387A7A883D70700C207E7 +:104C9000C1838507139707014183975703009387C3 +:104CA00027A72390E700EFD01FFB975703009387B8 +:104CB00067A59C433E85EFC05FD249B5011106CE82 +:104CC0002AC6EFC0FFF197570300938727AA9C439A +:104CD0008146014697550300938585A43E85EFB034 +:104CE0003FC82A8785476308F700975703009387D3 +:104CF00067A2054798C3EFC07FF013050008EFC017 +:104D0000FF90975703009387A7A19C431387170031 +:104D1000975703009387C7A098C365B7797106D6E4 +:104D20002AC6EFC0FFEBEFC0BFEB97570300938796 +:104D3000E7A39C43380881460146BA853E85EFB01B +:104D4000FFEE2ACEEFC09FEBAA8799C797570300C3 +:104D50009387879C054798C3EFC05FEAF247F1D37A +:104D60006247975703009387679B9C436308F7004C +:104D7000975703009387479A054798C397570300AF +:104D80009387879998438547E30DF7F89757030072 +:104D90009387C7989C4313871700975703009387FF +:104DA000E79798C3BDBF411185473EC6975703009B +:104DB0009387079683D70700139707014183975777 +:104DC00003009387279683D707006313F70002C673 +:104DD00097570300938787949843975703009387C7 +:104DE000A7949C436313F70002C697570300938769 +:104DF0006792984385476313F70002C697570300ED +:104E000093878791984385476313F70002C69757A6 +:104E100003009387E78F83D7070013970701418328 +:104E200097570300938707902390E7009757030055 +:104E30009387C78E9843975703009387E78E98C34D +:104E4000B2473E8541018280B305B5009307050056 +:104E50006386B70003C70700631607003385A740C2 +:104E600067800000938717006FF09FFE130101FD1C +:104E700023229102232A5101232611022324810295 +:104E800023202103232E3101232C410123286101FA +:104E90002326710123248101232291012320A101D2 +:104EA000930A050093840500639E063813040600E8 +:104EB0009309050017B901001309093963F8C512F0 +:104EC000B7070100138B05006378F6101307F00F86 +:104ED0003337C70013173700B357E6003309F9001B +:104EE000834609003387E60093060002B386E64056 +:104EF000638C0600B394D40033D7EA003314D60091 +:104F0000336B9700B399DA00935A040193850A0032 +:104F100013050B00EF00902A1309050093850A0082 +:104F2000931B040113050B00EF00D02493DB0B014E +:104F3000930405009305050013850B00EF00D020B6 +:104F40001319090193D70901B367F900138A040003 +:104F500063FEA700B3878700138AF4FF63E8870026 +:104F600063F6A700138AE4FFB3878700B384A740E2 +:104F700093850A0013850400EF00502413090500EF +:104F800093850A0013850400EF00D01E9399090150 +:104F900093040500930505001319090113850B00FF +:104FA00093D90901EF00501AB3693901138604003F +:104FB00063FCA900B30934011386F4FF63E689009A +:104FC00063F4A9001386E4FF13140A013364C400D8 +:104FD000130A00006F000013B70700011307000158 +:104FE000E36CF6EE130780016FF01FEF138A0600E3 +:104FF000631A06009305000013051000EF009017D8 +:1050000013040500B7070100637EF4129307F00F45 +:1050100063F48700130A8000B35744013309F90091 +:10502000034709009306000233074701B386E640B1 +:1050300063940612B3848440130A1000135B0401C6 +:1050400093050B0013850400EF00501713090500AA +:1050500093050B0013850400931B0401EF009011CE +:1050600093DB0B01930405009305050013850B00EA +:10507000EF00900D1319090193D70901B367F900E7 +:10508000938A040063FEA700B3878700938AF4FF26 +:1050900063E8870063F6A700938AE4FFB38787007D +:1050A000B384A74093050B0013850400EF00101193 +:1050B0001309050093050B0013850400EF00900B06 +:1050C000939909019304050093050500131909013B +:1050D00013850B0093D90901EF001007B36939015B +:1050E0001386040063FCA900B30934011386F4FF9E +:1050F00063E6890063F4A9001386E4FF13940A01B0 +:105100003364C4001305040093050A008320C10220 +:105110000324810283244102032901028329C1015E +:10512000032A8101832A4101032B0101832BC10042 +:10513000032C8100832C4100032D01001301010386 +:1051400067800000B7070001130A0001E366F4EC72 +:10515000130A80016FF05FEC3314D40033DAE400FB +:10516000B399DA0033D7EA00935A0401B394D40018 +:1051700093850A0013050A00336B9700EF001004B3 +:105180001309050093850A0013050A00931B040107 +:10519000EF00407E93DB0B019304050093050500AF +:1051A00013850B00EF00407A1319090113570B0107 +:1051B0003367E900138A0400637EA7003307870082 +:1051C000138AF4FF636887006376A700138AE4FFFD +:1051D00033078700B304A74093850A0013850400B2 +:1051E000EF00C07D1309050093850A0013850400B4 +:1051F000EF004078930405009305050013850B002C +:10520000EF00807413170B011357070113190901DD +:10521000B367E9001387040063FEA700B387870024 +:105220001387F4FF63E8870063F6A7001387E4FFA2 +:10523000B3878700131A0A01B384A740336AEA00D0 +:105240006FF0DFDF63ECD51EB707010063F4F604EF +:105250001307F00FB335D7009395350033D7B60059 +:1052600097B70100938747FEB387E70003C707009E +:10527000130A00023307B700330AEA4063160A0232 +:1052800013041000E3E096E833B6CA001344160096 +:105290006FF05FE7B707000193050001E3E0F6FC5C +:1052A000930580016FF09FFBB35CE600B396460167 +:1052B000B3ECDC0033D4E40093DB0C01B39744017E +:1052C00033D7EA0093850B0013050400336BF70016 +:1052D000B3194601EF00806E1309050093850B009A +:1052E00013050400139C0C01EF00C068135C0C0153 +:1052F000930405009305050013050C00EF00C0643E +:105300001319090113570B013367E90013840400D3 +:10531000637EA700330797011384F4FF6368970146 +:105320006376A7001384E4FF33079701B304A74013 +:1053300093850B0013850400EF00406813090500F6 +:1053400093850B0013850400EF00C06293040500F1 +:105350009305050013050C00EF00005F93170B0188 +:105360001319090193D70701B367F90013860400E5 +:1053700063FEA700B38797011386F4FF63E89701E4 +:1053800063F6A7001386E4FFB387970113140401A3 +:10539000B70B01003364C4001389FBFF337D240184 +:1053A00033F92901B384A7409305090013050D00C3 +:1053B000EF008059935C040193050900130B05006D +:1053C00013850C00EF00405893D90901130C050018 +:1053D0009385090013850C00EF00005713090500A1 +:1053E0009385090013050D00EF0000563305850174 +:1053F00093570B013385A700637485013309790145 +:1054000093570501B387270163E6F402E392F4BCE6 +:10541000B70701009387F7FF3375F50013150501F2 +:10542000337BFB0033964A0133056501130A000004 +:10543000E37AA6CC1304F4FF6FF09FB9130A0000BF +:10544000130400006FF01FCC130101FB232481041F +:1054500023229104232E31032322910323261104B6 +:1054600023202105232C4103232A510323286103F0 +:1054700023267103232481032320A103232EB101BA +:10548000930C05009389050013040500938405001F +:10549000639E062613090600138A060097BA0100C8 +:1054A000938A8ADA63F4C514B70701006376F612AB +:1054B0009307F00F63F4C700130A8000B357460147 +:1054C000B38AFA0003C70A00130500023307470135 +:1054D000330AE540630C0A00B395490133D7EC0069 +:1054E00033194601B364B70033944C01935A090150 +:1054F00093850A0013850400EF00404C93090500D2 +:1055000093850A00131B090113850400EF008046F0 +:10551000135B0B019305050013050B00EF00C04260 +:105520009399090193570401B3E7F90063FAA700BF +:10553000B387270163E6270163F4A700B387270138 +:10554000B384A74093850A0013850400EF00004749 +:105550009309050093850A0013850400EF0080413C +:1055600013140401930505009399090113050B0019 +:1055700013540401EF00403D33E48900637AA40032 +:1055800033042401636624016374A40033042401FA +:105590003304A44033554401930500008320C10423 +:1055A0000324810483244104032901048329C103C2 +:1055B000032A8103832A4103032B0103832BC102A6 +:1055C000032C8102832C4102032D0102832DC10192 +:1055D0001301010567800000B7070001130A0001ED +:1055E000E36EF6EC130A80016FF05FED631A0600BC +:1055F0009305000013051000EF00C03713090500E4 +:10560000B7070100637AF90E9307F00F63F42701DF +:10561000130A8000B3574901B38AFA0003C70A008E +:1056200013050002B384294133074701330AE540DB +:10563000E30E0AEA33194901B3DAE900B3954901E7 +:1056400033D7EC0093540901336BB70013850A007C +:1056500093850400EF0080369309050093850400CC +:10566000931B090113850A00EF00C03093DB0B0187 +:105670009305050013850B00EF00002D9399090198 +:1056800093570B01B3E7F90033944C0163FAA70079 +:10569000B387270163E6270163F4A700B3872701D7 +:1056A000B38AA7409385040013850A00EF000031F8 +:1056B000930905009385040013850A00EF00802BF1 +:1056C0009305050013850B00EF00002893150B01CF +:1056D0009399090193D50501B3E5B90063FAA500D3 +:1056E000B385250163E6250163F4A500B385250193 +:1056F000B384A5406FF09FDFB7070001130A0001D4 +:10570000E36AF9F0130A80016FF0DFF0E3E8D5E80F +:10571000B707010063FCF604930BF00F33B5DB0011 +:105720001315350033D7A60097B701009387C7B18B +:10573000B387E70083CB070093050002B38BAB0070 +:10574000338B7541631E0B0263E4360163EACC00C0 +:105750003384CC40B386D94033B58C00B384A640A3 +:1057600013050400938504006FF05FE3B7070001A1 +:1057700013050001E3E8F6FA130580016FF09FFAC4 +:10578000B3966601335D7601336DDD0033D4790164 +:10579000B395690133DC7C0193540D01336CBC007B +:1057A0001305040093850400B31A6601EF0000217D +:1057B000130A0500938504001305040033996C0156 +:1057C000931C0D01EF00001B93DC0C01130405007A +:1057D0009305050013850C00EF000017131A0A014A +:1057E00013570C013367EA00130A0400637EA70015 +:1057F0003307A701130AF4FF6368A7016376A700C4 +:10580000130AE4FF3307A701B309A74093850400F7 +:1058100013850900EF00801A938504001304050026 +:1058200013850900EF00001593050500930405009A +:1058300013850C00EF00401193150C0113140401A3 +:1058400093D50501B365B4001387040063FEA5007A +:10585000B385A5011387F4FF63E8A50163F6A500EE +:105860001387E4FFB385A501131A0A01B70C0100E1 +:10587000336AEA001384FCFFB3778A0033F48A00AA +:10588000B384A54013850700930504002326F10087 +:10589000135A0A01EF00400B930905009305040019 +:1058A00013050A00EF00400A13DC0A01930D0500FE +:1058B00093050C0013050A00EF0000098327C100BF +:1058C000130A050093050C0013850700EF00C007BD +:1058D0003305B50113D709013307A7006374B70176 +:1058E000330A9A01B70701009387F7FF9355070121 +:1058F0003377F70013170701B3F7F900B3854501B4 +:10590000B307F70063E6B400639EB400637CF9005C +:1059100033865741B3B7C700B385A541B385F5407A +:1059200093070600B307F9403339F900B385B44053 +:10593000B385254133947501B3D767013365F4000E +:10594000B3D565016FF09FC5130605001305000070 +:1059500093F61500638406003305C50093D5150042 +:1059600013161600E39605FE6780000063400506E7 +:1059700063C6050613860500930505001305F0FFB1 +:10598000630C060293061000637AB6006358C000E9 +:105990001316160093961600E36AB6FE1305000070 +:1059A00063E6C500B385C5403365D50093D61600C0 +:1059B00013561600E39606FE6780000093820000EF +:1059C000EFF05FFB13850500678002003305A04000 +:1059D00063D80500B305B0406FF0DFF9B305B04000 +:1059E00093820000EFF01FF93305A04067800200AA +:1059F0009382000063CA0500634C0500EFF09FF737 +:105A00001385050067800200B305B040E35805FE2A +:105A10003305A040EFF01FF63305B0406780020069 +:02000004800278 +:1000000049444C4500000000482FFEFF102FFEFF22 +:100010001E2FFEFF2C2FFEFF342FFEFF5830FEFF59 +:100020002030FEFF2E30FEFF3C30FEFF4430FEFF4E +:10003000546D722053766300DC35FEFFDC35FEFF25 +:10004000DC35FEFF5A36FEFF2A36FEFF5036FEFF35 +:10005000DC35FEFFDC35FEFF5A36FEFF2A36FEFF9A +:10006000546D725100000000286E756C6C29000000 +:10007000DE43FEFFDC45FEFFD245FEFFDC45FEFF12 +:10008000DC45FEFFDC45FEFFDC45FEFF5C44FEFF79 +:10009000DC45FEFFDC45FEFF1444FEFF6A44FEFF24 +:1000A000DC45FEFF1E44FEFF2844FEFF2844FEFF01 +:1000B0002844FEFF2844FEFF2844FEFF2844FEFF9C +:1000C0002844FEFF2844FEFF2844FEFFDC45FEFFD7 +:1000D000DC45FEFFDC45FEFFDC45FEFFDC45FEFFA8 +:1000E000DC45FEFFDC45FEFFDC45FEFFDC45FEFF98 +:1000F000DC45FEFFDC45FEFFDC45FEFFDC45FEFF88 +:10010000DC45FEFFDC45FEFFDC45FEFFDC45FEFF77 +:10011000DC45FEFFDC45FEFFDC45FEFFDC45FEFF67 +:10012000DC45FEFFDC45FEFFDC45FEFFDC45FEFF57 +:10013000DC45FEFFDC45FEFFDC45FEFFDC45FEFF47 +:10014000DC45FEFFDC45FEFFDC45FEFFDC45FEFF37 +:10015000DC45FEFFDC45FEFFDC45FEFFDC45FEFF27 +:10016000DC45FEFFDC45FEFFDC45FEFFDC45FEFF17 +:100170008E44FEFF3A45FEFFDC45FEFFDC45FEFFF8 +:10018000DC45FEFFDC45FEFFDC45FEFFDC45FEFFF7 +:10019000DC45FEFF8644FEFFDC45FEFFDC45FEFF3E +:1001A0008E45FEFF9445FEFFDC45FEFFDC45FEFF6D +:1001B000A244FEFFDC45FEFF8845FEFFDC45FEFF56 +:1001C000DC45FEFFAC45FEFF6D69616F750A0000FE +:1001D0006D69616F75320A0068756E6772790A0021 +:1001E0004572726F7220696E20787878207461731E +:1001F0006B73200D0A000000436865636B54696DE2 +:100200006572000053757370656E6465645F546554 +:1002100073745F517565756500000000434E545F4F +:10022000494E43004C494D5F494E4300435F4354A0 +:10023000524C0000535553505F545800535553507F +:100240005F52580000010202030303030404040484 +:100250000404040405050505050505050505050552 +:100260000505050506060606060606060606060632 +:10027000060606060606060606060606060606061E +:100280000606060607070707070707070707070702 +:1002900007070707070707070707070707070707EE +:1002A00007070707070707070707070707070707DE +:1002B00007070707070707070707070707070707CE +:1002C00007070707080808080808080808080808B2 +:1002D000080808080808080808080808080808089E +:1002E000080808080808080808080808080808088E +:1002F000080808080808080808080808080808087E +:10030000080808080808080808080808080808086D +:10031000080808080808080808080808080808085D +:10032000080808080808080808080808080808084D +:10033000080808080808080808080808080808083D +:040340000808080899 +:100344001000000000000000017A5200017C01014D +:100354001B0D02004C000000180000000C4BFEFFB7 +:10036400DC05000000440E30708903950781018884 +:100374000292049305940696089709980A990B9A91 +:100384000C0370020AC144C844C944D244D344D4BF +:1003940044D544D644D744D844D944DA440E00441E +:1003A4000B00000050000000680000009850FEFFA1 +:1003B4000005000000440E507488028903930599D7 +:1003C4000B810192049406950796089709980A9A56 +:1003D4000C9B0D0320010AC144C844C944D244D330 +:1003E40044D444D544D644D744D844D944DA44DB2D +:0803F400440E00440B00000060 +:10040800AAAAAAAA08000000FC8F0100090000009F +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/dynamic_rv32ic_O3.hex b/VexRiscv/src/test/resources/freertos/dynamic_rv32ic_O3.hex new file mode 100644 index 0000000..6452f12 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/dynamic_rv32ic_O3.hex @@ -0,0 +1,1711 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900061D5170502001305E55697950300938572 +:1000A000657E01461122170502001305A54A970532 +:1000B00002009385E5520146C52817A503001305E4 +:1000C000A57C97B503009385E57B37B6ABAB1306EC +:1000D000B6BAD92817B103001301017BEF60E040E5 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2423523A02200F322103449 +:1002400096DEEF00002B170102000321E13302418B +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E23523A0120023A29D +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40502717010200032138 +:10033000A1250241F65273901234B7220000938235 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A22783A0A4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2421323A0220086DEEF202F +:10046000602E17010200032121120241F6527390FF +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF407007EF10900A01E5B7 +:10050000B24041018280B24041016F20A023930795 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30B0207E +:1005B0008280411122C406C62A8426C2EF2030124E +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF2040586DD5EF2020783C44FD173C +:1005F0003CC43C44E344F0FEFD573CC4EF20701083 +:10060000EF20F00D7C40635BF0021C4885CB930427 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2000546DD5EF20E0735C +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20B00B29CD0111E8 +:1006500022CC2A8406CE26CA4AC84EC62E89EF204E +:10066000100824404C5C832904002685EF509049F3 +:100670007D57232C0402B3079540CE974E9578C042 +:1006800048C0232434015CC438C4631109021C48E7 +:100690008DE7EF201007F2406244D2444249B2494C +:1006A0000545056182807370043001A013050401C3 +:1006B000B93D13054402A13DE9BF13050401EF2034 +:1006C000804A8547E317F5FC9133E1B701E5737084 +:1006D000043001A0011126CA4AC84EC606CE22CC5B +:1006E000AA842E89B289A5C9EF50D04113055505BA +:1006F000EF3020742A8435C5930745051CC144DCBE +:1007000023202405EF20A07D24404C5C0329040015 +:100710002685EF50303FFD56232C0402B307954049 +:100720003307A900CA9774C058C05CC434C4130509 +:10073000040123242401E13B13054402C93BEF20BB +:10074000407C230834052285F2406244D244424969 +:10075000B2490561828013054005EF30806D2A841F +:1007600001E57370043001A000C051BF01E5737052 +:10077000043001A06375B5007370043001A041110D +:1007800022C409462E84814506C6893711C500DD7D +:10079000B2402244410182807370043001A05D7137 +:1007A00086C6A2C4A6C2CAC04EDE52DC56DA5AD8E9 +:1007B0005ED632C6630A051C6382051C89476399AD +:1007C000F600585D85476305F7007370043001A09B +:1007D0003689AE842A84EF20C05B11E5B24781C719 +:1007E0007370043001A0EF20806F185C5C5C014BDB +:1007F000894BFD59130A0401930A44026365F70CFF +:1008000063077919B2476381072463150B00280831 +:10081000EF208048EF20E06EEF10604FEF20206C5B +:100820007C4063943701232204043C4463943701E1 +:1008300023240404EF20E06C6C002808EF20E0473C +:10084000631E051EEF20A069185C5C5C630CF70A50 +:10085000EF20206BEF20A0683C44634FF00039A8E4 +:100860005685EF20403019C1EF2020503C44FD1741 +:100870003CC43C446354F0005C50FDF32324340535 +:10088000EF202068EF20A0657C40634FF00039A87E +:100890005285EF20402D19C1EF20204D7C40FD17DF +:1008A0007CC07C406354F0001C48FDF323223405D7 +:1008B000EF202065EF10A06A054BEF204062185C26 +:1008C0005C5CE37FF7F230406305060C631F09129E +:1008D0000844A685EF30206E1C4434405840B6973B +:1008E0001CC463EAE70A1C5C14405850850714C412 +:1008F0001CDC5DC713054402EF20E0268547631129 +:10090000F50AC9A8EF20E05FB2455285EF206007E5 +:10091000EF20E05C3C44634FF00039A85685EF209F +:10092000802419C1EF2060443C44FD173CC43C4482 +:100930006354F0005C50FDF323243405EF20605C29 +:10094000EF20E0597C40634FF00039A85285EF203A +:10095000802119C1EF2060417C40FD177CC07C40A4 +:100960006354F0001C48FDF323223405EF20605946 +:10097000EF10E05E31F1593C054B81B73C41E38F0C +:1009800007E27370043001A07370043001A030409E +:1009900021E61C4085C71C5C585085071CDC39FBD0 +:1009A000EF2020560545B640264496440649F259A4 +:1009B000625AD25A425BB25B616182804840EF204A +:1009C00060491C5C58502322040085071CDCE3139B +:1009D00007F279D5253CE9B74844A685EF30A05DFC +:1009E0003C4058441440B307F0403E9758C4637CE1 +:1009F000D7025840BA975CC409475C506306E902C5 +:100A0000185C050718DCC9DFF5B54844A685EF304A +:100A1000805A3C4058441440B307F0403E9758C4B5 +:100A2000E37CD7FCF9B75C50185C79DB185C7D1768 +:100A300018DC185C050718DCA5D76DBD2285953E2E +:100A4000EF10E051014585B7EF20A04B0145A1BF54 +:100A5000411126C2AA841305400522C406C6EF3000 +:100A6000403D2A8415CD232C0502FD577CC1054746 +:100A7000410558DC3CC42322040023200400232425 +:100A80000400232604002320040423089404413492 +:100A900013054402AD3C8146014681452285013360 +:100AA0002285B24022449244410182800DC5411109 +:100AB00022C426C206C64AC0032945002A84EF2064 +:100AC000802C8144630CA900B2402244268502494F +:100AD0009244410182807370043001A05C448544DB +:100AE000FD175CC4F5F381460146814522854539F1 +:100AF000E1BF31CDA1C9011122CC26CA4AC852C4D6 +:100B000006CE4EC68947B68432892E8A2A846399D6 +:100B1000F600585D8547630FF7047370043001A039 +:100B20006D32185C5C5CAA896366F70281444E856D +:100B3000513AF240624426854249D244B249224A9F +:100B4000056182803C41C5DB7370043001A0737085 +:100B5000043001A0304041E21C40D5CB1C5C7D57E5 +:100B600085071CDC3C446387E7083C4485448507D3 +:100B70003CC475BF993A185C5C5CAA89636DF70246 +:100B8000304079DA4844D285EF30E0423C40584466 +:100B90001440B307F0403E9758C46375D7005840DF +:100BA000BA975CC48947E39BF4FA1C5CC5DB1C5C08 +:100BB000FD171CDC65B7304045D24844D285EF3084 +:100BC000803F3C4058441440B307F0403E9758C41F +:100BD000E367D7FCD9BFDDF40844D285EF30A03DF0 +:100BE0001C4434405840B6971CC4E3E9E7F61C4067 +:100BF0001CC4ADB75C5085449DDB13054402EF1067 +:100C0000907615D5E30509F2232099000DB74840E9 +:100C1000EF2040242322040091B721CD3C4181C71D +:100C20007370043001A01C41A1CB011122CC26CA53 +:100C30002A844AC84EC606CE2E894138185C5C5CB0 +:100C4000AA898144637DF7001C5C7D5785071CDC05 +:100C50003C446388E7023C44854485073CC44E8598 +:100C60009530F240624426854249D244B24905613A +:100C700082807370043001A05C41C5DB7370043066 +:100C800001A05C5099E38544D9BF13054402EF10DD +:100C9000906D75D9E30909FE85472320F900E5B772 +:100CA000397106DE22DC26DA4AD84ED652D456D224 +:100CB00032C66302051C638A051AB68AAE892A8485 +:100CC000EF20200D11E5B24781C77370043001A0F9 +:100CD000EF20E0201C5C63920714B247638E070A82 +:100CE0002808EF10707BFD5413094402130A040115 +:100CF000EF202021EF10A001EF20601E7C406394C4 +:100D00009700232204043C446394970023240404A2 +:100D1000EF20201F6C002808EF10307A63130518AD +:100D2000EF20E01B1C5CADCFEF20A01DEF20201BAF +:100D30003C44634FF00039A84A85EF10D06219C1D6 +:100D4000EF20A0023C44FD173CC43C446354F00037 +:100D50005C50FDF324C4EF20C01AEF2040187C4003 +:100D6000634FF00039A85285EF10F05F19C1EF1002 +:100D7000D07F7C40FD177CC07C406354F0001C4851 +:100D8000FDF364C0EF20E017EF10601DEF20201589 +:100D90001C5CC1E7B247A9FFEF20A016014555A092 +:100DA000EF2020161C40DDC7B2454A85EF10703D8C +:100DB000EF20E0123C44634FF00039A84A85EF1061 +:100DC000905A19C1EF10707A3C44FD173CC43C4462 +:100DD0006354F0005C50FDF324C4EF208012EF2038 +:100DE00000107C40634FF00039A85285EF10B057D7 +:100DF00019C1EF1090777C40FD177CC07C40635494 +:100E0000F0001C48FDF364C0EF20A00FEF10201588 +:100E100031C9EF20C00C1C5CB5DFCE85228544446F +:100E2000EFF04FF7639C0A041C5C1840FD171CDCB4 +:100E300001E7EF20801148C01C48A1EFEF20600CB3 +:100E40000545F2506254D2544259B259225A925A2C +:100E500021618280EF20A0084840EF109075EF20BC +:100E6000400A99B7EFF08FDA6DB73C41E38707E4AA +:100E70007370043001A07370043001A05C5044C44E +:100E8000D5DF13054402EF10104E4DD9EFF00FD807 +:100E900075B713050401EF10104D8547E310F5FAFF +:100EA000F5B72285EFF0EFF0EF10600B014551BF71 +:100EB0001DC9411122C44AC006C626C244412E891A +:100EC0002A84EF10506C6383A40281464A868145D0 +:100ED0002285F93385476300F502B24022449244EB +:100EE0000249410182807370043001A05C440545D1 +:100EF00085075CC4DDB75C4485075CC4F9BF15CDCC +:100F0000ADC9011122CC26CA4AC84EC652C42A8491 +:100F100006CE3289AE89EFF04FDB1C5C2A8A814411 +:100F200085E35285EFF00FDAF240624426854249AC +:100F3000D244B249224A056182807370043001A014 +:100F4000304001CE4C445C40B2954CC463E4F500A3 +:100F50000C404CC44E85EF3000061C5C7D57FD17DD +:100F60001CDC7C40638CE7007C40854485077CC0AA +:100F70004DBF3C41D9D77370043001A01C4899E3A0 +:100F8000854445B713050401EF10F03D75D9E30919 +:100F900009FE85472320F900E5B721C93C41A1CDD1 +:100FA000A1CB011122CC26CA4AC852C42A8406CE3B +:100FB0004EC62E8AEFF06FD11C5C2A89814491CBFA +:100FC0008329C400D2852285EFF0CFDC8544232617 +:100FD00034014A85EFF00FCFF24062442685424942 +:100FE000D244B249224A056182807370043001A064 +:100FF0007370043001A0EDDF7370043001A001E5CF +:101000007370043001A0411122C42A8406C6EF1077 +:10101000106D005CEF10F06EB24022852244410159 +:10102000828001E57370043001A0411106C622C41C +:101030002A84EF10D06A1C5C405C1D8CEF10706C31 +:101040002285B24022444101828019C1085D82801C +:101050007370043001A01DCD978703009387877EAE +:10106000D843630CE502D847630CE504D84B63070B +:10107000E504D84F6302E504D853630DE502D85761 +:101080006308E502D85B6303E502D85F630EE50001 +:101090006F20506C7370043001A001470E07BA979F +:1010A00023A007006F20106B1D47CDBF1947FDB768 +:1010B0001547EDB71147DDB70D47CDB70947F9BF64 +:1010C0000547E9BF684582806CC5828003450505F8 +:1010D000828009C5085D1335150082807370043065 +:1010E00001A019C51C5D485D1D8D1335150082805A +:1010F0007370043001A0978703009387A774984307 +:1011000005C3984705C7984B05C7984F05C798531F +:1011100005C7985705C7985B15C7985F15C38280A8 +:1011200001470E07BA978CC3C8C382800547D5BF55 +:101130000947C5BF0D47F5B71147E5B71547D5B7FF +:101140001D47C5B71947F1BF978703009387876F7E +:10115000D8436308E502D847630BE502D84B630A1E +:10116000E502D84F6309E502D8536308E502D85772 +:101170006307E502D85B6308E502D85F6303A70253 +:10118000828001470E07BA9723A007008280054797 +:10119000D5BF0947C5BF0D47F5B71147E5B7154797 +:1011A000D5B71D47C5B71947F1BF411122C426C2A3 +:1011B0004AC02A8406C6AE843289EF105052784065 +:1011C000FD576314F700232204043844FD576314C9 +:1011D000F70023240404EF10D0521C5C99E74A86E0 +:1011E000A68513054402EF10D00CEF10504F3C447D +:1011F000635BF0025C5085CB9304440209A83C4435 +:10120000FD173CC43C446350F0025C5089CF2685F6 +:10121000EF1070156DD5EF1050353C44FD173CC4F0 +:101220003C44E344F0FEFD573CC4EF10904DEF10FA +:10123000104B7C40635BF0021C4885CB9304040197 +:1012400009A87C40FD177CC07C406350F0021C481C +:1012500089CF2685EF1030116DD5EF1010317C400D +:10126000FD177CC07C40E344F0FEFD577CC0224467 +:10127000B2409244024941016F10B0481DC17971DA +:101280004ECE52CC06D63E8A22D426D24AD056CA58 +:101290005AC85EC6A547BA8963F8E7007370043080 +:1012A00001A07370043001A02A8BAE843289B68B02 +:1012B000C28A6307082213050006EF2090372A84AC +:1012C000630B05200A09232855034A869305500A13 +:1012D0005685EF20505C03C704001C587119230A7F +:1012E000E40203C704003E991379C9FF79C783C795 +:1012F0001400A30AF40283C71400E1C383C72400C7 +:10130000230BF40283C72400CDCB83C73400A30B87 +:10131000F40283C73400D5C383C74400230CF4020E +:1013200083C74400D9CB83C75400A30CF40283C7FE +:101330005400C1C783C76400230DF40283C764004F +:10134000ADCF83C77400A30DF40283C77400B5C783 +:1013500083C78400230EF40283C78400B9CF83C7F8 +:101360009400A30EF40283C79400A1CB83C7A4000A +:10137000230FF40283C7A400A9C383C7B400A30F3B +:10138000F40283C7B40095CB83C7C4002300F404E0 +:1013900083C7C4009DC383C7D400A300F40483C7DC +:1013A000D40081CF83C7E4002301F40483C7E400A1 +:1013B00089C783C7F400A301F404930A4400568547 +:1013C000A30104042326340323283405232A040418 +:1013D000EFF02F9513058401EFF0AF94A947B38781 +:1013E0003741232C040400C81CCC40D02322040421 +:1013F000232E04045E86DA854A85EFF0AF8D08C09F +:1014000063040A0023208A007370043017F9010076 +:101410001309C9158327090097F401009384C416A2 +:1014200091C798409C407C4385077CC317F7010017 +:10143000130787141C43850797F6010023AEF612A5 +:101440009C40C5CB8327090017FB0100130B4B23DE +:1014500089EB9C40DC5763E6F90097F7010023A570 +:10146000871297F701009387670F9C4317F70100D6 +:101470001307071048541843850797F6010023AF58 +:10148000F60C3CC46376A70097F7010023A2A70ED1 +:10149000D145EF403067D6855A95EFF0EF88832726 +:1014A000090081C79C40FC43B5E38327090005443C +:1014B00099C79C40DC5763F43701EFE03FF5228584 +:1014C000B250225492540259F249624AD24A424BD3 +:1014D000B24B456182805685EF20D0277D54C5B739 +:1014E00013152600EF20F014AA8AE31605DC7D54BC +:1014F000F9B797F7010023A98708184385476301C7 +:10150000F70217FB0100130BAB17A1BF98409440E3 +:101510007C43FD177CC3FC42C9FB7360043071B788 +:10152000014A17FB0100130BAB15A94B5285D1459E +:10153000EF40505D050A5A95EFE07FFDE3187AFF12 +:1015400097FB0100938B4B205E85EFE05FFC17FA61 +:101550000100130AAA205285EFE07FFB17F5010076 +:1015600013050521EFE0BFFA17F5010013058521EA +:10157000EFE0FFF917F5010013050522EFE03FF951 +:1015800097F7010023A0770197F7010023AA47FFEF +:10159000C9BD411122C406C626C24AC02A8473703E +:1015A000043097F40100938464FC9C40F9E311E457 +:1015B00097F70100938747FD8043130944004A854C +:1015C000EFE01FFB1C5489C713058401EFE05FFAAD +:1015D000CA8517F501001305E51AEFE0FFF497F748 +:1015E00001009387A7F9984397F70100938707F7BE +:1015F0009C43050797F6010023A2E6F8984085076B +:1016000097F6010023ACF6F401CB97F7010093871E +:10161000A7F79843784349EF9C40B1C797F701007B +:10162000938787F69843630F870873700430984058 +:1016300039EB17F701001307E7F414439442A5E2CE +:101640007D5797F6010023A9E6F0984009CF98430B +:10165000784311CB984394437C43FD177CC3FC42F1 +:1016600099E373600430B24022449244024941013C +:10167000828097F70100938727F198439C437C432E +:1016800085077CC32DB794439843F8420507F8C2F9 +:1016900017F70100130707EF14439442D5D21843FC +:1016A00058475847584397F6010023A7E6EA71BF09 +:1016B000984394437C43FD177CC3FC42B1FF7360A5 +:1016C000043099BF97F701009387C7E89C4381C70F +:1016D0007370043001A02244B24092440249410197 +:1016E0006FE0DFD241C517F701001307E7E91443A4 +:1016F0008147638BA606411106C622C426C27370B9 +:10170000043097F60100938666E69C4291C7104329 +:101710001C437C4285077CC29C42AA84404981C705 +:101720001C43FC43B9E797F701009387A7E59C4367 +:10173000638B870497F70100938787E49C43638456 +:10174000870497F70100938727056309F40297F749 +:101750000100938727036301F4028547B2402244C6 +:1017600092443E85410182803E85828073700430C0 +:1017700001A0EF40F04C45BF9147CDB79C5493B7C3 +:1017800017008907E1BF8947D1BF7370043097F70D +:1017900001009387A7DD984309EF15C59C43485581 +:1017A00081CB97F70100938727DE9843784315E3B1 +:1017B000828017F70100130727DD14431843F8420E +:1017C0000507F8C261FD17F701001307E7DB0843BF +:1017D000F1B7984394437C43FD177CC3FC42E9FB7B +:1017E000736004308280411122C42A8406C6EFE06F +:1017F000DFCD11E497F70100938707D98043405468 +:10180000EFE05FCCB2402285224441018280A547AF +:1018100063F5B7007370043001A0011122CC06CE2D +:1018200026CA4AC84EC652C42A847370043017F9B7 +:1018300001001309A9D383270900CDEF71C43C48E7 +:101840006388F50817F70100130707D463FCB70096 +:101850001443638C860C18434457B3B4950093C467 +:10186000140029A00443818C93B41400585463835A +:10187000E70A1C4C2CC863C60700A947B385B740CC +:101880000CCC93172700BA97584897F90100938911 +:1018900029DF8A07CE97631AF702130A440052859C +:1018A000EFE01FCD97F70100938787CC48549C4306 +:1018B00063F6A70097F7010023ACA7CAD145EF4014 +:1018C0007024D2854E95EFE03FC699C0EFE01FB47B +:1018D0008327090081CB97F701009387E7CA9C43D0 +:1018E000FC439DEBF2406244D2444249B249224A51 +:1018F0000561828097F70100938707C998439C434D +:101900007C4385077CC305FC97F701009387C7C715 +:10191000804335B74CD4B1BF6244F240D24442490F +:10192000B249224A05616F40B031814481B725C177 +:10193000011126CA97F40100938404C59C4006CE89 +:1019400022CC4AC84EC6638DA7027370043017F9C3 +:1019500001001309A9C18327090091C798409C4041 +:101960007C4385077CC3584997F701009387C7E2FA +:101970006302F7028327090081C79C40FC43A5EB63 +:10198000F2406244D2444249B24905618280737098 +:10199000043001A01C5517F70100130767DDE38B26 +:1019A000E7FCE9FB930945002A844E85EFE05FBC24 +:1019B00097F701009387C7BB48549C4363F6A70081 +:1019C00097F7010023A6A7BAD145EF40B01397F7C8 +:1019D00001009387E7CA3E95CE85EFE0FFB49C40B7 +:1019E0005854DC57E368F7F8EFE05FA261B7624450 +:1019F000F240D2444249B24905616F40702415CD8E +:101A0000011122CC26CA4EC62A8406CE4AC8EFE06F +:101A1000DFAB584897F70100938707D8AA8981441C +:101A20006300F7024E85EFE0FFA9F240624426858D +:101A30004249D244B249056182807370043001A0EA +:101A40001C5417F501001305A5D2E38DA7FCF9FB83 +:101A500097F70100938707B09C43A9EB97F7010024 +:101A6000938787B29C43445413094400DC574A854A +:101A7000B3B4F400EFE0DFAF97F70100938747AF0F +:101A800048549C4393C4140063F6A70097F70100E1 +:101A900023A0A7AED145EF40F00697F7010093874A +:101AA00027BECA853E95EFE03FA8ADBF93058401F0 +:101AB000EFE09FA785BF0111056506CE22CC26CA9F +:101AC0004AC84EC652C456C25AC0EF208036630E72 +:101AD000051AAA8413050006EF20A0352A84630A9C +:101AE000051C05669305500A04D92685EF20A05AE7 +:101AF00004588567F117BE94B7474C4593879744C0 +:101B0000130A44005CD85285230C0402A301040488 +:101B10002326040223280404232A0404EFE07FA0E0 +:101B200013058401EFE0FF9FA947232C0404F198DB +:101B300000C81CCC40D023220404232E04040146F8 +:101B4000971500009385E5B12685EFE0BF9808C0A2 +:101B50007370043017F90100130949A183270900A4 +:101B600097F40100938444A291C798409C407C4321 +:101B700085077CC317F70100130707A01C438507DF +:101B800097F6010023AAF69E9C40C9C3832709004B +:101B900097F901009389C9AE81EB9C40DC5789E736 +:101BA00097F7010023A2879E97F701009387079B71 +:101BB000984397F601009386A69B5C5494420507D0 +:101BC00017F60100232CE69838C463F6F60017F7E1 +:101BD0000100232FF798139527003E950A05D2851B +:101BE0004E95EFE07F948327090081C79C40FC431A +:101BF000DDE78327090091C39C40EF10F04985473A +:101C00006305F50C59E17370043001A097F70100EA +:101C100023AC87961843854797F90100938949A615 +:101C2000E314F7F8CE8A17FB0100130BEBB15685CE +:101C3000D10AEFE0DF8DE31C5BFF17F50100130510 +:101C4000A5B0EFE0DF8C97FA0100938A2AB15685A0 +:101C5000EFE0FF8B17F50100130585B1EFE03F8B37 +:101C600017F50100130505B2EFE07F8A17F50100B3 +:101C7000130585B2EFE0BF8997F7010023A46791B0 +:101C800097F7010023AE578F05B7F2406244D24464 +:101C90004249B249224A924A024B056182809840E9 +:101CA00094407C43FD177CC3FC42A1F77360043071 +:101CB00089B76244F2404249B249224A924A024BF1 +:101CC0002685D24405616F20E02873700430FD57EB +:101CD00017F701002322F788624497F7010023A732 +:101CE000A788F240D2444249B249224A924A024B62 +:101CF00097F7010023A0078805616FE08FDA737002 +:101D0000043097F7010023A307866FE0CFE717F7AA +:101D10000100130727841C4385071CC3828073704E +:101D2000043097F7010093876784984305E317F71A +:101D300001001307278408439C4381CB97F70100D8 +:101D4000938787849843784301EF828017F70100D7 +:101D50001307878314431843F8420507F8C2C1BF2D +:101D6000984394437C43FD177CC3FC42F9FF7360A6 +:101D700004308280411106C622C4EFE00FF597E7D8 +:101D800001009387277F8043EFE0CFF3B2402285A5 +:101D900022444101828097E701009387E77D8843D1 +:101DA0008280797106D622D426D24AD04ECE52CC29 +:101DB00056CA5AC85EC697E701009387A7799C4325 +:101DC000B1EF17E701001307E77A1C43850797E691 +:101DD000010023A1F67A832A0700638F0A0697E79A +:101DE0000100938767779C43814463F1FA0897F970 +:101DF00001009389E98817EA0100130AEA7883272A +:101E00000A008546D85793172700BA978A07BE99C4 +:101E100083A7090063FFF600854421A897E7010026 +:101E2000938787749C438144850717E70100232D1E +:101E3000F77297E701009387E7729C4391C385444B +:101E4000B2502254268502599254F249624AD24A2B +:101E5000424BB24B4561828017E401001304847247 +:101E60001C409C43DDC37370043001A017E40100E3 +:101E70001304447197F901009389898017EA0100DE +:101E8000130A8A7017EB0100130B8B6E1C409C43E6 +:101E9000B5C31C40DC4703A9C70083274900930B47 +:101EA00049005E8563EEFA04EFE08FEC8327890238 +:101EB0001305890199C3EFE0AFEB8327C90203271C +:101EC0000B00DE85139527003E950A054E95637637 +:101ED000F70017E70100232DF768EFE0EFE4832711 +:101EE0000A000327C902DC57E362F7FA1C40854465 +:101EF0009C43C5F3FD5717E70100232FF76401B793 +:101F000017E70100232AF764DDBD17E70100130777 +:101F100027671C40184397E6010023A5E66617E7EC +:101F20000100232FF76497E70100938767639C43C1 +:101F3000850717E701002325F7621C409C4399E7BA +:101F4000FD5717E701002329F76051BD1C40DC470E +:101F5000DC47DC4317E701002320F76049B597E72A +:101F600001009387275F984301E77370043001A055 +:101F7000011106CE22CC26CA4AC84EC652C456C249 +:101F80005AC07370043097E401009384245E984033 +:101F900061EB98437D1797E6010023ADE65A9C4319 +:101FA000D9EB97E701009387275D9C43C9C797EA60 +:101FB0000100938AEA6C17EA0100130A6A5B17E9C9 +:101FC00001001309695C854919A83385EA00EFE02F +:101FD000AFD5832709005854DC576379F70483A7EA +:101FE0000A0FC1CF83A7CA0FC04713058401EFE0D2 +:101FF0002FD8130B44005A85EFE08FD75C5483260B +:102000000A00DA85139527003E950A052A8756951A +:10201000E3FDF6FA17E70100232CF754EFE0CFD0E9 +:10202000832709005854DC57E36BF7FA97E7010060 +:1020300023AA37536DB701449C4081CB97E7010039 +:10204000938787549C43FC4395E72285F240624482 +:10205000D2444249B249224A924A024B05618280E7 +:1020600017E701001307475214431843F8420507C6 +:10207000F8C205B7EF40C03CC9BF17E40100130424 +:10208000A44E1C4095C31C40054999CF193B09C576 +:1020900097E7010023A8274D1C40FD1717E7010013 +:1020A0002324F74C1C40FDF397E701009387874BEF +:1020B00098438547E311F7F8EFE04FB50544ADBF0E +:1020C00011CD81E57370043001A017E701001307FB +:1020D00067481C4399C77370043001A073700430C3 +:1020E00001A0411122C406C614431841850617E613 +:1020F00001002321D64697E601009386A647944225 +:102100003304B70000C163F7E602637FE40063FDB8 +:10211000860097E701009387274788431105EFE082 +:102120002FC52285EF40802B1D3D01C9B2402244BE +:1021300041018280E36FE4FCD9BF2244B2404101F7 +:102140006FE0CFAC11C917E701001307A7401C438C +:1021500091C77370043001A06FE04FAB411106C608 +:1021600022C41843AA87050797E6010023A4E63E88 +:1021700097E601009386464117E701001307873F62 +:10218000184388423304F7001105EFE06FBE228543 +:10219000EF40C024E93311E52244B24041016FE031 +:1021A000EFA6B240224441018280411122C406C6FA +:1021B00097E701009387073A9C430144850717E797 +:1021C00001002329F73897E701009387E73A9C43FA +:1021D00063E0F51217EE0100130E4E5697E2010070 +:1021E0009382424901440147854F1303500A21A0BD +:1021F000311E638DC20B83278EFFBA86F5DB8327E2 +:10220000CEFFDC43232EFEFE638AC70F03AFC70059 +:10221000DC432A97814E232EFEFE6385C70783A8E1 +:10222000C70093874803232017015CC383A7880452 +:102230002326F7011CC783A7C8021CCB83A7080568 +:10224000232C07005CCB03A8080383470800639096 +:102250006704C287850783C60700B3850741E38B00 +:1022600066FE8981C205C181231EB700850E130752 +:10227000070263061F038327CEFFDC43232EFEFEE7 +:10228000E39FC7F983274E00232EFEFE49BF8145F9 +:10229000231EB700850E13070702E31E1FFD769469 +:1022A00013175400311EBA86E397C2F597E7010071 +:1022B0009387472D03AE070083270E00639D070E0B +:1022C00097E701009387C72B03AE070083270E0013 +:1022D0006392071817EE0100130E8E3A83274E10F3 +:1022E0009DE383278E116395072019C223200600E2 +:1022F000BD312285B24022444101828083274E00B5 +:10230000232EFEFE21B783278E1017EF0100130F37 +:10231000EF47DC4317E701002328F7466380E733E4 +:1023200083A2C700DC43AA96814E17E70100232D44 +:10233000F744914F1303500A6388E70783A8C70047 +:102340009387480323A01601DCC283A7880423A631 +:10235000F6019CC683A7C8029CCA83A7080523ACC4 +:102360000600DCCA03A80803834708006391672AB4 +:10237000C287850703C70700B3850741E30B67FEE4 +:1023800093D72500C207C183239EF600850E93864E +:1023900006026386122983278E10DC4317E70100AB +:1023A0002324F73EE39CE7F983270E1117E701008A +:1023B000232CF73C61B783274E00130F8E00D443C4 +:1023C0002322DE006388E629B307E500D84283A212 +:1023D000C600814E2322EE00894F1303500A630684 +:1023E000EF068328C7001387480323A01701D8C32B +:1023F00003A7880423A6F70198C703A7C80298CBB0 +:1024000003A7080523AC0700D8CB03A8080303479C +:1024100008006318671C4287050783460700B30559 +:102420000741E38B66FE13D7250042074183239EB5 +:10243000E700850E93870702638D121B83264E00EB +:10244000D8422322EE00E31EEFF80327CE0023221A +:10245000EE0041BF83274E00130F8E00DC43232282 +:10246000FE006384E71F83A2C700DC43AA96814E67 +:102470002322FE00894F1303500A6306FF0683A838 +:10248000C7009387480323A01601DCC283A78804F2 +:1024900023A6F6019CC683A7C8029CCA83A7080589 +:1024A00023AC0600DCCA03A8080383470800639630 +:1024B0006710C287850703C70700B3850741E30B91 +:1024C00067FE93D72500C207C183239EF600850EC1 +:1024D00093860602638B120F83274E00DC43232270 +:1024E000FE00E31EFFF88327CE002322FE0041BF3B +:1024F0008327CE1197EE0100938E8E2ADC4317E7D7 +:102500000100232DF7286384D71383AFC700DC4372 +:102510003307D500014397E6010023A1F6280D4FAC +:1025200089429308500A6387D707C8479307450332 +:1025300008C35CC33C452326E7011CC75C551CCB84 +:102540001C5599C3232657003C49232C07005CCB1C +:10255000032805038347080063951705C28785078D +:1025600083C60700B3850741E38B16FF93D7250089 +:10257000C207C183231EF700050313070702638AFE +:10258000AF028327CE11DC4397E6010023A8F62093 +:10259000E39DD7F983274E1297E6010023A0F6208A +:1025A00069B78147231EF700050313070702E39A63 +:1025B000AFFC1A94E31C06D225BB8147239EF6008C +:1025C000850E93860602E39912F117EE0100130EB1 +:1025D0002E0B83274E10769493165400E38307D076 +:1025E0001DB30147239EE700850E93870702E397FB +:1025F00012E597E701009387A7F803AE07007694EA +:102600009316540083270E00E38607CCA1B58147BB +:10261000239EF600850E93860602E39E12D783273B +:102620008E11769493165400E38107CCD1B583279D +:102630004E1217E701002323F716C1BD83270E11A1 +:1026400017E701002322F714E1B98327CE002322E4 +:10265000FE0011BD8326CE002322DE00B5B37971C2 +:1026600026D24AD04ECE52CC56CA5AC85EC606D6DC +:1026700022D497E901009389E9ED17E901001309D4 +:10268000290017EA0100130A6AEE97EA0100938A0B +:10269000AAEF97EB0100938B2BEE97E40100938454 +:1026A000E4ED054B21A883A7090003244910850701 +:1026B00017E701002320F7EA5D3011E89C40E5F7B9 +:1026C00083270900E37CFBFEEFD05FD4C5BF7370A6 +:1026D000043083270A00B9E383270911C047130593 +:1026E0004400EFD0FFE883A70B00FD1717E70100B8 +:1026F0002324F7E89C40FD1717E701002320F7E8A3 +:1027000083270A0089C783A70A00FC4385E308588A +:10271000EF1050042285EF10F0034DB703A70A0015 +:1027200083A70A007C4385077CC37DB703A70A0003 +:1027300083A60A007C43FD177CC3FC42E9FB73605F +:102740000430F1B797E701009387C7E09C43DDE7CA +:10275000411106C622C426C297E7010023A407E060 +:1027600017E70100130747E21C43B7A6A5A5938608 +:10277000565A9C5B8C43639BD500D0436318B600CC +:1027800094476395C600DC476388D70008430C4331 +:1027900093854503EF20607317E70100130747DDBA +:1027A000144317E401001304A4ED11A81C43A9CF9E +:1027B0001C43FD1797E6010023ACF6DA1443939708 +:1027C0002600B6978A07A2979C43EDD30843931738 +:1027D0002500AA978A073307F4005443A107A2975C +:1027E000C44244C36385F402DC44B240224417E788 +:1027F0000100232BF7D8924441018280854717E7D7 +:1028000001002321F7D682807370043001A0C440F8 +:10281000D145EF30302F229544C1F9B701114AC894 +:1028200006CE22CC26CA4EC62A897370043097E49D +:1028300001009384A4D39C4017E401001304C4D482 +:10284000D9E76314090003290400930949004E8560 +:10285000EFD01FD28327890289C713058901EFD0E2 +:102860003FD1CE8517E50100130505F3EFD0DFCB8F +:102870009C4081C71C40FC43ADE31C40638B270791 +:102880009C409DCF737004309C40C1EB97E70100E2 +:10289000938747CF9843184325EBFD5717E701006F +:1028A000232CF7CA9C4089CF1C40FC4391CB184095 +:1028B00014407C43FD177CC3FC4299E373600430F1 +:1028C000F2406244D2444249B249056182801840D4 +:1028D0001C407C4385077CC3ADB7184014407C4343 +:1028E000FD177CC3FC42D1FB736004301C40E399AC +:1028F00027F99C40A1C397E701009387A7C59C4394 +:10290000ADCB7370043001A09C43DC47DC47DC4353 +:1029100017E701002322F7C471B718401C407C431D +:1029200085077CC397E701009387C7C59843184381 +:102930002DD7D9BF97E70100938707C417E7010098 +:10294000130707D49C4303278711631DF700F24048 +:10295000624497E7010023A907C2D2444249B24921 +:10296000056182806244F240D2444249B249056125 +:10297000D1BB6244F240D2444249B24905616FD0B2 +:10298000FFA83DC9411126C297E401009384C4BF4A +:102990004AC02E898C4006C622C4E105EFD05FBA3A +:1029A00088401105EFD0DFBCFD57630AF90497E7B3 +:1029B0000100938727BC804398409C434A9440C3BE +:1029C000636CF40497E701009387C7BB88438C408E +:1029D0009105EFD0FFB697E701009387E7B79C43D7 +:1029E0006376F40097E7010023A887B6B24022443B +:1029F00092440249410182807370043001A08C40EE +:102A00002244B2409244024917E501001305C5D89B +:102A1000910541016FD05FB197E70100938747B6F9 +:102A2000884322448C40B2409244024991054101BE +:102A30006FD01FB111C997E701009387A7B19C43DD +:102A400099E77370043001A07370043001A0411144 +:102A500026C297E40100938424B39840AE878C404B +:102A6000B7060080D58F06C622C41CCFE10532848C +:102A7000EFD09FAB88401105EFD09FAFFD57630E9D +:102A8000F40097E701009387E7AE8843B240924491 +:102A90002295224441016F3070148C402244B24090 +:102AA000924417E50100130525CF910541016FD030 +:102AB000BFA725CD411126C297E401009384C4AC81 +:102AC0004AC02E898C4006C622C4E1053284EFD06C +:102AD000BFA588401105EFD0BFA98547630AF4045C +:102AE00097E70100938707A9804398409C434A9445 +:102AF00040C3636CF40497E701009387A7A8884359 +:102B00008C409105EFD0DFA397E701009387C7A41E +:102B10009C436376F40097E7010023AF87A2B2409D +:102B2000224492440249410182807370043001A022 +:102B30008C402244B2409244024917E5010013053B +:102B4000A5C5910541016FD03F9E97E7010093878E +:102B500027A3884322448C40B24092440249910505 +:102B600041016FD0FF9D411106C622C426C25C45BB +:102B7000C04735CC930484012685EFD07F9F97E72B +:102B800001009387279D9C43B5E793044400268565 +:102B9000EFD01F9E97E701009387879D58549C4371 +:102BA00063F6E70097E7010023A4E79C931727004B +:102BB000BA978A0717E50100130585ACA6853E95EF +:102BC000EFD09F9697E701009387079C9C4358544A +:102BD0000145DC5763F8E700854717E70100232329 +:102BE000F7980545B24022449244410182807370B7 +:102BF000043001A0A68517E50100130565B7EFD0E5 +:102C0000BF92C9B797E701009387C7949C4381E7B8 +:102C10007370043001A0411106C622C426C2B70752 +:102C200000804045CD8F1CC12DC4EFD07F9493040C +:102C300044002685EFD0DF9397E701009387479301 +:102C400058549C4363F6E70097E7010023A2E792FC +:102C500093172700BA978A0717E50100130545A2C5 +:102C60003E95A685EFD05F8C97E701009387C791CB +:102C70009C4358540145DC5763F8E700854717E744 +:102C800001002321F78E0545B240224492444101C0 +:102C900082807370043001A011CD97E70100938703 +:102CA000278C984397E701009387C78C9C4318C1F2 +:102CB0005CC182807370043001A055C1D1CD411137 +:102CC00006C622C42A877370043097E801009388EF +:102CD000E88983A7080091CB97E701009387C78A0B +:102CE00094439C43FC428507FCC217E30100130395 +:102CF00063889C4103260300FD560144638FD7027D +:102D000017EE0100130ECE8503250E0003280700E1 +:102D100054436305A80005446371D6023308D640C6 +:102D20000544637CF80003250E00918F0326030001 +:102D3000B6979CC108C350C3014483A7080081CB48 +:102D400097E70100938747849C43FC4381EF2285EA +:102D5000B2402244410182807370043001A073703C +:102D6000043001A0EF30C06DDDB7854717D70100F3 +:102D7000232AF77E828019C16845828001458280BE +:102D800011C16CC5828097D701009387E77F884384 +:102D9000828097D701009387677D9C43054589CB47 +:102DA00097D701009387077B8843133515000605E5 +:102DB000828031CD011126CA97D401009384C47C4E +:102DC0009C4006CE22CC4AC84EC65855DC57637983 +:102DD000F7021C4D63C707009C40D457A947958F45 +:102DE0001CCD93172700BA97584917E9010013091A +:102DF00029898A07CA97630DF7009C40DC575CD588 +:102E0000F2406244D2444249B249056182808280E4 +:102E1000930945002A844E85EFD08FF59C4017D743 +:102E200001001307E7741843DC575CD46376F7009E +:102E300017D70100232EF772139527003E956244A1 +:102E40000A05F240D244CE854A95B249424905610D +:102E50006FD08FED19CD411106C622C426C297D777 +:102E600001009387677280436307A4007370043086 +:102E700001A0014582807C4881E77370043001A085 +:102E800054543848FD177CC86383E60099C7014550 +:102E9000B24022449244410182809304440026853A +:102EA000EFD00FED284897D701009387676C9843C0 +:102EB000A947898F48D41CCC6376A70097D7010017 +:102EC00023A8A76AD145EF30E04397D70100938745 +:102ED000277B3E95A685EFD02FE5054555BF73703E +:102EE000043097D70100938767689C4391CB97D7AD +:102EF00001009387676998439C437C4385077CC3A3 +:102F0000828097D70100938767669C438DC397D7CC +:102F10000100938767679843784311CB98439443A4 +:102F20007C43FD177CC3FC4299E3736004308280CC +:102F300097D7010093874765904394439843A947E7 +:102F4000D456084E958F1CCF828097D70100938767 +:102F5000A763984309C79443F84A0507F8CA88430A +:102F6000828079714AD006D622D426D24ECE2A89C2 +:102F70007370043097D401009384445F9C4017D44D +:102F8000010013046460BDE31C40BC4F89E71C4092 +:102F90000547F8CFD1E19C4081C71C40FC43F1EBD1 +:102FA000737004309C408DEF1C40A84F11C5630422 +:102FB00009021C4023AC07041C4023AE07049C40BC +:102FC00081C71C40FC438DEBB250225492540259ED +:102FD000F2494561828018403C4FFD173CCFE9BF64 +:102FE00018401C407C4385077CC37DBF18401C40B3 +:102FF0007C4385077CC349BF184014407C43FD17C0 +:103000007CC3FC42F1F373600430B25022549254FA +:103010000259F2494561828008402EC61105EFD061 +:103020002FD5B245FD576380F50697D701009387EA +:10303000675483A9070018409C43AE9923223701A7 +:1030400063ECF90497D701009387C75388430C407A +:103050009105EFD0EFCE97D701009387E74F9C43C0 +:1030600063F6F90097D7010023A8374FEFD00FBAC6 +:103070001DB7184014407C43FD177CC3FC428DF300 +:103080007360043031BF0C4017D501001305C570C3 +:103090009105EFD06FC9D9BF97D701009387474EED +:1030A00088430C409105EFD0AFC9C9B779714AD0B8 +:1030B0004ECE06D622D426D252CC2E89B289737037 +:1030C000043097D401009384644A9C4017D40100D3 +:1030D0001304844BF9EF18408947784F630CF700CD +:1030E0001C401345F5FFB84F798DA8CF1C4005470C +:1030F000F8CFADE69C4081C71C40FC43F5E37370FC +:1031000004309C40B1E7638609001C40BC4F23A0FB +:10311000F900184085470145784F630BF7001C40C4 +:103120001349F9FF0545B84F3379E90023AC27056A +:103130001C4023AE07049C4081C71C40FC43C1E3F4 +:10314000B250225492540259F249624A4561828037 +:1031500018401C407C4385077CC375B7084036C6C1 +:103160001105EFD0EFC0B246FD576385F60897D73B +:1031700001009387274003AA070018409C43369A12 +:10318000232247016363FA0897D701009387873F9B +:1031900088430C409105EFD0AFBA97D701009387D1 +:1031A000A73B9C436370FA0697D7010023A6473BD1 +:1031B00091A818401C407C4385077CC329BF184058 +:1031C00014407C43FD177CC3FC42BDFB736004309C +:1031D000B250225492540259F249624A45618280A7 +:1031E000184014407C43FD177CC3FC4289FB73608C +:1031F000043031B70C4017D501001305E55991058E +:10320000EFD08FB2EFD08FA0F5B597D7010093879D +:10321000273788430C409105EFD08FB2E5B751C1F5 +:10322000411106C622C426C24AC07370043097D426 +:1032300001009384A4339C40B9EB99C23C4D9CC2DD +:10324000B2878946704D74CD2E872A846386D7064F +:1032500063FCF6048D456387B70091456395B7001D +:103260006300D60C38CC8547630FF60405449C40B8 +:1032700081CB97D70100938727319C43FC43A9E377 +:103280002285B2402244924402494101828097D76C +:1032900001009387672F98439C437C4385077CC339 +:1032A00069BF7370043001A08546E39ED7FA3C4D98 +:1032B00033E7B70038CD45BF3C4D85073CCD65B7FA +:1032C000EF30001875BF130944004A85EFD04FAAAC +:1032D00097D701009387C72948549C4363F6A700FA +:1032E00097D7010023A6A728D145EF30A00197D793 +:1032F00001009387E7383E95CA85EFD0EFA21C54B2 +:1033000081C77370043001A097D701009387C72746 +:103310009C435854DC57E3FBE7F4EFD02F8FB9B749 +:103320000144B1B739CD011122CC26CA4AC84EC6D4 +:1033300052C456C206CEBA89368AB2842E892A84ED +:10334000EFD0AF98AA8A63050A003C4C2320FA000C +:10335000784C89477CCC6389F40263F4970C8D46E2 +:103360006387D40091466396D400630AF70A232C3E +:1033700024058547631EF7061C5499CB73700430EF +:1033800001A07370043001A03C4C85073CCCD5B73C +:1033900097D701009387071C9C43ADEB930444002F +:1033A0002685EFD0EF9C97D701009387671C485480 +:1033B0009C4363F6A70097D7010023ABA71AD1451A +:1033C000EF20507497D701009387872BA6853E95F1 +:1033D000EFD08F9597D701009387071B9C435854D4 +:1033E000DC5763F7E70063850900854723A0F900F0 +:1033F00005445685EFD00F8D2285F2406244D244B9 +:103400004249B249224A924A056182809305840169 +:1034100017D501001305C535EFD00F9165BF0144E5 +:10342000C9BF8547E397F4F43C4C33E92701232CCB +:10343000240581B74DC1011122CC26CA2A844EC66B +:1034400006CE4AC8AE84EFD04F888947744C7CCCF6 +:103450003C4C0547AA8985073CCC6395E6061C547D +:1034600081C77370043001A097D701009387870E3E +:103470009C43ADE7130944004A85EFD06F8F97D77F +:1034800001009387E70E48549C4363F6A70097D743 +:10349000010023AFA70CD145EF20D06697D70100DC +:1034A0009387071ECA853E95EFD00F8897D70100F6 +:1034B0009387870D9C435854DC5763F5E70099C008 +:1034C00085479CC06244F240D24442494E85B2498D +:1034D00005616FC03FFF7370043001A09305840144 +:1034E00017D501001305C528EFD00F84C1B7AA87EF +:1034F00029C57370043017D7010013072707144339 +:103500009DE2F04F894601456315D60023AE0704BE +:1035100005451C4381CB97D701009387E706984365 +:10352000784315E3828097D601009386E6059042A2 +:1035300094427442850674C2E9B797D70100938715 +:10354000A7049C437DB7984394437C43FD177CC3F9 +:10355000FC42E9FB7360043082805D71A6C2CAC080 +:1035600052DC56DA5AD85ED686C6A2C44EDE62D483 +:1035700066D26AD017D901001309090217DB0100CE +:10358000130BCB0097D401009384840097DA0100D9 +:10359000938A4A00054AA54B832709009843630193 +:1035A0000712DC4783A90700EFE06FF6EFE02FF783 +:1035B00083270B002A8C6362F50A97D7010023A7A3 +:1035C000A7FC01466370351B8840B3858941EFD065 +:1035D000DFBDEFE0DF9863010512884081460146B8 +:1035E0002C00EFD0EFEB4DD9A247E3C807FEC2494C +:1035F00083A7490189C713854900EFC07FF7EFE032 +:103600000FF283270B002A8C636DF508A24717D7AA +:103610000100232D87F7E3E2FBFCB317FA0013F751 +:103620000721631A071613F7770C6318071E93F721 +:103630000702C5D74E85EF00F01145B7DC47C047FC +:1036400083AC0700930944004E85EFC07FF25C50C5 +:10365000228582975C4C6384470B8327090098433B +:1036600071FF03A70A0097D6010023A186F397D61E +:10367000010023A1F6F297D7010023AFE7F0EFE0B6 +:103680001F8EA1BFDC47C04703AD0700930C440069 +:103690006685EFC0FFED5C50228582975C4C6386A7 +:1036A000470983270900984371FF03A70A0097D6AB +:1036B000010023A1F6EE97D7010023AFE7ECB9B7DD +:1036C000EFE0EFE4EFE0AFE583270B002A8CE36641 +:1036D000F5F883A70A00884081499043B385894162 +:1036E00017D70100232487EB13361600EFD0FFAB6A +:1036F000EFE0FF86E31305EEEFC05FD1F9BD1C4C90 +:10370000CE85E69763E9FC049C4089CF02CA66CC6B +:1037100022CEEFF00FE88840814601464C08EFD0FA +:103720000F8805FD7370043001A01C4CE685EA97F4 +:10373000636CFD049C4089CF02CA6ACC22CEEFF0B4 +:103740004FE58840814601464C08EFD04F8531F95E +:103750007370043001A0032509005CC000C8EFC0ED +:103760003FDEE5BDEFE0AFFF83270900DC47C04740 +:10377000930C44006685EFC0BFDF5C4C638F47034A +:103780005C502285829791BD032509005CC000C86A +:10379000EFC01FDB39B7B24723ACF900A5CFE297E2 +:1037A00023A2F90023A8390193854900636FFC0423 +:1037B00003A50A00EFC0DFD80DB51C4C00C8338745 +:1037C000F90058C0636DEC02330C3C416363FC02AA +:1037D0009C4089CF02CA4ECC22CEEFF08FDB8840CE +:1037E000814601464C08EFC09FFB59F973700430C5 +:1037F00001A003A50A00E685EFC09FD451B70325B9 +:103800000900E685EFC0DFD3A5BF03250900EFC09F +:103810003FD3E1B37370043001A0B24783A689019E +:1038200023A839013387D70023A2E9006364EC049D +:10383000B307FC4063EBD70483A749024E85829708 +:1038400083A7C901E39B47D983A68901B247984062 +:10385000B69711CF02CA3ECC4ECEEFF08FD3884040 +:10386000814601464C08EFC09FF3E31805D67370FC +:10387000043001A06374FC00E370F7FC0325090029 +:1038800093854900EFC0DFCB89BB03A50A00938570 +:103890004900EFC0FFCA91B3411122C406C626C237 +:1038A0004AC017D401001304A4CEEFF04FE31C402C +:1038B00095CBEFF00FE51C409DC38148014881473F +:1038C000094781461306004097D50100938505AF4F +:1038D000170500001305A5C8EFD05F9A39E973708A +:1038E000043001A017D90100130949EC4A85EFC043 +:1038F0001FC297D401009384A4EC2685EFC03FC17A +:103900000146B145114597D7010023A727C997D78D +:10391000010023A197C8EFC07FDB17D7010023293F +:10392000A7C611CD97D501009385C5A8EFD0AFFCF0 +:1039300049B7B240224492440249410182807370E7 +:10394000043001A0A5C9797156CAAA8A1305C0021C +:1039500022D426D24AD04ECE52CC06D65AC85EC603 +:103960003A89B689328AAE84E9212A8405C9EFF002 +:103970000FD797D701009387A7C19C439DCFEFF046 +:103980004FD8130544002320540104CC232E4401B6 +:103990002320340323222403EFC0BFB82285B25072 +:1039A000225492540259F249624AD24A424BB24BD3 +:1039B000456182807370043001A097DB0100938B16 +:1039C000EBDE5E85EFC0BFB417DB0100130B4BDFEE +:1039D0005A85EFC0DFB30146B145114597D70100C5 +:1039E00023AC77BB97D7010023A667BBEFC01FCEE0 +:1039F00017D70100232EA7B801C997D501009385D9 +:103A0000659BEFD04FEFA5BF7370043001A039C59F +:103A1000011122CC06CE26CA17D40100130444B7E4 +:103A2000832804002A88014563850802BA842EC2CF +:103A3000368732C442C6954663C5B602EFF06FB50D +:103A40008947630BF5020840814601464C00EFC0F0 +:103A50001FD5F2406244D244056182807370043005 +:103A600001A04C0081463A864685EFD08F88F2400F +:103A70006244D244056182800840814626864C001B +:103A8000EFC0FFD1F9B719C10841828073700430CB +:103A900001A001E57370043001A0411106C622C4E3 +:103AA0002A84EFF0CFC348483334A000EFF06FC54D +:103AB0002285B24022444101828001E573700430C6 +:103AC00001A0411122C42A8406C6EFF04FC1005064 +:103AD000EFF02FC3B240228522444101828001E5EC +:103AE0007370043001A0411122C426C22E84AA841E +:103AF00006C6EFF0CFBE80D02244B24092444101CE +:103B00006FF02FC04111714522C406C61D262A84BC +:103B100011C5232005001105EFC07F9F2285B2400B +:103B200022444101828001CDB70700FFED8F81C79C +:103B30007370043001A099E57370043001A07370B4 +:103B4000043001A0011122CC4AC84EC652C456C24C +:103B500006CE26CABA893689B28A2E842A8AEFF01E +:103B60004FA311E5638509007370043001A0EFE0F5 +:103B70000F9A83240A00B37794006316090295C74D +:103B800063870A009347F4FFE58F2320FA00EFE0F4 +:103B90000FBDF240624426854249D244B249224ACE +:103BA000924A05618280E30DF4FCE38209FEB3359D +:103BB0005001E20563050900B7070004DD8D4E865C +:103BC000C18D13054A00EFE0FFE6EFE04FB919E1C0 +:103BD000EFC0DF83EFF0CFB593176500AA8963D8F4 +:103BE0000700B7040001FD14B3F499005DB7EFF0CE +:103BF0000FAF83290A00B3773401631E090089CB14 +:103C000063880A009347F4FFB3F737012320FA00D3 +:103C1000EFF02FAFF9B7E31DF4FEDDB701C9B70729 +:103C200000FFED8F99C77370043001A073700430EA +:103C300001A0411122C42A8406C626C24AC02E8988 +:103C4000EFF0EFA904409345F9FFB3F795001CC0CE +:103C5000EFF02FABB2402244268502499244410145 +:103C60008280411122C42A8406C6EFC01F8600400C +:103C7000EFC05F85B240228522444101828009C99C +:103C8000370700FFB3F7E50099C77370043001A050 +:103C90007370043001A06F20607CB70700FFF18FC4 +:103CA00081C77370043001A001E67370043001A075 +:103CB000011122CC4AC84EC652C406CE26CA368945 +:103CC000AE892A8A3284EFF0CF8C21E163050900A6 +:103CD0007370043001A0EFE08F8383240A00CE8547 +:103CE0005285B3E49900613FB37794006307F4060B +:103CF00083240A00EFE0AFA6F240624426854249E1 +:103D0000D244B249224A05618280EFE04F80832489 +:103D10000A00CE855285B3E434019537B3F78400A9 +:103D2000638D8702E30609FCB70500054A86C18D4D +:103D300013054A00EFE01FD0EFE06FA219E1EFC0DA +:103D4000EFECEFF0EF9E93176500AA8463DF0700A6 +:103D5000B7070001FD17FD8C45B783270A00134400 +:103D6000F4FFE18F2320FA0071B7EFF04F9783241F +:103D70000A00B3779400639787009347F4FFE58FB9 +:103D80002320FA00EFF0EF97E1B7411122C406C6F5 +:103D90002A8426C2EFD0BFF75C4085C3084893044D +:103DA000C4006316950015A0084863009502B70586 +:103DB0000002EFE03FE55C40E5FB2285612A2244FA +:103DC000B240924441016FE08F997370043001A0BA +:103DD00009C9370700FFB3F7E50099C773700430CE +:103DE00001A07370043001A06F20406701C9B707BC +:103DF00000FFED8F99C77370043001A07370043019 +:103E000001A0411122C426C206C62A84AE84EFF066 +:103E10000F8D184093C7F4FFF98F1CC02244B240A5 +:103E2000924441016FF0EF8D19C1084D8280014528 +:103E30008280411122C406C62A84EFD05FED97C765 +:103E400001009387A7759C43A1EBE56717D7010095 +:103E500013078798F11797C6010023A7E67417C7C1 +:103E600001002321F77417D701002329F79697C77C +:103E700001009387E77217D70100232FF794854736 +:103E800017C701002324077217C70100232A0770F0 +:103E900017C701002324F77019E8EFE04F8CEF10EB +:103EA000400201442285B240224441018280130530 +:103EB000840093773500C1EFE5671307F5FFE91735 +:103EC000E3EDE7FC97C601009386066E9C4229A0AD +:103ED000984311C7BE86BA87D843E36BA7FE17C7BE +:103EE00001001307E76BE38AE7FA9843414613841E +:103EF000870098C2D843B306A7406378D6023388B8 +:103F0000A7002322D800C8C38325480097C6010014 +:103F10009386866911A0BA8698425043E36DB6FE37 +:103F20002320E80023A00601D84397C70100938708 +:103F3000676B9C43998F17C701002325F76AEFE051 +:103F40000F8231DC2285B240224441018280719986 +:103F500011059DB729C9411122C406C62A84EFD094 +:103F60001FDB930584FF0326C4FF17C70100130757 +:103F7000A76311A03E871C43D443E3EDC6FE232C68 +:103F8000F4FE0CC397C701009387C7659843832746 +:103F9000C4FF2244B240BA9717C701002324F76434 +:103FA00041016FD0DFFB828097C701009387876351 +:103FB0008843828082803367B5000D8BAA871DE716 +:103FC0009308D6FFAA982A87AE866371150303A8C3 +:103FD000060011079106232E07FFE36A17FF934798 +:103FE000F5FFC697F19B9107BE95AA972A9663F3B2 +:103FF000C70A9386450013884700B3B6D70033B885 +:10400000050133E7F50093C61600134818000D8B21 +:10401000B3E6060113371700758F330EF64093860B +:10402000170029CF1337AE0031EB13532E002E8823 +:10403000BE860147832808000507110823A0160142 +:104040009106E36967FE1377CEFFBA95BA976302CC +:10405000EE0483C60500138717002380D700637A18 +:10406000C70283C6150013872700A380D700637299 +:10407000C70203C725002381E7008280B307F6400B +:10408000AE97850503C7F5FF8506238FE6FEE39A05 +:10409000F5FE82808280B367C5008D8BB308C500B2 +:1040A000E9C36371150FB307A0408D8B13873700E9 +:1040B000954693F5F50F130815003383A8407D1638 +:1040C0006373D70015476363E60CD5CF2300B500B3 +:1040D0000547638DE700A300B5000D4713082500D1 +:1040E0006396E700130835002301B5001396850099 +:1040F0004D8E13970501518F3303F340939685013D +:10410000D98E13562300AA97014794C30507910738 +:10411000E36DC7FE1377C3FFB307E8006307E30649 +:104120002380B70013871700637C1703A380B700B1 +:1041300013872700637617032381B700138737009F +:1041400063701703A381B70013874700637A1701D1 +:104150002382B7001387570063741701A382B70047 +:10416000828093F5F50F13978500D98D139705017C +:104170004D8FE37715FFAA87910723AEE7FEE3EDA6 +:1041800017FF828082802A8895B78280AA8749BFDC +:10419000834705002A8799C7050583470500EDFF7A +:1041A000198D82800145828005058347F5FF8505CD +:1041B00003C7F5FF91C7E389E7FE3385E7408280B7 +:1041C0008147E5BFAA87850503C7F5FF8507A38F4C +:1041D000E7FE75FB82808346050093070002639724 +:1041E000F600050583460500E38DF6FE938756FD30 +:1041F00093F7D70F85C7AA87814585CE01458507E7 +:10420000138606FD1317250083C607003A95060599 +:104210003295F5F699C13305A0408280938536FD2D +:104220008346150093B5150093071500E1FA014583 +:10423000D5B7014582809C412380A7009C4185071A +:104240009CC1828097C60100938686369C42175790 +:1042500003001307275B13861700BA972380A70074 +:1042600097C5010023A6C534A9476308F500930745 +:1042700000046305F6000145828075DE370610F004 +:1042800005078347F7FF2320F6F09C42FD1797C5EB +:10429000010023AFF530EDF7014582804D712322F7 +:1042A000911423202115232A51132324811323261B +:1042B000111423248114232E3113232C411323287A +:1042C000611323267113232291132320A113232E7C +:1042D000B111AA842E8932C6130C5002A54A834715 +:1042E000090063808703B9CB804011A0A1CB2300D4 +:1042F000F40080400509050480C083470900E39766 +:1043000087FF0346190093081900C686130D0002A3 +:10431000FD597D5A0148130550059307D6FD93F7C3 +:10432000F70F138916006363F52A17C701001307F7 +:1043300067CD8A07BA979C43BA9782878320C114B6 +:104340000324811483244114032901148329C113F4 +:10435000032A8113832A4113032B0113832BC112D8 +:10436000032C8112832C4112032D0112832DC111C4 +:1043700071618280A14C02C4854763D50715B2479D +:104380008040938B770093F68BFF03AB060083A9E5 +:104390004600938786003EC6668681465A85CE854E +:1043A000EF1050262AC8814763853723930D4101BA +:1043B000854B668681465A85CE85EF10E04681465C +:1043C00066862A8BAE89EF10F023A24723A0AD00AA +:1043D00093861B00910D63843701B68BD9BFE37EB2 +:1043E0009BFF63DD4601A2872380A70180407D1AE1 +:1043F000930714009CC03E84E3C846FF93962B00AD +:104400001C08BE9631A8938777052300F4008040EE +:10441000FD1B93871B00050480C0F116E351F0ECEF +:104420009C42E3E2FAFE93870703C5B79C409306DC +:10443000000332472380D7009C4093064700C14CBD +:104440001386170090C013068007A380C700804022 +:1044500002C4BA87050480C003AB0700814936C691 +:1044600025BFB24783AC0700938B470063840C1CC5 +:10447000635F40199307D0026319FD1683C70C00D0 +:1044800085C363DC09189440850C7D1A2380F600EF +:104490008040050480C083C70C00E5F7635D4001E0 +:1044A0008040930700022300F40080407D1A050439 +:1044B00080C0E31A0AFE5EC61DB502C48547A94C3A +:1044C000E3CF07EBB24780409386470071B703C63E +:1044D0001600CA8699B59C40930650022380D700E7 +:1044E0008040050480C0E5BBC14C02C471B5B24731 +:1044F00003C61600CA8683A9070091073EC6E35E7D +:104500000AE04E8AFD5911BD03C61600130DD002F4 +:10451000CA8621B59347FAFFFD8703C61600337A92 +:10452000FA00CA86DDBB03C61600130D0003CA8657 +:10453000EDB383C51600930906FDCA86938705FD72 +:104540002E86E3EEFAFA93972900BE99850686092E +:10455000AE9983C50600938909FD938705FD2E86D4 +:10456000E3F3FAFE69BF32479C40144311073AC691 +:104570002380D7008040050480C095B3854763D36E +:10458000070BB24793867700E19A938786003EC671 +:1045900083A946009C4263DC090A94401306D002BA +:1045A000B307F0402380C6008040B336F000B30963 +:1045B0003041050480C03E8BB389D940A94C02C468 +:1045C000E1BB03C616000508CA8681BB9C4046892C +:1045D00021B7E37D9BDD8547814B8546E3C547E1F8 +:1045E00031BD97CC0100938CECDDCE856685EF0064 +:1045F000F04B330AAA40635C400180402300A401D1 +:1046000080407D1A050480C0E31A0AFE014A83C770 +:104610000C00E38207EAE3C809E6FD197D57E3943D +:10462000E9E6ADBDB247938647009C4336C693D9B1 +:10463000F74195B7635640019307D002E313FDFAA3 +:1046400097CC0100938C0CD8930780021DBD3E8B44 +:10465000A94C02C4804089B34D71232E3113B70990 +:1046600000409387F9FF2324811423229114232CE3 +:104670004113232A51132326111423202115232803 +:1046800061132326711323248113232291132320E2 +:10469000A113232EB1112A8A2EC417C401001304BA +:1046A00024F1975A0300938AEA1597C40100938472 +:1046B000E4AA3EC693055002294513080004B70634 +:1046C00010F083470A006386B704C1C71840050A83 +:1046D00013061700569797C8010023ABC8EC2300B8 +:1046E000F7006382A706E31E06FD175703001307B2 +:1046F000671105078347F7FF23A0F6F01C40FD175D +:1047000017C601002326F6EAEDF783470A00E39E69 +:10471000B7FA83461A0013051A002A87930B000282 +:104720007D5B7D5C014893055005A5489387D6FDC8 +:1047300093F7F70F130A170063E7F5348A07A69774 +:104740009C43A6978287175703001307A70B55F2C0 +:104750008DBF8320C11403248114832441140329B1 +:1047600001148329C113032A8113832A4113032BC4 +:104770000113832BC112032C8112832C4112032DB0 +:104780000112832DC11171618280A14C014D8547B9 +:1047900063DB0719A2479D07E19B83AD070003ABCD +:1047A0004700138787003AC4668681466E85DA859E +:1047B000EF1040652AC863066D351309410185492C +:1047C000668681466E85DA85EF100006668681462C +:1047D000AA8D2E8BEF1000632320A90085091109F3 +:1047E000E3106DFFE3FE9DFD13060004B70610F015 +:1047F00063D189031C4013871700D69797C5010022 +:1048000023A8E5DA23807701630CC7047D1CE3C38A +:1048100089FFB2476800A548B386F9008A061C08DC +:10482000BE96294813030004370610F0E384A6E877 +:104830009C429305000363F4F8009305700518404B +:10484000AE9793051700569717CE01002322BED6C8 +:104850002300F7006380072B638C6526F116F9B7F8 +:1048600017570300130707FA05078347F7FF23A02D +:10487000F6F01C40FD1797C5010023ABF5D2EDF70C +:104880007D1C71B71C40130600041387170097C5E1 +:10489000010023AFE5D0B386FA009305000323801F +:1048A000B600630FC73C890797C6010023A2F6D064 +:1048B0005697930680072300D70017570300130766 +:1048C00067F4B70610F0639EC70005078347F7FF3C +:1048D00023A0F6F01C40FD1717C60100232AF6CCD2 +:1048E000EDF7A247C14C014D91072247014B832DA3 +:1048F00007003EC455BDA24783AC0700138947009B +:10490000638E0C3A635480219307D002639EFB2E82 +:1049100003C70C00BA856310072025AC8547A94C56 +:10492000014DE3C907E7A2479107C1B7A2478346F4 +:104930001700528703AB070091073EC4E3580CDE13 +:104940005A8C7D5BE5B383461700930BD0025287E8 +:10495000F1BB9347FCFFFD8783461700337CFC00C7 +:104960005287E9B383461700930B000352877DBB40 +:1049700003461700138B06FD5287930706FDB28688 +:10498000E3EEF8FA93172B00DA9705078607B2973C +:1049900003460700138B07FD930706FDB286E3F37A +:1049A000F8FE69BFA2451C4029469841938617002E +:1049B000D69717C50100232DD5BE2380E7001389A4 +:1049C00045006300C72A930700046387F6204AC4A2 +:1049D000D5B1854763DE0729A24713877700619B1E +:1049E000930787003EC4032B47001C43635A0B30D8 +:1049F0001840130600049306170097C5010023A969 +:104A0000D5BA56979305D0022300B7006387C62C0A +:104A1000B307F0403337F000330B6041BE8D330BEA +:104A2000EB40A94C014D49B3834617000508528756 +:104A3000F5B9834617005287D5B91C409306000488 +:104A40001387170017C601002324E6B6D69713066E +:104A500050022380C700E31FD7C417570300130772 +:104A600067DAB70610F005078347F7FF23A0F6F0D3 +:104A70001C40FD1717C60100232CF6B2EDF71DB937 +:104A8000C14C014D29B31C40930600042A8A1387A8 +:104A9000170017C60100232DE6B0D6971306500263 +:104AA0002380C700E318D7C017570300130787D523 +:104AB000B70610F005078347F7FF23A0F6F01C4068 +:104AC000FD1717C601002325F6AEEDF72A8ADDB6DD +:104AD00017570300130707D305078347F7FF232062 +:104AE000F6F01C40FD1797C5010023A3F5ACEDF7C8 +:104AF000F1162DBB175703001307C7D0F1FDF116B0 +:104B000035B3E3FC9DCB8549C5B1014C03C70C000F +:104B1000BA85E30E07EAFD58294513080004B706D5 +:104B200010F063570B0A1C4013861700D69717C363 +:104B30000100232FC3A62380E7006384A506630436 +:104B40000609850C03C70C007D1CBA8579FBE35070 +:104B500080E91C409305000213060004B70610F01C +:104B600021A07D1CE3050CE613871700D697238050 +:104B7000B70017C50100232DE5A2BA87E313C7FECE +:104B800017570300130707C805078347F7FF23A03C +:104B9000F6F01C40FD1717C50100232BF5A0EDF71B +:104BA000C9B7175703001307E7C541DE0507834759 +:104BB000F7FF23A0F6F01C40FD1717C601002329BC +:104BC000F69EEDF7BDBF175703001307A7C3F9BF44 +:104BD0007D1BE31A1BF5A5BF17570300130787C2F8 +:104BE000B70610F005078347F7FF23A0F6F01C4037 +:104BF000FD1717C60100232DF69AEDF74AC45DBCD8 +:104C000097BC0100938C0C7CDA8566854525330CB6 +:104C1000AC40E35D80EF1C4013060004B70610F0C3 +:104C200021A07D1CE3030CEE13871700D697238089 +:104C3000770197C5010023ADE596BA87E313C7FE58 +:104C400017570300130707BC05078347F7FF23A087 +:104C5000F6F01C40FD1797C5010023ABF594EDF766 +:104C6000C9B7175703001307E7B9BDFA4AC499B487 +:104C7000A247138747009C433AC413DBF741BDB3F7 +:104C800017570300130707B8B70610F00507834747 +:104C9000F7FF23A0F6F01C40FD1717C601002329DB +:104CA000F690EDF7854717C701002323F790930788 +:104CB0008007175703002307F7B425B16356800117 +:104CC0009307D002E39EFBF297BC0100938C8C6F9C +:104CD00013078002930580023DBD9756030093861B +:104CE00066B2370610F0850603C7F6FF2320E6F00C +:104CF00018407D1797C5010023ACE58A6DF709BB05 +:104D0000BE8DA94C014D4DB4B70710F023A0A7F2FA +:104D100001A03971130341022ED29A8506CE32D4F6 +:104D200036D63AD83EDA42DC46DE1AC63532F24092 +:104D30000145216182805D711303810322D42AC65B +:104D400032DC2A841A86680006D6BEC236DEBAC0B5 +:104D5000C2C4C6C61ACEEFF06FD4B2472380070094 +:104D60003245B250018D225461618280B70710F044 +:104D700083A647F403A607F403A747F4E31AD7FE74 +:104D8000856693860677B29633B6C600B305E6000D +:104D900023A4D7F423A6B7F48280B70710F003A7A3 +:104DA00047F403A607F483A647F4E31AD7FE856603 +:104DB00093860677B29633B6C60023A4D7F4B3051C +:104DC000E60023A6B7F49307000873A0473082805B +:104DD000411122C406C697B701009387E77D17B437 +:104DE00001001304247D98431C401306F07C9306B5 +:104DF00047069387470697B5010023AFD57A97B545 +:104E0000010023A9F57A6346D600B240224441014D +:104E100082801307478997B7010023AFE7780929F4 +:104E20008547631FF500184085679387F77601452E +:104E3000E3DDE7FCD13D2244B24041016FC03FECCD +:104E400017B5010013058558E9350945753D22441C +:104E5000B24041016FC0BFEA17B501001305855884 +:104E60006FF03FEB17B50100130545586FF07FEA6F +:104E7000411122C426C206C6856417B4010013047A +:104E80006457938784380100FD17F5FF2285EFF002 +:104E90005FE8C5BF55AC411106C6592E054781478D +:104EA0006316E500B2403E854101828017B50100DE +:104EB0001305C551EFF0FFE5B24089473E8541013A +:104EC00082807370043001A082807370043001A06E +:104ED000797126D24AD04ECE52CC56CA06D622D4AA +:104EE000975403009384049A97BA0100938ACA6D79 +:104EF00017BA0100130A8A6D85490549EFC03FE1E1 +:104F0000EFC0FFE08840814601466C00EFB05FD9FA +:104F10002A84EFD0CF841DC997B7010023A8276B3F +:104F2000EFD0EF8361DC83A70A0032476308F70202 +:104F300017B70100232C276903270A00E30037FD78 +:104F4000850717B701002321F7684DBFEFD02F81E8 +:104F500055D483A70A003247E31CF7FC03270A0055 +:104F6000E30E37F9850717B70100232FF76479B7E8 +:104F7000011126CA97B401009384C46422CC4AC8A4 +:104F80004EC606CE975903009389C98F26890544DA +:104F9000EFC0FFD703A5090081460146CA85EFB0DF +:104FA0001F806306850097B7010023A38762EFC0C7 +:104FB0001FFB13050008EFD0EF989C40850717B73B +:104FC00001002321F760E9B7411126C2AA840145F7 +:104FD00022C406C61304E00FEFD05F849C4085070F +:104FE000E37FF4FE9CC00145EFD05F83C5BF7971BC +:104FF00022D44AD04ECE52CC56CA5AC85EC662C4DB +:1050000006D626D266C2814A17B401001304445D55 +:1050100017BA0100130A4A5C97B901009389095C29 +:1050200017BC0100130C0C5B0D49854B130BF00FE3 +:1050300097B7010023A2075A95440840EFD00FFE0E +:105040000840EFC02FEA631025090840832C0A00AE +:10505000EFC0FF8D0840EFC0EFE86313750713053D +:105060000008EFD02F8EEFC09FCA83270A006393FA +:105070009701854AFD14C204C180EFC05FEED5FCE4 +:105080000840EFD0AFF903A5090097B7010023A5A9 +:105090000754EFC02FE56319250503A50900EFC0EC +:1050A0001F8903A50900EFC0EFE3631225058327DD +:1050B0000A00638D6701854A0840EFC05F878DBF96 +:1050C0007370043001A07370043001A0E3960AFEEF +:1050D000EFD0FFE083570C00850717B70100231BB3 +:1050E000F74EEFD01FE2C9BF7370043001A0737098 +:1050F000043001A041114AC02A89014522C426C2B8 +:1051000006C6EFC08FE82A849304150031A88327D0 +:105110000900014585072320F900EFC04FEF014545 +:10512000EFC0AFE6631F8500A6850145EFC02FEEF7 +:105130000145EFC08FE5A285E30B95FC7370043049 +:1051400001A07370043001A041110146914505454D +:1051500006C6EFB0AFD797B501009385E52A97470C +:10516000030023A1A772EFB01FF98148014897B748 +:1051700001009387E746014797B601009386C6452D +:105180001306004097B50100938585291705000097 +:10519000130585F6EFC08F8E8148014897B701004F +:1051A0009387C743054797B601009386E6421306E7 +:1051B000004097B5010093852527170500001305CA +:1051C000E5E0EFC0AF8B8148014881470147814648 +:1051D0001306004097B5010093858525170500004B +:1051E000130525E1EFC08F898148014881470147B8 +:1051F00081461306004097B501009385E523170506 +:105200000000130525D7EFC06F87B24081480148E1 +:105210008147014781461306004097B501009385F9 +:105220002522170500001305E5CA41016FC00F854F +:1052300097B7010093874739944397B70100938745 +:10524000E737984397B7010083D7C73817B60100EF +:105250000356063701456386E6003385C74033357C +:10526000A00097B701009387A736984397B701002E +:105270009387C7359C437D1717B601001306863503 +:10528000035606003337E000FD173307E040B3371D +:10529000F000798DB307F04017B701002312C73231 +:1052A00017B70100232CD7307D8D8280B305B50060 +:1052B000930705006386B70003C70700631607005E +:1052C0003385A74067800000938717006FF09FFE2B +:1052D000130101FB23229104232C41032322910378 +:1052E000232611042324810423202105232E3103A6 +:1052F000232A5103232861032326710323248103D6 +:105300002320A103232EB101930C0500138A05006D +:105310009304000063DE05003305A0403337A0008E +:10532000B305B040930C0500338AE5409304F0FFC9 +:1053300063DA06003306C040B337C000B306D0407E +:10534000B386F640930A06009389060013840C0086 +:1053500013090A006396062817BB0100130B8BF58F +:105360006370CA16B70701006372F6149307F00F53 +:1053700063F4C70093098000B3573601330BFB0079 +:1053800083470B0013050002B3873701B309F540CB +:10539000638C0900B3153A01B3D7FC00B31A360188 +:1053A00033E9B70033943C0113DB0A0193050B008A +:1053B00013050900EF00507C130A050093050B004C +:1053C000939B0A0113050900EF00907693DB0B0114 +:1053D0009305050013850B00EF00D072131A0A0124 +:1053E00093570401B367FA0063FAA700B387570124 +:1053F00063E6570163F4A700B38757013389A740D9 +:1054000093050B0013050900EF001077130A050040 +:1054100093050B0013050900EF00907113140401AC +:1054200093050500131A0A0113850B001354040198 +:10543000EF00506D33648A00637AA4003304540192 +:10544000636654016374A400330454013304A4401C +:105450003354340193050000638A04003304804010 +:10546000B3378000B305B040B385F54013050400A1 +:105470008320C104032481048324410403290104FB +:105480008329C103032A8103832A4103032B0103D8 +:10549000832BC102032C8102832C4102032D0102C4 +:1054A000832DC1011301010567800000B7070001CA +:1054B00093090001E362F6EC930980016FF0DFEBE2 +:1054C000631A06009305000013051000EF005066F4 +:1054D000930A0500B707010063FAFA0E9307F00F6D +:1054E00063F4570193098000B3D73A01330BFB00F3 +:1054F00083470B001305000233095A41B387370174 +:10550000B309F540E38209EAB39A3A01335BFA0042 +:10551000B3153A01B3D7FC0093DB0A0133E9B700B6 +:1055200013050B0093850B00EF001065130A0500AF +:1055300093850B00139C0A0113050B00EF00505FCD +:10554000135C0C019305050013050C00EF00905B44 +:10555000131A0A0193570901B367FA0033943C0107 +:1055600063FAA700B387570163E6570163F4A70006 +:10557000B3875701338BA74093850B0013050B00AE +:10558000EF00905F130A050093850B0013050B00D5 +:10559000EF00105A9305050013050C00EF0090561C +:1055A00093160901131A0A0193D60601B366DA00AD +:1055B00063FAA600B386560163E6560163F4A600BB +:1055C000B38656013389A6406FF01FDEB70700018E +:1055D00093090001E3EAFAF0930980016FF0DFF02C +:1055E0006376DA0093050A006FF01FE7B707010042 +:1055F00063FAF604930BF00F33B5DB001315350097 +:1056000033D7A60097B701009387C7CAB387E700CF +:1056100083CB070093050002B38BAB00338B75413E +:10562000631C0B0263E4460163EACC003384CC4084 +:10563000B306DA4033B98C0033892641930509005B +:105640006FF09FE1B707000113050001E3EAF6FAE6 +:10565000130580016FF0DFFAB3966601335D7601C2 +:10566000336DDD00B35D7A01B3156A0133DC7C0173 +:1056700013540D01336CBC0013850D009305040019 +:10568000B3196601EF00504F930A0500930504001B +:1056900013850D0033996C01931C0D01EF005049E7 +:1056A00093DC0C01130A05009305050013850C001B +:1056B000EF005045939A0A0113570C0133E7EA00B3 +:1056C000930D0A00637EA7003307A701930DFAFF2D +:1056D0006368A7016376A700930DEAFF3307A7016C +:1056E000330AA7409305040013050A00EF00D048D1 +:1056F00093050400930A050013050A00EF005043C8 +:10570000930505001304050013850C00EF00903F7E +:1057100093150C01939A0A0193D50501B3E5BA00DC +:105720001307040063FEA500B385A5011307F4FF6A +:1057300063E8A50163F6A5001307E4FFB385A5019F +:10574000939D0D01B70C0100B3EDED001384FCFF38 +:10575000B3F78D0033F48900338AA5401385070021 +:10576000930504002326F10093DD0D01EF0090392D +:1057700093050400930A050013850D00EF0090388F +:1057800013DC090193050C002324A10013850D00EF +:10579000EF0050378327C10013040C009305040069 +:1057A000130C050013850700EF00D0358326810018 +:1057B00013D70A013305D5003307A7006374D70058 +:1057C000330C9C01B70701009387F7FF935507013E +:1057D0003377F70013170701B3F7FA00B385850194 +:1057E000B307F7006366BA00631EBA00637CF90072 +:1057F00033863741B3B7C700B385A541B385F540BC +:1058000093070600B307F9403339F900B305BA40EE +:10581000B385254133947501B3D767013364F40030 +:10582000B3D565016FF05FC3130101FD232291021F +:10583000232A51012326110223248102232021033C +:10584000232E3101232C41012328610123267101DC +:1058500023248101232291012320A101930A050021 +:1058600093840500639E063813040600930905001F +:1058700017B90100130909A463F8C512B70701009D +:10588000138B05006378F6101307F00F3337C7004A +:1058900013173700B357E6003309F90083460900B0 +:1058A0003387E60093060002B386E640638C060069 +:1058B000B394D40033D7EA003314D600336B970087 +:1058C000B399DA00935A040193850A0013050B007B +:1058D000EF00902A1309050093850A00931B040129 +:1058E00013050B00EF00D02493DB0B01930405009C +:1058F0009305050013850B00EF00D0201319090153 +:1059000093D70901B367F900138A040063FEA70067 +:10591000B3878700138AF4FF63E8870063F6A70064 +:10592000138AE4FFB3878700B384A74093850A00F6 +:1059300013850400EF0050241309050093850A0025 +:1059400013850400EF00D01E93990901930405000C +:10595000930505001319090113850B0093D909015B +:10596000EF00501AB36939011386040063FCA900E3 +:10597000B30934011386F4FF63E6890063F4A900D8 +:105980001386E4FF13140A013364C400130A0000F1 +:105990006F000013B707000113070001E36CF6EE78 +:1059A000130780016FF01FEF138A0600631A0600C9 +:1059B0009305000013051000EF0090171304050075 +:1059C000B7070100637EF4129307F00F63F48700BA +:1059D000130A8000B35744013309F9000347090053 +:1059E0009306000233074701B386E640639406122C +:1059F000B3848440130A1000135B040193050B0069 +:105A000013850400EF0050171309050093050B00E0 +:105A100013850400931B0401EF00901193DB0B012D +:105A2000930405009305050013850B00EF00900D0E +:105A30001319090193D70901B367F900938A040088 +:105A400063FEA700B3878700938AF4FF63E88700AB +:105A500063F6A700938AE4FFB3878700B384A74067 +:105A600093050B0013850400EF00101113090500C6 +:105A700093050B0013850400EF00900B9399090127 +:105A800093040500930505001319090113850B0004 +:105A900093D90901EF001007B36939011386040097 +:105AA00063FCA900B30934011386F4FF63E689009F +:105AB00063F4A9001386E4FF13940A013364C4005D +:105AC0001305040093050A008320C1020324810208 +:105AD00083244102032901028329C101032A810190 +:105AE000832A4101032B0101832BC100032C810078 +:105AF000832C4100032D0100130101036780000086 +:105B0000B7070001130A0001E366F4EC130A8001F1 +:105B10006FF05FEC3314D40033DAE400B399DA00A9 +:105B200033D7EA00935A0401B394D40093850A0052 +:105B300013050A00336B9700EF00100413090500EA +:105B400093850A0013050A00931B0401EF00407EB1 +:105B500093DB0B01930405009305050013850B00EF +:105B6000EF00407A1319090113570B013367E9005D +:105B7000138A0400637EA70033078700138AF4FFAB +:105B8000636887006376A700138AE4FF3307870002 +:105B9000B304A74093850A0013850400EF00C07D7D +:105BA0001309050093850A0013850400EF0040786F +:105BB000930405009305050013850B00EF00807426 +:105BC00013170B011357070113190901B367E900F4 +:105BD0001387040063FEA700B38787001387F4FFD1 +:105BE00063E8870063F6A7001387E4FFB3878700A5 +:105BF000131A0A01B384A740336AEA006FF0DFDFAB +:105C000063ECD51EB707010063F4F6041307F00F29 +:105C1000B335D7009395350033D7B60097A7010069 +:105C200093874769B387E70003C70700130A000299 +:105C30003307B700330AEA4063160A021304100060 +:105C4000E3E096E833B6CA00134416006FF05FE74E +:105C5000B707000193050001E3E0F6FC930580011E +:105C60006FF09FFBB35CE600B3964601B3ECDC003B +:105C700033D4E40093DB0C01B397440133D7EA003B +:105C800093850B0013050400336BF700B31946012D +:105C9000EF00806E1309050093850B0013050400C7 +:105CA000139C0C01EF00C068135C0C019304050009 +:105CB0009305050013050C00EF00C06413190901DA +:105CC00013570B013367E90013840400637EA700B8 +:105CD000330797011384F4FF636897016376A70085 +:105CE0001384E4FF33079701B304A74093850B00A7 +:105CF00013850400EF0040681309050093850B002D +:105D000013850400EF00C0629304050093050500AD +:105D100013050C00EF00005F93170B011319090125 +:105D200093D70701B367F9001386040063FEA70049 +:105D3000B38797011386F4FF63E8970163F6A70022 +:105D40001386E4FFB387970113140401B70B010016 +:105D50003364C4001389FBFF337D240133F9290127 +:105D6000B384A7409305090013050D00EF00805987 +:105D7000935C040193050900130B050013850C00C7 +:105D8000EF00405893D90901130C050093850900D1 +:105D900013850C00EF0000571309050093850900D7 +:105DA00013050D00EF0000563305850193570B01D5 +:105DB0003385A70063748501330979019357050181 +:105DC000B387270163E6F402E392F4BCB70701004E +:105DD0009387F7FF3375F50013150501337BFB003F +:105DE00033964A0133056501130A0000E37AA6CC15 +:105DF0001304F4FF6FF09FB9130A000013040000AE +:105E00006FF01FCC130101FB232481042322910492 +:105E1000232E31032322910323261104232021055D +:105E2000232C4103232A51032328610323267103D2 +:105E3000232481032320A103232EB101930C050009 +:105E4000938905001304050093840500639E0626CC +:105E500013090600138A060097AA0100938A8A454F +:105E600063F4C514B70701006376F6129307F00FC9 +:105E700063F4C700130A8000B3574601B38AFA00DF +:105E800003C70A001305000233074701330AE54040 +:105E9000630C0A00B395490133D7EC00331946016E +:105EA000B364B70033944C01935A090193850A00F7 +:105EB00013850400EF00404C9309050093850A0008 +:105EC000131B090113850400EF008046135B0B01CF +:105ED0009305050013050B00EF00C04293990901DB +:105EE00093570401B3E7F90063FAA700B3872701CA +:105EF00063E6270163F4A700B3872701B384A740B3 +:105F000093850A0013850400EF00004793090500FC +:105F100093850A0013850400EF00804113140401E7 +:105F2000930505009399090113050B00135404010F +:105F3000EF00403D33E48900637AA4003304240178 +:105F4000636624016374A400330424013304A44071 +:105F500033554401930500008320C10403248104C8 +:105F600083244104032901048329C103032A8103F3 +:105F7000832A4103032B0103832BC102032C8102DB +:105F8000832C4102032D0102832DC1011301010560 +:105F900067800000B7070001130A0001E36EF6EC0A +:105FA000130A80016FF05FED631A0600930500008D +:105FB00013051000EF00C03713090500B7070100F3 +:105FC000637AF90E9307F00F63F42701130A800038 +:105FD000B3574901B38AFA0003C70A001305000248 +:105FE000B384294133074701330AE540E30E0AEA47 +:105FF00033194901B3DAE900B395490133D7EC000D +:1060000093540901336BB70013850A00938504008C +:10601000EF0080369309050093850400931B090166 +:1060200013850A00EF00C03093DB0B0193050500D8 +:1060300013850B00EF00002D9399090193570B0175 +:10604000B3E7F90033944C0163FAA700B387270143 +:1060500063E6270163F4A700B3872701B38AA7404B +:106060009385040013850A00EF00003193090500B1 +:106070009385040013850A00EF00802B930505002B +:1060800013850B00EF00002893150B01939909016C +:1060900093D50501B3E5B90063FAA500B3852501E1 +:1060A00063E6250163F4A500B3852501B384A5400B +:1060B0006FF09FDFB7070001130A0001E36AF9F0F0 +:1060C000130A80016FF0DFF0E3E8D5E8B7070100BD +:1060D00063FCF604930BF00F33B5DB0013153500AA +:1060E00033D7A60097A701009387C71CB387E700A3 +:1060F00083CB070093050002B38BAB00338B754154 +:10610000631E0B0263E4360163EACC003384CC40A7 +:10611000B386D94033B58C00B384A6401305040080 +:10612000938504006FF05FE3B707000113050001DA +:10613000E3E8F6FA130580016FF09FFAB396660163 +:10614000335D7601336DDD0033D47901B395690198 +:1061500033DC7C0193540D01336CBC001305040047 +:1061600093850400B31A6601EF000021130A0500AD +:10617000938504001305040033996C01931C0D01F1 +:10618000EF00001B93DC0C011304050093050500D0 +:1061900013850C00EF000017131A0A0113570C01A6 +:1061A0003367EA00130A0400637EA7003307A701E0 +:1061B000130AF4FF6368A7016376A700130AE4FFDC +:1061C0003307A701B309A74093850400138509008D +:1061D000EF00801A9385040013040500138509005D +:1061E000EF000015930505009304050013850C00CE +:1061F000EF00401193150C011314040193D5050110 +:10620000B365B4001387040063FEA500B385A50140 +:106210001387F4FF63E8A50163F6A5001387E4FF85 +:10622000B385A501131A0A01B70C0100336AEA000D +:106230001384FCFFB3778A0033F48A00B384A5404B +:1062400013850700930504002326F100135A0A0161 +:10625000EF00400B930905009305040013050A00A5 +:10626000EF00400A13DC0A01930D050093050C00B2 +:1062700013050A00EF0000098327C100130A050077 +:1062800093050C0013850700EF00C0073305B50127 +:1062900013D709013307A7006374B701330A9A01C2 +:1062A000B70701009387F7FF935507013377F7008E +:1062B00013170701B3F7F900B3854501B307F700DA +:1062C00063E6B400639EB400637CF90033865741F3 +:1062D000B3B7C700B385A541B385F5409307060062 +:1062E000B307F9403339F900B385B440B38525418C +:1062F00033947501B3D767013365F400B3D56501F5 +:106300006FF09FC5130605001305000093F61500F6 +:10631000638406003305C50093D5150013161600D7 +:10632000E39605FE678000006340050663C6050628 +:1063300013860500930505001305F0FF630C0602A4 +:1063400093061000637AB6006358C0001316160057 +:1063500093961600E36AB6FE1305000063E6C500D7 +:10636000B385C5403365D50093D616001356160085 +:10637000E39606FE6780000093820000EFF05FFB6B +:1063800013850500678002003305A04063D805002F +:10639000B305B0406FF0DFF9B305B0409382000061 +:1063A000EFF01FF93305A0406780020093820000E0 +:1063B00063CA0500634C0500EFF09FF713850500E5 +:1063C00067800200B305B040E35805FE3305A040E6 +:1063D000EFF01FF63305B0406780020097A7010079 +:1063E0009387871A944317A701001307A718184328 +:1063F000C8C2637BE50017A70100130767180843AD +:106400008C4391056FA0CF93411122C406C617A7F4 +:106410000100130727172A8408438C439105EFA036 +:106420002F9297A70100938727139C436376F4006C +:1064300097A7010023A28712B24022444101828023 +:1064400097A7010093874714984394437C43FD1713 +:106450007CC3FC4299E3736004308280011122CC3A +:1064600026CA2A84AE844AC84EC652C456C206CE34 +:10647000EFB0FF891C4003290401B7090001B3E50F +:106480009700930AC4000CC08144FD19370A00022A +:106490001840638C2A03032609004A85B365470127 +:1064A000B376360193175600758F0329490063C4EC +:1064B000070001E7F1BFE39DE6FC9317760063D385 +:1064C0000700D58CEFC00FF4E1B793C4F4FFF98C4B +:1064D00004C0EFB0DFA80840F2406244D244424911 +:1064E000B249224A924A05618280411106C622C4FD +:1064F000EFE09FC517F7FFFF1307C78D81460546DD +:106500009305400617A50100130545EFEFD08FC393 +:1065100019C92A84EFB0BF802A8601478146854584 +:106520002285EFD0CFCEEFB00FD9B2402244014543 +:046530004101828023 +:02000004800278 +:10000000CE44FEFFCC45FEFFD644FEFFCC45FEFFAE +:10001000CC45FEFFCC45FEFFCC45FEFFEE44FEFF87 +:10002000CC45FEFFCC45FEFF0845FEFF1445FEFF14 +:10003000CC45FEFF2645FEFF3245FEFF3245FEFF62 +:100040003245FEFF3245FEFF3245FEFF3245FEFFE0 +:100050003245FEFF3245FEFF3245FEFFCC45FEFF36 +:10006000CC45FEFFCC45FEFFCC45FEFFCC45FEFF58 +:10007000CC45FEFFCC45FEFFCC45FEFFCC45FEFF48 +:10008000CC45FEFFCC45FEFFCC45FEFFCC45FEFF38 +:10009000CC45FEFFCC45FEFFCC45FEFFCC45FEFF28 +:1000A000CC45FEFFCC45FEFFCC45FEFFCC45FEFF18 +:1000B000CC45FEFFCC45FEFFCC45FEFFCC45FEFF08 +:1000C000CC45FEFFCC45FEFFCC45FEFFCC45FEFFF8 +:1000D000CC45FEFFCC45FEFFCC45FEFFCC45FEFFE8 +:1000E000CC45FEFFCC45FEFFCC45FEFFCC45FEFFD8 +:1000F000CC45FEFFCC45FEFFCC45FEFFCC45FEFFC8 +:100100006645FEFF7C45FEFFCC45FEFFCC45FEFF6D +:10011000CC45FEFFCC45FEFFCC45FEFFCC45FEFFA7 +:10012000CC45FEFFC245FEFFCC45FEFFCC45FEFFA1 +:100130007443FEFF2C44FEFFCC45FEFFCC45FEFF82 +:100140006244FEFFCC45FEFFBA44FEFFCC45FEFFF5 +:10015000CC45FEFFE844FEFFDA48FEFF2E49FEFFD5 +:10016000E248FEFF2E49FEFF2E49FEFF2E49FEFF0C +:100170002E49FEFFD447FEFF2E49FEFF2E49FEFF0B +:10018000EE47FEFFFA47FEFF2E49FEFF0C48FEFF3A +:100190001848FEFF1848FEFF1848FEFF1848FEFFEB +:1001A0001848FEFF1848FEFF1848FEFF1848FEFFDB +:1001B0001848FEFF2E49FEFF2E49FEFF2E49FEFF86 +:1001C0002E49FEFF2E49FEFF2E49FEFF2E49FEFF5F +:1001D0002E49FEFF2E49FEFF2E49FEFF2E49FEFF4F +:1001E0002E49FEFF2E49FEFF2E49FEFF2E49FEFF3F +:1001F0002E49FEFF2E49FEFF2E49FEFF2E49FEFF2F +:100200002E49FEFF2E49FEFF2E49FEFF2E49FEFF1E +:100210002E49FEFF2E49FEFF2E49FEFF2E49FEFF0E +:100220002E49FEFF2E49FEFF2E49FEFF2E49FEFFFE +:100230002E49FEFF2E49FEFF2E49FEFF2E49FEFFEE +:100240002E49FEFF2E49FEFF2E49FEFF2E49FEFFDE +:100250002E49FEFF2E49FEFF4C48FEFF7A48FEFF66 +:100260002E49FEFF2E49FEFF2E49FEFF2E49FEFFBE +:100270002E49FEFF2E49FEFF2E49FEFFD048FEFF0D +:100280002E49FEFF2E49FEFF3246FEFF2C47FEFFA1 +:100290002E49FEFF2E49FEFF9E47FEFF2E49FEFF20 +:1002A000C447FEFF2E49FEFF2E49FEFF2849FEFFF0 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020787878207461736B73200D0A00000018 +:1003E0006D69616F750A00006D69616F75320A0091 +:1003F00068756E6772790A00436865636B54696D4E +:100400006572000053757370656E6465645F546552 +:1004100073745F517565756500000000434E545F4D +:10042000494E43004C494D5F494E4300435F43549E +:10043000524C0000535553505F545800535553507D +:040440005F525800AF +:100444001000000000000000017A5200017C01014C +:100454001B0D02005000000018000000704EFEFF4B +:100464005805000000440E507489039406990B81CA +:1004740001880292049305950796089709980A9AA9 +:100484000C9B0D036C010AC144C844C944D244D333 +:1004940044D444D544D644D744D844D944DA44DB7C +:1004A400440E00440B0000004C0000006C000000EF +:1004B4007453FEFFDC05000000440E307089039580 +:1004C4000781018802920493059406960897099877 +:1004D4000A990B9A0C0370020AC144C844C944D255 +:1004E40044D344D444D544D644D744D844D944DA34 +:1004F400440E00440B00000050000000BC0000004B +:100504000059FEFF0005000000440E507488028963 +:10051400039305990B810192049406950796089715 +:1005240009980A9A0C9B0D0320010AC144C844C9C6 +:1005340044D244D344D444D544D644D744D844D9EB +:0C05440044DA44DB440E00440B000000CD +:0805E000FC8F0100090000007E +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/dynamic_rv32im_O3.hex b/VexRiscv/src/test/resources/freertos/dynamic_rv32im_O3.hex new file mode 100644 index 0000000..e6375a5 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/dynamic_rv32im_O3.hex @@ -0,0 +1,2193 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F306F00400365 +:100010001300000013000000130000001300000094 +:100020001300000013000000130000001300000084 +:100030001300000013000000130000001300000074 +:100040009702000093824211739052309300000097 +:10005000130100009301000013020000930200004E +:100060001303000093030000130400009304000036 +:10007000130500009305000013060000930600001E +:100080001307000093070000130800009308000006 +:100090001309000093090000130A0000930A0000EE +:1000A000130B0000930B0000130C0000930C0000D6 +:1000B000130D0000930D0000130E0000930E0000BE +:1000C000130F0000930F0000971102009381C1C528 +:1000D0001705020013050547979503009385856E64 +:1000E00013060000EF00001A170502001305C53AB9 +:1000F000970502009385054313060000EF00801862 +:1001000017A503001305456C97B503009385856B10 +:1001100037B6ABAB1306B6BAEF00C01617B1030083 +:100120001301816AEF80C0289392120093D21200CB +:100130009382D2FF638A02008322010013014100EF +:100140006F0000177300203083220100130141006B +:10015000730020301301C1FF23205100F32220340B +:10016000E3C402FC8322010013014100130141F8A2 +:1001700023221100232421002326310023284100BB +:10018000232A5100232C6100232E71002320810299 +:10019000232291022324A1022326B1022328C10293 +:1001A000232AD102232CE102232EF1022320010570 +:1001B0002322110523242105232631052328410567 +:1001C000232A5105232C6105232E71052320810745 +:1001D000232291072324A1072326B1072328C1073F +:1001E000232AD107232CE107232EF107732520347E +:1001F000F3251034130601006F000000731015344E +:1002000083204100032181008321C10003220101D9 +:1002100083224101032381018323C10103240102BD +:1002200083244102032581028325C10203260103A1 +:1002300083264103032781038327C1030328010485 +:1002400083284104032981048329C104032A010569 +:10025000832A4105032B8105832BC105032C01064D +:10026000832C4106032D8106832DC106032E010731 +:10027000832E4107032F8107832FC1071301C10775 +:10028000730020302320C5006376B50013054500B8 +:100290006FF05FFF678000000000000000000000BA +:1002A000000000000000000000000000000000004E +:1002B000130101F8232011002322210023243100FF +:1002C0002326410023285100232A6100232C71009A +:1002D000232E8100232091022322A1022324B10294 +:1002E0002326C1022328D102232AE102232CF10272 +:1002F000232E010323201105232221052324310568 +:100300002326410523285105232A6105232C710545 +:10031000232E8105232091072322A1072324B1073F +:100320002326C1072328D107232AE107232CF1071D +:100330009702020083A2821923A02200F322103424 +:10034000232E5106EF00C03B1701020003210118C4 +:10035000032101008322C10773901234B7220000E9 +:100360009382028873A00230832001000322C1001F +:100370008322010103234101832381010324C1015D +:100380008324010203254102832581020326C10241 +:100390008326010303274103832781030328C10325 +:1003A000832801040329410483298104032AC10409 +:1003B000832A0105032B4105832B8105032CC105ED +:1003C000832C0106032D4106832D8106032EC106D1 +:1003D000832E0107032F4107832F81071301010893 +:1003E00073002030970202009382C21523A01200EE +:1003F00023A2220023A4320023A6420023A85200F5 +:1004000023AA620023AC720023AE820023A09202D2 +:1004100023A2A20223A4B20223A6C20223A8D202CC +:1004200023AAE20223ACF20223AE020323A01205A8 +:1004300023A2220523A4320523A6420523A85205A0 +:1004400023AA620523AC720523AE820523A092077E +:1004500023A2A20723A4B20723A6C20723A8D20778 +:1004600023AAE20723ACF207EF60D02617010200AF +:100470000321C105032101008322C10773901234B7 +:10048000B72200009382028873A00230832001000B +:100490000322C1008322010103234101832381013F +:1004A0000324C10183240102032541028325810223 +:1004B0000326C10283260103032741038327810307 +:1004C0000328C103832801040329410483298104EB +:1004D000032AC104832A0105032B4105832B8105CF +:1004E000032CC105832C0106032D4106832D8106B3 +:1004F000032EC106832E0107032F4107832F810797 +:1005000013010108730020309702020093828203D6 +:1005100083A0020003A1420083A1820003A2C200C3 +:1005200083A2020103A3420183A3820103A4C201A7 +:1005300083A4020203A5420283A5820203A6C2028B +:1005400083A6020303A7420383A7820303A8C2036F +:1005500083A8020403A9420483A9820403AAC20453 +:1005600083AA020503AB420583AB820503ACC20537 +:1005700083AC020603AD420683AD820603AEC2061B +:1005800083AE020703AFC20983AF82076780000012 +:1005900073700430130101F823201100232221007D +:1005A000232431002326410023285100232A6100FF +:1005B000232C7100232E8100232091022322A102EB +:1005C0002324B1022326C1022328D102232AE102D7 +:1005D000232CF102232E01032320110523222105C0 +:1005E000232431052326410523285105232A6105AB +:1005F000232C7105232E8105232091072322A10797 +:100600002324B1072326C1072328D107232AE10782 +:10061000232CF1079702020083A242EB23A02200C1 +:10062000232E1106EF30402817010200032101EAB2 +:10063000032101008322C10773901234B722000006 +:100640009382028873A00230832001000322C1003C +:100650008322010103234101832381010324C1017A +:100660008324010203254102832581020326C1025E +:100670008326010303274103832781030328C10342 +:10068000832801040329410483298104032AC10426 +:10069000832A0105032B4105832B8105032CC1050A +:1006A000832C0106032D4106832D8106032EC106EE +:1006B000832E0107032F4107832F810713010108B0 +:1006C00073002030737004306F00000073104530E9 +:1006D000678000007325403073F04330678000006E +:1006E00097070000938747FE232EB5FE2322C5FA05 +:1006F000232635F82320F5F8130505F86780000058 +:10070000130101FF23261100EF608079EF20805054 +:10071000631805008320C1001301010167800000F8 +:100720008320C100130101016F3000189307850079 +:100730001307F0FF2322F5002324E5002326F5000C +:100740002328F500232005006780000023280500EA +:10075000678000008327450023A2F50003A78700D8 +:1007600023A4E50003A787002322B70023A4B70032 +:1007700023A8A50083270500938717002320F500F1 +:100780006780000003A605009307F0FF13078500AC +:100790006316F6006F008003138707008327470066 +:1007A00083A60700E37AD6FE23A2F50023A4B700B0 +:1007B00023A4E5002322B70023A8A5008327050072 +:1007C000938717002320F5006780000003270501A9 +:1007D000832747006FF05FFD0327450083268500D0 +:1007E000832705012324D70003278500832645009E +:1007F0002322D70003A747006316A700032785001D +:1008000023A2E7002328050003A507001305F5FF31 +:1008100023A0A70067800000032605049387050036 +:10082000630406028325C50003274500B385C50080 +:100830002326B50063E6E500832505002326B500E1 +:10084000138507006F50004267800000130101FF0D +:100850002324810023261100130405002322910084 +:10086000EF30D03783278404635AF004832744028F +:1008700063860704930444026F0000028327840404 +:100880009387F7FF2324F404832784046358F0023A +:10089000832744026384070213850400EF3000635A +:1008A000E30E05FCEF30D00F832784049387F7FF16 +:1008B0002324F40483278404E34CF0FC9307F0FF23 +:1008C0002324F404EF30D034EF3050318327440434 +:1008D000635AF00483270401638607049304040128 +:1008E0006F000002832744049387F7FF2322F40458 +:1008F000832744046358F0028327040163840702BA +:1009000013850400EF30805CE30E05FCEF305009E6 +:10091000832744049387F7FF2322F40483274404A6 +:10092000E34CF0FC9307F0FF2322F404032481003E +:100930008320C10083244100130101016F30502D39 +:1009400063000508130101FF232481002322910085 +:10095000130405002326110093840500EF301028AE +:10096000832604048327C40303270400232C0402E2 +:10097000B387F6021306F0FF2322C4042324E40005 +:100980002324C404B386D740B307F7003307D70046 +:100990002322F4002326E400639804028327040141 +:1009A000639E0702EF30D0268320C100032481001C +:1009B000832441001305100013010101678000002A +:1009C000737004306F00000013050401EFF01FD6B0 +:1009D00013054402EFF09FD56FF0DFFC130504010F +:1009E000EF30C04E93071000E31EF5FAEFF05FBA48 +:1009F0006FF05FFB63160500737004306F0000003A +:100A0000130101FE232A910023282101232631010D +:100A1000232E1100232C8100930906001389050061 +:100A200093040500638E05083305B50213055505CB +:100A3000EF50C00213040500630C05089307450539 +:100A40002320F500232E940223202405EF301019D3 +:100A5000832604048327C403032704001306F0FF3E +:100A6000B387F602232C04022322C4042324E400C7 +:100A70002324C40413050401B386D740B307F70049 +:100A80003307D7002322F4002326E400EFF01FCA27 +:100A900013054402EFF09FC9EF3090172308340587 +:100AA000130504008320C101032481018324410133 +:100AB000032901018329C10013010102678000009D +:100AC00013054005EF4090791304050063160500F7 +:100AD000737004306F000000232084006FF09FF6D5 +:100AE00063160500737004306F0000006376B50074 +:100AF000737004306F000000130101FF2324810094 +:100B0000130620001384050093050000232611001E +:100B1000EFF05FEE630C0500232C85028320C100FB +:100B20000324810013010101678000007370043009 +:100B30006F000000130101FB23261104232481040C +:100B40002322910423202105232E3103232C41034A +:100B5000232A510323286103232671032326C1007E +:100B600063060528638C052693072000639CF60026 +:100B70000327C503930710006306F7007370043062 +:100B80006F0000001389060093840500130405001C +:100B9000EF300065631A05008327C10063860700F4 +:100BA000737004306F000000EF305003032784039C +:100BB0008327C403130B0000930B20009309F0FF5D +:100BC000130A0401930A44026364F710630479234F +:100BD0008327C1006382073663160B00130581016A +:100BE000EF308049EF30D002EF10D074EF30007F4B +:100BF0008327440463943701232204048327840455 +:100C00006394370123240404EF3090009305C1005E +:100C100013058101EF30404963160530EF30007C49 +:100C2000032784038327C4036304F710EF30407E57 +:100C3000EF30C07A832784046346F0026F0000031C +:100C400013850A00EF30802863040500EF3040551B +:100C5000832784049387F7FF2324F40483278404E1 +:100C60006356F00083274402E39C07FC23243405E9 +:100C7000EF30007AEF308076832744046346F00239 +:100C80006F00000313050A00EF30402463040500E1 +:100C9000EF300051832744049387F7FF2322F404A5 +:100CA000832744046356F00083270401E39C07FC78 +:100CB00023223405EF30C075EF20401B130B1000CA +:100CC000EF30C071032784038327C403E370F7F078 +:100CD0000326040463040612631A091E0325840014 +:100CE00093850400EF401078832784008326040452 +:100CF00003274400B387D7002324F40063E4E710FC +:100D00008327840383260400032744029387170064 +:100D10002324D400232CF40263000710130544029B +:100D2000EF30C01A930710006318F50E6F004014DF +:100D3000EF30006E8325C10013050A00EF20106C10 +:100D4000EF30C069832784046346F0026F0000031C +:100D500013850A00EF30801763040500EF3040442C +:100D6000832784049387F7FF2324F40483278404D0 +:100D70006356F00083274402E39C07FC23243405D8 +:100D8000EF300069EF308065832744046346F0024A +:100D90006F00000313050A00EF30401363040500E1 +:100DA000EF300040832744049387F7FF2322F404A5 +:100DB000832744046356F00083270401E39C07FC67 +:100DC00023223405EF30C064EF20400AE31805EE1B +:100DD000EFF00FFC130B10006FF09FEE832705045C +:100DE000E38407D8737004306F0000007370043020 +:100DF0006F00000003260404631006088327040024 +:100E0000638607048327840303274402938717001C +:100E1000232CF402E31407F0EF30805F1305100079 +:100E20008320C10403248104832441040329010491 +:100E30008329C103032A8103832A4103032B01036E +:100E4000832BC102130101056780000003254400C4 +:100E5000EF30C04B8327840303274402232204007E +:100E600093871700232CF402E31A07EAE30605FA36 +:100E7000EFF00FF26FF05FFA0325C40093850400D2 +:100E8000EF40505E832704040327C4008326040038 +:100E9000B307F0403307F7002326E400637ED7044E +:100EA00003274400B307F7002326F40013072000AC +:100EB000832744026304E90403278403130717000C +:100EC000232CE402E38A07F46FF05FE50325C400F6 +:100ED00093850400EF401059832704040327C400BE +:100EE00083260400B307F0403307F7002326E4000D +:100EF000E37ED7FA6FF0DFFA8327440203278403E7 +:100F0000E30C07FA032784031307F7FF232CE402FB +:100F10000327840313071700232CE402E38E07EE54 +:100F20006FF0DFDF13050400EFF05F92EF10107435 +:100F3000130500006FF0DFEEEF30804D1305000069 +:100F40006FF01FEE130101FF2322910093040500AF +:100F5000130540052324810023261100EF401030A3 +:100F600013040500630C0504232C05029307F0FF0E +:100F70002322F5041307100013050501232EE402B4 +:100F80002324F40423220400232004002324040047 +:100F9000232604002320040423089404EFF00FF90F +:100FA00013054402EFF08FF89306000013060000CB +:100FB0009305000013050400EFF0DFB713050400EC +:100FC0008320C10003248100832441001301010117 +:100FD0006780000063040504130101FF23248100DE +:100FE00023229100232611002320210103294500FB +:100FF00013040500EF30C01D930400006304A90230 +:101000008320C1000324810013850400032901000B +:1010100083244100130101016780000073700430D4 +:101020006F0000008327C400930410009387F7FF2C +:101030002326F400E39607FC930600001306000045 +:101040009305000013050400EFF0DFAE6FF05FFBC7 +:101050006302050A63880508130101FE232C810041 +:10106000232A91002328210123244101232E11004A +:1010700023263101930720009384060013090600FC +:10108000138A050013040500639CF6000327C503BB +:10109000930710006302F70A737004306F000000BA +:1010A000EFF04FE3032784038327C403930905006C +:1010B0006366F7049304000013850900EFF00FE165 +:1010C0008320C10103248101138504000329010148 +:1010D000832441018329C100032A810013010102F5 +:1010E0006780000083270504E38807F673700430E7 +:1010F0006F000000737004306F00000003260404CA +:10110000631E060C8327040063860712832784036B +:101110001307F0FF93871700232CF402832784041E +:101120006388E70E832784049304100093871700D5 +:101130002324F4046FF05FF8EFF0CFD90327840382 +:101140008327C403930905006360F706032604049C +:10115000E30A06FA0325C40093050A00EF40903025 +:10116000832704040327C40083260400B307F04048 +:101170003307F7002326E4006378D70003274400F1 +:10118000B307F7002326F40093072000E390F4F858 +:1011900083278403E38C07F6832784039387F7FF71 +:1011A000232CF4026FF09FF603260404E30C06F4EC +:1011B0000325C40093050A00EF40D02A83270404C6 +:1011C0000327C40083260400B307F0403307F70069 +:1011D0002326E400E364D7FA6FF09FFBE39C04F658 +:1011E0000325840093050A00EF40D027832784005D +:1011F0008326040403274400B387D7002324F40084 +:10120000E3E6E7F0832704002324F4006FF01FF0E7 +:101210008327440293041000E38007EA1305440285 +:10122000EF20D04AE30A05E8E30809E82320990003 +:101230006FF09FE803254400EF30400D23220400A7 +:101240006FF0DFEC6302050A83270504638607005D +:10125000737004306F00000083270500638A07085D +:10126000130101FE232C8100232A910013040500A1 +:101270002328210123263101232E11001389050083 +:10128000EFF04FC5032784038327C40393090500A8 +:10129000930400006376F702832784031307F0FFAB +:1012A00093871700232CF40283278404638AE704BE +:1012B0008327840493041000938717002324F404E5 +:1012C00013850900EFF08FC08320C1010324810141 +:1012D0001385040003290101832441018329C100EE +:1012E0001301010267800000737004306F0000007A +:1012F00083274500E38607F6737004306F00000013 +:101300008327440263960700930410006FF05FFB8D +:1013100013054402EF20903BE30805FEE30609FEB7 +:10132000930710002320F9006FF01FFE130101FC4A +:10133000232E1102232C8102232A91022328210328 +:101340002326310323244103232251032326C100F2 +:1013500063060528638C0526938A06009389050099 +:1013600013040500EF20D067631A05008327C1002E +:1013700063860700737004306F000000EF300006D2 +:10138000832784036394071C8327C10063800710AD +:1013900013058101EF20504E9304F0FF130944021E +:1013A000130A0401EF30C006EF10C078EF300003DD +:1013B000832744046394970023220404832784042E +:1013C0006394970023240404EF3080049305C10044 +:1013D00013058101EF20504D63100524EF3000000C +:1013E00083278403638A070AEF308002EF20107F8F +:1013F000832784046346F0026F000003130509008D +:10140000EF20D02C63040500EF209059832784043B +:101410009387F7FF2324F404832784046356F000A2 +:1014200083274402E39C07FC23249404EF20507E8E +:10143000EF20D07A832744046346F0026F00000354 +:1014400013050A00EF20902863040500EF20505593 +:10145000832744049387F7FF2322F404832744045B +:101460006356F00083270401E39C07FC23229404C5 +:10147000EF20107AEF10901FEF205076832784031F +:101480006396070C8327C100E39E07F0EF20507896 +:10149000130500006F00800FEF2090778327040072 +:1014A000638807108325C10013050900EF2000752C +:1014B000EF20D072832784046346F0026F0000039C +:1014C00013050900EF20902063040500EF20504D24 +:1014D000832784049387F7FF2324F4048327840459 +:1014E0006356F00083274402E39C07FC2324940402 +:1014F000EF201072EF20906E832744046346F002C1 +:101500006F00000313050A00EF20501C6304050060 +:10151000EF201049832744049387F7FF2322F40424 +:10152000832744046356F00083270401E39C07FCEF +:1015300023229404EF20D06DEF10501363040508AC +:10154000EF20D06983278403E38E07F29385090097 +:10155000130504008324C400EFF00FAC63940A0861 +:1015600083278403032704009387F7FF232CF402C7 +:1015700063160700EF2010712322A40083270401C3 +:1015800063920708EF20D068130510008320C10381 +:101590000324810383244103032901038329C10216 +:1015A000032A8102832A410213010104678000009B +:1015B000EF20D06203254400EF205045EF20506516 +:1015C0006FF05FEEEFE0DFFC6FF09FF7832705041D +:1015D000E38407D8737004306F0000007370043028 +:1015E0006F0000008327440223269400E38C07F851 +:1015F00013054402EF20900DE30605F8EFE05FF9D4 +:101600006FF05FF813050401EF20500C93071000F2 +:10161000E31AF5F66FF09FFE13050400EFF00FA339 +:10162000EF10D004130500006FF05FF663000506AD +:10163000130101FF2324810023202101232611000F +:10164000232291008324450013890500130405001B +:10165000EF2010386380A4049306000013060900ED +:101660009305000013050400EFF05FCC9307100012 +:10167000630CF5028320C100032481008324410010 +:101680000329010013010101678000007370043019 +:101690006F0000008327C400130510009387170014 +:1016A0002326F4006FF01FFD8327C40093871700E3 +:1016B0002326F4006FF01FFC630805066386050C03 +:1016C000130101FE232C8100232A910023282101EC +:1016D000232631012324410113040500232E110088 +:1016E0001309060093890500EFE0DFFE83278403DA +:1016F000130A050093040000639C070213050A0007 +:10170000EFE0DFFC8320C101032481011385040085 +:1017100003290101832441018329C100032A810097 +:101720001301010267800000737004306F00000035 +:1017300003260404630406028325C40083274400AF +:10174000B385C5002326B40063E6F50083250400B5 +:101750002326B40013850900EF40C050832784037B +:101760001307F0FF9387F7FF232CF4028327440429 +:101770006384E702832744049304100093871700CF +:101780002322F4046FF09FF783270504E38A07F20E +:10179000737004306F000000832704016396070014 +:1017A000930410006FF09FF513050401EF20007201 +:1017B000E30805FEE30609FE930710002320F90065 +:1017C0006FF01FFE6306050883270504638A05087A +:1017D00063840708130101FE232C8100232A910052 +:1017E000232821012324410113040500232E110085 +:1017F00023263101138A0500EFE0DFED8327840300 +:101800001309050093040000638E07008329C400B8 +:1018100093050A0013050400EFF00F8093041000F5 +:101820002326340113050900EFE05FEA8320C1019C +:10183000032481011385040003290101832441014C +:101840008329C100032A810013010102678000007F +:10185000737004306F000000737004306F0000007C +:10186000E38C07FE737004306F0000006316050000 +:10187000737004306F000000130101FF2324810006 +:101880001304050023261100EF20503503248403A0 +:10189000EF2010388320C1001305040003248100C9 +:1018A00013010101678000006316050073700430A6 +:1018B0006F000000130101FF232611002324810083 +:1018C00013040500EF209031832784030324C4030D +:1018D0003304F440EF20D033130504008320C1000B +:1018E00003248100130101016780000063060500E5 +:1018F0000325850367800000737004306F000000CB +:1019000063080504978703009387C7E703A7470089 +:101910006304E50403A7C7006302E50803A74701C2 +:10192000630AE50603A7C7016302E50603A74702AA +:10193000630AE50403A7C7026302E50403A747039C +:10194000630AE50203A7C7036302E5026F400029AB +:10195000737004306F000000130700001317370086 +:10196000B387E70023A007006F40402713077000EC +:101970006FF0DFFE130760006FF05FFE130750008B +:101980006FF0DFFD130740006FF05FFD13073000BD +:101990006FF0DFFC130720006FF05FFC13071000EF +:1019A0006FF0DFFB0325C504678000002326B50424 +:1019B0006780000003450505678000006308050097 +:1019C000032585031335150067800000737004300C +:1019D0006F000000630C0500832785030325C50302 +:1019E0003305F5401335150067800000737004302F +:1019F0006F000000978703009387C7D803A70700ED +:101A00006300070403A787006308070403A707010F +:101A10006308070403A787016308070403A70702F5 +:101A20006308070403A787026308070403A70703E3 +:101A3000630C070403A7870363040704678000009F +:101A40001307000013173700B387E70023A0B70080 +:101A500023A2A70067800000130710006FF09FFE0D +:101A6000130720006FF01FFE130730006FF09FFD7B +:101A7000130740006FF01FFD130750006FF09FFC2D +:101A8000130770006FF01FFC130760006FF09FFBDF +:101A900097870300938707CF03A747006300E504F8 +:101AA00003A7C7006306E50403A747016306E5042F +:101AB00003A7C7016306E50403A747026306E5041D +:101AC00003A7C7026306E50403A74703630AE50407 +:101AD00003A7C7036302A704678000001307000081 +:101AE00013173700B387E70023A0070067800000C3 +:101AF000130710006FF0DFFE130720006FF05FFE8A +:101B0000130730006FF0DFFD130740006FF05FFD3B +:101B1000130750006FF0DFFC130770006FF05FFCDD +:101B2000130760006FF0DFFB130101FF2324810026 +:101B300023229100232021011304050023261100F4 +:101B40009384050013090600EF205009032744047D +:101B50009307F0FF6314F70023220404032784048F +:101B60009307F0FF6314F70023240404EF20500AC6 +:101B700083278403639A07001306090093850400F2 +:101B800013054402EF20C023EF205005832784046F +:101B9000635AF004832744026386070493044402D3 +:101BA0006F000002832784049387F7FF2324F40443 +:101BB000832784046358F002832744026384070266 +:101BC00013850400EF208030E30E05FCEF20405D1C +:101BD000832784049387F7FF2324F4048327840452 +:101BE000E34CF0FC9307F0FF2324F404EF205002B1 +:101BF000EF20C07E83274404635AF0048327040146 +:101C000063860704930404016F00000283274404E1 +:101C10009387F7FF2322F404832744046358F002D8 +:101C2000832704016384070213850400EF20002A40 +:101C3000E30E05FCEF20C056832744049387F7FF8B +:101C40002322F40483274404E34CF0FC9307F0FFC1 +:101C50002322F404032481008320C1008324410053 +:101C600003290100130101016F20807A6304050438 +:101C7000130101FD232E3101232C410123261102E2 +:101C8000138A0700232481022322910223202103A7 +:101C9000232A510123286101232671012324810174 +:101CA000930790009309070063FAE700737004300C +:101CB0006F000000737004306F000000930A05008D +:101CC0009384050013090600938B0600130B08008C +:101CD0006304082C13050006EF3050581304050068 +:101CE0006304052A13192900232865031306090034 +:101CF0009305500A13050B00EF40C00803C704000A +:101D0000832704031309C9FF230AE40203C704005D +:101D1000338927011379C9FF6306070E83C71400AF +:101D2000A30AF40283C71400638E070C83C7240040 +:101D3000230BF40283C724006386070C83C7340097 +:101D4000A30BF40283C73400638E070A83C74400E1 +:101D5000230CF40283C744006386070A83C7540038 +:101D6000A30CF40283C75400638E070883C7640082 +:101D7000230DF40283C764006386070883C77400D9 +:101D8000A30DF40283C77400638E070683C7840023 +:101D9000230EF40283C784006386070683C794007A +:101DA000A30EF40283C79400638E070483C7A400C4 +:101DB000230FF40283C7A4006386070483C7B4001B +:101DC000A30FF40283C7B400638E070283C7C40065 +:101DD0002300F40483C7C4006386070283C7D400CA +:101DE000A300F40483C7D400638E070083C7E40014 +:101DF0002301F40483C7E4006386070083C7F4006B +:101E0000A301F404130B440013050B00A301040405 +:101E10002326340323283405232A0404EFE01F93E8 +:101E200013058401EFE09F929307A000B387374129 +:101E3000232C040423288400232CF400232284026E +:101E400023220404232E040413860B0093850A0026 +:101E500013050900EFE0DF882320A40063040A00D3 +:101E600023208A007370043017E9010013094964C4 +:101E70008327090097E4010093844465638C07007D +:101E800003A7040083A70400832747049387170050 +:101E90002322F70417E7010013074762832707008F +:101EA0009387170097E6010023AAF66083A7040032 +:101EB000638007108327090017EA0100130A4A709C +:101EC000639C070083A7040083A7C70263E6F900A9 +:101ED00097E7010023AC875E97E701009387475C93 +:101EE00003A7070097E601009386C65C8327C40218 +:101EF00083A606001307170017E601002322E65AFF +:101F00002324E40463F6F60017E701002324F75ABC +:101F100013074001B387E70293050B003305FA006E +:101F2000EFE05F83832709006388070083A704002D +:101F300083A74704639E0708832709001304100042 +:101F4000638A070083A7040083A7C70263F43701ED +:101F5000EFE00FE4130504008320C1020324810293 +:101F600083244102032901028329C101032A81013B +:101F7000832A4101032B0101832BC100032C810023 +:101F8000130101036780000013050B00EF301045BB +:101F90001304F0FF6FF01FFC13152600EF30102C18 +:101FA000130B0500E31805D21304F0FF6FF09FFA3E +:101FB00097E7010023AC8750032707009307100021 +:101FC000630AF70217EA0100130A8A5F6FF0DFF075 +:101FD00003A7040083A60400832747049387F7FF21 +:101FE0002322F70483A74604E39807F473600430C0 +:101FF0006FF09FF4930A000017EA0100130A4A5C8D +:10200000130C4001930BA00033858A03938A1A00B6 +:102010003305AA00EFE08FF1E3987AFF97EB010018 +:10202000938B8B6613850B00EFE04FF097EA01006E +:10203000938ACA6613850A00EFE04FEF17E50100A7 +:1020400013050567EFE08FEE17E5010013058567BF +:10205000EFE0CFED17E5010013050568EFE00FEDA8 +:1020600097E7010023A2774797E7010023AC574584 +:102070006FF09FE6130101FF232481002326110046 +:1020800023229100232021011304050073700430E2 +:1020900097E401009384C44183A70400639E071062 +:1020A0006318040097E701009387474203A40700E1 +:1020B0001309440013050900EFE00FF2832784029F +:1020C0006386070013058401EFE00FF19305090013 +:1020D00017E501001305055FEFE0CFE797E7010083 +:1020E0009387073E03A7070097E701009387473BC5 +:1020F00083A707001307170097E6010023A2E63C19 +:1021000003A704009387170097E6010023AAF63877 +:10211000630C070097E701009387473B03A707007D +:1021200003274704631C070E83A70400638A07067E +:1021300097E701009387873903A707006302871099 +:102140007370043003A704006318070817E7010041 +:10215000130787378326070083A606006392060AC3 +:102160001307F0FF97E6010023AAE63203A7040055 +:102170006308070203A70700032747046302070257 +:1021800003A7070083A60700832747049387F7FF69 +:102190002322F70483A74604639407007360043086 +:1021A0008320C1000324810083244100032901000E +:1021B000130101016780000097E701009387073151 +:1021C00003A7070083A70700832747049387170007 +:1021D0002322F7046FF0DFEC83A6070003A70700B4 +:1021E00003A746041307170023A2E60417E701001C +:1021F0001307872D8326070083A60600E38206F6D1 +:10220000032707000327C7000327C700032747004A +:1022100097E6010023A4E6286FF05FF503A7070007 +:1022200083A60700832747049387F7FF2322F70439 +:1022300083A74604E39A07EE736004306FF0DFEE85 +:1022400097E701009387472583A707006386070068 +:10225000737004306F000000032481008320C100EC +:102260008324410003290100130101016FE04FB2F3 +:102270006304050C17E701001307472583260700B1 +:10228000930700006386A60A130101FF23261100AD +:1022900023248100232291007370043097E601000B +:1022A0009386062183A70600638C07000326070098 +:1022B0008327070083274604938717002322F60409 +:1022C00083A70600930405000324450163880700E3 +:1022D0008327070083A747046394070697E7010055 +:1022E0009387871E83A70700638C870697E7010003 +:1022F0009387471D83A707006384870697E701003C +:102300009387873D6306F40497E701009387873B33 +:10231000630CF402930710008320C10003248100A2 +:102320008324410013850700130101016780000029 +:102330001385070067800000737004306F00000091 +:10234000EF5050776FF09FF9930740006FF0DFFC7C +:1023500083A7840293B71700938727006FF0DFFBF2 +:10236000930720006FF05FFB7370043097E7010064 +:102370009387071403A70700631607026304050485 +:1023800083A707000325C502638C070097E70100B8 +:102390009387C71303A7070003274704631C07029B +:1023A0006780000017E70100130747128326070024 +:1023B0000327070003A746041307170023A2E60418 +:1023C000E31005FC17E7010013074710032507007A +:1023D0006FF01FFB03A7070083A6070083274704AE +:1023E0009387F7FF2322F70483A74604E39A07FAAB +:1023F0007360043067800000130101FF2324810013 +:102400001304050023261100EFE0CFAC631804008D +:1024100097E701009387870B03A407000324C402F6 +:10242000EFE0CFAA8320C10013050400032481003C +:1024300013010101678000009307900063F6B70065 +:10244000737004306F000000130101FE232C810023 +:10245000232E1100232A9100232821012326310154 +:1024600023244101130405007370043017E90100AF +:1024700013090904832709006392071063000412FB +:1024800083270405638EF50A17E701001307070485 +:1024900063F0B70283260700638E861203270700C6 +:1024A0008324C702B3B4950093C414006F000001E5 +:1024B00083240700B384844093B414000327C40228 +:1024C0006386E70E832784012328B40463C80700CA +:1024D0009307A000B385B740232CB40093172700BF +:1024E000B387E7000327440197E901009389490D69 +:1024F00093972700B387F9006310F704130A440089 +:1025000013050A00EFE04FAD17E70100130787FA44 +:102510008327C402032707006376F70017E701004B +:10252000232AF7F813074001B387E70293050A004F +:102530003385F900EFE00FA263840400EFE04F85DC +:1025400083270900638C070097E70100938707F84A +:1025500083A7070083A74704639E07048320C10164 +:102560000324810183244101032901018329C1003E +:10257000032A8100130101026780000097E7010030 +:102580009387C7F403A7070083A70700832747049F +:10259000938717002322F704E31404EE97E7010062 +:1025A0009387C7F203A407006FF09FED2326B402C0 +:1025B0006FF05FF1032481018320C1018324410175 +:1025C000032901018329C100032A810013010102AB +:1025D0006F50504E930400006FF05FEE630A0508E1 +:1025E000130101FE232A910097E40100938404EE75 +:1025F00083A70400232E1100232C8100232821010E +:10260000232631016388A7047370043017E90100A1 +:10261000130909EA83270900638C070003A7040054 +:1026200083A7040083274704938717002322F70416 +:102630000327450197E701009387070A630EF70216 +:10264000832709006388070083A7040083A7470442 +:10265000639E07088320C101032481018324410173 +:10266000032901018329C1001301010267800000D1 +:10267000737004306F0000008327850217E70100A4 +:1026800013070703E38EE7FAE39C07FA9309450073 +:102690001304050013850900EFE00F9417E701000C +:1026A000130747E18327C402032707006376F70077 +:1026B00017E701002320F7E013074001B387E70283 +:1026C00017E501001305C5EF938509003305F500F3 +:1026D000EFE04F8883A704000327C40283A7C70243 +:1026E000E360F7F6EFD0DFEA6FF09FF50324810196 +:1026F0008320C10183244101032901018329C100F1 +:10270000130101026F50103B63020506130101FE25 +:10271000232C8100232A9100232821011304050082 +:10272000232E110023263101EFD0DFFA03274401C5 +:1027300097E70100938747FA130905009304000007 +:10274000630AF70213050900EFD05FF88320C10187 +:10275000032481011385040003290101832441011D +:102760008329C100130101026780000073700430E7 +:102770006F0000008327840217E50100130545F36D +:10278000E382A7FCE39007FC97E701009387C7D09B +:1027900083A707006394070697E70100938707D391 +:1027A00083A707008324C4029309440083A7C702B8 +:1027B00013850900B3B4F400EFE00F8217E70100BE +:1027C000130747CF8327C4020327070093C41400CD +:1027D0006376F70017E70100232EF7CC13074001BB +:1027E000B387E70217E50100130585DD938509002E +:1027F0003305F500EFD01FF66FF0DFF49305840189 +:10280000EFD05FF56FF01FF4130101FE37150000E4 +:10281000232E1100232C8100232A9100232821013B +:102820002326310123244101232251012320610168 +:10283000EF30C0226308052293040500130500064B +:10284000EF30C0211304050063040526371600008D +:102850009305500A2328950213850400EF30805217 +:1028600083240403B71700009387C7FFB384F400E1 +:10287000B7474C4593879744130A4400232AF40230 +:1028800013050A00230C0402A301040423260402F6 +:1028900023280404232A0404EFD05FEB13058401EA +:1028A000EFD0DFEA9307A000232C040493F4C4FFC5 +:1028B00023288400232CF4002322840223220404EE +:1028C000232E040413060000971500009385C5EA23 +:1028D00013850400EFD0DFE02320A40073700430E0 +:1028E00017E901001309C9BC8327090097E4010017 +:1028F0009384C4BD638C070003A7040083A704006E +:1029000083274704938717002322F70417E7010062 +:102910001307C7BA832707009387170097E60100BC +:1029200023AEF6B883A704006388070A832709004B +:1029300097E901009389C9C8639C070083A7040035 +:1029400083A7C7026396070097E7010023A087B813 +:1029500097E701009387C7B403A7070097E6010034 +:10296000938646B58327C40283A606001307170083 +:1029700017E601002326E6B22324E40463F6F600FA +:1029800017E701002328F7B2139527003305F50058 +:102990001315250093050A003385A900EFD09FDBAE +:1029A000832709006388070083A7040083A74704DF +:1029B000639E070C832709006384070083A7040034 +:1029C000EF20500693071000630AF510631C0508FA +:1029D000737004306F00000097E7010023A887AEF2 +:1029E000032707009307100097E90100938949BD69 +:1029F000E310F7F6938A090017EB0100130BCBC81D +:102A000013850A00938A4A01EFD05FD2E31A5BFF75 +:102A100017E50100130545C7EFD05FD197EA010024 +:102A2000938ACAC713850A00EFD05FD017E501006B +:102A3000130505C8EFD09FCF17E50100130585C822 +:102A4000EFD0DFCE17E50100130505C9EFD01FCE8B +:102A500097E7010023AA67A797E7010023A457A7D8 +:102A60006FF01FEF8320C101032481018324410102 +:102A7000032901018329C100032A8100832A41001F +:102A8000032B0100130101026780000003A704006B +:102A900083A60400832747049387F7FF2322F704C4 +:102AA00083A74604E39807F0736004306FF09FF04B +:102AB000032481018320C101032901018329C1006D +:102AC000032A8100832A4100032B0100138504009F +:102AD00083244101130101026F30401073700430F0 +:102AE0009307F0FF17E70100232AF79A03248101D7 +:102AF00097E7010023AEA79A8320C10183244101F7 +:102B0000032901018329C100032A8100832A41008E +:102B1000032B010097E7010023A0079A130101028C +:102B20006FD05F8C7370043097E7010023A2079881 +:102B30006FD09F9D17E701001307079683270700B3 +:102B4000938717002320F70067800000737004301C +:102B500097E701009387C79503A70700631807024B +:102B600017E70100130747950325070083A7070010 +:102B7000638C070097E701009387479503A7070039 +:102B800003274704631407026780000017E701006A +:102B90001307C793832607000327070003A74604EC +:102BA0001307170023A2E6046FF09FFB03A707009B +:102BB00083A60700832747049387F7FF2322F704A0 +:102BC00083A74604E39207FC73600430678000002B +:102BD000130101FF2326110023248100EFD09FAFB2 +:102BE00097E701009387478D03A40700EFD01FAE3E +:102BF0008320C10013050400032481001301010197 +:102C00006780000097E701009387478B03A50700C3 +:102C100067800000130101FD232611022324810295 +:102C20002322910223202103232E3101232C410151 +:102C3000232A5101232861012326710197E701000E +:102C40009387878583A70700639A070617E7010024 +:102C500013078786832707009387170097E60100ED +:102C600023ACF684832A0700638A0A0A97E70100E7 +:102C70009387C78283A707009304000063FEFA0AC4 +:102C800097E901009389C99317EA0100130A0A849E +:102C900083270A009306100003A7C7029317270093 +:102CA000B387E70093972700B389F90083A709004A +:102CB00063F4F602930410006F00000297D701003E +:102CC0009387C77E83A707009304000093871700AC +:102CD00017D70100232CF77C97D701009387C77C77 +:102CE00083A7070063840700930410008320C102B8 +:102CF0000324810213850400032901028324410275 +:102D00008329C101032A8101832A4101032B010187 +:102D1000832BC100130101036780000017D4010059 +:102D20001304847A8327040083A707006388070CB1 +:102D3000737004306F00000017D401001304C478CE +:102D400097E901009389C98717DA0100130A0A7805 +:102D500017DB0100130B0B768327040083A7070002 +:102D6000638007088327040083A7C70003A9C7005F +:102D700083274900930B490013850B0063EAFA0689 +:102D8000EFD09FA58327890213058901638407007B +:102D9000EFD09FA48327C90203270B0093850B0064 +:102DA000139527003305F500131525003385A90079 +:102DB0006376F70017D70100232EF76EEFD09F99A7 +:102DC00083270A000327C90283A7C702E366F7F82F +:102DD000832704009304100083A70700E39407F8F7 +:102DE0009307F0FF17D70100232AF76A6FF05FEA15 +:102DF00017D701002324F76A6FF09FE917D7010066 +:102E00001307476C832704000327070097D60100A8 +:102E100023ACE66A17D701002326F76A97D701008B +:102E20009387476883A707009387170017D7010088 +:102E3000232AF7668327040083A70700639A070005 +:102E40009307F0FF17D70100232AF7646FF01FE202 +:102E50008327040083A7C70083A7C70083A7470071 +:102E600017D70100232CF7626FF05FE097D70100BE +:102E70009387876203A70700631607007370043007 +:102E80006F000000130101FE232E1100232C81008E +:102E9000232A9100232821012326310123244101E3 +:102EA00023225101232061017370043097D4010063 +:102EB0009384046003A704006310071203A70700AC +:102EC0001307F7FF97D6010023A8E65C83A7070046 +:102ED000639E070A97D701009387475E83A7070081 +:102EE0006386070A97DA0100938A8A6D17DA010070 +:102EF000130A4A5C17D901001309495D93091000B0 +:102F00006F00C0013385EA00EFD0DF84832709001A +:102F10000327C40283A7C7026374F70683A70A0FB7 +:102F20006380070E83A7CA0F03A4C700130584019B +:102F3000EFD09F8A130B440013050B00EFD0DF89FD +:102F40008327C40283260A0093050B0013952700EC +:102F50003305F50013152500130705003385AA0076 +:102F6000E3F2F6FA17D701002326F754EFD08FFECD +:102F7000832709000327C40283A7C702E360F7FA87 +:102F800097D7010023A237536FF05FF913040000B5 +:102F900083A70400638C070097D70100938707532A +:102FA00083A7070083A74704639807041305040059 +:102FB0008320C101032481018324410103290101EC +:102FC0008329C100032A8100832A4100032B0100C9 +:102FD000130101026780000017D701001307074F94 +:102FE000832607000327070003A7460413071700DB +:102FF00023A2E6046FF09FECEF50C02B6FF01FFB95 +:1030000017D401001304844A83270400638C070249 +:10301000832704001309100063860702EFF09FBFA7 +:103020006306050097D7010023A0274983270400E2 +:103030009387F7FF17D70100232AF7468327040059 +:10304000E39E07FC97D701009387074603A7070075 +:1030500093071000E31CF7F2EFD08FD31304100096 +:103060006FF01FF36304050263960500737004306C +:103070006F00000017D701001307074283270700DE +:10308000638A0700737004306F00000073700430AF +:103090006F000000130101FF23248100232611008B +:1030A00083260700032705009386160017D6010024 +:1030B0002324D63E97D601009386064083A60600B9 +:1030C0003304B7002320850063F0E6046372E40252 +:1030D00063F0860297D701009387473F03A5070057 +:1030E00013054500EFD04FEF13050400EF50001417 +:1030F000EFF0DFD7630E05008320C10003248100B9 +:103100001301010167800000E366E4FC6FF05FFCDF +:10311000032481008320C100130101016FD04FC738 +:10312000630E050017D70100130707378327070031 +:1031300063880700737004306F0000006FD04FC5C4 +:10314000130101FF23261100232481000327070018 +:10315000930705001307170097D6010023AEE63248 +:1031600097D601009386863617D701001307C73418 +:103170000327070003A506003304F70013054500E5 +:10318000EFD08FE513050400EF50400AEFF01FCE9B +:10319000631A0500032481008320C100130101018B +:1031A0006FD00FBF8320C1000324810013010101F0 +:1031B00067800000130101FF2324810023261100F2 +:1031C00097D701009387472D83A7070013040000BA +:1031D0009387170017D701002320F72C97D70100FA +:1031E0009387C72D83A7070063EEF51417DE010050 +:1031F000130ECE4897D201009382C23B1304000005 +:1032000013070000930F10001303500A6F00C00053 +:10321000130ECEFE6384C20F83278EFF9306070032 +:10322000E38807FE8327CEFF83A74700232EFEFEF9 +:103230006386C71303AFC70083A747003307E500C2 +:10324000930E0000232EFEFE6380C70983A8C700EB +:1032500093874803232017012322F70083A78804BC +:103260002326F7012324F70083A7C8022328F700A9 +:1032700083A70805232C0700232AF70003A80803C7 +:103280008347080063986704930708009387170033 +:1032900083C60700B3850741E38A66FE93D5250000 +:1032A0009395050193D50501231EB700938E1E004B +:1032B00013070702630A1F038327CEFF83A7470074 +:1032C000232EFEFEE394C7F983274E00232EFEFE35 +:1032D0006FF0DFF793050000231EB700938E1E00EA +:1032E00013070702E31A1FFD3304D4011317540018 +:1032F000130ECEFE93060700E390C2F397D70100AA +:103300009387871C03AE070083270E00639607127E +:1033100097D701009387071B03AE070083270E0092 +:10332000639A071C17DE0100130E8E2983274E10A7 +:10333000639C070283278E11639C072663040600A3 +:1033400023200600EFF09FB2130504008320C10084 +:1033500003248100130101016780000083274E00D0 +:10336000232EFEFE6FF01FED83278E1017DF010066 +:10337000130FCF3583A7470017D701002326F73453 +:103380006386E73D83A2C70083A74700B306D50045 +:10339000930E000017D701002328F732930F400047 +:1033A0001303500A6382E70983A8C7009387480381 +:1033B00023A0160123A2F60083A7880423A6F60102 +:1033C00023A4F60083A7C80223A8F60083A7080554 +:1033D00023AC060023AAF60003A8080383470800CD +:1033E000639A6732930708009387170003C70700A3 +:1033F000B3850741E30A67FE93D72500939707013A +:1034000093D70701239EF600938E1E009386060233 +:10341000638C123183278E1083A7470017D70100D2 +:103420002324F72AE392E7F983270E1117D7010027 +:10343000232CF7286FF05FF783274E00130F8E00C1 +:1034400083A647002322DE006380E633B307E5004E +:1034500003A7460083A2C600930E00002322EE00BD +:10346000930F20001303500A6300EF088328C7005E +:103470001387480323A0170123A2E70003A78804AA +:1034800023A6F70123A4E70003A7C80223A8E700A7 +:1034900003A7080523AC070023AAE70003A8080335 +:1034A00003470800631E672213070800130717006D +:1034B00083460700B3050741E38A66FE13D725005C +:1034C0001317070113570701239EE700938E1E0071 +:1034D000938707026380122383264E0003A74600CA +:1034E0002322EE00E314EFF80327CE002322EE00A0 +:1034F0006FF0DFF783274E00130F8E0083A747007E +:103500002322FE00638CE72583A2C70083A7470020 +:10351000B306D500930E00002322FE00930F200077 +:103520001303500A6300FF0883A8C700938748036A +:1035300023A0160123A2F60083A7880423A6F60180 +:1035400023A4F60083A7C80223A8F60083A70805D2 +:1035500023AC060023AAF60003A80803834708004B +:1035600063986714930708009387170003C7070041 +:10357000B3850741E30A67FE93D7250093970701B8 +:1035800093D70701239EF600938E1E0093860602B2 +:10359000638A121383274E0083A747002322FE006D +:1035A000E314FFF88327CE002322FE006FF0DFF73D +:1035B0008327CE1197DE0100938E8E1283A74700DA +:1035C00017D70100232CF710638AD71783AFC700E2 +:1035D00083A747003307D5001303000097D60100E7 +:1035E00023AEF60E130F3000930220009308500A0A +:1035F0006388D70903A5C700930745032320A700C5 +:103600002322F700832785042326E7012324F700DC +:103610008327C5022328F7008327850263840700D8 +:103620002326570083270505232C0700232AF700AC +:103630000328050383470800639C170593070800C8 +:103640009387170083C60700B3850741E38A16FFF7 +:1036500093D725009397070193D70701231EF700FF +:103660001303130013070702638EAF028327CE11E3 +:1036700083A7470097D6010023A2F606E39CD7F75D +:1036800083274E1297D6010023AAF6046FF09FF607 +:1036900093070000231EF70013031300130707020C +:1036A000E396AFFC33046400E31C06C86FF09FC9C7 +:1036B00093070000239EF600938E1E009386060259 +:1036C000E39A12ED17DE0100130E8EEF83274E10E2 +:1036D0003304D40193165400E38E07C46FF0DFC89F +:1036E00013070000239EE700938E1E0093870702B6 +:1036F000E39412DF97D701009387C7DC03AE07007E +:103700003304D4019316540083270E00E38C07C0C2 +:103710006FF05FDE93070000239EF600938E1E007D +:1037200093860602E39812CF83278E113304D401C7 +:1037300093165400E38407C06FF09FE783274E126F +:1037400017D70100232CF7F86FF05FE883270E11DD +:1037500017D70100232AF7F66FF0DFC28327CE00C8 +:103760002322FE006FF05FDA8326CE002322DE00E4 +:103770006FF0DFCD130101FD2322910223202103ED +:10378000232E3101232C4101232A510123286101D9 +:1037900023267101232611022324810297D90100D7 +:1037A000938989CF17D90100130989E117DA01003C +:1037B000130A0AD097DA0100938A4AD197DB0100F5 +:1037C000938BCBCF97D40100938484CF130B10003D +:1037D0006F00000283A70900032449109387170094 +:1037E00017D70100232AF7CAEFF04FE8631E040041 +:1037F00083A70400E39007FE83270900E37AFBFE1A +:10380000EFC01FD96FF0DFFE7370043083270A000A +:10381000639E07048327091103A4C700130544000E +:10382000EFC09FFB83A70B009387F7FF17D701001B +:103830002326F7C883A704009387F7FF17D7010053 +:103840002320F7C883270A006388070083A70A009C +:1038500083A747046398070203250403EF20003879 +:1038600013050400EF2080376FF09FF803A70A00CC +:1038700083A70A0083274704938717002322F704AE +:103880006FF05FF903A70A0083A60A0083274704A5 +:103890009387F7FF2322F70483A74604E39E07FAE2 +:1038A000736004306FF05FFB97D701009387C7BE4A +:1038B00083A707006396070E130101FF232611005B +:1038C00097D7010023A207BE17D70100130707C02F +:1038D00083270700B7A6A5A59386565A83A7070393 +:1038E00083A50700639ED50003A64700631AB600B0 +:1038F00083A687006396C60083A7C700638AD700A4 +:10390000032507008325070093854503EF304076A4 +:1039100097D60100938606BA03A6060017D70100C2 +:10392000130707CA6F00000283A706006382070817 +:1039300083A706009387F7FF17D60100232CF6B65E +:1039400003A6060093172600B387C70093972700A6 +:10395000B307F70083A70700E38807FC03A6060068 +:1039600093172600B387C70093972700B305F70086 +:1039700083A6450093878700B307F70083A6460018 +:1039800023A2D500638AF60283A7C6008320C10064 +:1039900017D70100232CF7B2130101016780000043 +:1039A0009307100017D701002320F7B067800000AD +:1039B000737004306F000000930740013306F60275 +:1039C00083A646003307C7002322D7006FF0DFFB32 +:1039D000130101FE23282101232E1100232C810035 +:1039E000232A910023263101130905007370043046 +:1039F00097D401009384C4AB83A7040017D40100BB +:103A00001304C4AC639C070C63140900032904006D +:103A10009309490013850900EFC01FDC8327890241 +:103A20006386070013058901EFC01FDB938509003A +:103A300017D50100130545CAEFC0DFD183A70400E5 +:103A4000638807008327040083A747046394070A59 +:103A5000832704006384270D83A70400638207067D +:103A60007370043083A704006398070E97D7010092 +:103A7000938787A503A70700032707006310070C98 +:103A80009307F0FF17D70100232AF7A083A70400AC +:103A9000638807028327040083A747046382070221 +:103AA0000327040083260400832747049387F7FF36 +:103AB0002322F70483A7460463940700736004304D +:103AC0008320C101032481018324410103290101D1 +:103AD0008329C1001301010267800000032704004D +:103AE0008327040083274704938717002322F704C2 +:103AF0006FF09FF103270400832604008327470407 +:103B00009387F7FF2322F70483A74604E39207F481 +:103B10007360043083270400E39027F583A7040033 +:103B20006382070697D701009387079783A707004B +:103B30006388070A737004306F00000083A70700D2 +:103B400083A7C70083A7C70083A7470017D7010033 +:103B50002326F7946FF09FF30327040083270400C4 +:103B600083274704938717002322F70497D7010080 +:103B70009387879503A7070003270700E30207F051 +:103B80006FF0DFFB97D701009387479317D70100AA +:103B9000130707A383A70700032787116314F702FE +:103BA0008320C1010324810197D7010023A007923C +:103BB00083244101032901018329C100130101026A +:103BC00067800000032481018320C1018324410117 +:103BD000032901018329C100130101026FF0DFCC29 +:103BE000032481018320C1018324410103290101B0 +:103BF0008329C100130101026FC09F996306050A62 +:103C0000130101FF2322910097D401009384048CB7 +:103C1000232021011389050083A504002326110018 +:103C20002324810093858501EFC0DFB503A504003F +:103C300013054500EFC05FBA9307F0FF630AF9066A +:103C400097D701009387478703A4070003A70400C1 +:103C500083A707003304240123228700636EF40640 +:103C600097D701009387478603A5070083A5040023 +:103C700093854500EFC01FB197D701009387078256 +:103C800083A707006376F40097D7010023A88780F5 +:103C90008320C10003248100832441000329010003 +:103CA0001301010167800000737004306F00000091 +:103CB00083A50400032481008320C10083244100E4 +:103CC0000329010017D50100130505A193854500BF +:103CD000130101016FC01FA897C701009387877E5A +:103CE00003A507000324810083A504008320C100ED +:103CF000832441000329010093854500130101013C +:103D00006FC05FA8630E050097C701009387C7784F +:103D100083A70700639A0700737004306F000000E8 +:103D2000737004306F000000130101FF2322910023 +:103D300097C401009384847903A704009387050046 +:103D400083A50400B7060080B3E7D700232611003F +:103D500023248100232CF70093858501130406009A +:103D6000EFC05F9F03A5040013054500EFC0DFA669 +:103D70009307F0FF6304F40297C701009387C773AA +:103D800003A507008320C10083244100330585007B +:103D900003248100130101016F40404983A5040001 +:103DA000032481008320C1008324410017D5010032 +:103DB0001305859293854500130101016FC09F99FA +:103DC0006308050A130101FF2322910097C4010033 +:103DD0009384C46F232021011389050083A5040067 +:103DE00023261100232481009385850113040600F6 +:103DF000EFC05F9603A5040013054500EFC0DF9DEB +:103E000093071000630AF40697C701009387C76AF7 +:103E100003A4070003A7040083A7070033042401B9 +:103E200023228700636EF40697C701009387C76952 +:103E300003A5070083A5040093854500EFC09F9468 +:103E400097C701009387876583A707006376F4000F +:103E500097C7010023A487648320C1000324810045 +:103E60008324410003290100130101016780000040 +:103E7000737004306F00000083A5040003248100E8 +:103E80008320C100832441000329010017D50100CC +:103E90001305858493854500130101016FC09F8B35 +:103EA00097C701009387076203A5070003248100D9 +:103EB00083A504008320C10083244100032901005D +:103EC00093854500130101016FC0DF8B130101FFD2 +:103ED0002326110023248100232291008327C5007B +:103EE00003A4C7006304040A930484011385040037 +:103EF000EFC09F8E97C701009387075A83A70700DB +:103F0000639A07089304440013850400EFC0DF8C14 +:103F100097C701009387075A0327C40283A70700A6 +:103F200063F6E70097C7010023A6E7589317270019 +:103F3000B387E7009397270017C50100130545686D +:103F4000938504003305F500EFC0DF8097C70100BB +:103F50009387C75783A707000327C40213050000F0 +:103F600083A7C70263FAE7009307100017C7010091 +:103F7000232CF752130510008320C1000324810075 +:103F80008324410013010101678000007370043035 +:103F90006F0000009385040017C5010013054571EB +:103FA000EFC04FFB6FF09FFA97C701009387C74E92 +:103FB00083A7070063960700737004306F0000004A +:103FC000130101FF232611002324810023229100E5 +:103FD000B70700800324C500B3E7F5002320F500F0 +:103FE00063080408EFC04FFF9304440013850400E6 +:103FF000EFC08FFE97C701009387C74B0327C4020A +:1040000083A7070063F6E70097C7010023A4E74AE8 +:1040100093172700B387E7009397270017C5010080 +:104020001305055A3305F50093850400EFC08FF2A0 +:1040300097C701009387874983A707000327C40216 +:104040001305000083A7C70263FAE7009307100077 +:1040500017C70100232AF744130510008320C1006D +:1040600003248100832441001301010167800000C3 +:10407000737004306F0000006304050297C70100ED +:104080009387474203A7070097C701009387C7425A +:1040900083A707002320E5002322F50067800000A6 +:1040A000737004306F0000006304050E638E050C0E +:1040B000130101FF232611002324810013070500AB +:1040C0007370043097C801009388883E83A7080066 +:1040D0006380070297C701009387473F83A60700C5 +:1040E00083A7070083A746049387170023A2F6043B +:1040F00017C301001303433C83A7050003260300F5 +:104100009306F0FF130400006388D70417CE010064 +:10411000130E4E3903250E0003280700832647009F +:104120006306A800130410006378D6023308D64053 +:10413000130410006372F80203250E00B387C74012 +:1041400003260300B387D70023A0F5002320A70090 +:104150002322C7001304000083A70800638C070014 +:1041600097C701009387873683A7070083A7470473 +:1041700063940702130504008320C1000324810017 +:104180001301010167800000737004306F000000AC +:10419000737004306F000000EF40C0116FF09FFD9E +:1041A0009307100017C701002320F7306780000035 +:1041B000630605000325C5046780000013050000A1 +:1041C00067800000630405002326B50467800000B3 +:1041D00097C701009387872F03A50700678000001A +:1041E00097C701009387C72C83A70700130510000A +:1041F000638C070097C701009387072A03A5070070 +:10420000133515001315150067800000630C0508B1 +:10421000130101FE232A910097C401009384042B0B +:1042200083A70400232E1100232C810023282101C1 +:10423000232631010327C50283A7C7026376F7044B +:104240008327850163CC070083A7040083A6C702E8 +:104250009307A000B387D740232CF50093172700BE +:10426000B387E7000327450117C901001309493542 +:1042700093972700B307F9006308F70283A70400A8 +:1042800083A7C7022326F5028320C10103248101ED +:1042900083244101032901018329C1001301010283 +:1042A0006780000067800000930945001304050043 +:1042B00013850900EFC04FD283A7040017C7010080 +:1042C0001307471F0327070083A7C7022326F4020B +:1042D0006376F70017C70100232EF71C13952700FC +:1042E0003305F50003248101131525008320C10146 +:1042F00083244101938509003305A9008329C10066 +:1043000003290101130101026FC0CFC46306050236 +:10431000130101FF23261100232481002322910091 +:1043200097C701009387871A03A40700630AA400B4 +:10433000737004306F0000001305000067800000F8 +:104340008327440563960700737004306F000000F4 +:104350008326C402032704059387F7FF232AF40466 +:104360006384E600638E0700130500008320C1000C +:1043700003248100832441001301010167800000B0 +:104380009304440013850400EFC00FC58327040580 +:1043900017C7010013070712832607001307A000A1 +:1043A0003307F7402326F402232CE40063F6F600DB +:1043B00017C701002320F71013074001B387E70256 +:1043C00017C501001305C51F938504003305F500CB +:1043D000EFC04FB8130510006FF05FF97370043031 +:1043E00097C701009387C70C83A707006380070264 +:1043F00097C701009387870D03A7070083A70700CE +:1044000083274704938717002322F704678000005F +:1044100097C701009387C70983A70700638C07022A +:1044200097C701009387870A03A70700032747045C +:104430006302070203A7070083A607008327470438 +:104440009387F7FF2322F70483A7460463940700AA +:10445000736004306780000097C7010093870707E7 +:1044600003A6070083A6070003A707009307A00081 +:1044700083A6C60203258601B387D740232CF70005 +:104480006780000097C701009387470403A70700D0 +:10449000630A070083A6070003A746051307170052 +:1044A00023AAE60403A5070067800000130101FDAD +:1044B0002320210323261102232481022322910297 +:1044C000232E3101130905007370043097C40100D5 +:1044D000938404FE83A7040017C40100130404FF9F +:1044E000639E070A8327040083A78705639A070052 +:1044F000832704001307100023AEE704639A050E18 +:1045000083A70400638807008327040083A7470468 +:10451000639A07147370043083A70400639407063A +:104520008327040003A587056308050063020904C7 +:104530008327040023AC07048327040023AE070469 +:1045400083A70400638807008327040083A7470428 +:10455000639207068320C10203248102832441025F +:10456000032901028329C1011301010367800000AF +:1045700003270400832787059387F7FF232CF7047D +:104580006FF09FFB03270400832704008327470461 +:10459000938717002322F7046FF09FF80327040086 +:1045A0008327040083274704938717002322F704F7 +:1045B0006FF05FF30327040083260400832747047A +:1045C0009387F7FF2322F70483A74604E39407F8B1 +:1045D000736004308320C1020324810283244102DA +:1045E000032901028329C10113010103678000002F +:1045F000032504002326B10013054500EFC0CF9D1D +:104600008325C1009307F0FF6380F50897C7010079 +:10461000938787EA83A907000327040083A707007D +:10462000B389B9002322370163ECF90697C701006B +:10463000938787E903A50700832504009385450038 +:10464000EFC04F9497C70100938747E583A7070002 +:1046500063F6F90097C7010023A237E5EFB05FF3D7 +:104660006FF01FEA03270400832604008327470412 +:104670009387F7FF2322F70483A74604E39C07E808 +:10468000736004306FF01FE98325040017C5010033 +:104690001305850493854500EFC0CF8B6FF01FFC99 +:1046A00097C70100938707E203A50700832504004D +:1046B00093854500EFC00F8D6FF05FFA130101FD88 +:1046C00023202103232E31012326110223248102DA +:1046D00023229102232C410113890500930906002E +:1046E0007370043097C40100938484DC83A70400B2 +:1046F00017C40100130484DD639C0714032704001E +:10470000930720000327C7056304F70283270400EB +:104710001345F5FF03A787053375E50023ACA70410 +:10472000832704001307100023AEE704639A060AE8 +:1047300083A70400638807008327040083A7470436 +:10474000639407167370043083A70400639E070602 +:10475000638809008327040083A7870523A0F90045 +:104760000327040093071000130500000327C70563 +:10477000630EF700832704001349F9FF13051000A7 +:1047800003A787053379E90023AC270583270400B5 +:1047900023AE070483A7040063880700832704006F +:1047A00083A747046392070C8320C102032481027C +:1047B00083244102032901028329C101032A8101C3 +:1047C000130101036780000003270400832704000E +:1047D00083274704938717002322F7046FF05FF7BE +:1047E000032504002326D10013054500EFB0DFFEAA +:1047F0008326C1009307F0FF638AF60C97C7010078 +:10480000938787CB03AA07000327040083A7070029 +:10481000330ADA00232247016368FA0C97C70100C4 +:10482000938787CA03A50700832504009385450065 +:10483000EFB05FF597C70100938747C683A70700CE +:104840006370FA0A97C7010023AA47C56F004009A1 +:104850000327040083270400832747049387170056 +:104860002322F7046FF09FE9032704008326040046 +:10487000832747049387F7FF2322F70483A746047F +:10488000E39407F2736004308320C10203248102A1 +:1048900083244102032901028329C101032A8101E2 +:1048A000130101036780000003270400832604002E +:1048B000832747049387F7FF2322F70483A746043F +:1048C000E39207E8736004306FF0DFE783250400AC +:1048D00017C50100130545E093854500EFB09FE73C +:1048E000EFB01FCB6FF0DFE497C70100938787BD60 +:1048F00003A507008325040093854500EFB09FE8DA +:104900006FF01FFE630A050C130101FF232611003F +:10491000232481002322910023202101737004307D +:1049200097C401009384C4B883A704006396070862 +:10493000638606008327850523A0F60093070600FB +:10494000930620000326C505232ED50413870500F2 +:10495000130405006382D70A63F4F6089305300058 +:104960006388B700930540006396B700630CD610C8 +:10497000232CE40493071000630CF60813041000C2 +:1049800083A70400638C070097C70100938707B4CF +:1049900083A7070083A7470463980706130504004D +:1049A0008320C100032481008324410003290100E6 +:1049B000130101016780000097C70100938707B1C9 +:1049C00003A7070083A707008327470493871700DF +:1049D0002322F7046FF0DFF5737004306F000000DE +:1049E00093061000E398D7F88327850533E7B700CF +:1049F000232CE5046FF01FF88327850593871700A4 +:104A0000232CF5046FF01FF7EF30D00A6FF01FF979 +:104A10001309440013050900EFB01FDC17C701009C +:104A2000130747A98327C402032707006376F7000B +:104A300017C701002320F7A813074001B387E70237 +:104A400017C501001305C5B7930509003305F50027 +:104A5000EFB05FD083278402638607007370043051 +:104A60006F00000097C70100938747A683A7070040 +:104A70000327C40283A7C702E3F2E7F0EFB05FB1F8 +:104A80006FF0DFEF130400006FF09FEF630805087D +:104A9000130101FE232C8100232A910023282101E8 +:104AA000232631012324410123225101232E110009 +:104AB00093090700138A0600930406001389050072 +:104AC00013040500EFB01FC1930A050063060A0036 +:104AD000832784052320FA000327C40593072000B9 +:104AE000232EF4046380F40463FA971093063000D5 +:104AF0006388D400930640006396D400630CF70EDD +:104B0000232C2405930710006312F70A83278402DD +:104B100063820702737004306F000000737004300A +:104B20006F0000008327840593871700232CF4046B +:104B30006FF05FFD97C701009387079683A7070073 +:104B40006390070A9304440013850400EFB0DFC8A4 +:104B500017C70100130707968327C402032707001E +:104B60006376F70017C701002326F7941307400167 +:104B7000B387E70217C50100130585A493850400D8 +:104B80003305F500EFB01FBD97C701009387079469 +:104B900083A707000327C40283A7C70263F8E700BF +:104BA000638609009307100023A0F9001304100086 +:104BB00013850A00EFB09FB1130504008320C101E3 +:104BC0000324810183244101032901018329C100B8 +:104BD000032A8100832A410013010102678000003B +:104BE0009305840117C50100130585ACEFB09FB68E +:104BF0006FF09FF9130400006FF09FFB9307100004 +:104C0000E392F4F08327840533E92701232C24055C +:104C10006FF05FEF630A050E130101FE232C810084 +:104C2000232A91001304050023282101232E1100BB +:104C30002326310193840500EFB0DFA993072000FC +:104C40008326C405232EF40483278405130710004C +:104C50001309050093871700232CF4046396E608D4 +:104C60008327840263860700737004306F0000009E +:104C700097C701009387478283A70700639A0708B5 +:104C80009309440013850900EFB01FB517C7010051 +:104C9000130747828327C402032707006376F700C0 +:104CA00017C701002328F78013074001B387E702E5 +:104CB00017C501001305C590938509003305F5005C +:104CC000EFB05FA997C701009387478083A70700CC +:104CD0000327C40283A7C70263F8E70063860400C2 +:104CE0009307100023A0F400032481018320C10155 +:104CF000832441018329C10013050900032901010F +:104D0000130101026FB09F9C737004306F000000AC +:104D10009305840117C5010013058599EFB09FA382 +:104D20006FF05FFA9307050063080506737004309F +:104D300017B701001307C77783260700639E060293 +:104D400003A6C70593062000130500006316D600CE +:104D500023AE07041305100083270700638C0700A8 +:104D600097B701009387877603A7070003274704B7 +:104D7000631C07026780000097B6010093860675E2 +:104D800003A6060083A60600832646049386160023 +:104D90002322D6046FF0DFFA97B7010093870773D9 +:104DA00083A707006FF09FF803A7070083A60700FB +:104DB000832747049387F7FF2322F70483A746043A +:104DC000E39A07FA7360043067800000130101FB67 +:104DD0002322910423202105232C4103232A51035C +:104DE000232861032326710323261104232481042D +:104DF000232E310323248103232291032320A103A3 +:104E000017B901001309896D17BB0100130B4B6C17 +:104E100097B401009384046C97BA0100938ACA6B1B +:104E2000130A1000930B90008327090003A70700C3 +:104E30006306071683A7C70083A90700EFD09FCF9B +:104E4000EFD0DFD083270B00130C05006368F50C4F +:104E500097B7010023AEA7661306000063763523DB +:104E600003A50400B3858941EFC01FCCEFE00F809C +:104E70006306051603A50400930600001306000050 +:104E800093058100EFC08FCAE30005FA83278100F4 +:104E9000E3C207FE8329010183A749016386070056 +:104EA00013854900EFB05F93EFD05FCA83270B00F3 +:104EB000130C05006362F50C8327810017B701000E +:104EC00023288761E3E8FBFAB317FA0013F70721F9 +:104ED0006310072013F7770C6316072A93F707026E +:104EE000E38A07F813850900EF00504F6FF09FF831 +:104EF00083A7C70003A4C70083AC0700930944003D +:104F000013850900EFB05F8D832744021305040069 +:104F1000E78007008327C4016386470D83270900C4 +:104F200003A70700E31607FC03A70A0097B60100D2 +:104F300023A0865B97B6010023A0F65A97B701001D +:104F400023AEE758EFD09FF26FF0DFF283A7C700E0 +:104F500003A4C70003AD0700930C440013850C00A5 +:104F6000EFB09F878327440213050400E780070002 +:104F70008327C401638C470B8327090003A707001D +:104F8000E31607FC03A70A0097B6010023A6F65410 +:104F900097B7010023A4E7546FF01FF2EFD09FB939 +:104FA000EFD0DFBA83270B00130C0500E368F5F69A +:104FB00083A70A0003A504009309000003A60700C5 +:104FC000B385894117B70100232487511336160092 +:104FD000EFC09FB5EFD09FE9E31E05E8EFB04FDBD0 +:104FE0006FF05FE98327840193850900B387FC0094 +:104FF00063E2FC0883A7040063860702232A0100FA +:10500000232C9101232E8100EFF08F9D03A5040036 +:10501000930600001306000093054101EFB09FB115 +:10502000E31E05EE737004306F00000083278401D7 +:1050300093850C00B307FD006362FD0883A704009D +:1050400063860702232A0100232CA101232E81005D +:10505000EFF00F9903A5040093060000130600006B +:1050600093054101EFB01FADE31805F073700430F4 +:105070006F000000032509002322F4002328840088 +:10508000EFB04FF06FF09FE9EFD05FDE83270900AC +:1050900083A7C70003A4C700930C440013850C002A +:1050A000EFB08FF38327C401638A47058327440247 +:1050B00013050400E78007006FF0DFDB032509001C +:1050C0002322F40023288400EFB0CFEB6FF0DFEA57 +:1050D0008327C10023ACF9006382070AB3878701E5 +:1050E00023A2F90023A83901938549006362FC08D3 +:1050F00003A50A00EFB00FE96FF0DFD78327840123 +:10510000232884003387F9002322E400636AEC0437 +:10511000330C3C41636EFC0283A7040063860702E4 +:10512000232A0100232C3101232E8100EFF04F8B25 +:1051300003A5040093060000130600009305410137 +:10514000EFB05F9FE31405F6737004306F0000004A +:1051500003A50A0093850C00EFB0CFE26FF01FF5B6 +:105160000325090093850C00EFB0CFE16FF01FF429 +:1051700003250900EFB00FE16FF0DFCF737004304B +:105180006F0000008327C10083A6890123A839018D +:105190003387D70023A2E9006362EC06B307FC4023 +:1051A00063EAD70683A7490213850900E780070051 +:1051B00083A7C901E39047CD83A689018327C10056 +:1051C00003A70400B387D70063060702232A010060 +:1051D000232CF100232E3101EFF08F8003A5040072 +:1051E000930600001306000093054101EFB09F9461 +:1051F000E31205C8737004306F0000006374FC0094 +:10520000E372F7FA0325090093854900EFB08FD7C1 +:105210006FF05FC603A50A0093854900EFB08FD6F3 +:105220006FF05FC5130101FF2324810023261100C5 +:10523000232291002320210117B4010013048429A3 +:10524000EFF0CF998327040063840704EFF04F9CAD +:1052500083270400638A07029308000013080000F4 +:105260009307000013072000930600001306004078 +:1052700097B501009385851417050000130545B502 +:10528000EFC0DF9E63140506737004306F000000EA +:1052900017B901001309894513050900EFB00FC9BB +:1052A00097B401009384C44513850400EFB00FC880 +:1052B000130600009305C0001305400097B70100D6 +:1052C00023AE272197B7010023A89720EFB08FF2D4 +:1052D00017B701002320A7206306050297B5010038 +:1052E0009385450DEFC00FF16FF05FF68320C1008D +:1052F00003248100832441000329010013010101DB +:1053000067800000737004306F000000638A050A34 +:10531000130101FD232A5101930A05001305C00260 +:10532000232481022322910223202103232E3101F1 +:10533000232C410123261102232861012326710118 +:105340001309070093890600130A060093840500D9 +:10535000EF00C07013040500630C0502EFF00F8826 +:1053600097B701009387071783A70700638E070489 +:10537000EFF00F8A1305440023205401232C9400DE +:10538000232E44012320340323222403EFB00FBC37 +:10539000130504008320C1020324810283244102F7 +:1053A000032901028329C101032A8101832A4101C2 +:1053B000032B0101832BC10013010103678000004F +:1053C000737004306F00000097BB0100938B0B32A9 +:1053D00013850B00EFB08FB517BB0100130B4B32D9 +:1053E00013050B00EFB08FB4130600009305C00047 +:1053F0001305400097B7010023A2770F97B701006C +:1054000023AC670DEFB00FDF17B701002324A70C03 +:10541000630A050097B501009385C5F9EFC08FDDDC +:105420006FF01FF5737004306F000000630E050607 +:10543000130101FE232C8100232E1100232A910049 +:1054400017B401001304040983280400130805009D +:105450001305000063800804930407002322B100B1 +:10546000138706002324C100232601019306500060 +:1054700063C0B604EFE0DFD693072000630EF504A7 +:105480000325040093060000130600009305410065 +:10549000EFB04FEA8320C10103248101832441013D +:1054A0001301010267800000737004306F00000078 +:1054B00093054100930600001306070013850800BA +:1054C000EFB01FB98320C10103248101832441016E +:1054D0001301010267800000032504009306000009 +:1054E0001386040093054100EFB0CFE46FF09FFAFC +:1054F0006306050003250500678000007370043013 +:105500006F00000063160500737004306F00000028 +:10551000130101FF23261100232481001304050039 +:10552000EFE0DFEB032544013334A000EFE05FEE52 +:10553000130504008320C10003248100130101012D +:105540006780000063160500737004306F00000070 +:10555000130101FF232481001304050023261100F9 +:10556000EFE0DFE703240402EFE09FEA8320C100BD +:10557000130504000324810013010101678000006A +:1055800063160500737004306F000000130101FF03 +:105590002324810023229100138405009304050035 +:1055A00023261100EFE09FE323A08402032481005F +:1055B0008320C10083244100130101016FE05FE5F6 +:1055C000130101FF1305C0012324810023261100CC +:1055D000EF00C04813040500630805002320050000 +:1055E00013054500EFB08F94130504008320C1001C +:1055F000032481001301010167800000630205029A +:10560000B70700FFB3F7F500638607007370043037 +:105610006F000000639A0500737004306F00000093 +:10562000737004306F000000130101FE232C810011 +:105630002328210123263101232441012322510162 +:10564000232E1100232A91009309070013890600D5 +:10565000930A060013840500130A0500EFE05FB803 +:105660006318050063860900737004306F00000042 +:10567000EFD04FCC83240A00B3779400631209045F +:105680006382070463880A009347F4FFB3F7970027 +:105690002320FA00EFD08FFD8320C1010324810174 +:1056A0001385040003290101832441018329C100DA +:1056B000032A8100832A4100130101026780000050 +:1056C000E302F4FCE38809FCB335500193958501AE +:1056D00063060900B7070004B3E5F5001386090067 +:1056E000B3E5850013054A00EFE0CFE1EFD00FF8F6 +:1056F00063140500EFA0DFE9EFE01FD69317650004 +:105700009309050063DA0700B70400019384F4FFEE +:10571000B3F499006FF05FF8EFE05FCC83290A00E3 +:10572000B377340163100902638A070063880A00B3 +:105730009347F4FFB3F737012320FA00EFE05FCD82 +:105740006FF09FFCE31CF4FE6FF05FFE630C05003E +:10575000B70700FFB3F7F500638A070073700430E2 +:105760006F000000737004306F000000130101FF30 +:105770002324810013040500232611002322910015 +:105780002320210113890500EFE05FC58324040075 +:105790009345F9FFB3F795002320F400EFE05FC7CE +:1057A0008320C10003248100138504000329010024 +:1057B000832441001301010167800000130101FFF0 +:1057C000232481001304050023261100EFA09FF07D +:1057D00003240400EFA09FEF8320C1001305040001 +:1057E000032481001301010167800000630C0500A0 +:1057F000370700FFB3F7E500638A070073700430D2 +:105800006F000000737004306F0000006F20502D97 +:10581000B70700FFB377F6006386070073700430A4 +:105820006F00000063160600737004306F00000004 +:10583000130101FE232C810023282101232631019D +:1058400023244101232E1100232A910013890600ED +:1058500093890500130A050013040600EFE05F9822 +:10586000631E050463060900737004306F000000B6 +:10587000EFD04FAC83240A009385090013050A007A +:10588000B3E49900EFF09FF6B3779400630AF4084D +:1058900083240A00EFD08FDD8320C101032481011E +:1058A0001385040003290101832441018329C100D8 +:1058B000032A81001301010267800000EFD08FA747 +:1058C00083240A009385090013050A00B3E4340118 +:1058D000EFF0DFF1B3F7840063848704E30A09FA89 +:1058E000B705000513060900B365B40013054A00A7 +:1058F000EFE04FC1EFD08FD763140500EFA05FC971 +:10590000EFE09FB5931765009304050063D4070289 +:10591000B70700019387F7FFB3F4F4006FF0DFF7E8 +:1059200083270A001344F4FFB3F787002320FA000B +:105930006FF05FF6EFE09FAA83240A00B37794002C +:10594000639887009347F4FFB3F797002320FA008A +:10595000EFE01FAC6FF0DFFB130101FF2324810098 +:10596000232611001304050023229100EFD08F9C01 +:105970008327440063860702032504019304C400BF +:10598000631895006F0080030325040163089502E6 +:10599000B7050002EFE04FE183274400E39607FEDE +:1059A00013050400EF008023032481008320C1003D +:1059B00083244100130101016FD04FCB7370043079 +:1059C0006F000000630C0500370700FFB3F7E50028 +:1059D000638A0700737004306F0000007370043036 +:1059E0006F0000006F20D00F630C0500B70700FFA9 +:1059F000B3F7F500638A0700737004306F0000008E +:105A0000737004306F000000130101FF2324810034 +:105A1000232291002326110013040500938405001E +:105A2000EFE0DF9B0327040093C7F4FFB377F70091 +:105A30002320F400032481008320C100832441003B +:105A4000130101016FE0DF9C63060500032585015A +:105A5000678000001305000067800000130101FF4C +:105A6000232481002326110013040500EFD08F8C1E +:105A700097B701009387C7A683A70700639C070415 +:105A8000B797010017B70100130707C99387C7FF2E +:105A900097B6010023ACE6A417B701002326F7A4AC +:105AA00017B70100232CF7C697B70100938787A388 +:105AB00017B701002322F7C69307100017B701009C +:105AC000232807A217B70100232E07A017B701004C +:105AD0002328F7A063120402EFD04FB9EF108058CB +:105AE00013040000130504008320C1000324810077 +:105AF00013010101678000001305840093773500CE +:105B00006398070CB79701001307F5FF9387A7FF6A +:105B1000E3E4E7FC97B601009386469D83A7060061 +:105B20006F00400103A70700630A07009386070080 +:105B30009307070003A74700E366A7FE17B7010016 +:105B40001307479AE38AE7F803A707001306000143 +:105B50001384870023A0E60003A74700B306A740ED +:105B6000637ED6023388A7002322D80023A2A70091 +:105B70008325480097B60100938646976F00800002 +:105B80009306070003A7060003264700E36AB6FE54 +:105B90002320E80023A0060103A7470097B70100D0 +:105BA0009387879883A70700B387E74017B701005B +:105BB000232CF796EFD08FABE30204F21305040019 +:105BC0008320C100032481001301010167800000CC +:105BD0001375C5FF130545006FF0DFF26308050676 +:105BE000130101FF23248100232611001304050063 +:105BF000EFC05FF4930584FF0326C4FF17B70100CD +:105C00001307C78E6F0080001387070083270700E4 +:105C100083A64700E3EAC6FE232CF4FE2320B70048 +:105C200097B701009387479003A707008327C4FF16 +:105C3000032481008320C100B387E70017B7010068 +:105C40002324F78E130101016FD04FA2678000005B +:105C500097B701009387478D03A507006780000071 +:105C6000678000003367B50013773700930705009E +:105C7000631207049308D6FFB30815011307050044 +:105C8000938605006378150303A8060013074700F1 +:105C900093864600232E07FFE36817FF9347F5FF1F +:105CA000B387170193F7C7FF93874700B385F500C4 +:105CB000B307F5003306C50063F4C70C93864500AF +:105CC00013884700B3B6D70033B8050133E7F500B2 +:105CD00093C616001348180013773700B3E6060181 +:105CE000133717003377D700330EF640938617002B +:105CF000630807061337AE006314070613532E001C +:105D00001388050093860700130700008328080006 +:105D1000130717001308480023A0160193864600B6 +:105D2000E36667FE1377CEFFB385E500B387E70030 +:105D30006306EE0483C60500138717002380D7008F +:105D4000637EC70283C6150013872700A380D70090 +:105D50006376C70203C725002381E7006780000040 +:105D6000B307F640B387F5009385150003C7F5FF29 +:105D700093861600238FE6FEE398F5FE6780000009 +:105D800067800000B367C50093F73700B308C5000C +:105D90006382070E63761511B307A04093F73700AF +:105DA000138737009306500093F5F50F130815007D +:105DB0003383A8401306F6FF6374D700130750001F +:105DC0006368E60E6380070E2300B500130710001A +:105DD000638EE700A300B500130730001308250009 +:105DE0006396E700130835002301B500139685007C +:105DF00033E6C500139705013367E6003303F3402C +:105E000093968501B366D70013562300B307F500B8 +:105E10001307000023A0D70013071700938747003C +:105E2000E36AC7FE1377C3FFB307E8006300E30824 +:105E30002380B70013871700637C1703A380B70084 +:105E400013872700637617032381B7001387370072 +:105E500063701703A381B70013874700637A1701A4 +:105E60002382B7001387570063741701A382B7001A +:105E70006780000093F5F50F13978500B365B700B1 +:105E8000139705013367B700E37415FF9307050007 +:105E90009387470023AEE7FEE3EC17FF678000001F +:105EA00067800000130805006FF05FF46780000052 +:105EB000930705006FF0DFF7834705001307050020 +:105EC000638C07001305150083470500E39C07FE5C +:105ED0003305E5406780000013050000678000007F +:105EE000130515008347F5FF9385150003C7F5FFDC +:105EF00063880700E386E7FE3385E740678000009C +:105F0000930700006FF05FFF93070500938515006E +:105F100003C7F5FF93871700A38FE7FEE31807FE7B +:105F20006780000083460500930700026398F6002F +:105F30001305150083460500E38CF6FE938756FD96 +:105F400093F7D70F638007049307050093050000BC +:105F5000638806041305000093871700138606FD67 +:105F60001317250083C607003305A7001315150076 +:105F70003305A600E39206FE638405003305A040C6 +:105F800067800000938536FD8346150093B51500A4 +:105F900093071500E39006FC130500006FF0DFFD8A +:105FA000130500006780000083A705002380A70079 +:105FB00083A705009387170023A0F50067800000E2 +:105FC00097A601009386065383A7060017370300A0 +:105FD0001307477713861700B307F7002380A7003E +:105FE00097A5010023A8C5509307A000630AF500F8 +:105FF000930700046308F6001305000067800000A3 +:10600000E30C06FE370610F0130717008347F7FF6F +:106010002320F6F083A706009387F7FF97A50100DA +:1060200023AAF54CE39207FE1305000067800000E9 +:10603000130101EB2322911423202115232A51134C +:10604000232481132326111423248114232E311396 +:10605000232C411323286113232671132322911328 +:106060002320A113232EB1119304050013890500E9 +:106070002326C100130C5002930A900083470900A5 +:1060800063888703638C070603A404006F00800005 +:10609000638607062300F40003A404001309190013 +:1060A0001304140023A0840083470900E39287FFB0 +:1060B000034619009308190093860800130D000287 +:1060C0009309F0FF130AF0FF1308000013055005B1 +:1060D0009307D6FD93F7F70F13891600636EF53A11 +:1060E00017A70100130707F293972700B387E7006C +:1060F00083A70700B387E700678007008320C114E8 +:106100000324811483244114032901148329C11316 +:10611000032A8113832A4113032B0113832BC112FA +:10612000032C8112832C4112032D0112832DC111E6 +:106130001301011567800000930C800023240100E7 +:106140009307100063DA071D8327C10003A404002E +:10615000938B770093F68BFF03AB060083A9460071 +:10616000938786002326F10013860C009306000017 +:1061700013050B0093850900EF10504A2328A10056 +:106180009307000063803733930D4101930B100098 +:1061900013860C009306000013050B00938509007D +:1061A000EF1090049306000013860C00130B0500FB +:1061B00093890500EF1090468327810023A0AD004E +:1061C00093861B00938D4D0063863701938B0600E9 +:1061D0006FF01FFCE37C9BFF63D246039307040030 +:1061E0002380A70103A40400130AFAFF93071400F5 +:1061F00023A0F40013840700E3C446FF93962B000A +:1062000093070101B386D7006F008002938777055B +:106210002300F40003A40400938BFBFF93871B006F +:106220001304140023A084009386C6FFE358F0E40F +:1062300083A70600E3ECFAFC938707036FF05FFD8A +:1062400083A70400930600030327C1002380D7001F +:1062500083A7040093064700930C000113861700E0 +:1062600023A0C40013068007A380C70003A4040072 +:1062700023240100930707001304140023A08400C3 +:1062800003AB0700930900002326D1006FF0DFED78 +:106290008327C10083AC0700938B470063880C28D9 +:1062A000635C40259307D002631EFD2083C70C006A +:1062B0006388070263D8092483A60400938C1C001A +:1062C000130AFAFF2380F60003A404001304140049 +:1062D00023A0840083C70C00E39E07FC63524003A5 +:1062E00003A40400930700022300F40003A40400A5 +:1062F000130AFAFF1304140023A08400E3160AFE15 +:10630000232671016FF09FD723240100930710000B +:10631000930CA000E3CA07E38327C10003A4040091 +:10632000938647006FF0DFF503C616009306090059 +:106330006FF01FDA83A70400930650022380D70072 +:1063400003A404001304140023A084006FF01FD3DF +:10635000930C0001232401006FF09FDE8327C1000E +:1063600003C616009306090083A907009387470018 +:106370002326F100E35E0AD4138A09009309F0FF93 +:106380006FF01FD503C61600130DD0029306090047 +:106390006FF01FD49347FAFF93D7F74103C6160057 +:1063A000337AFA00930609006FF09FD203C61600F5 +:1063B000130D0003930609006FF09FD183C51600EB +:1063C000930906FD93060900938705FD13860500D2 +:1063D000E3E2FAFA93972900B3893701938616000E +:1063E00093991900B389B90083C50600938909FD03 +:1063F000938705FD13860500E3FEFAFC6FF09FF717 +:106400000327C10083A70400832607001307470062 +:106410002326E1002380D70003A404001304140002 +:1064200023A084006FF09FC59307100063D4070F6B +:106430008327C1009386770093F686FF93878600B3 +:106440002326F10083A9460083A7060063DE090E18 +:1064500083A604001306D002B307F0402380C600D1 +:1064600003A40400B336F000B30930411304140050 +:1064700023A08400138B0700B389D940930CA0009C +:10648000232401006FF05FCE03C616001308180026 +:10649000930609006FF0DFC383A704001389080087 +:1064A0006FF09FE9E3729BCF93071000930B0000FE +:1064B00093061000E3C447D36FF05FD497AC01009C +:1064C000938C4CF09385090013850C00EF10000AA3 +:1064D000330AAA406352400303A404002300A4012A +:1064E00003A40400130AFAFF1304140023A0840079 +:1064F000E3160AFE130A000083C70C00E38207E0DC +:10650000E3CC09DA9389F9FF1307F0FFE396E9DAA0 +:106510006FF0DFDC8327C1009386470083A7070065 +:106520002326D10093D9F7416FF05FF26356400103 +:106530009307D002E314FDF897AC0100938C8CE82C +:10654000930780026FF01FD7138B0700930CA000F6 +:106550002324010003A404006FF01FC1130101EB09 +:10656000232E3113B70900409387F9FF23248114A8 +:1065700023229114232C4113232A5113232611146F +:10658000232021152328611323267113232481132B +:10659000232291132320A113232EB111130A0500E6 +:1065A0002324B10017A401001304C4F4973A030094 +:1065B000938A4A1997A40100938444BA2326F100D0 +:1065C000930550021305A00013080004B70610F04D +:1065D00083470A00638EB7046388070A0327040011 +:1065E000130A1A00130617003387EA0097A8010060 +:1065F00023A2C8F02300F7006380A708E31A06FD72 +:106600001737030013070714130717008347F7FF13 +:1066100023A0F6F0832704009387F7FF17A6010055 +:10662000232AF6ECE39207FE83470A00E396B7FAC3 +:1066300083461A0013051A0013070500930B000286 +:10664000130BF0FF130CF0FF130800009305500527 +:10665000930890009387D6FD93F7F70F130A17005E +:1066600063ECF54493972700B387970083A707004F +:10667000B387970067800700173703001307870C5D +:10668000E31406F86FF0DFF48320C11403248114AF +:1066900083244114032901148329C113032A81137C +:1066A000832A4113032B0113832BC112032C811264 +:1066B000832C4112032D0112832DC11113010115E9 +:1066C00067800000930C8000130D000093071000FA +:1066D00063DA0721832781009387770093F787FF89 +:1066E00083AD070003AB4700138787002324E10035 +:1066F00013860C009306000013850D0093050B0014 +:10670000EF10C0712328A10063086D4513094101F2 +:106710009309100013860C009306000013850D00EA +:1067200093050B00EF10402C13860C00930600001D +:10673000930D0500138B0500EF10406E2320A90078 +:106740009389190013094900E3166DFDE3F49DFDDB +:1067500013060004B70610F063D4890383270400EE +:1067600013871700B387FA0097A5010023A4E5D883 +:1067700023807701630AC706130CFCFFE3C089FF7F +:106780008327C1001305C10093089000B386F90068 +:106790009396260093070101B386D7001308A00043 +:1067A00013030004370610F0E38CA6E083A706006D +:1067B0009305000363F4F8009305700503270400B4 +:1067C000B387B700930517003387EA0017AE0100BF +:1067D0002322BED22300F70063860737638C65321D +:1067E0009386C6FF6FF05FFC17370300130787F52A +:1067F000130717008347F7FF23A0F6F08327040051 +:106800009387F7FF97A5010023A6F5CEE39207FE35 +:10681000130CFCFF6FF09FF683270400130600049F +:106820001387170097A5010023A6E5CCB386FA00CD +:10683000930500032380B6006308C75093872700A1 +:1068400097A6010023A8F6CA3387EA0093068007BB +:106850002300D700173703001307C7EEB70610F061 +:106860006392C702130717008347F7FF23A0F6F0D0 +:10687000832704009387F7FF17A60100232CF6C691 +:10688000E39207FE83278100930C0001130D0000A3 +:106890009387470003278100130B0000832D070017 +:1068A0002324F1006FF0DFE48327810083AC07002D +:1068B00013894700638E0C4C6358802B9307D002DA +:1068C0006394FB3E03C70C00930507006314072A7B +:1068D0006F00002F93071000930CA000130D000011 +:1068E000E3CA07DF83278100938747006FF09FFA91 +:1068F000832781008346170013070A0003AB0700B4 +:10690000938747002324F100E3560CD4130C0B00AB +:10691000130BF0FF6FF01FD483461700930BD002C8 +:1069200013070A006FF01FD39347FCFF93D7F7417B +:1069300083461700337CFC0013070A006FF09FD1D9 +:1069400083461700930B000313070A006FF09FD0D4 +:1069500003461700138B06FD13070A00930706FD75 +:1069600093060600E3E2F8FA93172B00B38767015A +:106970001307170093971700B387C7000346070054 +:10698000138B07FD930706FD93060600E3FEF8FC54 +:106990006FF09FF783258100832704001306A00072 +:1069A00003A7050093861700B387FA0017A5010017 +:1069B0002322D5B42380E700138945006300C7363E +:1069C000930700046384F62A232421016FF05FBF3C +:1069D0009307100063DE0735832781001387770054 +:1069E000137787FF930787002324F100032B4700C9 +:1069F0008327070063560B3E032704001306000499 +:106A00009306170097A5010023A6D5AE3387EA00A9 +:106A10009305D0022300B700638CC638B307F0405B +:106A20003337F000330B6041938D0700330BEB409D +:106A3000930CA000130D00006FF09FCB834617004E +:106A40001308180013070A006FF0DFC08346170011 +:106A500013070A006FF01FC0832704009306000489 +:106A60001387170017A601002326E6A8B387FA00AC +:106A7000130650022380C700E314D7B4173703006E +:106A8000130747CCB70610F0130717008347F7FF2B +:106A900023A0F6F0832704009387F7FF17A60100D1 +:106AA000232AF6A4E39207FE6FF09FB1930C000136 +:106AB000130D00006FF09FC18327040093060004AC +:106AC000130A05001387170017A601002324E6A266 +:106AD000B387FA00130650022380C700E312D7AE33 +:106AE00017370300130707C6B70610F01307170080 +:106AF0008347F7FF23A0F6F0832704009387F7FF6F +:106B000017A601002328F69EE39207FE130A05004C +:106B10006FF01FAB173703001307C7C21307170027 +:106B20008347F7FF2320F6F0832704009387F7FFBE +:106B300097A5010023A0F59CE39207FE9386C6FF6C +:106B40006FF09FC6173703001307C7BFE39805FC14 +:106B50009386C6FF6FF05FC5E3FA9DBB93091000F3 +:106B60006FF01FBF130C000003C70C009305070054 +:106B7000E30C07E49308F0FF1305A00013080004DA +:106B8000B70610F0635E0B0C832704001386170012 +:106B9000B387FA0017A30100232EC3942380E700D4 +:106BA0006382A5086308060B938C1C0003C70C00C6 +:106BB000130CFCFF93050700E31607FCE35680E186 +:106BC000832704009305000213060004B70610F0A3 +:106BD0006F00C000130CFCFFE3080CDE13871700E6 +:106BE000B387FA002380B70017A501002324E5909E +:106BF00093070700E310C7FE17370300130787B496 +:106C0000130717008347F7FF23A0F6F0832704003C +:106C10009387F7FF17A50100232EF58CE39207FE5B +:106C20006FF05FFB173703001307C7B1E30E06F6DB +:106C3000130717008347F7FF23A0F6F0832704000C +:106C40009387F7FF17A601002326F68AE39207FE33 +:106C50006FF09FF5173703001307C7AE6FF05FFDA6 +:106C6000130BFBFFE3121BF36FF05FF51737030005 +:106C7000130747ADB70610F0130717008347F7FF58 +:106C800023A0F6F0832704009387F7FF17A60100DF +:106C90002322F686E39207FE232421016FF05F9200 +:106CA000979C0100938C0C7293050B0013850C00CC +:106CB000EF00D00B330CAC40E35880EB832704008B +:106CC00013060004B70610F06F00C000130CFCFFA1 +:106CD000E30A0CE813871700B387FA0023807701D3 +:106CE00097A5010023A8E58093070700E310C7FEDE +:106CF00017370300130707A5130717008347F7FF8C +:106D000023A0F6F0832704009387F7FF97950100EF +:106D100023A2F57EE39207FE6FF05FFB17370300B7 +:106D2000130747A2E39806F4232421016FF05F893B +:106D3000832781001387470083A707002324E100EE +:106D400013DBF7416FF01FCB173703001307879F43 +:106D5000B70610F0130717008347F7FF23A0F6F0DC +:106D6000832704009387F7FF179601002324F67802 +:106D7000E39207FE9307100017970100232CF77684 +:106D80009307800717370300230EF79A6FF09FAF22 +:106D9000635680019307D002E394FBF0979C0100B7 +:106DA000938C4C6213078002930580026FF09FDC86 +:106DB0009736030093860699370610F093861600DF +:106DC00003C7F6FF2320E6F0032704001307F7FFAD +:106DD0009795010023A0E572E31207FE6FF01FC430 +:106DE000938D0700930CA000130D00006FF05F90CF +:106DF000B70710F023A0A7F26F000000130101FCF9 +:106E0000130341022322B10293050300232E110034 +:106E10002324C1022326D1022328E102232AF102DE +:106E2000232C0103232E110323266100EFF00FF31F +:106E30008320C101130500001301010467800000D5 +:106E4000130101FB13038103232481022326A100E4 +:106E5000232CC10213040500130603001305C1000F +:106E6000232611022322F104232ED1022320E10440 +:106E70002324010523261105232E6100EFF04F9BEB +:106E80008327C100238007000325C1008320C1029E +:106E9000330585400324810213010105678000004A +:106EA000B70710F083A647F403A607F403A747F437 +:106EB000E31AD7FEB716000093860677B306D6000E +:106EC00033B6C600B305E60023A4D7F423A6B7F46F +:106ED00067800000B70710F003A747F403A607F484 +:106EE00083A647F4E31AD7FEB71600009386067709 +:106EF000B306D60033B6C60023A4D7F4B305E60024 +:106F000023A6B7F49307000873A0473067800000FA +:106F1000130101FF2324810023261100979701000C +:106F20009387C75D179401001304045D03A707004E +:106F3000832704001306F07C9306470693874706D1 +:106F40009795010023ACD55A9795010023A6F55AD1 +:106F5000634AD6008320C10003248100130101018C +:106F600067800000130747899797010023A8E75817 +:106F7000EF00C056930710006316F50203270400C4 +:106F8000B71700009387F77613050000E3D4E7FCFA +:106F9000EFF01FE6032481008320C10013010101EB +:106FA0006FB05FB81795010013054542EFF01FE57C +:106FB00013052000EFF0DFE3032481008320C100EC +:106FC000130101016FB01FB617950100130585412C +:106FD0006FF0DFE217950100130545416FF01FE2E6 +:106FE000130101FF23248100232291002326110095 +:106FF000B7140000179401001304C43F938784382A +:10700000130000009387F7FFE39C07FE13050400BD +:10701000EFF0DFDE6FF09FFE6F00403A130101FFDB +:1070200023261100EF00804B130710009307000088 +:10703000631AE5008320C1001385070013010101D5 +:10704000678000001795010013054538EFF01FDB3E +:107050008320C1009307200013850700130101015D +:1070600067800000737004306F00000067800000CC +:10707000737004306F000000130101FD23229102A0 +:1070800023202103232E3101232C4101232A5101E6 +:1070900023261102232481029724030093848472FF +:1070A000979A0100938A8A46179A0100130A4A4662 +:1070B0009309100013091000EFB0DFA7EFB09FA7EE +:1070C00003A5040093060000130600009305C10009 +:1070D000EFA0CFA513040500EFB05FD9630005044E +:1070E0009797010023A62743EFB05FD8E30604FC7F +:1070F00083A70A000327C100630CF702179701005A +:107100002328274103270A00E30837FB938717004A +:1071100017970100232CF73E6FF01FFAEFB01FD531 +:10712000E30C04F883A70A000327C100E318F7FC67 +:1071300003270A00E30237F9938717001797010026 +:107140002326F73C6FF05FF7130101FE232A91001D +:10715000979401009384443B232C81002328210130 +:1071600023263101232E1100972903009389896575 +:107170001389040013041000EFB0DF9B03A509007E +:10718000930600001306000093050900EF909F9AF4 +:10719000630685009797010023AE8736EFB01FCDB9 +:1071A00013050008EFB0DFF783A7040093871700EB +:1071B00017970100232AF7346FF01FFC130101FF1A +:1071C000232291009304050013050000232481006D +:1071D000232611001304E00FEFC08FFF83A70400E4 +:1071E00093871700E37EF4FE23A0F400130500004C +:1071F000EFC00FFE6FF09FFE130101FD23248102FB +:1072000023202103232E3101232C4101232A510164 +:1072100023286101232671012324810123261102E1 +:107220002322910223229101930A00001794010066 +:107230001304442F179A0100130A4A2E979901004C +:107240009389092E179C0100130C0C2D1309300093 +:10725000930B1000130BF00F9797010023A0072C3E +:107260009304500003250400EFC08FF603250400AB +:10727000EFB00F80631C250903250400832C0A004E +:10728000EFB0CFB503250400EFA09FFE631C750788 +:1072900013050008EFB0DFE8EFB0DF8983270A00AD +:1072A00063949701930A10009384F4FF939404016C +:1072B00093D40401EFB09FBBE39604FA03250400C6 +:1072C000EFC00FF103A509009797010023A8072439 +:1072D000EFA01FFA6310250703A50900EFB00FB058 +:1072E00003A50900EFA0DFF8631A250583270A002C +:1072F00063826703930A100003250400EFB00FAE0A +:107300006FF09FF5737004306F00000073700430ED +:107310006F000000E3920AFEEFD04F8C83570C0001 +:1073200093871700179701002318F71EEFD04F8E91 +:107330006FF09FFC737004306F00000073700430B6 +:107340006F000000130101FF232021011309050034 +:10735000130500002324810023229100232611001D +:10736000EFB08F8013040500930415006F004002F6 +:107370008327090013050000938717002320F900D5 +:10738000EFB08F8B13050000EFA01FFE6314850282 +:107390009385040013050000EFB00F8A1305000069 +:1073A000EFA09FFC93050400E30495FC7370043088 +:1073B0006F000000737004306F000000130101FFC4 +:1073C000130600009305400013051000232611004A +:1073D000EF904FE2979501009385050397270300EF +:1073E00023A2A73EEFA00FE19308000013080000BE +:1073F00097970100938707131307000097960100E2 +:107400009386C611130600409795010093854501A8 +:1074100017050000130545F3EFA05F8593080000F2 +:1074200013080000979701009387870F1307100038 +:10743000979601009386860E1306004097950100EB +:10744000938585FE17050000130585D7EFA01F82E1 +:1074500093080000130800009307000013070000C2 +:10746000930600001306004097950100938545FCA4 +:1074700017050000130585D8EFA04FFF9308000003 +:107480001308000093070000130700009306000094 +:107490001306004097950100938505FA1705000033 +:1074A0001305C5CAEFA08FFC8320C100930800001C +:1074B0001308000093070000130700009306000064 +:1074C0001306004097950100938585F71705000086 +:1074D0001305C5BA130101016FA04FF99797010079 +:1074E0009387C70283A607009797010093874701F8 +:1074F00003A707009797010083D70702179601009B +:1075000003564600130500006386E6003385C74036 +:107510003335A000979701009387C7FF03A70700A3 +:10752000979701009387C7FE83A707001307F7FF0C +:1075300017960100130646FE035606003337E00097 +:107540009387F7FF3307E040B337F0003375E5006A +:10755000B307F040179701002316C7FA17970100E9 +:107560002320D7FA3375F50067800000B305B50016 +:10757000930705006386B70003C70700631607007B +:107580003385A74067800000938717006FF09FFE48 +:107590009308000063DC05003305A040B337A0006A +:1075A000B305B040B385F5409308F0FF63DA0600F9 +:1075B0003306C040B337C000B306D040B386F640B0 +:1075C00013080600138306009307050013870500C0 +:1075D00063980624979601009386C6CD63F8C50E7E +:1075E000370E0100637AC60D130EF00F6374CE00E0 +:1075F00013038000335E6600B386C60103CE060027 +:10760000330E6E00130300023303C341630C030007 +:10761000B3956500335EC501331866003367BE005D +:10762000B3176500135E0801B355C70313150801AE +:107630001355050193D607013376C703B305B50289 +:1076400013160601B366D60063FAB600B3860601C8 +:1076500063E6060163F4B600B3860601B386B6405E +:1076600033F7C6039397070193D70701B3D6C60331 +:10767000B306D50213150701B367F50063FAD70007 +:10768000B387070163E6070163F4D700B3870701F7 +:10769000B387D74033D5670093050000638C08009B +:1076A000B307A0403337F000B305B040138507009F +:1076B000B385E54067800000370E00011303000129 +:1076C000E36AC6F3130380016FF0DFF2631606006E +:1076D0001308100033586802370701006372E80A84 +:1076E0001307F00F6374070113038000335768001A +:1076F000B386E60003C606003306660013030002E5 +:107700003303C34063180308B3850541935608014A +:10771000131508011355050113D6070133F7D502D8 +:10772000B3D5D502131707013367C700B305B502F8 +:10773000637AB70033070701636607016374B70014 +:1077400033070701B305B74033F7D5029397070115 +:1077500093D70701B3D5D502B305B50213150701B9 +:10776000B367F50063FAB700B387070163E6070163 +:1077700063F4B700B3870701B387B7406FF09FF199 +:107780003707000113030001E362E8F613038001E9 +:107790006FF0DFF53318680033DEC500935E080133 +:1077A0003356C500B31765003355DE03B395650046 +:1077B0003366B6009315080193D50501935606016B +:1077C0003377DE033385A502131707013367D7002C +:1077D000637AA70033070701636607016374A70094 +:1077E00033070701B306A74033F7D6031316060184 +:1077F00013560601B3D6D60313170701B386D50275 +:10780000B365C70063FAD500B385050163E60501DA +:1078100063F4D500B3850501B385D5406FF01FEF44 +:10782000E3EED5E63708010063FC0605930EF00F82 +:1078300033B8DE001318380033DE06011793010059 +:10784000130343A73303C301834E0300130E000247 +:10785000B38E0E01330EDE41631E0E0263E4B600EA +:10786000636AC500B307C540B385D5403335F5001D +:107870003387A54013850700930507006FF01FE2CB +:107880003703000113080001E3E866FA13088001DA +:107890006FF09FFA3357D601B396C601B366D7008F +:1078A000B357D50133D7D501B395C501B3E7B700B9 +:1078B00093D506013373B702139F0601135F0F01BF +:1078C00013D807013316C6013315C5013357B70264 +:1078D0001313030133680301B30FEF02130307000F +:1078E000637EF8013308D8001303F7FF6368D800FC +:1078F0006376F8011303E7FF3308D8003308F84133 +:10790000B37FB8023358B802939F0F01B3050F033A +:10791000139F0701135F0F0133EFEF0113070800F7 +:10792000637EBF00330FDF001307F8FF6368DF00DB +:107930006376BF001307E8FF330FDF001313030163 +:10794000B70F01003363E3009387FFFF135806016D +:10795000330FBF40B375F30013530301B377F60041 +:107960003387F502B307F302B3850503330303033B +:10797000B385F500135807013308B8006374F800A5 +:107980003303F301B70701009387F7FF935508010D +:107990003378F800131808013377F700B3856500D2 +:1079A0003307E8006366BF00631EBF00637CE50029 +:1079B0003306C7403337C700B385D540B385E540AC +:1079C000130706003307E5403335E500B305BF4034 +:1079D000B385A540B397D5013357C70133E5E70019 +:1079E000B3D5C5016FF09FCB938705001308060040 +:1079F0001387060013030500639606289798010075 +:107A00009388488B63F6C50E370701006378E60C50 +:107A10001307F00F3337C70013173700B356E600CC +:107A2000B388D80083C608003387E60093060002B7 +:107A3000B386E640638C0600B397D7003357E50062 +:107A40003318D600B365F7003313D500135508017A +:107A5000B3D7A50213160801135606019356030166 +:107A600033F7A502B305F60213170701B366D70073 +:107A70001387070063FEB600B38606011387F7FF7E +:107A800063E8060163F6B6001387E7FFB3860601D5 +:107A9000B386B640B3F7A6021313030113530301D1 +:107AA000B3D6A6029397070133E36700B305D60266 +:107AB00013850600637CB300330368001385F6FF6B +:107AC000636603016374B3001385E6FF13170701B0 +:107AD0003367A700930500006F00400EB706000152 +:107AE00013070001E36CD6F2130780016FF01FF358 +:107AF000631606009306100033D8C602B7060100CD +:107B00006372D80C9306F00F63F40601130780002C +:107B1000B356E800B388D80083C60800B386E600F1 +:107B2000130700023307D7406318070AB3870741DA +:107B300093051000135608019318080193D8080103 +:107B40009356030133F7C702B3D7C70213170701D0 +:107B5000B366D7003385F8021387070063FEA600DB +:107B6000B38606011387F7FF63E8060163F6A600F4 +:107B70001387E7FFB3860601B386A640B3F7C602B4 +:107B80001313030113530301B3D6C60293970701DE +:107B900033E36700B388D80213850600637C1301C2 +:107BA000330368001385F6FF6366030163741301F2 +:107BB0001385E6FF131707013367A70013050700B6 +:107BC00067800000B706000113070001E362D8F4E4 +:107BD000130780016FF0DFF33318E800B3D5D70047 +:107BE0003313E500B356D50013550801B397E700EA +:107BF00033F7A502B3E8F6009317080193D70701FE +:107C000013D60801B3D5A502131707013367C700C0 +:107C1000B386B70213860500637ED70033070701DA +:107C20001386F5FF636807016376D7001386E5FFC7 +:107C300033070701B306D74033F7A602939808012C +:107C400093D80801B3D6A60213170701B385D7024C +:107C5000B36717011387060063FEB700B3870701F8 +:107C60001387F6FF63E8070163F6B7001387E6FFA3 +:107C7000B3870701B387B74093150601B3E5E50065 +:107C80006FF05FEB63E6D5183707010063F4E60495 +:107C90001308F00F3337D8001317370033D8E60036 +:107CA0009785010093850561B385050103C805002B +:107CB000930500023308E800B38505416396050289 +:107CC00013071000E3ECF6EE3335C500134715003B +:107CD0006FF0DFEEB705000113070001E3E0B6FC2B +:107CE000130780016FF09FFB33570601B396B60070 +:107CF000B366D70093DE060133D70701B378D70305 +:107D0000B397B700335805013363F8009397060122 +:107D100093D70701135803013316B6003357D7031F +:107D20009398080133E80801338FE702130E070028 +:107D3000637EE8013308D800130EF7FF6368D800AC +:107D40006376E801130EE7FF3308D8003308E841F3 +:107D5000B378D8033358D80393980801B38E070338 +:107D60009317030193D70701B3E7F800130708003F +:107D700063FED701B387D7001307F8FF63E8D70086 +:107D800063F6D7011307E8FFB387D700131E0E0170 +:107D9000B387D741B70E01003367EE001388FEFFAB +:107DA0003373070193580701337806011356060110 +:107DB000330E03033388080393560E013303C302C3 +:107DC00033030301B3866600B388C80263F4060177 +:107DD000B388D80113D60601B308160163E617036A +:107DE000E39A17CFB70701009387F7FFB3F6F600C2 +:107DF00093960601337EFE003315B500B386C601A7 +:107E000093050000E37CD5DA1307F7FF6FF09FCCF2 +:107E100093050000130700006FF05FDA9308060077 +:107E2000138706009307050013880500639C06224C +:107E3000978601009386064863FCC50C3703010052 +:107E4000637E660A1303F00F6374C3001307800098 +:107E50003353E600B386660003CE06001303000228 +:107E6000330EEE003303C341630C0300B39565008A +:107E7000335EC501B31866003368BE00B3176500F2 +:107E800013D608013377C80213950801135505016D +:107E900093D607013358C80213170701B366D700FA +:107EA0003308050363FA0601B386160163E616017B +:107EB00063F40601B3861601B386064133F7C602A2 +:107EC0009397070193D70701B3D6C602B306D5022D +:107ED00013150701B367F50063FAD700B3871701DD +:107EE00063E6170163F4D700B3871701B387D74060 +:107EF00033D5670093050000678000003703000159 +:107F000013070001E36666F4130780016FF05FF466 +:107F10006316060013061000B35816033706010057 +:107F200063F2C80A1306F00F63741601130780008A +:107F300033D6E800B386C60003CE06001303000262 +:107F4000330EEE003303C34163180308B3851541B4 +:107F500013D70801139508011355050113D607011E +:107F6000B3F6E502B3D5E50293960601B3E6C60083 +:107F7000B305B50263FAB600B386160163E61601CF +:107F800063F4B600B3861601B385B640B3F6E502D6 +:107F90009397070193D70701B3D5E502B305B5025F +:107FA00013950601B367F50063FAB700B3871701AD +:107FB00063E6170163F4B700B3871701B387B740CF +:107FC0006FF01FF33706000113070001E3E2C8F664 +:107FD000130780016FF0DFF5B398680033D7C50150 +:107FE000B3176500335EC50113D50801B376A70248 +:107FF000B3956500336EBE009395080193D50501D6 +:1080000013560E013357A70293960601B3E6C60036 +:108010003387E50263FAE600B386160163E61601CC +:1080200063F4E600B38616013386E640B376A60213 +:10803000131E0E01135E0E013356A602939606011F +:108040003386C502B3E5C60163FAC500B3851501E1 +:1080500063E6150163F4C500B3851501B385C5401A +:108060006FF01FEFE3EAD5E83707010063FCE60491 +:10807000930EF00F33B7DE001317370033D3E6004B +:108080009788010093880823B388680083CE08008E +:10809000130E0002B38EEE00330EDE41631E0E029D +:1080A00063E4B600636AC500B307C540B385D54035 +:1080B0003335F5003388A540138507009305080084 +:1080C0006FF09FE3B708000113070001E3E816FB18 +:1080D000130780016FF09FFA3357D601B396C6019C +:1080E000B366D700B357D50133D7D501B395C501D2 +:1080F000B3E7B70093D506013373B702139F0601A8 +:10810000135F0F0193D807013316C6013315C5015C +:108110003357B70213130301B36813013308EF0297 +:108120001303070063FE0801B388D8001303F7FFA9 +:1081300063E8D80063F608011303E7FFB388D800AB +:10814000B3880841B3FFB802B3D8B802939F0F01B8 +:10815000B3051F03139F0701135F0F0133EFEF01F7 +:1081600013870800637EBF00330FDF001387F8FF1B +:108170006368DF006376BF001387E8FF330FDF001B +:1081800013130301B70801003363E3009387F8FF7B +:1081900013580601330FBF40B375F30013530301A7 +:1081A000B377F6003387F502B307F302B38505030F +:1081B00033030303B385F500135807013308B800F0 +:1081C0006374F80033031301B70701009387F7FFC7 +:1081D000935508013378F800131808013377F70036 +:1081E000B38565003307E8006366BF00631EBF0008 +:1081F000637CE5003306C7403337C700B385D540FD +:10820000B385E540130706003307E5403335E50045 +:10821000B305BF40B385A540B397D5013357C70118 +:1082200033E5E700B3D5C5016FF01FCD9787010097 +:108230009387C72983A60700178701001307C7275D +:108240000327070023A2A600637EE500178701002D +:10825000130747270325070083A5070093854500DB +:108260006F804FD2130101FF2324810023261100C8 +:10827000178701001307472513040500032507008E +:1082800083A5070093854500EF80CFCF9787010036 +:108290009387C72083A707006376F40097870100C0 +:1082A00023AE871E8320C100032481001301010136 +:1082B00067800000978701009387472103A7070085 +:1082C00083A60700832747049387F7FF2322F70439 +:1082D00083A746046394070073600430678000003E +:1082E000130101FE232C8100232A910013040500B1 +:1082F00093840500232821012326310123244101F1 +:1083000023225101232E1100EFA0DF8283270400D6 +:1083100003290401B7090001B3E59700930AC400DB +:108320002320B400930400009389F9FF370A000268 +:108330000327040063822A050326090013050900A8 +:10834000B3654701B37636019317560033F7E6005D +:108350000329490063C60700631607006FF05FFD3D +:10836000E398E6FC9317760063D40700B3E4D400E7 +:10837000EFB09FC36FF0DFFB93C4F4FFB3F4E400EE +:1083800023209400EFA09FAE032504008320C101A9 +:108390000324810183244101032901018329C100B0 +:1083A000032A8100832A4100130101026780000033 +:1083B000130101FF2326110023248100EFF00F8019 +:1083C00017F7FFFF130707B5930600001306100009 +:1083D000930540061785010013054502EFC01FF302 +:1083E0006302050213040500EFA04FF61306050013 +:1083F0001307000093060000930510001305040006 +:10840000EFD0CF82EFA04FC08320C10003248100B2 +:0C8410001305000013010101678000004B +:02000004800278 +:100000002863FEFF9864FEFF3463FEFF9864FEFFE2 +:100010009864FEFF9864FEFF9864FEFF5C63FEFF39 +:100020009864FEFF9864FEFF8463FEFF9463FEFF06 +:100030009864FEFFAC63FEFFBC63FEFFBC63FEFF83 +:10004000BC63FEFFBC63FEFFBC63FEFFBC63FEFF40 +:10005000BC63FEFFBC63FEFFBC63FEFF9864FEFF53 +:100060009864FEFF9864FEFF9864FEFF9864FEFFAC +:100070009864FEFF9864FEFF9864FEFF9864FEFF9C +:100080009864FEFF9864FEFF9864FEFF9864FEFF8C +:100090009864FEFF9864FEFF9864FEFF9864FEFF7C +:1000A0009864FEFF9864FEFF9864FEFF9864FEFF6C +:1000B0009864FEFF9864FEFF9864FEFF9864FEFF5C +:1000C0009864FEFF9864FEFF9864FEFF9864FEFF4C +:1000D0009864FEFF9864FEFF9864FEFF9864FEFF3C +:1000E0009864FEFF9864FEFF9864FEFF9864FEFF2C +:1000F0009864FEFF9864FEFF9864FEFF9864FEFF1C +:100100000064FEFF2864FEFF9864FEFF9864FEFF13 +:100110009864FEFF9864FEFF9864FEFF9864FEFFFB +:100120009864FEFF8864FEFF9864FEFF9864FEFFFB +:100130003861FEFF4062FEFF9864FEFF9864FEFF98 +:100140009062FEFF9864FEFF0863FEFF9864FEFF66 +:100150009864FEFF5063FEFFF468FEFF6069FEFFD7 +:100160000069FEFF6069FEFF6069FEFF6069FEFFD7 +:100170006069FEFF9867FEFF6069FEFF6069FEFF31 +:10018000C067FEFFD067FEFF6069FEFFE867FEFF05 +:10019000F867FEFFF867FEFFF867FEFFF867FEFFEF +:1001A000F867FEFFF867FEFFF867FEFFF867FEFFDF +:1001B000F867FEFF6069FEFF6069FEFF6069FEFF91 +:1001C0006069FEFF6069FEFF6069FEFF6069FEFF17 +:1001D0006069FEFF6069FEFF6069FEFF6069FEFF07 +:1001E0006069FEFF6069FEFF6069FEFF6069FEFFF7 +:1001F0006069FEFF6069FEFF6069FEFF6069FEFFE7 +:100200006069FEFF6069FEFF6069FEFF6069FEFFD6 +:100210006069FEFF6069FEFF6069FEFF6069FEFFC6 +:100220006069FEFF6069FEFF6069FEFF6069FEFFB6 +:100230006069FEFF6069FEFF6069FEFF6069FEFFA6 +:100240006069FEFF6069FEFF6069FEFF6069FEFF96 +:100250006069FEFF6069FEFF3C68FEFF7868FEFF94 +:100260006069FEFF6069FEFF6069FEFF6069FEFF76 +:100270006069FEFF6069FEFF6069FEFFE468FEFFE3 +:100280006069FEFF6069FEFF6C65FEFFC066FEFFF1 +:100290006069FEFF6069FEFF5067FEFF6069FEFF58 +:1002A0007C67FEFF6069FEFF6069FEFF5469FEFF28 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020787878207461736B73200D0A00000018 +:1003E0006D69616F750A00006D69616F75320A0091 +:1003F00068756E6772790A00436865636B54696D4E +:100400006572000053757370656E6465645F546552 +:1004100073745F517565756500000000434E545F4D +:10042000494E43004C494D5F494E4300435F43549E +:10043000524C0000535553505F545800535553507D +:040440005F525800AF +:100444001000000000000000017A5200017C01014C +:100454001B0D020010000000180000003071FEFFA8 +:100464005804000000000000100000002C000000F0 +:100474007475FEFF3404000000000000100000004A +:10048400400000009479FEFF10040000000000000A +:08052400FC8F0100090000003A +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/dynamic_rv32imac_O3.hex b/VexRiscv/src/test/resources/freertos/dynamic_rv32imac_O3.hex new file mode 100644 index 0000000..f02f837 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/dynamic_rv32imac_O3.hex @@ -0,0 +1,1587 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000A1C9170502001305E54A9795030093854A +:1000A000657201461122170502001305E53E97050A +:1000B0000200938525470146C52817A503001305AF +:1000C000A57097B503009385E56F37B6ABAB130604 +:1000D000B6BAD92817B103001301016FEF503051A0 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2822923A02200F322103415 +:1002400096DEEF00002B1701020003212128024156 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E22923A0120023A2A9 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40B025170102000321DA +:10033000E1190241F65273901234B7220000938201 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A21B83A0B0 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2820723A0220086DEEF20FB +:10046000402D17010200032161060241F6527390EC +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF40D005EF10700901E57A +:10050000B24041018280B24041016F2080229307B6 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30101F1F +:1005B0008280411122C406C62A8426C2EF209010F0 +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF20A0566DD5EF2080763C44FD1780 +:1005F0003CC43C44E344F0FEFD573CC4EF20D00E25 +:10060000EF20500C7C40635BF0021C4885CB9304C8 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2060526DD5EF2040729F +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20100A29C5411151 +:1006500022C426C22A8406C6AE84EF20B0063440E7 +:100660005C5C1840232C0402B387F6027D5670C0F0 +:1006700018C430C4B386D740BA9736975CC058C404 +:1006800091EC1C489DE3EF203006B2402244924496 +:100690000545410182807370043001A013050401F7 +:1006A000BD3513054402A535F9BF13050401EF203C +:1006B000E0498547E319F5FC913BF1B701E573701B +:1006C000043001A0011126CA4AC84EC606CE22CC6B +:1006D000B2892E89AA84A5C53305B502130555052F +:1006E000EF3080732A8435C1930745051CC144DC73 +:1006F00023202405EF20007D34405C5C18407D56AB +:10070000B387F602232C040270C018C430C413054A +:100710000401B386D740BA9736975CC058C4C53B2E +:1007200013054402ED33EF20207C23083405228595 +:10073000F2406244D2444249B249056182801305C5 +:100740004005EF30606D2A8401E57370043001A02C +:1007500000C071BF01E57370043001A06375B5007E +:100760007370043001A0411122C409462E848145D2 +:1007700006C6A93711C500DDB2402244410182807E +:100780007370043001A05D7186C6A2C4A6C2CAC03F +:100790004EDE52DC56DA5AD85ED632C6630A051CE3 +:1007A0006382051C89476399F600585D8547630598 +:1007B000F7007370043001A03689AE842A84EF20DC +:1007C000A05B11E5B24781C77370043001A0EF2030 +:1007D000606F185C5C5C014B894BFD59130A040186 +:1007E000930A44026365F70C63077919B247638182 +:1007F000072463150B002808EF206048EF20C06E27 +:10080000EF10C04FEF20006C7C406394370123222F +:1008100004043C446394370123240404EF20C06C97 +:100820006C002808EF20C047631E051EEF2080697A +:10083000185C5C5C630CF70AEF20006BEF208068AB +:100840003C44634FF00039A85685EF20203019C191 +:10085000EF2000503C44FD173CC43C446354F0007E +:100860005C50FDF323243405EF200068EF20806501 +:100870007C40634FF00039A85285EF20202D19C12C +:10088000EF20004D7C40FD177CC07C406354F0009D +:100890001C48FDF323223405EF200065EF10006BA8 +:1008A000054BEF202062185C5C5CE37FF7F2304080 +:1008B0006305060C631F09120844A685EF30006E1D +:1008C0001C4434405840B6971CC463EAE70A1C5CD9 +:1008D00014405850850714C41CDC5DC7130544023E +:1008E000EF20C02685476311F50AC9A8EF20C05F35 +:1008F000B2455285EF204007EF20C05C3C44634F77 +:10090000F00039A85685EF20602419C1EF2040443B +:100910003C44FD173CC43C446354F0005C50FDF380 +:1009200023243405EF20405CEF20C0597C40634F06 +:10093000F00039A85285EF20602119C1EF20404115 +:100940007C40FD177CC07C406354F0001C48FDF3E4 +:1009500023223405EF204059EF10405F31F17D3400 +:10096000054B81B73C41E38F07E27370043001A06F +:100970007370043001A0304021E61C4085C71C5C28 +:10098000585085071CDC39FBEF2000560545B64062 +:10099000264496440649F259625AD25A425BB25BE7 +:1009A000616182804840EF2040491C5C58502322FE +:1009B000040085071CDCE31307F279D5813CE9B715 +:1009C0004844A685EF30805D3C4058441440B3074E +:1009D000F0403E9758C4637CD7025840BA975CC435 +:1009E00009475C506306E902185C050718DCC9DF9B +:1009F000F5B54844A685EF30605A3C405844144051 +:100A0000B307F0403E9758C4E37CD7FCF9B75C507D +:100A1000185C79DB185C7D1718DC185C050718DC9E +:100A2000A5D76DBD22857136EF104052014585B7BF +:100A3000EF20804B0145A1BF411126C2AA841305B6 +:100A4000400522C406C6EF30203D2A8415CD232C54 +:100A50000502FD577CC10547410558DC3CC42322F3 +:100A60000400232004002324040023260400232060 +:100A7000040423089404613C13054402493C814664 +:100A800001468145228501332285B24022449244A9 +:100A9000410182800DC5411122C426C206C64AC04A +:100AA000032945002A84EF20602C8144630CA900AF +:100AB000B2402244268502499244410182807370EB +:100AC000043001A05C448544FD175CC4F5F3814605 +:100AD0000146814522854539E1BF31CDA1C90111CA +:100AE00022CC26CA4AC852C406CE4EC68947B6840E +:100AF00032892E8A2A846399F600585D8547630FF0 +:100B0000F7047370043001A0C932185C5C5CAA89D8 +:100B10006366F70281444E857532F2406244268551 +:100B20004249D244B249224A056182803C41C5DB38 +:100B30007370043001A07370043001A0304041E2B2 +:100B40001C40D5CB1C5C7D5785071CDC3C4463876F +:100B5000E7083C44854485073CC475BFBD32185C3A +:100B60005C5CAA89636DF702304079DA4844D2852B +:100B7000EF30C0423C4058441440B307F0403E9729 +:100B800058C46375D7005840BA975CC48947E39B43 +:100B9000F4FA1C5CC5DB1C5CFD171CDC65B730403F +:100BA00045D24844D285EF30603F3C405844144021 +:100BB000B307F0403E9758C4E367D7FCD9BFDDF4D4 +:100BC0000844D285EF30803D1C4434405840B697ED +:100BD0001CC4E3E9E7F61C401CC4ADB75C50854477 +:100BE0009DDB13054402EF10707615D5E30509F27D +:100BF000232099000DB74840EF2020242322040031 +:100C000091B721CD3C4181C77370043001A01C41D4 +:100C1000A1CB011122CC26CA2A844AC84EC606CED0 +:100C20002E896530185C5C5CAA898144637DF7007D +:100C30001C5C7D5785071CDC3C446388E7023C4410 +:100C4000854485073CC44E85B538F240624426850C +:100C50004249D244B249056182807370043001A0D8 +:100C60005C41C5DB7370043001A05C5099E385449E +:100C7000D9BF13054402EF10706D75D9E30909FE61 +:100C800085472320F900E5B7397106DE22DC26DA34 +:100C90004AD84ED652D456D232C66302051C638A55 +:100CA000051AB68AAE892A84EF20000D11E5B247F5 +:100CB00081C77370043001A0EF20C0201C5C6392D8 +:100CC0000714B247638E070A2808EF10507BFD54C3 +:100CD00013094402130A0401EF200021EF1000025F +:100CE000EF20401E7C4063949700232204043C4480 +:100CF0006394970023240404EF20001F6C0028084D +:100D0000EF10107A63130518EF20C01B1C5CADCFE9 +:100D1000EF20801DEF20001B3C44634FF00039A8FA +:100D20004A85EF10B06219C1EF2080023C44FD17E4 +:100D30003CC43C446354F0005C50FDF324C4EF20F9 +:100D4000A01AEF2020187C40634FF00039A852858C +:100D5000EF10D05F19C1EF10B07F7C40FD177CC051 +:100D60007C406354F0001C48FDF364C0EF20C017C2 +:100D7000EF10C01DEF2000151C5CC1E7B247A9FFB2 +:100D8000EF208016014555A0EF2000161C40DDC75E +:100D9000B2454A85EF10503DEF20C0123C44634FEE +:100DA000F00039A84A85EF10705A19C1EF10507A37 +:100DB0003C44FD173CC43C446354F0005C50FDF3DC +:100DC00024C4EF206012EF20E00F7C40634FF0005E +:100DD00039A85285EF10905719C1EF1070777C40F9 +:100DE000FD177CC07C406354F0001C48FDF364C0D8 +:100DF000EF20800FEF10801531C9EF20A00C1C5C94 +:100E0000B5DFCE8522854444EFF0CFF8639C0A0419 +:100E10001C5C1840FD171CDC01E7EF20601148C086 +:100E20001C48A1EFEF20400C0545F2506254D2540B +:100E30004259B259225A925A21618280EF20800889 +:100E40004840EF107075EF20200A99B7EFF00FDCE3 +:100E50006DB73C41E38707E47370043001A0737001 +:100E6000043001A05C5044C4D5DF13054402EF10E8 +:100E7000F04D4DD9EFF08FD975B713050401EF1080 +:100E8000F04C8547E310F5FAF5B72285EFF06FF2E5 +:100E9000EF10C00B014551BF1DC9411122C44AC00A +:100EA00006C626C244412E892A84EF10306C638323 +:100EB000A40281464A8681452285F933854763002D +:100EC000F502B2402244924402494101828073708B +:100ED000043001A05C44054585075CC4DDB75C4473 +:100EE00085075CC4F9BF15CDADC9011122CC26CA56 +:100EF0004AC84EC652C42A8406CE3289AE89EFF063 +:100F0000CFDC1C5C2A8A814485E35285EFF08FDBBD +:100F1000F240624426854249D244B249224A0561E0 +:100F200082807370043001A0304001CE4C445C409C +:100F3000B2954CC463E4F5000C404CC44E85EF30D0 +:100F4000E0051C5C7D57FD171CDC7C40638CE700D2 +:100F50007C40854485077CC04DBF3C41D9D7737028 +:100F6000043001A01C4899E3854445B713050401EA +:100F7000EF10D03D75D9E30909FE85472320F9001C +:100F8000E5B721C93C41A1CDA1CB011122CC26CA94 +:100F90004AC852C42A8406CE4EC62E8AEFF0EFD23B +:100FA0001C5C2A89814491CB8329C400D285228587 +:100FB000EFF04FDE8544232634014A85EFF08FD0D1 +:100FC000F240624426854249D244B249224A056130 +:100FD00082807370043001A07370043001A0EDDFD3 +:100FE0007370043001A001E57370043001A0411159 +:100FF00022C42A8406C6EF10F06C005CEF10D06E9D +:10100000B240228522444101828001E573700430A0 +:1010100001A0411106C622C42A84EF10B06A1C5CEC +:10102000405C1D8CEF10506C2285B240224441017F +:10103000828019C1085D82807370043001A01DCDCB +:101040009787030093870774D843630CE502D8475A +:10105000630CE504D84B6307E504D84F6302E5044D +:10106000D853630DE502D8576308E502D85B6303E4 +:10107000E502D85F630EE5006F20306C73700430BA +:1010800001A001470E07BA9723A007006F20F06A5E +:101090001D47CDBF1947FDB71547EDB71147DDB760 +:1010A0000D47CDB70947F9BF0547E9BF68458280BD +:1010B0006CC5828003450505828009C5085D13352E +:1010C000150082807370043001A019C51C5D485D55 +:1010D0001D8D1335150082807370043001A0978731 +:1010E00003009387276A984305C3984705C7984B21 +:1010F00005C7984F05C7985305C7985705C7985B0C +:1011000015C7985F15C3828001470E07BA978CC335 +:10111000C8C382800547D5BF0947C5BF0D47F5B78E +:101120001147E5B71547D5B71D47C5B71947F1BFF3 +:101130009787030093870765D8436308E502D8477C +:10114000630BE502D84B630AE502D84F6309E50259 +:10115000D8536308E502D8576307E502D85B6308F4 +:10116000E502D85F6303A702828001470E07BA97A2 +:1011700023A0070082800547D5BF0947C5BF0D479B +:10118000F5B71147E5B71547D5B71D47C5B7194797 +:10119000F1BF411122C426C24AC02A8406C6AE84C9 +:1011A0003289EF1030527840FD576314F700232244 +:1011B00004043844FD576314F70023240404EF109B +:1011C000B0521C5C99E74A86A68513054402EF10CD +:1011D000B00CEF10304F3C44635BF0025C5085CBA9 +:1011E0009304440209A83C44FD173CC43C446350AA +:1011F000F0025C5089CF2685EF1050156DD5EF10A9 +:1012000030353C44FD173CC43C44E344F0FEFD57FC +:101210003CC4EF10704DEF10F04A7C40635BF0026D +:101220001C4885CB9304040109A87C40FD177CC0B1 +:101230007C406350F0021C4889CF2685EF101011C6 +:101240006DD5EF10F0307C40FD177CC07C40E3444E +:10125000F0FEFD577CC02244B24092440249410155 +:101260006F10904805C579714ECE52CC06D63E8A95 +:1012700022D426D24AD056CA5AC85EC662C4A547EE +:10128000BA8963F8E7007370043001A0737004300A +:1012900001A0AA8AAE843289B68B428B63090822E8 +:1012A00013050006EF2050372A84630D05200A0934 +:1012B000232865034A869305500A5A85EF20105C5F +:1012C00003C704001C587119230AE40203C7040071 +:1012D0003E991379C9FF79C783C71400A30AF402A2 +:1012E00083C71400E1C383C72400230BF40283C720 +:1012F0002400CDCB83C73400A30BF40283C7340092 +:10130000D5C383C74400230CF40283C74400D9CB60 +:1013100083C75400A30CF40283C75400C1C783C71A +:101320006400230DF40283C76400ADCF83C774004B +:10133000A30DF40283C77400B5C783C78400230ECE +:10134000F40283C78400B9CF83C79400A30EF402CC +:1013500083C79400A1CB83C7A400230FF40283C7E3 +:10136000A400A9C383C7B400A30FF40283C7B400C9 +:1013700095CB83C7C4002300F40483C7C4009DC376 +:1013800083C7D400A300F40483C7D40081CF83C7EC +:10139000E4002301F40483C7E40089C783C7F40091 +:1013A000A301F404130B44005A85A301040423266B +:1013B000340323283405232A0404EFF08F96130501 +:1013C0008401EFF00F96A947B3873741232C04041B +:1013D00000C81CCC40D023220404232E04045E86C3 +:1013E000D6854A85EFF00F8F08C063040A002320DA +:1013F0008A007370043017F901001309690B832701 +:10140000090097F401009384640C91C798409C40B4 +:101410007C4385077CC317F701001307270A1C4389 +:10142000850797F6010023ABF6089C40D5CB8327B0 +:10143000090017FA0100130AAA1889EB9C40DC572F +:1014400063E6F90097F7010023A2870897F70100E8 +:1014500093870705984397F601009386A6055C5489 +:101460009442050717F60100232CE60238C463F600 +:10147000F60017F70100232FF7025147B387E70261 +:10148000DA853305FA00EFF02F8A8327090081C738 +:101490009C40FC43BDE383270900054499C79C4059 +:1014A000DC5763F43701EFE07FF62285B250225417 +:1014B00092540259F249624AD24A424BB24B224CF0 +:1014C000456182805A85EF2050277D54F9BF13155E +:1014D0002600EF2070142A8BE31405DC7D54F1B74D +:1014E00097F7010023A487FE184385476301F7029D +:1014F00017FA0100130ACA0C91BF984094407C432C +:10150000FD177CC3FC42C1FB7360043069B7814A9C +:1015100017FA0100130ACA0A514CA94B33858A03F2 +:10152000850A5295EFE0BFFEE39A7AFF97FB010030 +:10153000938B8B155E85EFE09FFD97FA0100938AF0 +:10154000EA155685EFE0BFFC17F5010013054516B7 +:10155000EFE0FFFB17F501001305C516EFE03FFBB9 +:1015600017F5010013054517EFE07FFA97F7010023 +:1015700023AC77F597F7010023A657F5C1BD4111BC +:1015800022C406C626C24AC02A847370043097F467 +:1015900001009384E4F19C40F9E311E497F7010022 +:1015A0009387C7F28043130944004A85EFE05FFC4C +:1015B0001C5489C713058401EFE09FFBCA8517F50A +:1015C000010013052510EFE03FF697F70100938720 +:1015D00027EF984397F70100938787EC9C43050713 +:1015E00097F6010023AEE6EC9840850797F60100D8 +:1015F00023A8F6EA01CB97F70100938727ED9843DC +:10160000784349EF9C40B1C797F70100938707ECF7 +:101610009843630F870873700430984039EB17F7CD +:101620000100130767EA14439442A5E27D5797F639 +:10163000010023A5E6E6984009CF9843784311CBF3 +:10164000984394437C43FD177CC3FC4299E3736049 +:101650000430B2402244924402494101828097F70B +:1016600001009387A7E698439C437C4385077CC38E +:101670002DB794439843F8420507F8C217F70100C5 +:10168000130787E414439442D5D218435847584768 +:10169000584397F6010023A3E6E071BF98439443B3 +:1016A0007C43FD177CC3FC42B1FF7360043099BFDB +:1016B00097F70100938747DE9C4381C7737004301E +:1016C00001A02244B2409244024941016FE01FD47C +:1016D00041C517F70100130767DF14438147638B88 +:1016E000A606411106C622C426C27370043097F6BE +:1016F00001009386E6DB9C4291C710431C437C4269 +:1017000085077CC29C42AA84404981C71C43FC4394 +:10171000B9E797F70100938727DB9C43638B870426 +:1017200097F70100938707DA9C436384870497F750 +:101730000100938767FA6309F40297F70100938722 +:1017400067F86301F4028547B240224492443E8523 +:10175000410182803E8582807370043001A0EF4099 +:10176000605E45BF9147CDB79C5493B7170089077A +:10177000E1BF8947D1BF7370043097F701009387A9 +:1017800027D3984309EF15C59C43485581CB97F75C +:1017900001009387A7D39843784315E3828017F716 +:1017A00001001307A7D214431843F8420507F8C2F3 +:1017B00061FD17F70100130767D10843F1B798439C +:1017C00094437C43FD177CC3FC42E9FB7360043007 +:1017D0008280411122C42A8406C6EFE01FCF11E4A3 +:1017E00097F70100938787CE80434054EFE09FCD69 +:1017F000B2402285224441018280A54763F5B700AB +:101800007370043001A0011122CC06CE26CA4AC84A +:101810004EC652C42A847370043017F901001309AC +:1018200029C983270900D5EF79C43C486389F508A5 +:1018300017F70100130787C963FCB7001443638DD2 +:10184000860C18434457B3B4950093C4140029A0E0 +:101850000443818C93B4140058546384E70A1C4CED +:101860002CC863C60700A947B385B7400CCC9317B3 +:101870002700BA97584897F90100938969D48A07D5 +:10188000CE97631BF702130A44005285EFE05FCE48 +:1018900017F70100130707C25C5418436376F7007B +:1018A00017F701002328F7C05147B387E702D28515 +:1018B0003385F900EFE05FC799C0EFE03FB58327BC +:1018C000090081CB97F70100938747C09C43FC43F5 +:1018D0009DEBF2406244D2444249B249224A05613A +:1018E000828097F70100938767BE98439C437C43AF +:1018F00085077CC31DF897F70100938727BD8043B8 +:101900002DB74CD4A9BF6244F240D2444249B249F7 +:10191000224A05616F40004381443DBF25C101114A +:1019200026CA97F40100938464BA9C4006CE22CC68 +:101930004AC84EC6638DA7027370043017F90100C0 +:10194000130909B78327090091C798409C407C433D +:1019500085077CC3584997F701009387E7D763024F +:10196000F7028327090081C79C40FC43A5EBF240A6 +:101970006244D2444249B2490561828073700430A6 +:1019800001A01C5517F70100130787D2E38BE7FC72 +:10199000E9FB930945002A844E85EFE07FBD17F7E8 +:1019A0000100130727B15C5418436376F70017F75B +:1019B00001002321F7B05147B387E70217F5010073 +:1019C000130505C0CE853E95EFE01FB69C405854E8 +:1019D000DC57E368F7F8EFE07FA361B76244F240B9 +:1019E000D2444249B24905616F40C03515CD01115D +:1019F00022CC26CA4AC82A8406CE4EC6EFE0FFACE7 +:101A0000584897F70100938727CD2A8981446300BE +:101A1000F7024A85EFE01FABF24062442685424957 +:101A2000D244B249056182807370043001A01C5415 +:101A300017F501001305C5C7E38DA7FCF9FB97F760 +:101A40000100938767A59C43A9EB97F70100938753 +:101A5000E7A79C43445493094400DC574E85B3B434 +:101A6000F400EFE0FFB017F701001307A7A45C54E0 +:101A7000184393C414006376F70017F70100232B73 +:101A8000F7A25147B387E70217F50100130545B3E5 +:101A9000CE853E95EFE05FA9ADBF93058401EFE0F1 +:101AA000BFA885BF0111056506CE22CC26CA4AC84B +:101AB0004EC652C456C25AC0EF200036630E051AF5 +:101AC000AA8413050006EF2020352A84630A051C2A +:101AD00005669305500A04D92685EF20205A04583C +:101AE0008567F117BE94B7474C4593879744130A0F +:101AF00044005CD85285230C0402A301040423266D +:101B0000040223280404232A0404EFE09FA1130500 +:101B10008401EFE01FA1A947232C0404F19800C819 +:101B20001CCC40D023220404232E04040146971524 +:101B300000009385E5B12685EFE0DF9908C073705A +:101B4000043017F901001309A9968327090097F4B7 +:101B500001009384A49791C798409C407C438507DB +:101B60007CC317F70100130767951C43850797F699 +:101B7000010023A5F6949C40C9C38327090097F967 +:101B800001009389E9A381EB9C40DC5789E797F733 +:101B9000010023AD879297F70100938767909843E0 +:101BA00097F60100938606915C549442050717F658 +:101BB00001002327E68E38C463F6F60017F701000C +:101BC000232AF78E139527003E950A05D2854E9558 +:101BD000EFE09F958327090081C79C40FC43DDE728 +:101BE0008327090091C39C40EF1070498547630526 +:101BF000F50C59E17370043001A097F7010023A799 +:101C0000878C1843854797F901009389699BE314F2 +:101C1000F7F8CE8A17FB0100130B0BA75685D10AE4 +:101C2000EFE0FF8EE31C5BFF17F501001305C5A570 +:101C3000EFE0FF8D97FA0100938A4AA65685EFE000 +:101C40001F8D17F501001305A5A6EFE05F8C17F5B2 +:101C50000100130525A7EFE09F8B17F50100130581 +:101C6000A5A7EFE0DF8A97F7010023AF678597F715 +:101C7000010023A9578505B7F2406244D244424986 +:101C8000B249224A924A024B0561828098409440B0 +:101C90007C43FD177CC3FC42A1F77360043089B715 +:101CA0006244F2404249B249224A924A024B268596 +:101CB000D24405616F20602873700430FD5717E728 +:101CC0000100232DF77C624497E7010023A2A77E41 +:101CD000F240D2444249B249224A924A024B97E723 +:101CE000010023AB077C05616FE0AFDB737004304C +:101CF00097E7010023AE077A6FE0EFE817E70100EE +:101D0000130787791C4385071CC3828073700430D6 +:101D100097E701009387C779984305E317E7010028 +:101D20001307877908439C4381CB97E7010093878A +:101D3000E7799843784301EF828017E701001307A2 +:101D4000E77814431843F8420507F8C2C1BF984327 +:101D500094437C43FD177CC3FC42F9FF736004305D +:101D60008280411106C622C4EFE02FF697E70100FA +:101D7000938787748043EFE0EFF4B24022852244DA +:101D80004101828097E701009387477388438280EF +:101D9000797106D622D426D24AD04ECE52CC56CA1B +:101DA0005AC85EC697E701009387076F9C43B1EF5F +:101DB00017E70100130747701C43850797E60100EA +:101DC00023ACF66E832A0700638F0A0697E70100AB +:101DD0009387C76C9C43814463F1FA0897E901003B +:101DE0009389097E17EA0100130A4A6E83270A00C5 +:101DF0008546D85793172700BA978A07BE9983A7B5 +:101E0000090063FFF600854421A897E70100938746 +:101E1000E7699C438144850717E701002328F76899 +:101E200097E70100938747689C4391C38544B2506C +:101E30002254268502599254F249624AD24A424BB0 +:101E4000B24B4561828017E401001304E4671C4033 +:101E50009C43DDC37370043001A017E40100130438 +:101E6000A46697E901009389A97517EA0100130A8E +:101E7000EA6517EB0100130BEB631C409C43B5C3F1 +:101E80001C40DC4703A9C70083274900930B490086 +:101E90005E8563EEFA04EFE0AFED83278902130558 +:101EA000890199C3EFE0CFEC8327C90203270B0018 +:101EB000DE85139527003E950A054E956376F7005B +:101EC00017E701002328F75EEFE00FE683270A00FB +:101ED0000327C902DC57E362F7FA1C4085449C43A0 +:101EE000C5F3FD5717E70100232AF75A01B717E793 +:101EF00001002325F75ADDBD17E701001307875CB2 +:101F00001C40184397E6010023A0E65C17E7010098 +:101F1000232AF75A97E701009387C7589C43850700 +:101F200017E701002320F7581C409C4399E7FD5711 +:101F300017E701002324F75651BD1C40DC47DC475E +:101F4000DC4317E70100232BF75449B597E701005D +:101F500093878754984301E77370043001A00111FF +:101F600006CE22CC26CA4AC84EC652C456C25AC051 +:101F70007370043097E4010093848453984061EBBC +:101F800098437D1797E6010023A8E6509C43D9EBC0 +:101F900097E70100938787529C43C9C797EA0100DE +:101FA000938A0A6217EA0100130ACA5017E901006E +:101FB0001309C951854919A83385EA00EFE0CFD646 +:101FC000832709005854DC576379F70483A70A0F65 +:101FD000C1CF83A7CA0FC04713058401EFE04FD9D3 +:101FE000130B44005A85EFE0AFD85C5483260A00F7 +:101FF000DA85139527003E950A052A875695E3FD55 +:10200000F6FA17E701002327F74AEFE0EFD183271D +:1020100009005854DC57E36BF7FA97E7010023A552 +:1020200037496DB701449C4081CB97E70100938706 +:10203000E7499C43FC4395E72285F2406244D24441 +:102040004249B249224A924A024B0561828017E70F +:1020500001001307A74714431843F8420507F8C2C5 +:1020600005B7EF30304EC9BF17E401001304044434 +:102070001C4095C31C40054999CF193B09C597E7FA +:10208000010023A327431C40FD1717E70100232F5E +:10209000F7401C40FDF397E701009387E740984322 +:1020A0008547E311F7F8EFE06FB60544ADBF11CDFA +:1020B00081E57370043001A017E701001307C73DE5 +:1020C0001C4399C77370043001A07370043001A0E1 +:1020D000411122C406C614431841850617E60100C3 +:1020E000232CD63A97E601009386063D94423304AA +:1020F000B70000C163F7E602637FE40063FD86007A +:1021000097E701009387873C88431105EFE04FC6AE +:102110002285EF30F03C1D3D01C9B240224441010F +:102120008280E36FE4FCD9BF2244B24041016FE0FA +:10213000EFAD11C917E70100130707361C4391C71C +:102140007370043001A06FE06FAC411106C622C469 +:102150001843AA87050797E6010023AFE63297E602 +:1021600001009386A63617E701001307E7341843EA +:1021700088423304F7001105EFE08FBF2285EF306E +:102180003036E93311E52244B24041016FE00FA837 +:10219000B240224441018280411122C406C697E721 +:1021A00001009387672F9C430144850717E70100CF +:1021B0002324F72E97E70100938747309C4363E081 +:1021C000F51217EE0100130E6E4B97E20100938299 +:1021D000623E01440147854F1303500A21A0311E7E +:1021E000638DC20B83278EFFBA86F5DB8327CEFF74 +:1021F000DC43232EFEFE638AC70F03AFC700DC4318 +:102200002A97814E232EFEFE6385C70783A8C70049 +:1022100093874803232017015CC383A788042326E0 +:10222000F7011CC783A7C8021CCB83A70805232C72 +:1022300007005CCB03A8080383470800639067048A +:10224000C287850783C60700B3850741E38B66FE17 +:102250008981C205C181231EB700850E13070702BD +:1022600063061F038327CEFFDC43232EFEFEE39F7E +:10227000C7F983274E00232EFEFE49BF8145231E4A +:10228000B700850E13070702E31E1FFD7694131790 +:102290005400311EBA86E397C2F597E70100938791 +:1022A000A72203AE070083270E00639D070E97E762 +:1022B00001009387272103AE070083270E00639256 +:1022C000071817EE0100130EAE2F83274E109DE363 +:1022D00083278E116395072019C223200600BD3184 +:1022E0002285B24022444101828083274E00232E62 +:1022F000FEFE21B783278E1017EF0100130F0F3D4D +:10230000DC4317E701002321F73C6380E73383A216 +:10231000C700DC43AA96814E17E701002326F73A4F +:10232000914F1303500A6388E70783A8C700938778 +:10233000480323A01601DCC283A7880423A6F60164 +:102340009CC683A7C8029CCA83A7080523AC0600C5 +:10235000DCCA03A80803834708006391672AC28781 +:10236000850703C70700B3850741E30B67FE93D7D3 +:102370002500C207C183239EF600850E93860602C0 +:102380006386122983278E10DC4317E70100232D73 +:10239000F732E39CE7F983270E1117E701002325A5 +:1023A000F73261B783274E00130F8E00D4432322E8 +:1023B000DE006388E629B307E500D84283A2C600A1 +:1023C000814E2322EE00894F1303500A6306EF0665 +:1023D0008328C7001387480323A01701D8C303A786 +:1023E000880423A6F70198C703A7C80298CB03A7C0 +:1023F000080523AC0700D8CB03A80803034708004F +:102400006318671C4287050783460700B305074129 +:10241000E38B66FE13D7250042074183239EE70026 +:10242000850E93870702638D121B83264E00D842C8 +:102430002322EE00E31EEFF80327CE002322EE0056 +:1024400041BF83274E00130F8E00DC432322FE0082 +:102450006384E71F83A2C700DC43AA96814E232230 +:10246000FE00894F1303500A6306FF0683A8C700C6 +:102470009387480323A01601DCC283A7880423A600 +:10248000F6019CC683A7C8029CCA83A7080523AC93 +:102490000600DCCA03A80803834708006396671098 +:1024A000C287850703C70700B3850741E30B67FEB3 +:1024B00093D72500C207C183239EF600850E93861D +:1024C0000602638B120F83274E00DC432322FE009B +:1024D000E31EFFF88327CE002322FE0041BF83279F +:1024E000CE1197EE0100938EAE1FDC4317E701007B +:1024F0002326F71E6384D71383AFC700DC4333075B +:10250000D500014397E6010023AAF61C0D4F89422E +:102510009308500A6387D707C8479307450308C342 +:102520005CC33C452326E7011CC75C551CCB1C55EE +:1025300099C3232657003C49232C07005CCB032872 +:1025400005038347080063951705C287850783C67F +:102550000700B3850741E38B16FF93D72500C20719 +:10256000C183231EF700050313070702638AAF0226 +:102570008327CE11DC4397E6010023A1F616E39DE5 +:10258000D7F983274E1297E6010023A9F61469B7FD +:102590008147231EF700050313070702E39AAFFCE8 +:1025A0001A94E31C06D225BB8147239EF600850EB4 +:1025B00093860602E39912F117EE0100130E4E0006 +:1025C00083274E10769493165400E38307D01DB3EF +:1025D0000147239EE700850E93870702E39712E5E4 +:1025E00097E70100938707EE03AE070076949316F2 +:1025F000540083270E00E38607CCA1B58147239EB4 +:10260000F600850E93860602E39E12D783278E116D +:10261000769493165400E38107CCD1B583274E12EC +:1026200017E70100232CF70AC1BD83270E1117E716 +:102630000100232BF708E1B98327CE002322FE00F7 +:1026400011BD8326CE002322DE00B5B3797126D2D8 +:102650004AD04ECE52CC56CA5AC85EC606D622D4EE +:1026600097E90100938949E317E90100130949F546 +:1026700017EA0100130ACAE397EA0100938A0AE500 +:1026800097EB0100938B8BE397E40100938444E381 +:10269000054B21A883A7090003244910850717E7E4 +:1026A0000100232BF7DE5D3011E89C40E5F783271E +:1026B0000900E37CFBFEEFD07FD5C5BF737004300B +:1026C00083270A00B9E383270911C0471305440093 +:1026D000EFD01FEA83A70B00FD1717E70100232F98 +:1026E000F7DC9C40FD1717E70100232BF7DC83275D +:1026F0000A0089C783A70A00FC4385E30858EF1046 +:10270000D0032285EF1070034DB703A70A0083A7FB +:102710000A007C4385077CC37DB703A70A0083A614 +:102720000A007C43FD177CC3FC42E9FB7360043064 +:10273000F1B797E70100938727D69C43DDE341116A +:1027400006C697E7010023A107D617E70100130784 +:10275000E7D71C43B7A6A5A59386565A9C5B8C4326 +:10276000639BD500D0436318B60094476395C600B9 +:10277000DC476388D70008430C4393854503EF206B +:10278000C07297E601009386E6D2904217E70100F7 +:10279000130707E311A89C42B9CB9C42FD1717E62B +:1027A00001002329F6D0904293172600B2978A079A +:1027B000BA979C43EDD3904293172600B2978A07AD +:1027C000B305F700D441A107BA97D442D4C16383BB +:1027D000F602DC46B24017E701002329F7CE41019B +:1027E0008280854717E701002320F7CC8280737031 +:1027F000043001A0D1473306F602D442329754C3C5 +:10280000C9BF01114AC806CE22CC26CA4EC62A89A3 +:102810007370043097E40100938484C99C4017E4EA +:1028200001001304A4CAD9E76314090003290400B2 +:10283000930949004E85EFD0BFD38327890289C70A +:1028400013058901EFD0DFD2CE8517E5010013050E +:10285000A5E8EFD07FCD9C4081C71C40FC43ADE391 +:102860001C40638B27079C409DCF737004309C40B5 +:10287000C1EB97E70100938727C59843184325EBE1 +:10288000FD5717E70100232BF7C09C4089CF1C4060 +:10289000FC4391CB184014407C43FD177CC3FC42A1 +:1028A00099E373600430F2406244D2444249B24931 +:1028B0000561828018401C407C4385077CC3ADB70E +:1028C000184014407C43FD177CC3FC42D1FB73606D +:1028D00004301C40E39927F99C40A1C397E701000D +:1028E000938787BB9C43ADCB7370043001A09C439E +:1028F000DC47DC47DC4317E701002321F7BA71B757 +:1029000018401C407C4385077CC397E701009387F0 +:10291000A7BB984318432DD7D9BF97E701009387EA +:10292000E7B917E701001307A7C99C4303278711DD +:10293000631DF700F240624497E7010023A807B83F +:10294000D2444249B249056182806244F240D24495 +:102950004249B2490561F1BB6244F240D244424966 +:10296000B24905616FD09FAA3DC9411126C297E4C3 +:1029700001009384A4B54AC02E898C4006C622C4A7 +:10298000E105EFD0FFBB88401105EFD07FBEFD57BA +:10299000630AF90497E70100938707B280439840E0 +:1029A0009C434A9440C3636CF40497E70100938707 +:1029B000A7B188438C409105EFD09FB897E70100FD +:1029C0009387C7AD9C436376F40097E7010023A784 +:1029D00087ACB24022449244024941018280737024 +:1029E000043001A08C402244B2409244024917E5D1 +:1029F0000100130565CE910541016FD0FFB297E745 +:102A00000100938727AC884322448C40B240924413 +:102A10000249910541016FD0BFB211C997E701008A +:102A2000938787A79C4399E77370043001A0737064 +:102A3000043001A0411126C297E40100938404A947 +:102A40009840AE878C40B7060080D58F06C622C45A +:102A50001CCFE1053284EFD03FAD88401105EFD0A7 +:102A60003FB1FD57630EF40097E701009387C7A4B9 +:102A70008843B24092442295224441016F3040265F +:102A80008C402244B240924417E501001305C5C4AE +:102A9000910541016FD05FA925CD411126C297E470 +:102AA00001009384A4A24AC02E898C4006C622C489 +:102AB000E1053284EFD05FA788401105EFD05FAB0E +:102AC0008547630AF40497E701009387E79E8043F4 +:102AD00098409C434A9440C3636CF40497E7010018 +:102AE0009387879E88438C409105EFD07FA597E719 +:102AF00001009387A79A9C436376F40097E701004F +:102B000023AE8798B2402244924402494101828018 +:102B10007370043001A08C402244B24092440249B8 +:102B200017E50100130545BB910541016FD0DF9FFB +:102B300097E7010093870799884322448C40B2406D +:102B400092440249910541016FD09F9F411106C6F1 +:102B500022C426C25C45C04735CC93048401268537 +:102B6000EFD01FA197E70100938707939C43B5E738 +:102B7000930444002685EFD0BF9F97E70100938719 +:102B8000679358549C4363F6E70097E7010023A33B +:102B9000E79293172700BA978A0717E501001305F4 +:102BA00025A2A6853E95EFD03F9897E70100938731 +:102BB000E7919C4358540145DC5763F8E70085478B +:102BC00017E701002322F78E0545B24022449244C4 +:102BD000410182807370043001A0A68517E50100D1 +:102BE000130505ADEFD05F94C9B797E70100938750 +:102BF000A78A9C4381E77370043001A0411106C687 +:102C000022C426C2B70700804045CD8F1CC12DC409 +:102C1000EFD01F96930444002685EFD07F9597E769 +:102C200001009387278958549C4363F6E70097E790 +:102C3000010023A1E78893172700BA978A0717E5B1 +:102C400001001305E5973E95A685EFD0FF8D97E728 +:102C500001009387A7879C4358540145DC5763F8CC +:102C6000E700854717E701002320F7840545B240B8 +:102C700022449244410182807370043001A011CD3E +:102C800097E7010093870782984397E701009387AE +:102C9000A7829C4318C15CC182807370043001A07C +:102CA00055C1D1CD411106C622C42A8773700430A4 +:102CB00097D801009388C87F83A7080091CB97E736 +:102CC00001009387A78094439C43FC428507FCC284 +:102CD00017D301001303437E9C4103260300FD56D6 +:102CE0000144638FD70217DE0100130EAE7B03256C +:102CF0000E000328070054436305A80005446371D0 +:102D0000D6023308D6400544637CF80003250E0044 +:102D1000918F03260300B6979CC108C350C301449A +:102D200083A7080081CB97D701009387277A9C431C +:102D3000FC4381EF2285B2402244410182807370BE +:102D4000043001A07370043001A0EF20B07FDDB724 +:102D5000854717D701002329F774828019C1684578 +:102D600082800145828011C16CC5828097D70100A5 +:102D70009387C7758843828097D7010093874773ED +:102D80009C43054589CB97D701009387E77088431B +:102D9000133515000605828031CD011126CA97D45E +:102DA00001009384A4729C4006CE22CC4AC84EC631 +:102DB0005855DC576379F7021C4D63C707009C40E8 +:102DC000D457A947958F1CCD93172700BA97584918 +:102DD00017D901001309C97E8A07CA97630DF70046 +:102DE0009C40DC575CD5F2406244D2444249B2492F +:102DF000056182808280930945002A844E85EFD048 +:102E00002FF79C4017D701001307C76A1843DC57F8 +:102E10005CD46376F70017D70100232DF76813956C +:102E200027003E9562440A05F240D244CE854A9579 +:102E3000B249424905616FD02FEF19CD411106C645 +:102E400022C426C297D7010093874768804363074F +:102E5000A4007370043001A0014582807C4881E7A2 +:102E60007370043001A054543848FD177CC8638344 +:102E7000E60099C70145B240224492444101828054 +:102E8000930444002685EFD0AFEE3C4817D70100ED +:102E900013074762144329471D8F5CD418CC63F68F +:102EA000F60017D701002327F7605147B387E702E1 +:102EB00017D501001305C570A6853E95EFD0CFE666 +:102EC000054555BF7370043097D701009387475E5F +:102ED0009C4391CB97D701009387475F98439C43CE +:102EE0007C4385077CC3828097D701009387475C2A +:102EF0009C438DC397D701009387475D98437843E0 +:102F000011CB984394437C43FD177CC3FC4299E367 +:102F100073600430828097D701009387275B9043CA +:102F200094439843A947D456084E958F1CCF82806E +:102F300097D7010093878759984309C79443F84A64 +:102F40000507F8CA8843828079714AD006D622D410 +:102F500026D24ECE2A897370043097D40100938410 +:102F600024559C4017D4010013044456BDE31C4073 +:102F7000BC4F89E71C400547F8CFD1E19C4081C791 +:102F80001C40FC43F1EB737004309C408DEF1C40FF +:102F9000A84F11C5630409021C4023AC07041C4060 +:102FA00023AE07049C4081C71C40FC438DEBB2500C +:102FB000225492540259F2494561828018403C4F94 +:102FC000FD173CCFE9BF18401C407C4385077CC3FC +:102FD0007DBF18401C407C4385077CC349BF184017 +:102FE00014407C43FD177CC3FC42F1F37360043052 +:102FF000B250225492540259F249456182800840ED +:103000002EC61105EFD0CFD6B245FD576380F50629 +:1030100097D701009387474A83A9070018409C432C +:10302000AE992322370163ECF90497D70100938707 +:10303000A74988430C409105EFD08FD097D7010066 +:103040009387C7459C4363F6F90097D7010023A7F0 +:103050003745EFD0AFBB1DB7184014407C43FD1778 +:103060007CC3FC428DF37360043031BF0C4017D534 +:103070000100130565669105EFD00FCBD9BF97D737 +:1030800001009387274488430C409105EFD04FCB34 +:10309000C9B779714AD04ECE06D622D426D252CCA8 +:1030A0002E89B2897370043097D401009384444010 +:1030B0009C4017D4010013046441F9EF184089477C +:1030C000784F630CF7001C401345F5FFB84F798D1E +:1030D000A8CF1C400547F8CFADE69C4081C71C40F7 +:1030E000FC43F5E3737004309C40B1E7638609004C +:1030F0001C40BC4F23A0F900184085470145784F7C +:10310000630BF7001C401349F9FF0545B84F3379AD +:10311000E90023AC27051C4023AE07049C4081C76F +:103120001C40FC43C1E3B250225492540259F2496C +:10313000624A4561828018401C407C4385077CC3FD +:1031400075B7084036C61105EFD08FC2B246FD579D +:103150006385F60897D701009387073603AA07000F +:1031600018409C43369A232247016363FA0897D795 +:1031700001009387673588430C409105EFD04FBC21 +:1031800097D70100938787319C436370FA0697D7DE +:10319000010023A5473191A818401C407C438507B6 +:1031A0007CC329BF184014407C43FD177CC3FC42FC +:1031B000BDFB73600430B250225492540259F2495C +:1031C000624A45618280184014407C43FD177CC3ED +:1031D000FC4289FB7360043031B70C4017D5010005 +:1031E0001305854F9105EFD02FB4EFD02FA2F5B581 +:1031F00097D701009387072D88430C409105EFD0A6 +:103200002FB4E5B751C1411106C622C426C24AC037 +:103210007370043097D40100938484299C40B9EBE7 +:1032200099C23C4D9CC2B2878946704D74CD2E87A1 +:103230002A846386D70663FCF6048D456387B7004E +:1032400091456395B7006300D60C38CC8547630F72 +:10325000F60405449C4081CB97D70100938707274C +:103260009C43FC43A9E32285B24022449244024994 +:103270004101828097D701009387472598439C435B +:103280007C4385077CC369BF7370043001A0854609 +:10329000E39ED7FA3C4D33E7B70038CD45BF3C4DF0 +:1032A00085073CCD65B7EF20F02975BF13094400B1 +:1032B0004A85EFD0EFAB17D701001307A71F5C5467 +:1032C00018436376F70017D701002325F71E5147EF +:1032D000B387E70217D501001305852ECA853E95F1 +:1032E000EFD08FA41C5481C77370043001A097D70E +:1032F00001009387A71D9C435854DC57E3FBE7F478 +:10330000EFD0CF90B9B70144B1B739CD011122CC7C +:1033100026CA4AC84EC652C456C206CEBA89368A92 +:10332000B2842E892A84EFD04F9AAA8A63050A00B4 +:103330003C4C2320FA00784C89477CCC6389F4020A +:1033400063F4970C8D466387D40091466396D4004E +:10335000630AF70A232C24058547631EF7061C54CD +:1033600099CB7370043001A07370043001A03C4C01 +:1033700085073CCCD5B797D701009387E7119C43CD +:10338000ADEB930444002685EFD08F9E17D7010044 +:10339000130747125C5418436376F70017D70100F0 +:1033A000232AF7105147B387E70217D50100130509 +:1033B0002521A6853E95EFD02F9797D701009387BB +:1033C000E7109C435854DC5763F7E7006385090016 +:1033D000854723A0F90005445685EFD0AF8E22859E +:1033E000F2406244D2444249B249224A924A0561BB +:1033F00082809305840117D501001305652BEFD05A +:10340000AF9265BF0144C9BF8547E397F4F43C4CD4 +:1034100033E92701232C240581B74DC1011122CCAA +:1034200026CA2A844AC806CE4EC6AE84EFD0EF899B +:103430008947744C7CCC3C4C05472A8985073CCC99 +:103440006395E6061C5481C77370043001A097D7BA +:103450000100938767049C43ADE7930944004E85C0 +:10346000EFD00F9117D701001307C7045C5418431E +:103470006376F70017D70100232EF7025147B38771 +:10348000E70217D501001305A513CE853E95EFD0B1 +:10349000AF8997D70100938767039C435854DC5743 +:1034A00063F5E70099C085479CC06244F240D2446E +:1034B000B2494A85424905616FD0CF8073700430AC +:1034C00001A09305840117D501001305651EEFD0F7 +:1034D000AF85C1B7AA8729C57370043017D701001B +:1034E000130707FD14439DE2F04F8946014563151C +:1034F000D60023AE070405451C4381CB97D70100B6 +:103500009387C7FC9843784315E3828097D60100E0 +:103510009386C6FB904294427442850674C2E9B712 +:1035200097D70100938787FA9C437DB798439443CC +:103530007C43FD177CC3FC42E9FB7360043082804E +:103540005D71A6C2CAC052DC56DA5AD85ED686C6AB +:10355000A2C44EDE62D466D26AD017D90100130924 +:10356000E9F717DB0100130BABF697D40100938446 +:1035700064F697DA0100938A2AF6054AA54B832759 +:103580000900984363010712DC4783A90700EFE0B5 +:10359000EFF6EFE0AFF783270B002A8C6362F50AA2 +:1035A00097D7010023A6A7F201466370351B884018 +:1035B000B3858941EFD0FFBDEFE05F99630105124C +:1035C0008840814601462C00EFD00FEC4DD9A24730 +:1035D000E3C807FEC24983A7490189C7138549008B +:1035E000EFC01FF9EFE08FF283270B002A8C636D89 +:1035F000F508A24717D70100232C87EDE3E2FBFC77 +:10360000B317FA0013F70721631A071613F7770C9D +:103610006318071E93F70702C5D74E85EF00F01118 +:1036200045B7DC47C04783AC0700930944004E858B +:10363000EFC01FF45C50228582975C4C6384470B7B +:1036400083270900984371FF03A70A0097D601005A +:1036500023A086E997D6010023A0F6E897D70100BA +:1036600023AEE7E6EFE09F8EA1BFDC47C04703AD86 +:103670000700930C44006685EFC09FEF5C502285E5 +:1036800082975C4C6386470983270900984371FF42 +:1036900003A70A0097D6010023A0F6E497D70100FC +:1036A00023AEE7E2B9B7EFE06FE5EFE02FE683275F +:1036B0000B002A8CE366F5F883A70A00884081494D +:1036C0009043B385894117D70100232387E113363F +:1036D0001600EFD01FACEFE07F87E31305EEEFC0DD +:1036E000FFD2F9BD1C4CCE85E69763E9FC049C40F3 +:1036F00089CF02CA66CC22CEEFF00FE8884081461F +:1037000001464C08EFD02F8805FD7370043001A0EE +:103710001C4CE685EA97636CFD049C4089CF02CA85 +:103720006ACC22CEEFF04FE58840814601464C0836 +:10373000EFD06F8531F97370043001A003250900C3 +:103740005CC000C8EFC0DFDFE5BDEFE03F8083274E +:103750000900DC47C047930C44006685EFC05FE179 +:103760005C4C638F47035C502285829791BD032593 +:1037700009005CC000C8EFC0BFDC39B7B24723AC5A +:10378000F900A5CFE29723A2F90023A83901938578 +:103790004900636FFC0403A50A00EFC07FDA0DB592 +:1037A0001C4C00C83387F90058C0636DEC02330C21 +:1037B0003C416363FC029C4089CF02CA4ECC22CEBE +:1037C000EFF08FDB8840814601464C08EFC0BFFB1D +:1037D00059F97370043001A003A50A00E685EFC013 +:1037E0003FD651B703250900E685EFC07FD5A5BFB9 +:1037F00003250900EFC0DFD4E1B37370043001A0EA +:10380000B24783A6890123A839013387D70023A2B1 +:10381000E9006364EC04B307FC4063EBD70483A7BF +:1038200049024E85829783A7C901E39B47D983A6A6 +:103830008901B2479840B69711CF02CA3ECC4ECE0E +:10384000EFF08FD38840814601464C08EFC0BFF3AC +:10385000E31805D67370043001A06374FC00E370B4 +:10386000F7FC0325090093854900EFC07FCD89BB94 +:1038700003A50A0093854900EFC09FCC91B3411185 +:1038800022C406C626C24AC017D40100130484C449 +:10389000EFF04FE31C4095CBEFF00FE51C409DC3CC +:1038A000814801488147094781461306004097D562 +:1038B00001009385A5B0170500001305A5C8EFD03A +:1038C0007F9A39E97370043001A017D901001309F8 +:1038D000E9E14A85EFC0BFC397D40100938444E275 +:1038E0002685EFC0DFC20146B145114597D70100DB +:1038F00023A627BF97D7010023A097BEEFC01FDCE8 +:1039000017D701002328A7BC11CD97D501009385B7 +:1039100065AAEFD0CFFC49B7B24022449244024995 +:10392000410182807370043001A0A5C9797156CA23 +:10393000AA8A1305C00222D426D24AD04ECE52CC37 +:1039400006D65AC85EC63A89B689328AAE84E9215B +:103950002A8405C9EFF00FD797D70100938787B75F +:103960009C439DCFEFF04FD8130544002320540112 +:1039700004CC232E44012320340323222403EFC04C +:103980005FBA2285B250225492540259F249624AD7 +:10399000D24A424BB24B456182807370043001A021 +:1039A00097DB0100938B8BD45E85EFC05FB617DB8E +:1039B0000100130BEBD45A85EFC07FB50146B1452A +:1039C000114597D7010023AB77B197D7010023A505 +:1039D00067B1EFC0BFCE17D70100232DA7AE01C935 +:1039E00097D501009385059DEFD06FEFA5BF73704C +:1039F000043001A039C5011122CC06CE26CA17D445 +:103A00000100130424AD832804002A88014563853E +:103A10000802BA842EC2368732C442C6954663C5B0 +:103A2000B602EFF06FB58947630BF5020840814697 +:103A300001464C00EFC03FD5F2406244D2440561DC +:103A400082807370043001A04C0081463A8646851E +:103A5000EFD0AF88F2406244D244056182800840D2 +:103A6000814626864C00EFC01FD2F9B719C1084124 +:103A700082807370043001A001E57370043001A0EE +:103A8000411106C622C42A84EFF0CFC3484833341C +:103A9000A000EFF06FC52285B24022444101828030 +:103AA00001E57370043001A0411122C42A8406C6C6 +:103AB000EFF04FC10050EFF02FC3B24022852244F7 +:103AC0004101828001E57370043001A0411122C4DC +:103AD00026C22E84AA8406C6EFF0CFBE80D0224430 +:103AE000B240924441016FF02FC04111714522C490 +:103AF00006C61D262A8411C5232005001105EFC026 +:103B00001FA12285B24022444101828001CDB70726 +:103B100000FFED8F81C77370043001A099E57370C9 +:103B2000043001A07370043001A0011122CC4AC8F6 +:103B30004EC652C456C206CE26CABA893689B28A41 +:103B40002E842A8AEFF04FA311E563850900737074 +:103B5000043001A0EFE08F9A83240A00B377940029 +:103B60006316090295C763870A009347F4FFE58F40 +:103B70002320FA00EFE08FBDF240624426854249DF +:103B8000D244B249224A924A05618280E30DF4FC94 +:103B9000E38209FEB3355001E20563050900B7076A +:103BA0000004DD8D4E86C18D13054A00EFE0FFE66F +:103BB000EFE0CFB919E1EFC07F85EFF0CFB59317F4 +:103BC0006500AA8963D80700B7040001FD14B3F4A7 +:103BD00099005DB7EFF00FAF83290A00B377340186 +:103BE000631E090089CB63880A009347F4FFB3F78B +:103BF00037012320FA00EFF02FAFF9B7E31DF4FEF1 +:103C0000DDB701C9B70700FFED8F99C773700430A6 +:103C100001A07370043001A0411122C42A8406C699 +:103C200026C24AC02E89EFF0EFA904409345F9FF60 +:103C3000B3F795001CC0EFF02FABB24022442685AD +:103C40000249924441018280411122C42A8406C65D +:103C5000EFC0BF870040EFC0FF86B24022852244FC +:103C60004101828009C9370700FFB3F7E50099C712 +:103C70007370043001A07370043001A06F20400EF7 +:103C8000B70700FFF18F81C77370043001A001E610 +:103C90007370043001A0011122CC4AC84EC652C430 +:103CA00006CE26CA3689AE892A8A3284EFF0CF8CB6 +:103CB00021E1630509007370043001A0EFE00F8477 +:103CC00083240A00CE855285B3E49900613FB3771F +:103CD00094006307F40683240A00EFE02FA7F24064 +:103CE000624426854249D244B249224A0561828013 +:103CF000EFE0CF8083240A00CE855285B3E43401FF +:103D00009537B3F78400638D8702E30609FCB70596 +:103D100000054A86C18D13054A00EFE01FD0EFE091 +:103D2000EFA219E1EFC08FEEEFF0EF9E9317650061 +:103D3000AA8463DF0700B7070001FD17FD8C45B7B4 +:103D400083270A001344F4FFE18F2320FA0071B7A0 +:103D5000EFF04F9783240A00B377940063978700AE +:103D60009347F4FFE58F2320FA00EFF0EF97E1B7D8 +:103D7000411122C406C62A8426C2EFD03FF85C4017 +:103D800085C308489304C4006316950015A008482D +:103D900063009502B7050002EFE03FE55C40E5FBFC +:103DA0002285612A2244B240924441016FE00F9A79 +:103DB0007370043001A009C9370700FFB3F7E500AD +:103DC00099C77370043001A07370043001A06F10A4 +:103DD000307901C9B70700FFED8F99C773700430C0 +:103DE00001A07370043001A0411122C426C206C68E +:103DF0002A84AE84EFF00F8D184093C7F4FFF98F3B +:103E00001CC02244B240924441016FF0EF8D19C1B1 +:103E1000084D828001458280411122C406C62A8451 +:103E2000EFD0DFED97C701009387876B9C43A1EB31 +:103E3000E56717D701001307278EF11797C6010012 +:103E400023A6E66A17C701002320F76A17D70100E7 +:103E50002326F78C97C701009387C76817D70100FF +:103E6000232CF78A854717C701002323076817C744 +:103E700001002329076617C701002323F76619E805 +:103E8000EFE0CF8CEF10E00101442285B2402244E4 +:103E9000410182801305840093773500C1EFE56707 +:103EA0001307F5FFE917E3EDE7FC97C601009386DA +:103EB000E6639C4229A0984311C7BE86BA87D843BF +:103EC000E36BA7FE17C701001307C761E38AE7FA90 +:103ED000984341461384870098C2D843B306A7404D +:103EE0006378D6023388A7002322D800C8C383256D +:103EF000480097C601009386665F11A0BA86984273 +:103F00005043E36DB6FE2320E80023A00601D8430A +:103F100097C70100938747619C43998F17C701009A +:103F20002324F760EFE08F8231DC2285B240224407 +:103F300041018280719911059DB729C9411122C49F +:103F400006C62A84EFD09FDB930584FF0326C4FFB7 +:103F500017C701001307875911A03E871C43D4439C +:103F6000E3EDC6FE232CF4FE0CC397C70100938734 +:103F7000A75B98438327C4FF2244B240BA9717C770 +:103F800001002323F75A41016FD05FFC828097C75D +:103F90000100938767598843828082803367B50028 +:103FA0000D8BAA871DE79308D6FFAA982A87AE86AD +:103FB0006371150303A8060011079106232E07FF5E +:103FC000E36A17FF9347F5FFC697F19B9107BE95EC +:103FD000AA972A9663F3C70A938645001388470079 +:103FE000B3B6D70033B8050133E7F50093C6160022 +:103FF000134818000D8BB3E6060113371700758FB1 +:10400000330EF6409386170029CF1337AE0031EBFD +:1040100013532E002E88BE8601478328080005070B +:10402000110823A016019106E36967FE1377CEFFFE +:10403000BA95BA976302EE0483C60500138717008A +:104040002380D700637AC70283C615001387270031 +:10405000A380D7006372C70203C725002381E7004E +:104060008280B307F640AE97850503C7F5FF850646 +:10407000238FE6FEE39AF5FE82808280B367C50057 +:104080008D8BB308C500E9C36371150FB307A0405A +:104090008D8B13873700954693F5F50F13081500A0 +:1040A0003383A8407D166373D70015476363E60C1E +:1040B000D5CF2300B5000547638DE700A300B50009 +:1040C0000D47130825006396E70013083500230108 +:1040D000B500139685004D8E13970501518F33035C +:1040E000F34093968501D98E13562300AA97014772 +:1040F00094C305079107E36DC7FE1377C3FFB307AA +:10410000E8006307E3062380B70013871700637C8A +:104110001703A380B7001387270063761703238153 +:10412000B7001387370063701703A381B7001387A5 +:104130004700637A17012382B7001387570063741F +:104140001701A382B700828093F5F50F13978500BE +:10415000D98D139705014D8FE37715FFAA87910736 +:1041600023AEE7FEE3ED17FF828082802A8895B7B1 +:104170008280AA8749BF834705002A8799C705051A +:1041800083470500EDFF198D82800145828005057A +:104190008347F5FF850503C7F5FF91C7E389E7FE70 +:1041A0003385E74082808147E5BFAA87850503C73D +:1041B000F5FF8507A38FE7FE75FB82808346050028 +:1041C000930700026397F600050583460500E38D1B +:1041D000F6FE938756FD93F7D70F85C7AA878145CB +:1041E00085CE01458507138606FD1317250083C676 +:1041F00007003A9506053295F5F699C13305A040BA +:104200008280938536FD8346150093B5150093078C +:104210001500E1FA0145D5B7014582809C41238014 +:10422000A7009C4185079CC1828097C601009386A8 +:10423000662C9C42175703001307C75013861700BC +:10424000BA972380A70097C5010023A5C52AA947CF +:104250006308F500930700046305F60001458280BA +:1042600075DE370610F005078347F7FF2320F6F0C9 +:104270009C42FD1797C5010023AEF526EDF70145D9 +:1042800082804D712322911423202115232A51135A +:10429000232481132326111423248114232E311364 +:1042A000232C4113232861132326711323229113F6 +:1042B0002320A113232EB111AA842E8932C6130CF8 +:1042C0005002A54A8347090063808703B9CB804029 +:1042D00011A0A1CB2300F40080400509050480C093 +:1042E00083470900E39787FF0346190093081900E5 +:1042F000C686130D0002FD597D5A0148130550056D +:104300009307D6FD93F7F70F138916006363F52A19 +:1043100017C70100130707CF8A07BA979C43BA97BC +:1043200082878320C1140324811483244114032928 +:1043300001148329C113032A8113832A4113032BF8 +:104340000113832BC112032C8112832C4112032DE4 +:104350000112832DC11171618280A14C02C4854775 +:1043600063D50715B2478040938B770093F68BFF98 +:1043700003AB060083A94600938786003EC6668687 +:1043800081465A85CE85EF1060602AC881476385D3 +:104390003723930D4101854B668681465A85CE852C +:1043A000EF10E028814666862A8BAE89EF10005E0A +:1043B000A24723A0AD0093861B00910D63843701B3 +:1043C000B68BD9BFE37E9BFF63DD4601A2872380C6 +:1043D000A70180407D1A930714009CC03E84E3C867 +:1043E00046FF93962B001C08BE9631A8938777054D +:1043F0002300F4008040FD1B93871B00050480C050 +:10440000F116E351F0EC9C42E3E2FAFE93870703D6 +:10441000C5B79C409306000332472380D7009C40D9 +:1044200093064700C14C1386170090C013068007FF +:10443000A380C700804002C4BA87050480C003ABD4 +:104440000700814936C625BFB24783AC0700938B6E +:10445000470063840C1C635F40199307D002631903 +:10446000FD1683C70C0085C363DC09189440850CD6 +:104470007D1A2380F6008040050480C083C70C00AD +:10448000E5F7635D40018040930700022300F400DC +:1044900080407D1A050480C0E31A0AFE5EC61DB581 +:1044A00002C48547A94CE3CF07EBB247804093860F +:1044B000470071B703C61600CA8699B59C4093069B +:1044C00050022380D7008040050480C0E5BBC14C6A +:1044D00002C471B5B24703C61600CA8683A9070095 +:1044E00091073EC6E35E0AE04E8AFD5911BD03C640 +:1044F0001600130DD002CA8621B59347FAFFFD8737 +:1045000003C61600337AFA00CA86DDBB03C616005E +:10451000130D0003CA86EDB383C51600930906FD8B +:10452000CA86938705FD2E86E3EEFAFA9397290053 +:10453000BE9985068609AE9983C50600938909FD53 +:10454000938705FD2E86E3F3FAFE69BF32479C4050 +:10455000144311073AC62380D7008040050480C069 +:1045600095B3854763D3070BB24793867700E19AEB +:10457000938786003EC683A946009C4263DC090AF5 +:1045800094401306D002B307F0402380C600804059 +:10459000B336F000B3093041050480C03E8BB389C7 +:1045A000D940A94C02C4E1BB03C616000508CA865F +:1045B00081BB9C40468921B7E37D9BDD8547814BCC +:1045C0008546E3C547E131BD97CC0100938C8CDF74 +:1045D000CE856685EF00904B330AAA40635C4001AC +:1045E00080402300A40180407D1A050480C0E31AA6 +:1045F0000AFE014A83C70C00E38207EAE3C809E622 +:10460000FD197D57E394E9E6ADBDB24793864700B7 +:104610009C4336C693D9F74195B76356400193073B +:10462000D002E313FDFA97CC0100938CACD9930729 +:1046300080021DBD3E8BA94C02C4804089B34D71E0 +:10464000232E3113B70900409387F9FF23248114E7 +:1046500023229114232C4113232A511323261114AE +:10466000232021152328611323267113232481136A +:10467000232291132320A113232EB1112A8A2EC4A1 +:1046800017C40100130404E7975A0300938A8A0BA6 +:1046900097C40100938484AC3EC69305500229451B +:1046A00013080004B70610F083470A006386B704B6 +:1046B000C1C71840050A13061700569797C801008E +:1046C00023AAC8E22300F7006382A706E31E06FDC3 +:1046D000175703001307070705078347F7FF23A0B2 +:1046E000F6F01C40FD1717C601002325F6E0EDF794 +:1046F00083470A00E39EB7FA83461A0013051A009F +:104700002A87930B00027D5B7D5C01489305500571 +:10471000A5489387D6FD93F7F70F130A170063E7B1 +:10472000F5348A07A6979C43A697828717570300FC +:104730001307470155F28DBF8320C1140324811450 +:1047400083244114032901148329C113032A8113EB +:10475000832A4113032B0113832BC112032C8112D3 +:10476000832C4112032D0112832DC11171618280AE +:10477000A14C014D854763DB0719A2479D07E19BCB +:1047800083AD070003AB4700138787003AC46686F2 +:1047900081466E85DA85EF10601F2AC863066D3585 +:1047A000130941018549668681466E85DA85EF00E9 +:1047B000106866868146AA8D2E8BEF10201D23205F +:1047C000A90085091109E3106DFFE3FE9DFD1306A5 +:1047D0000004B70610F063D189031C40138717004B +:1047E000D69797C5010023A7E5D023807701630CF6 +:1047F000C7047D1CE3C389FFB2476800A548B386A0 +:10480000F9008A061C08BE962948130300043706DF +:1048100010F0E384A6E89C429305000363F4F800DB +:10482000930570051840AE9793051700569717CE5D +:1048300001002321BECC2300F7006380072B638C8B +:104840006526F116F9B7175703001307A7EF0507F9 +:104850008347F7FF23A0F6F01C40FD1797C5010022 +:1048600023AAF5C8EDF77D1C71B71C4013060004A0 +:104870001387170097C5010023AEE5C6B386FA007B +:10488000930500032380B600630FC73C890797C6D2 +:10489000010023A1F6C65697930680072300D70090 +:1048A00017570300130707EAB70610F0639EC70007 +:1048B00005078347F7FF23A0F6F01C40FD1717C636 +:1048C00001002329F6C2EDF7A247C14C014D910723 +:1048D0002247014B832D07003EC455BDA24783AC40 +:1048E000070013894700638E0C3A635480219307B5 +:1048F000D002639EFB2E03C70C00BA85631007200D +:1049000025AC8547A94C014DE3C907E7A2479107AC +:10491000C1B7A24783461700528703AB0700910730 +:104920003EC4E3580CDE5A8C7D5BE5B3834617002A +:10493000930BD0025287F1BB9347FCFFFD87834660 +:104940001700337CFC005287E9B383461700930BB2 +:10495000000352877DBB03461700138B06FD528769 +:10496000930706FDB286E3EEF8FA93172B00DA9769 +:1049700005078607B29703460700138B07FD9307C9 +:1049800006FDB286E3F3F8FE69BFA2451C40294646 +:10499000984193861700D69717C50100232CD5B4EC +:1049A0002380E700138945006300C72A93070004AA +:1049B0006387F6204AC4D5B1854763DE0729A2473D +:1049C00013877700619B930787003EC4032B470042 +:1049D0001C43635A0B30184013060004930617005B +:1049E00097C5010023A8D5B056979305D0022300A0 +:1049F000B7006387C62CB307F0403337F000330BA2 +:104A00006041BE8D330BEB40A94C014D49B3834649 +:104A1000170005085287F5B9834617005287D5B9A4 +:104A20001C40930600041387170017C601002323B8 +:104A3000E6ACD697130650022380C700E31FD7C405 +:104A400017570300130707D0B70610F00507834771 +:104A5000F7FF23A0F6F01C40FD1717C60100232B1B +:104A6000F6A8EDF71DB9C14C014D29B31C409306C2 +:104A700000042A8A1387170017C60100232CE6A614 +:104A8000D697130650022380C700E318D7C01757E4 +:104A90000300130727CBB70610F005078347F7FF7E +:104AA00023A0F6F01C40FD1717C601002324F6A42E +:104AB000EDF72A8ADDB6175703001307A7C80507C5 +:104AC0008347F7FF2320F6F01C40FD1797C5010030 +:104AD00023A2F5A2EDF7F1162DBB1757030013071C +:104AE00067C6F1FDF11635B3E3FC9DCB8549C5B131 +:104AF000014C03C70C00BA85E30E07EAFD582945AF +:104B000013080004B70610F063570B0A1C40138605 +:104B10001700D69717C30100232EC39C2380E700FC +:104B20006384A50663040609850C03C70C007D1C7D +:104B3000BA8579FBE35080E91C4093050002130617 +:104B40000004B70610F021A07D1CE3050CE61387D6 +:104B50001700D6972380B70017C50100232CE598CE +:104B6000BA87E313C7FE175703001307A7BD05074E +:104B70008347F7FF23A0F6F01C40FD1717C501007F +:104B8000232AF596EDF7C9B717570300130787BB1C +:104B900041DE05078347F7FF23A0F6F01C40FD1711 +:104BA00017C601002328F694EDF7BDBF1757030081 +:104BB000130747B9F9BF7D1BE31A1BF5A5BF1757AC +:104BC0000300130727B8B70610F005078347F7FF60 +:104BD00023A0F6F01C40FD1717C60100232CF69009 +:104BE000EDF74AC45DBC97BC0100938CAC7DDA85BF +:104BF0006685692D330CAC40E35D80EF1C401306E5 +:104C00000004B70610F021A07D1CE3030CEE13870F +:104C10001700D6972380770197C5010023ACE58C58 +:104C2000BA87E313C7FE175703001307A7B1050799 +:104C30008347F7FF23A0F6F01C40FD1797C501003E +:104C400023AAF58AEDF7C9B717570300130787AFF3 +:104C5000BDFA4AC499B4A247138747009C433AC49B +:104C600013DBF741BDB3175703001307A7ADB70612 +:104C700010F005078347F7FF23A0F6F01C40FD174F +:104C800017C601002328F686EDF7854717C70100F0 +:104C90002322F78693078007175703002304F7AAF8 +:104CA00025B1635680019307D002E39EFBF297BCC7 +:104CB0000100938C2C7113078002930580023DBD87 +:104CC00097560300938606A8370610F0850603C79B +:104CD000F6FF2320E6F018407D1797C5010023ABAF +:104CE000E5806DF709BBBE8DA94C014D4DB4B707EA +:104CF00010F023A0A7F201A03971130341022ED2B4 +:104D00009A8506CE32D436D63AD83EDA42DC46DE32 +:104D10001AC63532F2400145216182805D7113036C +:104D2000810322D42AC632DC2A841A86680006D679 +:104D3000BEC236DEBAC0C2C4C6C61ACEEFF06FD449 +:104D4000B247238007003245B250018D2254616181 +:104D50008280B70710F083A647F403A607F403A7E1 +:104D600047F4E31AD7FE856693860677B29633B684 +:104D7000C600B305E60023A4D7F423A6B7F48280C7 +:104D8000B70710F003A747F403A607F483A647F478 +:104D9000E31AD7FE856693860677B29633B6C600C9 +:104DA00023A4D7F4B305E60023A6B7F493070008BD +:104DB00073A047308280411122C406C697B7010014 +:104DC0009387C77317B401001304047398431C40FE +:104DD0001306F07C930647069387470697B50100B4 +:104DE00023AED57097B5010023A8F5706346D600B1 +:104DF000B2402244410182801307478997B70100DE +:104E000023AEE76E31218547631FF50018408567A3 +:104E10009387F7760145E3DDE7FCD13D2244B240BC +:104E200041016FC0BFEC17B501001305255AE935E4 +:104E30000945753D2244B24041016FC03FEB17B5B3 +:104E400001001305255A4DBD17B501001305055A7C +:104E500065B5411122C426C206C6856417B4010097 +:104E600013044459938784380100FD17F5FF228508 +:104E70006135CDBF55AC411106C6592E0547814756 +:104E80006316E500B2403E854101828017B50100FE +:104E90001305C553EFF05FE6B24089473E854101F7 +:104EA00082807370043001A082807370043001A08E +:104EB000797126D24AD04ECE52CC56CA06D622D4CA +:104EC000975403009384049097BA0100938A0A646C +:104ED00017BA0100130ACA6385490549EFC01FE2EA +:104EE000EFC0DFE18840814601466C00EFB0DFD9BA +:104EF0002A84EFD0AF851DC997B7010023AA276187 +:104F0000EFD0CF8461DC83A70A0032476308F70241 +:104F100017B70100232E275F03270A00E30037FDA0 +:104F2000850717B701002323F75E4DBFEFD00F822F +:104F300055D483A70A003247E31CF7FC03270A0075 +:104F4000E30E37F9850717B701002321F75C79B71E +:104F5000011126CA97B401009384045B22CC4AC88D +:104F60004EC606CE975903009389C9852689054404 +:104F7000EFC0DFD803A5090081460146CA85EFB01E +:104F80009F806306850097B7010023A58758EFC06F +:104F9000FFFB13050008EFD0CF999C40850717B79A +:104FA00001002323F756E9B7411126C2AA8401451F +:104FB00022C406C61304E00FEFD0BF849C408507CF +:104FC000E37FF4FE9CC00145EFD0BF83C5BF79717C +:104FD00022D44AD04ECE52CC56CA5AC85EC662C4FB +:104FE00006D626D266C2814A17B401001304845340 +:104FF00017BA0100130A8A5297B9010093894952DE +:1050000017BC0100130C4C510D49854B130BF00FCD +:1050100097B7010023A4075095440840EFD06FFED6 +:105020000840EFC0EFEA631025090840832C0A000E +:10503000EFC0DF8E0840EFC0AFE9631375071305BB +:105040000008EFD00F8FEFC07FCB83270A00639358 +:105050009701854AFD14C204C180EFC03FEFD5FC23 +:105060000840EFD00FFA03A5090097B7010023A766 +:10507000074AEFC0EFE56319250503A50900EFC056 +:10508000FF8903A50900EFC0AFE46312250583275C +:105090000A00638D6701854A0840EFC03F888DBFD5 +:1050A0007370043001A07370043001A0E3960AFE0F +:1050B000EFD05FE183570C00850717B70100231D70 +:1050C000F744EFD07FE2C9BF7370043001A0737062 +:1050D000043001A041114AC02A89014522C426C2D8 +:1050E00006C6EFC04FE92A849304150031A8832730 +:1050F0000900014585072320F900EFC00FF00145A5 +:10510000EFC06FE7631F8500A6850145EFC0EFEE96 +:105110000145EFC04FE6A285E30B95FC73700430A8 +:1051200001A07370043001A041110146914505456D +:1051300006C6EFB0AFD897B501009385E52C974729 +:10514000030023A1A768EFB09FF98148014897B7F2 +:1051500001009387273D014797B601009386063CDF +:105160001306004097B501009385852B17050000B5 +:10517000130585F6EFC00F8F8148014897B70100EE +:105180009387073A054797B6010093862639130699 +:10519000004097B5010093852529170500001305E8 +:1051A000E5E0EFC02F8C81480148814701478146E7 +:1051B0001306004097B50100938585271705000069 +:1051C000130525E1EFC00F8A814801488147014757 +:1051D00081461306004097B501009385E525170524 +:1051E0000000130525D7EFC0EF87B2408148014882 +:1051F0008147014781461306004097B5010093851A +:105200002524170500001305E5CA41016FC08F85ED +:1052100097B701009387872F944397B7010093872F +:10522000272E984397B7010083D7072F17B60100A1 +:105230000356462D01456386E6003385C740333566 +:10524000A00097B701009387E72C984397B7010018 +:105250009387072C9C437D1717B601001306C62BB6 +:10526000035606003337E000FD173307E040B3373D +:10527000F000798DB307F04017B701002314C72859 +:1052800017B70100232ED7267D8D8280AA95AA8785 +:105290006385B70003C7070001E73385A740828015 +:1052A0008507FDB7814863DA05003305A040B337B1 +:1052B000A000B305B0409D8DFD5863D906003306AC +:1052C000C040B337C000B306D0409D8E32883683CD +:1052D000AA872E87639F061C97B60100938686FDDA +:1052E00063F1C50C416E6377C60B130EF00F637349 +:1052F000CE002143335E6600F29603CE06001A9E6E +:10530000130300023303C341630C0300B39565002C +:10531000335EC501331866003367BE00B3176500FE +:10532000135E0801B355C70313150801418193D6D5 +:1053300007013376C703B305B5024206D18E63F881 +:10534000B600C29663E5060163F3B600C2968D8E81 +:1053500033F7C603C207C183B3D6C603B306D5026B +:1053600013150701C98F63F8D700C29763E50701DA +:1053700063F3D700C297958F33D567008145638A61 +:105380000800B307A0403337F000B305B0403E85B6 +:10539000998D8280370E00014143E36DC6F561436C +:1053A00091BF01E605483358680241676373E80816 +:1053B0001307F00F63730701214333576800BA9650 +:1053C00003C606001A96130300023303C340631991 +:1053D0000306B38505419356080113150801418161 +:1053E00013D6070133F7D502B3D5D5024207518F43 +:1053F000B305B5026378B70042976365070163732D +:10540000B7004297B305B74033F7D502C207C1834F +:10541000B3D5D502B305B50213150701C98F63F8DB +:10542000B700C29763E5070163F3B700C2978D8F9A +:10543000A1B7370700014143E361E8F86143B5BF15 +:105440003318680033DEC500935E08013356C5008B +:10545000B31765003355DE03B39565004D8E931584 +:105460000801C181935606013377DE033385A50217 +:105470004207558F6378A7004297636507016373FE +:10548000A7004297B306A74033F7D60342064182EE +:10549000B3D6D6034207B386D502B365C70063F817 +:1054A000D500C29563E5050163F3D500C295958DDE +:1054B0001DB7E3E6D5EC416863F50605930EF00FE2 +:1054C00033B8DE000E0833DE060117B30100130304 +:1054D00063DE7293834E0300130E0002C29E330EEE +:1054E000DE4163170E0263E4B6006369C500B307CB +:1054F000C540958D3335F5003387A5403E85BA8587 +:10550000BDBD370300014148E3EF66FA614865BF5E +:105510003357D601B396C601D98EB357D50133D7C9 +:10552000D501B395C501CD8F93D506013373B7026D +:10553000139F0601135F0F0113D807013316C6012D +:105540003315C5013357B702420333680301B30F64 +:10555000EF023A83637CF80136981303F7FF636721 +:10556000D8006375F8011303E7FF36983308F84154 +:10557000B37FB8023358B802C20FB3050F03139FAD +:105580000701135F0F0133EFEF014287637CBF0018 +:10559000369F1307F8FF6367DF006375BF001307CB +:1055A000E8FF369F4203C16F3363E3009387FFFF39 +:1055B00013580601330FBF40B375F30013530301B3 +:1055C000F18F3387F502B307F302B3850503330385 +:1055D0000303BE95135807012E986373F8007E935A +:1055E000C167FD17935508013378F80042087D8F95 +:1055F0009A9542976366BF00631BBF006379E5001D +:105600003306C7403337C700958D998D32873307EE +:10561000E5403335E500B305BF40898DB397D5012B +:105620003357C70133E5E700B3D5C50189BBAE8762 +:10563000328836872A836396062097B801009388BC +:1056400068C763FEC50A41676374E60A1307F00F73 +:105650003337C7000E07B356E600B69883C6080076 +:10566000369793060002998E99CAB397D70033579D +:10567000E5003318D600B365F7003313D500135592 +:105680000801B3D7A50213160801418293560301FE +:1056900033F7A502B305F6024207D98E3E8763FCB5 +:1056A000B600C2961387F7FF63E7060163F5B600FD +:1056B0001387E7FFC2968D8EB3F7A60242031353FA +:1056C0000301B3D6A602C20733E36700B305D602CF +:1056D0003685637BB30042931385F6FF636603014F +:1056E0006374B3001385E6FF4207498F81454DA8D7 +:1056F000B70600014147E360D6F66147A9BF01E65E +:10570000854633D8C602C166637ED8089306F00F7B +:1057100063F306012147B356E800B69883C6080034 +:10572000BA9613070002158F49E7B38707418545ED +:10573000135608019318080193D8080193560301E2 +:1057400033F7C702B3D7C7024207D98E3385F802B1 +:105750003E8763FCA600C2961387F7FF63E7060146 +:1057600063F5A6001387E7FFC296898EB3F7C602DA +:10577000420313530301B3D6C602C20733E36700E3 +:10578000B388D8023685637B130142931385F6FFF5 +:1057900063660301637413011385E6FF4207498FB3 +:1057A0003A858280B70600014147E366D8F6614733 +:1057B0009DB73318E800B3D5D7003313E500B356CF +:1057C000D50013550801B397E70033F7A502B3E8F6 +:1057D000F60093170801C18313D60801B3D5A502BB +:1057E0004207518FB386B7022E86637CD70042975B +:1057F0001386F5FF636707016375D7001386E5FF1E +:105800004297B306D74033F7A602C20893D80801DF +:10581000B3D6A6024207B385D702B367170136870E +:1058200063FCB700C2971387F6FF63E7070163F5D0 +:10583000B7001387E6FFC2978D8F93150601D98DA8 +:10584000C5BD63E2D514416763FEE6021308F00F9D +:105850003337D8000E0733D8E60097B5010093859B +:1058600065A5C29503C80500930500023A98B38563 +:10587000054185E10547E3E5F6F23335C5001347F9 +:10588000150039BFB70500014147E3E6B6FC6147A3 +:10589000D9B733570601B396B600D98E93DE060109 +:1058A00033D70701B378D703B397B700335805014F +:1058B0003363F80093970601C1831358030133162D +:1058C000B6003357D703C20833E80801338FE70225 +:1058D0003A8E637CE8013698130EF7FF6367D800B1 +:1058E0006375E801130EE7FF36983308E841B37893 +:1058F000D8033358D803C208B38E070393170301A4 +:10590000C183B3E7F800428763FCD701B69713075A +:10591000F8FF63E7D70063F5D7011307E8FFB697F1 +:10592000420EB387D741C16E3367EE001388FEFF86 +:105930003373070193580701337806014182330E10 +:1059400003033388080393560E013303C3024293C3 +:105950009A96B388C80263F30601F69813D6060137 +:10596000B29863E01703E39317D9C167FD17FD8E63 +:10597000C206337EFE003315B500F2968145E37111 +:10598000D5E27D17A5B38145014719BDB288368799 +:10599000AA872E886398061C97B601009386869185 +:1059A00063F8C50A4163637E66081303F00F6373EF +:1059B000C30021473353E6009A9603CE0600130333 +:1059C00000023A9E3303C341630C0300B3956500A4 +:1059D000335EC501B31866003368BE00B3176500B7 +:1059E00013D608013377C80213950801418193D675 +:1059F00007013358C8024207D98E3308050363F8FC +:105A00000601C69663E5160163F30601C696B386E2 +:105A1000064133F7C602C207C183B3D6C602B30636 +:105A2000D50213150701C98F63F8D700C69763E540 +:105A3000170163F3D700C697958F33D5670081456B +:105A40008280370300014147E36666F661479DB7F0 +:105A500001E60546B3581603416663F3C80813060A +:105A6000F00F63731601214733D6E800B29603CED8 +:105A70000600130300023A9E3303C3416319030671 +:105A8000B385154113D7080113950801418113D639 +:105A90000701B3F6E502B3D5E502C206D18EB30520 +:105AA000B50263F8B600C69663E5160163F3B60067 +:105AB000C696B385B640B3F6E502C207C183B3D537 +:105AC000E502B305B50213950601C98F63F8B70067 +:105AD000C69763E5170163F3B700C6978D8FB1BF13 +:105AE000370600014147E3E1C8F86147B5BFB39805 +:105AF000680033D7C501B3176500335EC50113D500 +:105B00000801B376A702B3956500336EBE00939586 +:105B10000801C18113560E013357A702C206D18E68 +:105B20003387E50263F8E600C69663E5160163F382 +:105B3000E600C6963386E640B376A602420E135EB2 +:105B40000E013356A602C2063386C502B3E5C6016E +:105B500063F8C500C69563E5150163F3C500C695F6 +:105B6000918D0DB7E3EED5EC416763F5E604930E36 +:105B7000F00F33B7DE000E0733D3E60097A801001D +:105B8000938848739A9883CE0800130E0002BA9E39 +:105B9000330EDE4163170E0263E4B6006369C5008D +:105BA000B307C540958D3335F5003388A5403E8554 +:105BB000C28579B5B70800014147E3EF16FB61479D +:105BC00065BF3357D601B396C601D98EB357D501F9 +:105BD00033D7D501B395C501CD8F93D50601337366 +:105BE000B702139F0601135F0F0193D80701331605 +:105BF000C6013315C5013357B7024203B368130119 +:105C00003308EF023A8363FC0801B6981303F7FFE9 +:105C100063E7D80063F508011303E7FFB698B3887C +:105C20000841B3FFB802B3D8B802C20FB3051F03CF +:105C3000139F0701135F0F0133EFEF014687637C6A +:105C4000BF00369F1387F8FF6367DF006375BF00EF +:105C50001387E8FF369F4203C1683363E3009387ED +:105C6000F8FF13580601330FBF40B375F300135309 +:105C70000301F18F3387F502B307F302B385050300 +:105C800033030303BE95135807012E986373F8007E +:105C90004693C167FD17935508013378F800420811 +:105CA0007D8F9A9542976366BF00631BBF0063793F +:105CB000E5003306C7403337C700958D998D32878D +:105CC0003307E5403335E500B305BF40898DB39711 +:105CD000D5013357C70133E5E700B3D5C5018DB30F +:105CE00097A701009387877E944317A701001307A6 +:105CF000A77C1843C8C2637BE50017A70100130700 +:105D0000677C08438C4391056FA09F83411122C497 +:105D100006C617A701001307277B2A8408438C4374 +:105D20009105EFA0FF8197A70100938727779C43F8 +:105D30006376F40097A7010023A28776B24022443D +:105D40004101828097A70100938747789843944345 +:105D50007C43FD177CC3FC4299E37360043082806E +:105D6000011122CC26CA2A84AE844AC84EC652C427 +:105D700056C206CEEFB09FF81C4003290401B709B4 +:105D80000001B3E59700930AC4000CC08144FD19DB +:105D9000370A00021840638C2A03032609004A854B +:105DA000B3654701B376360193175600758F032903 +:105DB000490063C4070001E7F1BFE39DE6FC9317C8 +:105DC000760063D30700D58CEFC03FE2E1B793C400 +:105DD000F4FFF98C04C0EFC06F970840F2406244B2 +:105DE000D2444249B249224A924A05618280411115 +:105DF00006C622C4EFF04FB317F7FFFF1307E7FB08 +:105E0000814605469305400617A501001305055F69 +:105E1000EFD0BFB119C92A84EFB05FEF2A860147DE +:105E2000814685452285EFD0FFBCEFB0BFC7B240A9 +:085E300022440145410182807A +:02000004800278 +:10000000B444FEFFB245FEFFBC44FEFFB245FEFF16 +:10001000B245FEFFB245FEFFB245FEFFD444FEFFEF +:10002000B245FEFFB245FEFFEE44FEFFFA44FEFF7E +:10003000B245FEFF0C45FEFF1845FEFF1845FEFFCA +:100040001845FEFF1845FEFF1845FEFF1845FEFF48 +:100050001845FEFF1845FEFF1845FEFFB245FEFF9E +:10006000B245FEFFB245FEFFB245FEFFB245FEFFC0 +:10007000B245FEFFB245FEFFB245FEFFB245FEFFB0 +:10008000B245FEFFB245FEFFB245FEFFB245FEFFA0 +:10009000B245FEFFB245FEFFB245FEFFB245FEFF90 +:1000A000B245FEFFB245FEFFB245FEFFB245FEFF80 +:1000B000B245FEFFB245FEFFB245FEFFB245FEFF70 +:1000C000B245FEFFB245FEFFB245FEFFB245FEFF60 +:1000D000B245FEFFB245FEFFB245FEFFB245FEFF50 +:1000E000B245FEFFB245FEFFB245FEFFB245FEFF40 +:1000F000B245FEFFB245FEFFB245FEFFB245FEFF30 +:100100004C45FEFF6245FEFFB245FEFFB245FEFFD5 +:10011000B245FEFFB245FEFFB245FEFFB245FEFF0F +:10012000B245FEFFA845FEFFB245FEFFB245FEFF09 +:100130005A43FEFF1244FEFFB245FEFFB245FEFFEA +:100140004844FEFFB245FEFFA044FEFFB245FEFF5D +:10015000B245FEFFCE44FEFFC048FEFF1449FEFF3D +:10016000C848FEFF1449FEFF1449FEFF1449FEFF74 +:100170001449FEFFBA47FEFF1449FEFF1449FEFF73 +:10018000D447FEFFE047FEFF1449FEFFF247FEFFA3 +:10019000FE47FEFFFE47FEFFFE47FEFFFE47FEFF57 +:1001A000FE47FEFFFE47FEFFFE47FEFFFE47FEFF47 +:1001B000FE47FEFF1449FEFF1449FEFF1449FEFFEF +:1001C0001449FEFF1449FEFF1449FEFF1449FEFFC7 +:1001D0001449FEFF1449FEFF1449FEFF1449FEFFB7 +:1001E0001449FEFF1449FEFF1449FEFF1449FEFFA7 +:1001F0001449FEFF1449FEFF1449FEFF1449FEFF97 +:100200001449FEFF1449FEFF1449FEFF1449FEFF86 +:100210001449FEFF1449FEFF1449FEFF1449FEFF76 +:100220001449FEFF1449FEFF1449FEFF1449FEFF66 +:100230001449FEFF1449FEFF1449FEFF1449FEFF56 +:100240001449FEFF1449FEFF1449FEFF1449FEFF46 +:100250001449FEFF1449FEFF3248FEFF6048FEFFCE +:100260001449FEFF1449FEFF1449FEFF1449FEFF26 +:100270001449FEFF1449FEFF1449FEFFB648FEFF75 +:100280001449FEFF1449FEFF1846FEFF1247FEFF09 +:100290001449FEFF1449FEFF8447FEFF1449FEFF88 +:1002A000AA47FEFF1449FEFF1449FEFF0E49FEFF58 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020787878207461736B73200D0A00000018 +:1003E0006D69616F750A00006D69616F75320A0091 +:1003F00068756E6772790A00436865636B54696D4E +:100400006572000053757370656E6465645F546552 +:1004100073745F517565756500000000434E545F4D +:10042000494E43004C494D5F494E4300435F43549E +:10043000524C0000535553505F545800535553507D +:040440005F525800AF +:100444001000000000000000017A5200017C01014C +:100454001B0D02001000000018000000444EFEFFB7 +:100464008A03000000000000100000002C000000BF +:10047400BA51FEFF5E0300000000000010000000FF +:10048400400000000455FEFF54030000000000007B +:08052400FC8F0100090000003A +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/flop_rv32i_O0.hex b/VexRiscv/src/test/resources/freertos/flop_rv32i_O0.hex new file mode 100644 index 0000000..6974109 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/flop_rv32i_O0.hex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diff --git a/VexRiscv/src/test/resources/freertos/flop_rv32i_O3.hex b/VexRiscv/src/test/resources/freertos/flop_rv32i_O3.hex new file mode 100644 index 0000000..11523f6 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/flop_rv32i_O3.hex @@ -0,0 +1,2958 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F306F00400365 +:100010001300000013000000130000001300000094 +:100020001300000013000000130000001300000084 +:100030001300000013000000130000001300000074 +:100040009702000093824211739052309300000097 +:10005000130100009301000013020000930200004E +:100060001303000093030000130400009304000036 +:10007000130500009305000013060000930600001E +:100080001307000093070000130800009308000006 +:100090001309000093090000130A0000930A0000EE +:1000A000130B0000930B0000130C0000930C0000D6 +:1000B000130D0000930D0000130E0000930E0000BE +:1000C000130F0000930F000097110200938101D5D8 +:1000D000170502001305056397A503009385458264 +:1000E00013060000EF00001A170502001305054C67 +:1000F000970502009385455213060000EF00801813 +:1001000017B503001305058097B503009385457F58 +:1001100037B6ABAB1306B6BAEF00C01617B1030083 +:100120001301417EEFB000069392120093D21200A9 +:100130009382D2FF638A02008322010013014100EF +:100140006F0000177300203083220100130141006B +:10015000730020301301C1FF23205100F32220340B +:10016000E3C402FC8322010013014100130141F8A2 +:1001700023221100232421002326310023284100BB +:10018000232A5100232C6100232E71002320810299 +:10019000232291022324A1022326B1022328C10293 +:1001A000232AD102232CE102232EF1022320010570 +:1001B0002322110523242105232631052328410567 +:1001C000232A5105232C6105232E71052320810745 +:1001D000232291072324A1072326B1072328C1073F +:1001E000232AD107232CE107232EF107732520347E +:1001F000F3251034130601006F000000731015344E +:1002000083204100032181008321C10003220101D9 +:1002100083224101032381018323C10103240102BD +:1002200083244102032581028325C10203260103A1 +:1002300083264103032781038327C1030328010485 +:1002400083284104032981048329C104032A010569 +:10025000832A4105032B8105832BC105032C01064D +:10026000832C4106032D8106832DC106032E010731 +:10027000832E4107032F8107832FC1071301C10775 +:10028000730020302320C5006376B50013054500B8 +:100290006FF05FFF678000000000000000000000BA +:1002A000000000000000000000000000000000004E +:1002B000130101F8232011002322210023243100FF +:1002C0002326410023285100232A6100232C71009A +:1002D000232E8100232091022322A1022324B10294 +:1002E0002326C1022328D102232AE102232CF10272 +:1002F000232E010323201105232221052324310568 +:100300002326410523285105232A6105232C710545 +:10031000232E8105232091072322A1072324B1073F +:100320002326C1072328D107232AE107232CF1071D +:100330009702020083A2C22A23A02200F3221034D3 +:10034000232E5106EF00C03B170102000321412973 +:10035000032101008322C10773901234B7220000E9 +:100360009382028873A00230832001000322C1001F +:100370008322010103234101832381010324C1015D +:100380008324010203254102832581020326C10241 +:100390008326010303274103832781030328C10325 +:1003A000832801040329410483298104032AC10409 +:1003B000832A0105032B4105832B8105032CC105ED +:1003C000832C0106032D4106832D8106032EC106D1 +:1003D000832E0107032F4107832F81071301010893 +:1003E00073002030970202009382C23123A01200D2 +:1003F00023A2220023A4320023A6420023A85200F5 +:1004000023AA620023AC720023AE820023A09202D2 +:1004100023A2A20223A4B20223A6C20223A8D202CC +:1004200023AAE20223ACF20223AE020323A01205A8 +:1004300023A2220523A4320523A6420523A85205A0 +:1004400023AA620523AC720523AE820523A092077E +:1004500023A2A20723A4B20723A6C20723A8D20778 +:1004600023AAE20723ACF207EF6010341701020061 +:1004700003210117032101008322C1077390123465 +:10048000B72200009382028873A00230832001000B +:100490000322C1008322010103234101832381013F +:1004A0000324C10183240102032541028325810223 +:1004B0000326C10283260103032741038327810307 +:1004C0000328C103832801040329410483298104EB +:1004D000032AC104832A0105032B4105832B8105CF +:1004E000032CC105832C0106032D4106832D8106B3 +:1004F000032EC106832E0107032F4107832F810797 +:100500001301010873002030970202009382821FBA +:1005100083A0020003A1420083A1820003A2C200C3 +:1005200083A2020103A3420183A3820103A4C201A7 +:1005300083A4020203A5420283A5820203A6C2028B +:1005400083A6020303A7420383A7820303A8C2036F +:1005500083A8020403A9420483A9820403AAC20453 +:1005600083AA020503AB420583AB820503ACC20537 +:1005700083AC020603AD420683AD820603AEC2061B +:1005800083AE020703AFC20983AF82076780000012 +:1005900073700430130101F823201100232221007D +:1005A000232431002326410023285100232A6100FF +:1005B000232C7100232E8100232091022322A102EB +:1005C0002324B1022326C1022328D102232AE102D7 +:1005D000232CF102232E01032320110523222105C0 +:1005E000232431052326410523285105232A6105AB +:1005F000232C7105232E8105232091072322A10797 +:100600002324B1072326C1072328D107232AE10782 +:10061000232CF1079702020083A282FC23A0220070 +:10062000232E1106EF30803417010200032141FB15 +:10063000032101008322C10773901234B722000006 +:100640009382028873A00230832001000322C1003C +:100650008322010103234101832381010324C1017A +:100660008324010203254102832581020326C1025E +:100670008326010303274103832781030328C10342 +:10068000832801040329410483298104032AC10426 +:10069000832A0105032B4105832B8105032CC1050A +:1006A000832C0106032D4106832D8106032EC106EE +:1006B000832E0107032F4107832F810713010108B0 +:1006C00073002030737004306F00000073104530E9 +:1006D000678000007325403073F04330678000006E +:1006E00097070000938747FE232EB5FE2322C5FA05 +:1006F000232635F82320F5F8130505F86780000058 +:10070000130101FF23261100EF60D006EF20005EE9 +:10071000631805008320C1001301010167800000F8 +:100720008320C100130101016F304024930785002D +:100730001307F0FF2322F5002324E5002326F5000C +:100740002328F500232005006780000023280500EA +:10075000678000008327450023A2F50003A78700D8 +:1007600023A4E50003A787002322B70023A4B70032 +:1007700023A8A50083270500938717002320F500F1 +:100780006780000003A605009307F0FF13078500AC +:100790006316F6006F008003138707008327470066 +:1007A00083A60700E37AD6FE23A2F50023A4B700B0 +:1007B00023A4E5002322B70023A8A5008327050072 +:1007C000938717002320F5006780000003270501A9 +:1007D000832747006FF05FFD0327450083268500D0 +:1007E000832705012324D70003278500832645009E +:1007F0002322D70003A747006316A700032785001D +:1008000023A2E7002328050003A507001305F5FF31 +:1008100023A0A70067800000032605049387050036 +:10082000630406028325C50003274500B385C50080 +:100830002326B50063E6E500832505002326B500E1 +:10084000138507006F50404F67800000130101FFC0 +:100850002324810023261100130405002322910084 +:10086000EF30104583278404635AF0048327440241 +:1008700063860704930444026F0000028327840404 +:100880009387F7FF2324F404832784046358F0023A +:10089000832744026384070213850400EF3040700D +:1008A000E30E05FCEF30101D832784049387F7FFC8 +:1008B0002324F40483278404E34CF0FC9307F0FF23 +:1008C0002324F404EF301042EF30903E8327440499 +:1008D000635AF00483270401638607049304040128 +:1008E0006F000002832744049387F7FF2322F40458 +:1008F000832744046358F0028327040163840702BA +:1009000013850400EF30C069E30E05FCEF3090164C +:10091000832744049387F7FF2322F40483274404A6 +:10092000E34CF0FC9307F0FF2322F404032481003E +:100930008320C10083244100130101016F30903AEC +:10094000630A0508130101FE232C8100130405002E +:10095000232E1100232A910023282101232631016F +:1009600013890500EF30D034832404048325C403A5 +:100970008329040013850400EFA080611307F0FFB2 +:10098000232C0402B3079540B387F9003385A900EF +:100990002322E4042322A400232434012326F40088 +:1009A0002324E404631C09028327040163920704DF +:1009B000EF3050338320C10103248101832441019E +:1009C000032901018329C10013051000130101024D +:1009D00067800000737004306F000000130504018D +:1009E000EFF0DFD413054402EFF05FD46FF05FFC4B +:1009F00013050401EF30C05A93071000E31AF5FA0B +:100A0000EFF01FB96FF0DFFA631605007370043062 +:100A10006F000000130101FE232A91002328210109 +:100A200023263101232E1100232C8100930405007D +:100A300013890500930906006380050AEFA040555D +:100A400013055505EF50C00E13040500630E05088D +:100A5000930745052320F500232E94022320240527 +:100A6000EF301025832404048325C40303290400E4 +:100A700013850400EFA0C0519306F0FF232C04025D +:100A8000B30795403307A900B307F9002322D40424 +:100A90002322E4002326F4002324D40413050401B4 +:100AA00023242401EFF09FC813054402EFF01FC870 +:100AB000EF30502323083405130504008320C101BF +:100AC0000324810183244101032901018329C100F9 +:100AD000130101026780000013054005EF50400537 +:100AE0001304050063160500737004306F000000E6 +:100AF000232084006FF05FF66316050073700430E6 +:100B00006F0000006376B500737004306F00000062 +:100B1000130101FF23248100130620001384050024 +:100B20009305000023261100EFF01FEE630C050073 +:100B3000232C85028320C1000324810013010101BD +:100B400067800000737004306F000000130101FB28 +:100B50002326110423248104232291042320210528 +:100B6000232E3103232C4103232A5103232861031D +:100B7000232671032326C10063060528638C0526FE +:100B800093072000639CF6000327C503930710001A +:100B90006306F700737004306F00000013890600CD +:100BA0009384050013040500EF30C070631A05003C +:100BB0008327C10063860700737004306F00000054 +:100BC000EF30100F032784038327C403130B0000A7 +:100BD000930B20009309F0FF130A0401930A4402C7 +:100BE0006364F710630479238327C10063820736A7 +:100BF00063160B0013058101EF304055EF30900E66 +:100C0000EF20C000EF30D00A8327440463943701FB +:100C100023220404832784046394370123240404D7 +:100C2000EF30500C9305C10013058101EF300055E2 +:100C300063160530EF30D007032784038327C403EE +:100C40006304F710EF30100AEF3090068327840416 +:100C50006346F0026F00000313850A00EF30403452 +:100C600063040500EF300061832784049387F7FF56 +:100C70002324F404832784046356F000832744026A +:100C8000E39C07FC23243405EF30D005EF305002FD +:100C9000832744046346F0026F00000313050A0033 +:100CA000EF30003063040500EF30C05C832744045C +:100CB0009387F7FF2322F404832744046356F0004C +:100CC00083270401E39C07FC23223405EF309001C5 +:100CD000EF204027130B1000EF30807D03278403A3 +:100CE0008327C403E370F7F00326040463040612A9 +:100CF000631A091E0325840093850400EF50C00386 +:100D0000832784008326040403274400B387D70085 +:100D10002324F40063E4E71083278403832604007C +:100D200003274402938717002324D400232CF402C2 +:100D30006300071013054402EF308026930710006C +:100D40006318F50E6F004014EF30C0798325C100A1 +:100D500013050A00EF20D077EF30807583278404D5 +:100D60006346F0026F00000313850A00EF30402352 +:100D700063040500EF300050832784049387F7FF56 +:100D80002324F404832784046356F0008327440259 +:100D9000E39C07FC23243405EF30C074EF3040712E +:100DA000832744046346F0026F00000313050A0022 +:100DB000EF30001F63040500EF30C04B832744046D +:100DC0009387F7FF2322F404832744046356F0003B +:100DD00083270401E39C07FC23223405EF30807055 +:100DE000EF204016E31805EEEFF08FFA130B10001A +:100DF0006FF09FEE83270504E38407D873700430F7 +:100E00006F000000737004306F00000003260404BC +:100E1000631006088327040063860704832784037E +:100E20000327440293871700232CF402E31407F0EE +:100E3000EF30406B130510008320C10403248104AC +:100E400083244104032901048329C103032A810364 +:100E5000832A4103032B0103832BC10213010105E4 +:100E60006780000003254400EF3080578327840308 +:100E7000032744022322040093871700232CF40243 +:100E8000E31A07EAE30605FAEFF08FF06FF05FFA76 +:100E90000325C40093850400EF40106A83270404EF +:100EA0000327C40083260400B307F0403307F7008C +:100EB0002326E400637ED70403274400B307F7002A +:100EC0002326F40013072000832744026304E90467 +:100ED0000327840313071700232CE402E38A07F493 +:100EE0006FF05FE50325C40093850400EF40D064F4 +:100EF000832704040327C40083260400B307F040BB +:100F00003307F7002326E400E37ED7FA6FF0DFFA19 +:100F10008327440203278403E30C07FA032784038F +:100F20001307F7FF232CE40203278403130717009A +:100F3000232CE402E38E07EE6FF0DFDF13050400DD +:100F4000EFF0DF90EF200000130500006FF0DFEE00 +:100F5000EF304059130500006FF01FEE130101FF41 +:100F600023229100930405001305400523248100EA +:100F700023261100EF40D03B13040500630C050449 +:100F8000232C05029307F0FF2322F504130710001A +:100F900013050501232EE4022324F4042322040074 +:100FA0002320040023240400232604002320040417 +:100FB00023089404EFF08FF713054402EFF00FF7C6 +:100FC00093060000130600009305000013050400BB +:100FD000EFF0DFB7130504008320C1000324810074 +:100FE00083244100130101016780000063040504AC +:100FF000130101FF232481002322910023261100E5 +:10100000232021010329450013040500EF30802926 +:10101000930400006304A9028320C100032481001B +:1010200013850400032901008324410013010101F9 +:1010300067800000737004306F0000008327C400D5 +:10104000930410009387F7FF2326F400E39607FC30 +:10105000930600001306000093050000130504002A +:10106000EFF0DFAE6FF05FFB6302050A63880508EF +:10107000130101FE232C8100232A91002328210142 +:1010800023244101232E1100232631019307200040 +:101090009384060013090600138A05001304050053 +:1010A000639CF6000327C503930710006302F70A49 +:1010B000737004306F000000EFF0CFE1032784036A +:1010C0008327C403930905006366F70493040000B3 +:1010D00013850900EFF08FDF8320C1010324810114 +:1010E0001385040003290101832441018329C100E0 +:1010F000032A810013010102678000008327050491 +:10110000E38807F6737004306F00000073700430DA +:101110006F00000003260404631E060C83270400EE +:1011200063860712832784031307F0FF9387170052 +:10113000232CF402832784046388E70E8327840426 +:1011400093041000938717002324F4046FF05FF8D2 +:10115000EFF04FD8032784038327C40393090500C6 +:101160006360F70603260404E30A06FA0325C400B5 +:1011700093050A00EF40503C832704040327C40072 +:1011800083260400B307F0403307F7002326E4006A +:101190006378D70003274400B307F7002326F40041 +:1011A00093072000E390F4F883278403E38C07F689 +:1011B000832784039387F7FF232CF4026FF09FF6B5 +:1011C00003260404E30C06F40325C40093050A0077 +:1011D000EF409036832704040327C40083260400CD +:1011E000B307F0403307F7002326E400E364D7FA9F +:1011F0006FF09FFBE39C04F60325840093050A002F +:10120000EF4090338327840083260404032744009F +:10121000B387D7002324F400E3E6E7F08327040034 +:101220002324F4006FF01FF083274402930410007E +:10123000E38007EA13054402EF209056E30A05E82D +:10124000E30809E8232099006FF09FE80325440094 +:10125000EF300019232204006FF0DFEC6302050A6F +:101260008327050463860700737004306F00000055 +:1012700083270500638A0708130101FE232C8100E0 +:10128000232A91001304050023282101232631017C +:10129000232E110013890500EFF0CFC30327840329 +:1012A0008327C40393090500930400006376F702C3 +:1012B000832784031307F0FF93871700232CF4027E +:1012C00083278404638AE70483278404930410003B +:1012D000938717002324F40413850900EFF00FBF50 +:1012E0008320C10103248101138504000329010126 +:1012F000832441018329C10013010102678000009A +:10130000737004306F00000083274500E38607F602 +:10131000737004306F000000832744026396070057 +:10132000930410006FF05FFB13054402EF20504759 +:10133000E30805FEE30609FE930710002320F900E9 +:101340006FF01FFE130101FC232E1102232C8102DA +:10135000232A910223282103232631032324410336 +:10136000232251032326C10063060528638C05262A +:10137000938A06009389050013040500EF209073FB +:10138000631A05008327C100638607007370043069 +:101390006F000000EF30C011832784036394071CA3 +:1013A0008327C1006380071013058101EF20105AC5 +:1013B0009304F0FF13094402130A0401EF30801272 +:1013C000EF10D004EF30C00E8327440463949700DD +:1013D00023220404832784046394970023240404B1 +:1013E000EF3040109305C10013058101EF20105923 +:1013F00063100524EF30C00B83278403638A070A38 +:10140000EF30400EEF30C00A832784046346F002B9 +:101410006F00000313050900EF20903863040500F6 +:10142000EF205065832784049387F7FF2324F40477 +:10143000832784046356F00083274402E39C07FC5F +:1014400023249404EF30000AEF30800683274404FD +:101450006346F0026F00000313050A00EF205034CA +:1014600063040500EF201061832744049387F7FF8E +:101470002322F404832744046356F00083270401E5 +:10148000E39C07FC23229404EF30C005EF10902B5F +:10149000EF300002832784036396070C8327C10083 +:1014A000E39E07F0EF300004130500006F00800F8B +:1014B000EF30400383270400638807108325C100B1 +:1014C00013050900EF20D000EF20907E83278404CD +:1014D0006346F0026F00000313050900EF20502C53 +:1014E00063040500EF201059832784049387F7FFD6 +:1014F0002324F404832784046356F00083274402E2 +:10150000E39C07FC23249404EF20D07DEF20507A45 +:10151000832744046346F0026F00000313050A00AA +:10152000EF20102863040500EF20D05483274404E3 +:101530009387F7FF2322F404832744046356F000C3 +:1015400083270401E39C07FC23229404EF20907975 +:10155000EF10501F63040508EF2090758327840364 +:10156000E38E07F293850900130504008324C40069 +:10157000EFF08FAA63940A088327840303270400EB +:101580009387F7FF232CF40263160700EF20D07C2B +:101590002322A4008327040163920708EF2090749C +:1015A000130510008320C103032481038324410316 +:1015B000032901038329C102032A8102832A4102EC +:1015C0001301010467800000EF20906E03254400A2 +:1015D000EF201051EF2010716FF05FEEEFE05FFB36 +:1015E0006FF09FF783270504E38407D873700430F6 +:1015F0006F000000737004306F0000008327440206 +:1016000023269400E38C07F813054402EF205019B9 +:10161000E30605F8EFE0DFF76FF05FF8130504016C +:10162000EF20101893071000E31AF5F66FF09FFEF5 +:1016300013050400EFF08FA1EF10D0101305000088 +:101640006FF05FF663000506130101FF232481009C +:101650002320210123261100232291008324450009 +:101660001389050013040500EF20D0436380A40410 +:1016700093060000130609009305000013050400FB +:10168000EFF05FCC93071000630CF5028320C100DC +:101690000324810083244100032901001301010177 +:1016A00067800000737004306F0000008327C4005F +:1016B00013051000938717002326F4006FF01FFD19 +:1016C0008327C400938717002326F4006FF01FFCC4 +:1016D000630805066386050C130101FE232C8100B7 +:1016E000232A9100232821012326310123244101AB +:1016F00013040500232E1100130906009389050029 +:10170000EFE05FFD83278403130A050093040000C4 +:10171000639C070213050A00EFE05FFB8320C10111 +:10172000032481011385040003290101832441015D +:101730008329C100032A8100130101026780000090 +:10174000737004306F000000032604046304060273 +:101750008325C40083274400B385C5002326B40035 +:1017600063E6F500832504002326B40013850900F1 +:10177000EF40805C832784031307F0FF9387F7FF14 +:10178000232CF402832744046384E7028327440460 +:1017900093041000938717002322F4046FF09FF73F +:1017A00083270504E38A07F2737004306F0000009A +:1017B0008327040163960700930410006FF09FF5E0 +:1017C00013050401EF20C07DE30805FEE30609FED2 +:1017D000930710002320F9006FF01FFE6306050831 +:1017E00083270504638A050863840708130101FE43 +:1017F000232C8100232A9100232821012324410145 +:1018000013040500232E110023263101138A05003D +:10181000EFE05FEC832784031309050093040000C5 +:10182000638E07008329C40093050A001305040092 +:10183000EFE09FFE930410002326340113050900F6 +:10184000EFE0DFE88320C101032481011385040058 +:1018500003290101832441018329C100032A810056 +:101860001301010267800000737004306F000000F4 +:10187000737004306F000000E38C07FE7370043057 +:101880006F00000063160500737004306F000000E5 +:10189000130101FF232481001304050023261100F6 +:1018A000EF20104103248403EF20D0438320C100A4 +:1018B0001305040003248100130101016780000067 +:1018C00063160500737004306F000000130101FF00 +:1018D000232611002324810013040500EF20503D2E +:1018E000832784030324C4033304F440EF20903F90 +:1018F000130504008320C1000324810013010101AA +:1019000067800000630605000325850367800000EB +:10191000737004306F0000006308050497870300AC +:10192000938747FA03A747006304E50403A7C700AA +:101930006302E50803A74701630AE50603A7C70199 +:101940006302E50603A74702630AE50403A7C7028B +:101950006302E50403A74703630AE50203A7C7037D +:101960006302E5026F40C034737004306F00000002 +:101970001307000013173700B387E70023A0070001 +:101980006F400033130770006FF0DFFE1307600035 +:101990006FF05FFE130750006FF0DFFD130740008C +:1019A0006FF05FFD130730006FF0DFFC13072000BE +:1019B0006FF05FFC130710006FF0DFFB0325C50419 +:1019C000678000002326B5046780000003450505F5 +:1019D00067800000630805000325850313351500A3 +:1019E00067800000737004306F000000630C050016 +:1019F000832785030325C5033305F54013351500FB +:101A000067800000737004306F0000009787030048 +:101A1000938747EB03A707006300070403A787002A +:101A20006308070403A707016308070403A78701E6 +:101A30006308070403A707026308070403A78702D4 +:101A40006308070403A70703630C070403A78703BE +:101A500063040704678000001307000013173700B2 +:101A6000B387E70023A0B70023A2A7006780000088 +:101A7000130710006FF09FFE130720006FF01FFE8A +:101A8000130730006FF09FFD130740006FF01FFD3C +:101A9000130750006FF09FFC130770006FF01FFCDE +:101AA000130760006FF09FFB97870300938787E120 +:101AB00003A747006300E50403A7C7006306E50426 +:101AC00003A747016306E50403A7C7016306E5040E +:101AD00003A747026306E50403A7C7026306E504FC +:101AE00003A74703630AE50403A7C7036302A70428 +:101AF000678000001307000013173700B387E70063 +:101B000023A0070067800000130710006FF0DFFEBE +:101B1000130720006FF05FFE130730006FF0DFFD4A +:101B2000130740006FF05FFD130750006FF0DFFCFC +:101B3000130770006FF05FFC130760006FF0DFFBAE +:101B4000130101FF2324810023229100232021017E +:101B500013040500232611009384050013090600D1 +:101B6000EF201015032744049307F0FF6314F700D8 +:101B700023220404032784049307F0FF6314F7006F +:101B800023240404EF20101683278403639A07009C +:101B9000130609009385040013054402EF20802FEB +:101BA000EF20101183278404635AF0048327440232 +:101BB00063860704930444026F00000283278404B1 +:101BC0009387F7FF2324F404832784046358F002E7 +:101BD000832744026384070213850400EF20403CFE +:101BE000E30E05FCEF200069832784049387F7FF49 +:101BF0002324F40483278404E34CF0FC9307F0FFD0 +:101C00002324F404EF20100EEF20900A83274404CD +:101C1000635AF004832704016386070493040401D4 +:101C20006F000002832744049387F7FF2322F40404 +:101C3000832744046358F002832704016384070266 +:101C400013850400EF20C035E30E05FCEF20806211 +:101C5000832744049387F7FF2322F4048327440453 +:101C6000E34CF0FC9307F0FF2322F40403248100EB +:101C70008320C100832441000329010013010101D5 +:101C80006F20500697F701009387879583A607007A +:101C900017F70100130787930327070023A2A60065 +:101CA000636AE504130101FF232481002326110048 +:101CB00017F7010013078792130405000325070097 +:101CC00083A5070093854500EFE0DFAB97F70100A0 +:101CD0009387078E83A707006376F40097F70100C8 +:101CE00023A8878C8320C1000324810013010101F4 +:101CF0006780000017F701001307078E0325070010 +:101D000083A50700938545006FE0DFA797F70100E3 +:101D10009387078D03A7070083A60700832747043F +:101D20009387F7FF2322F70483A7460463940700F1 +:101D3000736004306780000063020504130101FD35 +:101D4000232E3101232A510123261102938A0700F1 +:101D5000232481022322910223202103232C4101E9 +:101D6000232861012326710193074000930907008E +:101D700063FAE700737004306F0000007370043082 +:101D80006F000000130B0500938405001309060083 +:101D9000938B0600130A08006302082C1305000643 +:101DA000EF301059130405006302052A13192900A6 +:101DB00023284503130609009305500A13050A005A +:101DC000EF40800903C70400832704031309C9FFF8 +:101DD000230AE40203C70400338927011379C9FFEA +:101DE0006306070E83C71400A30AF40283C7140016 +:101DF000638E070C83C72400230BF40283C72400DF +:101E00006386070C83C73400A30BF40283C7340036 +:101E1000638E070A83C74400230CF40283C744007F +:101E20006386070A83C75400A30CF40283C75400D7 +:101E3000638E070883C76400230DF40283C7640020 +:101E40006386070883C77400A30DF40283C7740078 +:101E5000638E070683C78400230EF40283C78400C1 +:101E60006386070683C79400A30EF40283C7940019 +:101E7000638E070483C7A400230FF40283C7A40062 +:101E80006386070483C7B400A30FF40283C7B400BA +:101E9000638E070283C7C4002300F40483C7C40011 +:101EA0006386070283C7D400A300F40483C7D40069 +:101EB000638E070083C7E4002301F40483C7E400B2 +:101EC0006386070083C7F400A301F404130A4400E7 +:101ED00013050A00A3010404232634032328340530 +:101EE000232A0404EFE09F8613058401EFE01F8698 +:101EF00093075000B3873741232C04042328840020 +:101F0000232CF4002322840223220404232E04041D +:101F100013860B0093050B0013050900EFE04FFC3F +:101F20002320A40063840A0023A08A007370043075 +:101F300017E90100130909698327090097E40100E3 +:101F40009384046A638C070003A7040083A704003A +:101F500083274704938717002322F70417E701001C +:101F600013070767832707009387170097E6010089 +:101F700023A0F66683A70400638E070E832709005B +:101F8000639C070083A7040083A7C70263E6F900E8 +:101F900097E7010023A6876497E70100938787618D +:101FA00083A7070017E70100130707620325C40290 +:101FB000032707009387170097E6010023ACF65E1E +:101FC0002324F4046376A70097E7010023AEA75EFD +:101FD00093054001EF80D07B97E701009387477A14 +:101FE0003385A70093050A00EFE0CFF683270900A9 +:101FF0006388070083A7040083A747046390071240 +:102000008327090013041000638A070083A70400D4 +:1020100083A7C70263F43701EFE08FD713050400ED +:102020008320C10203248102832441020329010287 +:102030008329C101032A8101832A4101032B010164 +:10204000832BC100130101036780000013050A0000 +:10205000EF3010461304F0FF6FF05FFC13152600FD +:10206000EF30102D130A0500E31A05D21304F0FF18 +:102070006FF0DFFA97E7010023A4875603270700D4 +:1020800093071000E31AF7F017E501001305456FF9 +:10209000EFE0CFE917E501001305C56FEFE00FE9A9 +:1020A00017E5010013054570EFE04FE817E5010063 +:1020B0001305C570EFE08FE717E5010013054571C3 +:1020C000EFE0CFE617EB0100130BCB7113050B000C +:1020D000EFE0CFE597EA0100938A0A7213850A00C0 +:1020E000EFE0CFE417E5010013054572EFE00FE4E0 +:1020F00017E501001305C572EFE04FE317E5010096 +:1021000013054573EFE08FE297E7010023A8674DC1 +:1021100097E7010023A2574D6FF01FE803A70400C3 +:1021200083A60400832747049387F7FF2322F7043D +:1021300083A74604E39607EC736004306FF05FEC0E +:10214000130101FF23248100232611002322910083 +:1021500023202101130405007370043097E401006B +:102160009384444683A70400639E07106318040009 +:1021700097E701009387C74603A4070013094400AB +:1021800013050900EFE04FE583278402638607000B +:1021900013058401EFE04FE49305090017E5010002 +:1021A00013050568EFE00FDB97E70100938787428F +:1021B00003A7070097E701009387C73F83A707009E +:1021C0001307170097E6010023A6E64003A70400C3 +:1021D0009387170097E6010023AEF63C630C0700D7 +:1021E00097E701009387C73F03A70700032747042A +:1021F000631C070E83A70400638A070697E70100A4 +:102200009387073E03A707006302871073700430AB +:1022100003A704006318070817E701001307073C2A +:102220008326070083A606006392060A1307F0FFC1 +:1022300097E6010023AEE63603A704006308070211 +:1022400003A70700032747046302070203A7070049 +:1022500083A60700832747049387F7FF2322F70409 +:1022600083A7460463940700736004308320C10091 +:10227000032481008324410003290100130101018B +:102280006780000097E701009387873503A7070061 +:1022900083A7070083274704938717002322F704A7 +:1022A0006FF0DFEC83A6070003A7070003A746042F +:1022B0001307170023A2E60417E7010013070732EC +:1022C0008326070083A60600E38206F6032707009D +:1022D0000327C7000327C7000327470097E601002D +:1022E00023A8E62C6FF05FF503A7070083A607007D +:1022F000832747049387F7FF2322F70483A7460425 +:10230000E39A07EE736004306FF0DFEE97E70100A9 +:102310009387C72983A7070063860700737004307B +:102320006F000000032481008320C100832441004A +:1023300003290100130101016FE08FA56304050C5F +:1023400017E701001307C72983260700930700003A +:102350006386A60A130101FF2326110023248100AE +:10236000232291007370043097E60100938686253E +:1023700083A70600638C0700032607008327070056 +:1023800083274604938717002322F60483A70600B9 +:102390009304050003244501638807008327070091 +:1023A00083A747046394070697E7010093870723F1 +:1023B00083A70700638C870697E701009387C721EF +:1023C00083A707006384870697E701009387874602 +:1023D0006306F40497E7010093878744630CF402D3 +:1023E000930710008320C10003248100832441004F +:1023F00013850700130101016780000013850700A2 +:1024000067800000737004306F000000EFF01F90D1 +:102410006FF09FF9930740006FF0DFFC83A7840201 +:1024200093B71700938727006FF0DFFB9307200017 +:102430006FF05FFB7370043097E701009387871894 +:1024400003A70700631607026304050483A70700B8 +:102450000325C502638C070097E70100938747189F +:1024600003A7070003274704631C070267800000D7 +:1024700017E701001307C716832607000327070085 +:1024800003A746041307170023A2E604E31005FC84 +:1024900017E701001307C714032507006FF01FFBA0 +:1024A00003A7070083A60700832747049387F7FF46 +:1024B0002322F70483A74604E39A07FA73600430E3 +:1024C00067800000130101FF23248100130405002D +:1024D00023261100EFE00FA06318040097E7010026 +:1024E0009387071003A407000324C402EFE00F9EA4 +:1024F0008320C1001305040003248100130101019E +:10250000678000009307400063F6B70073700430E3 +:102510006F000000130101FE232C8100232E110007 +:10252000232A91002328210123263101232441015C +:10253000130405007370043017E9010013098908BA +:1025400083270900639207106300041283270405A0 +:10255000638EF50A17E701001307870863F0B702D7 +:1025600083260700638E8612032707008324C70291 +:10257000B3B4950093C414006F00000183240700D6 +:10258000B384844093B414000327C4026386E70E27 +:10259000832784012328B40463C8070093075000ED +:1025A000B385B740232CB40093172700B387E70007 +:1025B0000327440197E901009389891C9397270019 +:1025C000B387F9006310F704130A440013050A00E7 +:1025D000EFE08FA097E70100938707FF0325C40270 +:1025E00083A7070063F6A70097E7010023AEA7FCC7 +:1025F00093054001EF80D01993050A003385A900A7 +:10260000EFE04F9563840400EFD09FF88327090023 +:10261000638C070097E70100938787FC83A7070077 +:1026200083A74704639E07048320C101032481011B +:1026300083244101032901018329C100032A810068 +:10264000130101026780000097E70100938747F9B3 +:1026500003A7070083A70700832747049387170072 +:102660002322F704E31404EE97E70100938747F76A +:1026700003A407006FF09FED2326B4026FF05FF113 +:10268000032481018320C101832441010329010125 +:102690008329C100032A8100130101026FF00FE7B3 +:1026A000930400006FF05FEE630A0508130101FE5A +:1026B000232A910097E40100938484F283A7040005 +:1026C000232E1100232C81002328210123263101F0 +:1026D0006388A7047370043017E90100130989EEB9 +:1026E00083270900638C070003A7040083A7040065 +:1026F00083274704938717002322F7040327450104 +:1027000097E7010093870713630EF70283270900F9 +:102710006388070083A7040083A74704639E070814 +:102720008320C10103248101832441010329010184 +:102730008329C10013010102678000007370043017 +:102740006F0000008327850217E701001307070CBD +:10275000E38EE7FAE39C07FA9309450013040500AA +:1027600013850900EFE04F8797E701009387C7E5DE +:102770000325C40283A7070063F6A70097E70100BB +:1027800023A4A7E493054001EF80900097E70100A0 +:10279000938707FF3385A70093850900EFD09FFB40 +:1027A00083A704000327C40283A7C702E360F7F6E8 +:1027B000EFD01FDE6FF09FF5032481018320C1015C +:1027C00083244101032901018329C100130101026E +:1027D0006FF0CFD363020506130101FE232C8100A5 +:1027E000232A91002326310113040500232E110012 +:1027F00023282101EFD01FEE0327440197E70100B2 +:10280000938747039309050093040000630AF702C6 +:1028100013850900EFD09FEB8320C10103248101C0 +:102820001385040003290101832441018329C10088 +:102830001301010267800000737004306F00000014 +:102840008327840217E50100130545FCE382A7FCFA +:10285000E39007FC97E70100938747D583A707001C +:102860006394070697E70100938787D783A707003C +:102870008324C4021309440083A7C7021305090077 +:10288000B3B4F400EFD05FF597E701009387C7D3A7 +:102890000325C40283A7070093C4140063F6A700AE +:1028A00097E7010023A2A7D293054001EF80406E75 +:1028B00097E701009387C7EC930509003385A700CC +:1028C000EFD05FE96FF0DFF493058401EFD09FE86C +:1028D0006FF01FF4130101FE37150000232E1100C5 +:1028E000232C8100232A9100232821012326310152 +:1028F0002324410123225101EF3080236306052464 +:102900009304050013050006EF3080221304050030 +:1029100063000528371600009305500A2328950206 +:1029200013850400EF30405383240403B7170000DD +:102930009387C7FFB384F400B7474C459387974408 +:1029400093094400232AF40213850900230C04028E +:10295000A30104042326040223280404232A0404D4 +:10296000EFD0DFDE13058401EFD05FDE9307500068 +:10297000232C040493F4C4FF23288400232CF400A4 +:102980002322840223220404232E040413060000BD +:1029900097150000938585EA13850400EFD05FD476 +:1029A0002320A4007370043017E90100130989C1C2 +:1029B0008327090097E40100938484C2638C070095 +:1029C00003A7040083A70400832747049387170005 +:1029D0002322F70417E70100130787BF83270700A7 +:1029E0009387170097E6010023A4F6BE83A704008F +:1029F0006388070A83270900639C070083A70400F4 +:102A000083A7C7026396070097E7010023AA87BC44 +:102A100097E70100938707BA83A7070097E60100AD +:102A2000938686BA0327C40283A6060093871700FD +:102A300017E601002320F6B82324F40463F6E60029 +:102A400097E7010023A2E7B893172700B387E700B1 +:102A50009397270017E50100130585D23305F5008C +:102A600093850900EFD01FCF8327090063880700F3 +:102A700083A7040083A74704639A070E83270900EE +:102A80006384070083A70400EF201007930710005A +:102A90006304F512631A050A737004306F000000B6 +:102AA00097E7010023AE87B20327070093071000C2 +:102AB000E310F7F617E50100130585CCEFD01FC72B +:102AC00017E50100130505CDEFD05FC617E501003E +:102AD000130585CDEFD09FC517E50100130505CE81 +:102AE000EFD0DFC417E50100130585CEEFD01FC47A +:102AF00097EA0100938A0ACF13850A00EFD01FC31B +:102B000017EA0100130A4ACF13050A00EFD01FC2CB +:102B100017E50100130585CFEFD05FC117E5010070 +:102B2000130505D0EFD09FC017E50100130585D030 +:102B3000EFD0DFBF97E7010023A257AB97E7010073 +:102B400023AC47A96FF0DFEC8320C101032481018E +:102B500083244101032901018329C100032A810043 +:102B6000832A4100130101026780000003A70400CB +:102B700083A60400832747049387F7FF2322F704E3 +:102B800083A74604E39C07EE736004306FF01FEFE9 +:102B9000032481018320C101032901018329C1008C +:102BA000032A8100832A4100138504008324410104 +:102BB000130101026F30C00F737004309307F0FFF0 +:102BC00017E701002326F79E0324810197E7010000 +:102BD00023AAA79E8320C101832441010329010167 +:102BE0008329C100032A8100832A410097E701005D +:102BF00023AE079C130101026FD0CFFE7370043027 +:102C000097E7010023A0079C6FD01F9017E70100F2 +:102C10001307C79983270700938717002320F7001E +:102C2000678000007370043097E7010093878799ED +:102C300003A707006318070217E7010013070799A6 +:102C40000325070083A70700638C070097E70100AF +:102C50009387079903A70700032747046314070214 +:102C60006780000017E70100130787978326070096 +:102C70000327070003A746041307170023A2E6044F +:102C80006FF09FFB03A7070083A607008327470475 +:102C90009387F7FF2322F70483A74604E39207FCF8 +:102CA0007360043067800000130101FF23261100C8 +:102CB00023248100EFD01FA297E70100938707919B +:102CC00003A40700EFD09FA08320C10013050400D8 +:102CD00003248100130101016780000097E70100D0 +:102CE0009387078F03A5070067800000130101FD8C +:102CF000232611022324810223229102232021036F +:102D0000232E3101232C4101232A51012328610163 +:102D10002326710197E701009387478983A707005E +:102D2000639A070617E701001307478A83270700FE +:102D30009387170097E6010023AAF688832A0700E5 +:102D4000638A0A0A97E701009387878683A70700AB +:102D50009304000063FEFA0A97E90100938949A2EF +:102D600017EA0100130ACA8783270A009306100096 +:102D700003A7C70293172700B387E700939727009D +:102D8000B389F90083A7090063F4F60293041000E5 +:102D90006F00000297E701009387878283A70700EF +:102DA000930400009387170017E70100232AF78098 +:102DB00097E701009387878083A707006384070054 +:102DC000930410008320C1020324810213850400B0 +:102DD00003290102832441028329C101032A8101BD +:102DE000832A4101032B0101832BC100130101033D +:102DF0006780000017D401001304447E8327040079 +:102E000083A707006388070C737004306F0000000D +:102E100017D401001304847C97E901009389499633 +:102E200017DA0100130ACA7B17DB0100130BCB79F9 +:102E30008327040083A70700638007088327040013 +:102E400083A7C70003A9C70083274900930B490044 +:102E500013850B0063EAFA06EFD01F9883278902D7 +:102E60001305890163840700EFD01F978327C902E8 +:102E700003270B0093850B00139527003305F500FE +:102E8000131525003385A9006376F70017D70100D5 +:102E9000232CF772EFD01F8C83270A000327C90267 +:102EA00083A7C702E366F7F88327040093041000A2 +:102EB00083A70700E39407F89307F0FF17D70100F3 +:102EC0002328F76E6FF05FEA17D701002322F76E11 +:102ED0006FF09FE917D701001307077083270400DD +:102EE0000327070097D6010023AAE66E17D7010033 +:102EF0002324F76E97D701009387076C83A70700F9 +:102F00009387170017D701002328F76A8327040047 +:102F100083A70700639A07009307F0FF17D7010004 +:102F20002328F7686FF01FE28327040083A7C700F8 +:102F300083A7C70083A7470017D70100232AF76696 +:102F40006FF05FE097D701009387476603A70700FC +:102F500063160700737004306F000000130101FE58 +:102F6000232E1100232C8100232A910023282101E4 +:102F70002326310123244101232251012320610111 +:102F80007370043097D401009384C46303A70400D2 +:102F90006310071203A707001307F7FF97D6010076 +:102FA00023A6E66083A70700639E070A97D7010060 +:102FB0009387076283A707006386070A97DA0100F1 +:102FC000938A0A7C17DA0100130A0A6017D90100F4 +:102FD00013090961930910006F00C0013385EA00ED +:102FE000EFD04FF7832709000327C40283A7C70246 +:102FF0006374F70683A7CA086380070E83A78A094C +:1030000003A4C70013058401EFD00FFD130B440088 +:1030100013050B00EFD04FFC8327C40283260A0060 +:1030200093050B00139527003305F50013152500B4 +:10303000130705003385AA00E3F2F6FA17D701005B +:103040002324F758EFD00FF1832709000327C40288 +:1030500083A7C702E360F7FA97D7010023A0375789 +:103060006FF05FF91304000083A70400638C07006E +:1030700097D701009387C75683A7070083A7470404 +:1030800063980704130504008320C1010324810110 +:1030900083244101032901018329C100032A8100FE +:1030A000832A4100032B0100130101026780000005 +:1030B00017D701001307C75283260700032707000D +:1030C00003A746041307170023A2E6046FF09FEC42 +:1030D000EFE0DFC36FF01FFB17D401001304444E71 +:1030E00083270400638C0702832704001309100060 +:1030F00063860702EFF09FBF6306050097D70100C4 +:1031000023AE274B832704009387F7FF17D70100CF +:103110002328F74A83270400E39E07FC97D7010082 +:103120009387C74903A7070093071000E31CF7F232 +:10313000EFD00FC6130410006FF01FF363040502F5 +:1031400063960500737004306F00000017D701000C +:103150001307C74583270700638A0700737004308D +:103160006F000000737004306F000000130101FF56 +:10317000232481002326110083260700032705004E +:103180009386160017D601002322D64297D6010057 +:103190009386C64383A606003304B7002320850028 +:1031A00063F0E6046372E40263F0860297D70100DD +:1031B0009387074303A5070013054500EFD0CFE130 +:1031C00013050400EFE01FACEFF0DFD7630E05003E +:1031D0008320C100032481001301010167800000E6 +:1031E000E366E4FC6FF05FFC032481008320C100F0 +:1031F000130101016FD0CFB9630E050017D701008D +:103200001307C73A832707006388070073700430E9 +:103210006F0000006FD0CFB7130101FF232611000C +:1032200023248100032707009307050013071700D5 +:1032300097D6010023ACE63697D601009386463A2E +:1032400017D70100130787380327070003A50600D7 +:103250003304F70013054500EFD00FD81305040021 +:10326000EFE05FA2EFF01FCE631A05000324810098 +:103270008320C100130101016FD08FB18320C100F1 +:10328000032481001301010167800000130101FF85 +:10329000232481002326110097D70100938707314B +:1032A00083A70700130400009387170017D70100B6 +:1032B000232EF72E97D701009387873183A7070026 +:1032C00063ECF50E17DE0100130E0E5197D20100CC +:1032D0009382424A1304000013070000930F10006A +:1032E0001303500A6F00C000130ECEFE6386C21196 +:1032F00083278EFF93050700E38807FE8327CEFF11 +:1033000083A74700232EFEFE6388C72103AFC700B3 +:1033100083A747003307E500930E0000232EFEFE2F +:103320006380C70983A8C700938748032320170138 +:103330002322F70083A788042326F7012324F7001C +:1033400083A7C8022328F70083A70805232C0700BA +:10335000232AF70003A8080383470800639A670637 +:10336000930708009387170083C60700B3850741BA +:10337000E38A66FE93D525009395050193D5050153 +:10338000231EB700938E1E0013070702630C1F0550 +:103390008327CEFF83A74700232EFEFEE394C7F9C1 +:1033A00083274E00232EFEFE6FF0DFF73304640008 +:1033B0006304060023200600EFF0DFB813050400C5 +:1033C0008320C100032481001301010167800000F4 +:1033D00093050000231EB700938E1E0013070702FB +:1033E000E3181FFB3304D40113175400130ECEFE51 +:1033F00093050700E39EC2EF97D701009387071E4E +:1034000003AE070083270E00639E071097D70100C5 +:103410009387871C03AE070083270E006392071C67 +:1034200017DE0100130ECE3583270E0A6398072698 +:1034300083274E0BE38E07F683278E0B97DE010062 +:10344000938ECE3F83A7470017D701002326F73E70 +:10345000638CD73D83AFC70083A747003307B50010 +:103460001303000097D6010023A8F63C130F300089 +:10347000930220009308500A6388D70903A5C70068 +:10348000930745032320A7002322F7008327850401 +:103490002326E7012324F7008327C5022328F7000A +:1034A00083278502638407002326570083270505A9 +:1034B000232C0700232AF70003280503834708006D +:1034C000639C1733930708009387170083C6070090 +:1034D000B3850741E38A16FF93D52500939505012F +:1034E00093D50501231EB70013031300130707022A +:1034F000E38EAFEA83278E0B83A7470097D60100A0 +:1035000023ACF632E39CD7F783270E0C97D6010045 +:1035100023A4F6326FF09FF683274E00232EFEFE83 +:103520006FF0DFDE83274E00130F8E0083A6470067 +:103530002322DE00638CE62DB307E50003A74600D7 +:1035400083A2C600930E00002322EE00930F2000FA +:103550001303500A6300EF088328C700138748034A +:1035600023A0170123A2E70003A7880423A6F701DD +:1035700023A4E70003A7C80223A8E70003A70805C0 +:1035800023AC070023AAE70003A8080303470800A9 +:10359000631A672213070800130717008346070002 +:1035A000B3050741E38A66FE93D52500939505018F +:1035B00093D50501239EB700938E1E0093870702C3 +:1035C000638C122183264E0003A746002322EE00BF +:1035D000E314EFF80327CE002322EE006FF0DFF7AD +:1035E00083274E00130F8E0083A747002322FE007F +:1035F0006388E72183A2C70083A74700B305B5000E +:10360000930E00002322FE00930F20001303500AA4 +:103610006300FF0883A8C7009387480323A0150110 +:1036200023A2F50083A7880423A6F50123A4F500AF +:1036300083A7C80223A8F50083A7080523AC0500CB +:1036400023AAF50003A808038347080063946714BE +:10365000930708009387170083C607003387074145 +:10366000E38A66FE13572700131707011357070154 +:10367000239EE500938E1E00938505026386121338 +:1036800083274E0083A747002322FE00E314FFF8A0 +:103690008327CE002322FE006FF0DFF783274E0A38 +:1036A00017DF0100130F4F1883A7470017D701003A +:1036B000232AF7166382E71783A2C70083A7470070 +:1036C000B305B500930E000017D70100232CF714A3 +:1036D000930F40001303500A6382E70983A8C700D1 +:1036E0009387480323A0150123A2F50083A788042C +:1036F00023A6F50123A4F50083A7C80223A8F5009B +:1037000083A7080523AC050023AAF50003A8080336 +:1037100083470800639C670493070800938717009A +:1037200083C6070033870741E38A66FE13572700E5 +:103730001317070113570701239EE500938E1E0000 +:1037400093850502638E120383274E0A83A74700E1 +:1037500017D701002328F70CE392E7F98327CE0A55 +:1037600017D701002320F70C6FF05FF71307000055 +:10377000239EE500938E1E0093850502E39612FDBD +:1037800083274E0B3304D40193155400E38207C200 +:103790006FF09FCA13070000239EE500938E1E0062 +:1037A00093850502E39E12ED17DE0100130E4EFD18 +:1037B00083270E0A3304D40193155400E38A07C605 +:1037C0006FF0DFED93050000239EB700938E1E007F +:1037D00093870702E39812DF97D701009387C7DF2B +:1037E00003AE07003304D4019315540083270E0061 +:1037F000E38807C26FF0DFDE930500006FF09FCE15 +:103800008327CE002322FE006FF0DFDE8326CE006A +:103810002322DE006FF05FD28327CE0A17D7010084 +:103820002322F7006FF05FE983270E0C17D7010002 +:103830002324F7006FF01FC2130101FD2322910220 +:1038400023202103232E3101232C4101232A51015E +:1038500023286101232671012326110223248102DA +:1038600097D90100938989D417D90100130949F127 +:1038700017DA0100130A0AD597DA0100938A4AD6AB +:1038800097DB0100938BCBD497D40100938484D42D +:10389000130B10006F00000283A709000324090A1C +:1038A0009387170017D701002322F7D0EFF08FE995 +:1038B000631E040083A70400E39007FE832709002A +:1038C000E37AFBFEEFC0DFCC6FF0DFFE73700430F5 +:1038D00083270A00639E07048327C90A03A4C7003D +:1038E00013054400EFC05FEF83A70B009387F7FF3A +:1038F00017D70100232EF7CC83A704009387F7FF87 +:1039000017D701002328F7CC83270A006388070014 +:1039100083A70A0083A747046398070203250403CB +:10392000EF20003913050400EF2080386FF09FF876 +:1039300003A70A0083A70A00832747049387170079 +:103940002322F7046FF05FF903A70A0083A60A0099 +:10395000832747049387F7FF2322F70483A74604AE +:10396000E39E07FA736004306FF05FFB97D70100A6 +:103970009387C7C383A70700639E070E130101FF48 +:1039800023261100232481002322910097D70100D0 +:1039900023A607C217D70100130787C48327070090 +:1039A000B7A6A5A59386565A83A7070383A5070044 +:1039B000639ED50003A64700631AB60083A687005E +:1039C0006396C60083A7C700638AD7000325070054 +:1039D0008325070093854503EF30007717D7010053 +:1039E000130787BE8326070017D40100130444D9A8 +:1039F0006F000002832707006386070883270700FC +:103A00009387F7FF97D6010023A0F6BC8326070013 +:103A100093972600B387D70093972700B307F40046 +:103A200083A70700E38807FC0325070093172500F9 +:103A3000B387A700939727003307F4008326470036 +:103A400093878700B307F40083A4460023229700DE +:103A5000638EF40283A7C4008320C1000324810085 +:103A600017D70100232EF7B683244100130101016B +:103A7000678000009307100017D701002320F7B4D8 +:103A800067800000737004306F00000083A444005E +:103A900093054001EF70C04F3305A4002322950029 +:103AA0006FF05FFB130101FE23282101232E11007B +:103AB000232C8100232A91002326310113090500BC +:103AC0007370043097D401009384C4AF83A70400BB +:103AD00017D401001304C4B0639C070C63140900DD +:103AE000032904009309490013850900EFC0DFCEC4 +:103AF000832789026386070013058901EFC0DFCDA4 +:103B00009385090017D501001305C5D2EFC09FC4E6 +:103B100083A70400638807008327040083A7470462 +:103B20006394070A832704006384270D83A7040096 +:103B3000638207067370043083A704006398070E3E +:103B400097D70100938787A903A7070003270700DA +:103B50006310070C9307F0FF17D70100232AF7A47F +:103B600083A70400638807028327040083A7470410 +:103B70006382070203270400832604008327470487 +:103B80009387F7FF2322F70483A746046394070073 +:103B9000736004308320C101032481018324410127 +:103BA000032901018329C10013010102678000007C +:103BB0000327040083270400832747049387170003 +:103BC0002322F7046FF09FF10327040083260400EB +:103BD000832747049387F7FF2322F70483A746042C +:103BE000E39207F47360043083270400E39027F521 +:103BF00083A704006382070697D701009387079B7A +:103C000083A707006388070A737004306F00000001 +:103C100083A7070083A7C70083A7C70083A7470020 +:103C200017D701002326F7986FF09FF303270400AE +:103C30008327040083274704938717002322F70470 +:103C400097D701009387879903A7070003270700E9 +:103C5000E30207F06FF0DFFB97D7010093874797E8 +:103C600017D701001307C7B183A707000327470B26 +:103C70006314F7028320C1010324810197D7010057 +:103C800023A0079683244101032901018329C10050 +:103C90001301010267800000032481018320C10118 +:103CA00083244101032901018329C1001301010279 +:103CB0006FF0DFCB032481018320C1018324410104 +:103CC000032901018329C100130101026FC05F8C28 +:103CD0006306050A130101FF2322910097D4010016 +:103CE00093840490232021011389050083A50400F7 +:103CF000232611002324810093858501EFC09FA80E +:103D000003A5040013054500EFC01FAD9307F0FFA6 +:103D1000630AF90697D701009387478B03A407002E +:103D200003A7040083A7070033042401232287008C +:103D3000636EF40697D701009387478A03A50700AF +:103D400083A5040093854500EFC0DFA397D701004A +:103D50009387078683A707006376F40097D701004F +:103D600023A887848320C100032481008324410089 +:103D70000329010013010101678000007370043002 +:103D80006F00000083A50400032481008320C1008C +:103D9000832441000329010017D50100130585A9DB +:103DA00093854500130101016FC0DF9A97D7010089 +:103DB0009387878203A507000324810083A504005D +:103DC0008320C1008324410003290100938545001D +:103DD000130101016FC01F9B630E050097C701000F +:103DE0009387C77C83A70700639A0700737004302A +:103DF0006F000000737004306F000000130101FFBA +:103E00002322910097C401009384847D03A70400BA +:103E10009387050083A50400B7060080B3E7D700A9 +:103E20002326110023248100232CF700938585018C +:103E300013040600EFC01F9203A5040013054500FC +:103E4000EFC09F999307F0FF6304F40297C7010046 +:103E50009387C77703A507008320C100832441000F +:103E60003305850003248100130101016FD09FE118 +:103E700083A50400032481008320C1008324410022 +:103E800017D501001305059B93854500130101011A +:103E90006FC05F8C6308050A130101FF23229100A4 +:103EA00097C401009384C473232021011389050062 +:103EB00083A5040023261100232481009385850116 +:103EC00013040600EFC01F8903A504001305450075 +:103ED000EFC09F9093071000630AF40697C7010094 +:103EE0009387C76E03A4070003A7040083A70700F6 +:103EF0003304240123228700636EF40697C7010070 +:103F00009387C76D03A5070083A50400938545002B +:103F1000EFC05F8797C701009387876983A7070072 +:103F20006376F40097C7010023A487688320C1004B +:103F300003248100832441000329010013010101AE +:103F400067800000737004306F00000083A50400D8 +:103F5000032481008320C100832441000329010040 +:103F600017D501001305058D938545001301010147 +:103F70006FC04FFE97C701009387076603A5070030 +:103F80000324810083A504008320C1008324410011 +:103F90000329010093854500130101016FC08FFEC5 +:103FA000130101FF23261100232481002322910005 +:103FB0008327C50003A4C7006304040A9304840193 +:103FC00013850400EFC05F8197C701009387075EE8 +:103FD00083A70700639A070893044400138504002D +:103FE000EFC08FFF97C701009387075E0327C402C6 +:103FF00083A7070063F6E70097C7010023A6E75CE5 +:1040000093172700B387E7009397270017C5010090 +:1040100013050577938504003305F500EFC08FF392 +:1040200097C701009387C75B83A707000327C402D4 +:104030001305000083A7C70263FAE7009307100087 +:1040400017C70100232CF756130510008320C10069 +:1040500003248100832441001301010167800000D3 +:10406000737004306F0000009385040017C50100D1 +:104070001305C579EFC00FEE6FF09FFA97C70100E7 +:104080009387C75283A707006396070073700430B5 +:104090006F000000130101FF23261100232481007B +:1040A00023229100B70700800324C500B3E7F50081 +:1040B0002320F50063080408EFC00FF293044400C6 +:1040C00013850400EFC04FF197C701009387C74FD6 +:1040D0000327C40283A7070063F6E70097C7010020 +:1040E00023A4E74E93172700B387E7009397270091 +:1040F00017C501001305C5683305F5009385040055 +:10410000EFC04FE597C701009387874D83A707004E +:104110000327C4021305000083A7C70263FAE70060 +:104120009307100017C70100232AF7481305100052 +:104130008320C10003248100832441001301010175 +:1041400067800000737004306F0000006304050294 +:1041500097C701009387474603A7070097C7010049 +:104160009387C74683A707002320E5002322F50095 +:1041700067800000737004306F0000006304050E58 +:10418000638E050C130101FF2326110023248100F7 +:10419000130705007370043097C8010093888842A4 +:1041A00083A708006380070297C7010093874743EE +:1041B00083A6070083A7070083A7460493871700F9 +:1041C00023A2F60417C301001303434083A705008D +:1041D000032603009306F0FF130400006388D7044E +:1041E00017CE0100130E4E3D03250E0003280700D5 +:1041F000832647006306A800130410006378D602E4 +:104200003308D640130410006372F80203250E0031 +:10421000B387C74003260300B387D70023A0F50068 +:104220002320A7002322C7001304000083A708004F +:10423000638C070097C701009387873A83A707001D +:1042400083A7470463940702130504008320C10079 +:1042500003248100130101016780000073700430A2 +:104260006F000000737004306F000000EFD01FAAD1 +:104270006FF09FFD9307100017C701002320F7344C +:1042800067800000630605000325C5046780000001 +:104290001305000067800000630405002326B504B1 +:1042A0006780000097C701009387873303A5070045 +:1042B0006780000097C701009387C73083A7070076 +:1042C00013051000638C070097C701009387072E22 +:1042D00003A50700133515001315150067800000AE +:1042E000630C0508130101FE232A910097C4010005 +:1042F0009384042F83A70400232E1100232C810014 +:1043000023282101232631010327C50283A7C702E1 +:104310006376F7048327850163CC070083A7040035 +:1043200083A6C70293075000B387D740232CF5001C +:1043300093172700B387E7000327450117C901003A +:104340001309094493972700B307F9006308F7029C +:1043500083A7040083A7C7022326F5028320C10197 +:104360000324810183244101032901018329C10020 +:104370001301010267800000678000009309450077 +:104380001304050013850900EFC00FC583A70400BF +:1043900017C70100130747230327070083A7C70296 +:1043A0002326F4026376F70017C70100232EF720B7 +:1043B000139527003305F50003248101131525000B +:1043C0008320C10183244101938509003305A9009D +:1043D0008329C10003290101130101026FC08FB7B6 +:1043E00063060502130101FF232611002324810027 +:1043F0002322910097C701009387871E03A407001B +:10440000630AA400737004306F00000013050000FD +:1044100067800000832744056396070073700430AB +:104420006F0000008326C402032704059387F7FF6B +:10443000232AF4046384E600638E0700130500005A +:104440008320C10003248100832441001301010162 +:10445000678000009304440013850400EFC0CFB7C9 +:104460000325040597C701009387071603A70700D4 +:1044700093075000B387A7402326A402232CF400FF +:104480006376A70097C7010023A0A71493054001F6 +:10449000EF60103097C701009387872E3385A70000 +:1044A00093850400EFC00FAB130510006FF05FF9A8 +:1044B0007370043097C701009387C71083A7070064 +:1044C0006380070297C701009387871103A707003E +:1044D00083A7070083274704938717002322F70445 +:1044E0006780000097C701009387C70D83A7070067 +:1044F000638C070297C701009387870E03A7070005 +:10450000032747046302070203A7070083A60700E7 +:10451000832747049387F7FF2322F70483A74604E2 +:1045200063940700736004306780000097C7010040 +:104530009387070B03A6070083A6070003A70700BE +:104540009307500083A6C60203258601B387D74090 +:10455000232CF7006780000097C701009387470866 +:1045600003A70700630A070083A6070003A7460501 +:104570001307170023AAE60403A5070067800000BD +:10458000130101FD2320210323261102232481028C +:1045900023229102232E3101130905007370043088 +:1045A00097C401009384040283A7040017C4010088 +:1045B00013040403639E070A8327040083A7870567 +:1045C000639A0700832704001307100023AEE70453 +:1045D000639A050E83A704006388070083270400FD +:1045E00083A74704639A07147370043083A70400F9 +:1045F000639407068327040003A587056308050065 +:10460000630209048327040023AC07048327040002 +:1046100023AE070483A704006388070083270400F0 +:1046200083A74704639207068320C1020324810203 +:1046300083244102032901028329C10113010103DB +:104640006780000003270400832787059387F7FF0F +:10465000232CF7046FF09FFB03270400832704003B +:1046600083274704938717002322F7046FF09FF8EE +:104670000327040083270400832747049387170038 +:104680002322F7046FF05FF303270400832604005E +:10469000832747049387F7FF2322F70483A7460461 +:1046A000E39407F8736004308320C102032481027D +:1046B00083244102032901028329C101130101035B +:1046C00067800000032504002326B1001305450080 +:1046D000EFC08F908325C1009307F0FF6380F5083A +:1046E00097C70100938787EE83A90700032704007B +:1046F00083A70700B389B9002322370163ECF906C9 +:1047000097C70100938787ED03A507008325040061 +:1047100093854500EFC00F8797C70100938747E94E +:1047200083A7070063F6F90097C7010023A237E9C2 +:10473000EFB01FE66FF01FEA032704008326040092 +:10474000832747049387F7FF2322F70483A74604B0 +:10475000E39C07E8736004306FF01FE983250400D1 +:1047600017C501001305050D93854500EFB09FFEA9 +:104770006FF01FFC97C70100938707E603A50700AA +:104780008325040093854500EFB0DFFF6FF05FFAEB +:10479000130101FD23202103232E310123261102C1 +:1047A0002324810223229102232C41011389050035 +:1047B000930906007370043097C40100938484E069 +:1047C00083A7040017C40100130484E1639C071449 +:1047D00003270400930720000327C7056304F7029B +:1047E000832704001345F5FF03A787053375E5000C +:1047F00023ACA704832704001307100023AEE704AB +:10480000639A060A83A704006388070083270400CD +:1048100083A74704639407167370043083A70400CA +:10482000639E0706638809008327040083A7870522 +:1048300023A0F900032704009307100013050000CC +:104840000327C705630EF700832704001349F9FF08 +:104850001305100003A787053379E90023AC27056A +:104860008327040023AE070483A70400638807009E +:104870008327040083A747046392070C8320C102A7 +:104880000324810283244102032901028329C101F7 +:10489000032A81011301010367800000032704003C +:1048A0008327040083274704938717002322F704F4 +:1048B0006FF05FF7032504002326D10013054500A0 +:1048C000EFB09FF18326C1009307F0FF638AF60CD7 +:1048D00097C70100938787CF03AA07000327040027 +:1048E00083A70700330ADA00232247016368FA0C22 +:1048F00097C70100938787CE03A50700832504008F +:1049000093854500EFB01FE897C70100938747CA1A +:1049100083A707006370FA0A97C7010023AA47C953 +:104920006F004009032704008327040083274704FE +:10493000938717002322F7046FF09FE903270400F1 +:1049400083260400832747049387F7FF2322F70475 +:1049500083A74604E39407F2736004308320C10206 +:104960000324810283244102032901028329C10116 +:10497000032A81011301010367800000032704005B +:1049800083260400832747049387F7FF2322F70435 +:1049900083A74604E39207E8736004306FF0DFE713 +:1049A0008325040017C501001305C5E8938545005C +:1049B000EFB05FDAEFB0DFBD6FF0DFE497C7010063 +:1049C000938787C103A507008325040093854500CD +:1049D000EFB05FDB6FF01FFE630A050C130101FFF0 +:1049E000232611002324810023229100232021016A +:1049F0007370043097C401009384C4BC83A704007F +:104A000063960708638606008327850523A0F600C2 +:104A100093070600930620000326C505232ED50420 +:104A200013870500130405006382D70A63F4F608B0 +:104A3000930530006388B700930540006396B70084 +:104A4000630CD610232CE40493071000630CF608C3 +:104A50001304100083A70400638C070097C70100AC +:104A6000938707B883A7070083A7470463980706BF +:104A7000130504008320C100032481008324410026 +:104A800003290100130101016780000097C701009D +:104A9000938707B503A7070083A707008327470469 +:104AA000938717002322F7046FF0DFF5737004304B +:104AB0006F00000093061000E398D7F88327850560 +:104AC00033E7B700232CE5046FF01FF88327850533 +:104AD00093871700232CF5046FF01FF7EFD00FA377 +:104AE0006FF01FF91309440013050900EFB0DFCE82 +:104AF00097C70100938747AD0325C40283A707002A +:104B000063F6A70097C7010023A0A7AC9305400157 +:104B1000EF60004897C70100938787C63385A700D9 +:104B200093050900EFB01FC3832784026386070043 +:104B3000737004306F00000097C70100938747AA85 +:104B400083A707000327C40283A7C702E3F2E7F0A5 +:104B5000EFB01FA46FF0DFEF130400006FF09FEFC2 +:104B600063080508130101FE232C8100232A91000C +:104B7000232821012326310123244101232251012D +:104B8000232E110093090700138A060093040600E0 +:104B90001389050013040500EFB0DFB3930A050085 +:104BA00063060A00832784052320FA000327C4052F +:104BB00093072000232EF4046380F40463FA971013 +:104BC000930630006388D400930640006396D400B7 +:104BD000630CF70E232C2405930710006312F70AC9 +:104BE0008327840263820702737004306F00000021 +:104BF000737004306F0000008327840593871700CB +:104C0000232CF4046FF05FFD97C701009387079A88 +:104C100083A707006390070A9304440013850400E8 +:104C2000EFB09FBB97C701009387079A0325C40283 +:104C300083A7070063F6A70097C7010023A6A798DC +:104C400093054001EF60C03497C70100938747B3D5 +:104C5000938504003385A700EFB0DFAF97C701004D +:104C60009387079883A707000327C40283A7C70277 +:104C700063F8E700638609009307100023A0F9009A +:104C80001304100013850A00EFB05FA4130504009D +:104C90008320C101032481018324410103290101EF +:104CA0008329C100032A8100832A410013010102E4 +:104CB000678000009305840117C50100130505B541 +:104CC000EFB05FA96FF09FF9130400006FF09FFB36 +:104CD00093071000E392F4F08327840533E927015A +:104CE000232C24056FF05FEF630A050E130101FE0C +:104CF000232C8100232A910013040500232631016F +:104D0000232E11002328210193840500EFB09F9CDE +:104D1000930720008326C405232EF40483278405EB +:104D2000130710009309050093871700232CF40440 +:104D30006396E60883278402638607007370043055 +:104D40006F00000097C701009387478683A707007D +:104D5000639A07081309440013050900EFB0DFA7A1 +:104D600097C70100938747860325C40283A70700DE +:104D700063F6A70097C7010023A8A7849305400105 +:104D8000EF60002197C701009387879F9305090073 +:104D90003385A700EFB01F9C97C701009387478416 +:104DA00083A707000327C40283A7C70263F8E700AD +:104DB000638604009307100023A0F40003248101FC +:104DC0008320C101832441010329010113850900C6 +:104DD0008329C100130101026FB05F8F737004302B +:104DE0006F0000009305840117C50100130505A29B +:104DF000EFB05F966FF05FFA930705006308050652 +:104E00007370043017B701001307C77B83260700B0 +:104E1000639E060203A6C705930620001305000043 +:104E20006316D60023AE070413051000832707007E +:104E3000638C070097B701009387877A03A7070061 +:104E400003274704631C07026780000097B6010030 +:104E50009386067903A6060083A6060083264604E9 +:104E6000938616002322D6046FF0DFFA97B701006D +:104E70009387077783A707006FF09FF803A70700C2 +:104E800083A60700832747049387F7FF2322F704AD +:104E900083A74604E39A07FA736004306780000032 +:104EA000130101FB2322910423202105232C41031C +:104EB000232A510323286103232671032326110487 +:104EC00023248104232E31032324810323229103ED +:104ED0002320A10317B901001309897117BB010031 +:104EE000130B4B7097B401009384047097BA0100C0 +:104EF000938ACA6F130A1000930B9000832709004E +:104F000003A707006306071683A7C70083A9070046 +:104F1000EFD0DFCFEFD01FD183270B00130C05009C +:104F20006368F50C97B7010023AEA76A130600006B +:104F30006376352303A50400B3858941EFC05FC0C4 +:104F4000EFE04F806306051603A5040093060000FA +:104F50001306000093058100EFC0CFBEE30005FA01 +:104F600083278100E3C207FE8329010183A749014A +:104F70006386070013854900EFB01F86EFD09FCAF4 +:104F800083270B00130C05006362F50C8327810057 +:104F900017B7010023288765E3E8FBFAB317FA0087 +:104FA00013F707216310072013F7770C6316072AFE +:104FB00093F70702E38A07F813850900EF00504FC3 +:104FC0006FF09FF883A7C70003A4C70083AC070056 +:104FD0009309440013850900EFB01F808327440222 +:104FE00013050400E78007008327C4016386470D8B +:104FF0008327090003A70700E31607FC03A70A009D +:1050000097B6010023A0865F97B6010023A0F65E45 +:1050100097B7010023AEE75CEFD0DFF26FF0DFF26D +:1050200083A7C70003A4C70003AD0700930C440087 +:1050300013850C00EFB04FFA8327440213050400D8 +:10504000E78007008327C401638C470B832709008F +:1050500003A70700E31607FC03A70A0097B60100A1 +:1050600023A6F65897B7010023A4E7586FF01FF264 +:10507000EFD0DFB9EFD01FBB83270B00130C050067 +:10508000E368F5F683A70A0003A50400930900006E +:1050900003A60700B385894117B70100232487556C +:1050A00013361600EFC0DFA9EFD0DFE9E31E05E8F5 +:1050B000EFB00FCE6FF05FE983278401938509007D +:1050C000B387FC0063E2FC0883A704006386070241 +:1050D000232A0100232C9101232E8100EFF08F9DC4 +:1050E00003A5040093060000130600009305410188 +:1050F000EFB0DFA5E31E05EE737004306F00000013 +:105100008327840193850C00B307FD006362FD08CB +:1051100083A7040063860702232A0100232CA10130 +:10512000232E8100EFF00F9903A5040093060000E1 +:105130001306000093054101EFB05FA1E31805F0ED +:10514000737004306F000000032509002322F4006F +:1051500023288400EFB00FE36FF09FE9EFD09FDECC +:105160008327090083A7C70003A4C700930C44004A +:1051700013850C00EFB04FE68327C401638A47050F +:105180008327440213050400E78007006FF0DFDB8C +:10519000032509002322F40023288400EFB08FDECA +:1051A0006FF0DFEA8327C10023ACF9006382070AAE +:1051B000B387870123A2F90023A839019385490009 +:1051C0006362FC0803A50A00EFB0CFDB6FF0DFD706 +:1051D00083278401232884003387F9002322E400F5 +:1051E000636AEC04330C3C41636EFC0283A7040049 +:1051F00063860702232A0100232C3101232E81001C +:10520000EFF04F8B03A50400930600001306000087 +:1052100093054101EFB09F93E31405F673700430DA +:105220006F00000003A50A0093850C00EFB08FD536 +:105230006FF01FF50325090093850C00EFB08FD4A4 +:105240006FF01FF403250900EFB0CFD36FF0DFCF6D +:10525000737004306F0000008327C10083A68901AA +:1052600023A839013387D70023A2E9006362EC0643 +:10527000B307FC4063EAD70683A7490213850900F8 +:10528000E780070083A7C901E39047CD83A6890182 +:105290008327C10003A70400B387D7006306070272 +:1052A000232A0100232CF100232E3101EFF08F80FF +:1052B00003A50400930600001306000093054101B6 +:1052C000EFB0DF88E31205C8737004306F00000090 +:1052D0006374FC00E372F7FA032509009385490023 +:1052E000EFB04FCA6FF05FC603A50A00938549006F +:1052F000EFB04FC96FF05FC5130101FF2324810098 +:1053000023261100232291002320210117B401003C +:105310001304842DEFF0CF998327040063840704DE +:10532000EFF04F9C83270400638A07029308000074 +:1053300013080000930700001307200093060000E5 +:105340001306004097B501009385C50E17050000B0 +:10535000130545B5EFC05F9E6314050673700430F6 +:105360006F00000017B901001309094E1305090069 +:10537000EFB0CFBB97B401009384444E1385040073 +:10538000EFB0CFBA130600009305C000130540002C +:1053900097B7010023AE272597B7010023A89724CC +:1053A000EFB08FE617B701002320A724630605029C +:1053B00097B5010093858507EFC04FE56FF05FF665 +:1053C0008320C100032481008324410003290100BC +:1053D0001301010167800000737004306F0000004A +:1053E000638A050A130101FD232A5101930A05006E +:1053F0001305C002232481022322910223202103CA +:10540000232E3101232C410123261102232861017F +:10541000232671011309070093890600130A060069 +:1054200093840500EF00C07013040500630C0502AF +:10543000EFF00F8897B701009387071B83A707003A +:10544000638E0704EFF00F8A1305440023205401F4 +:10545000232C9400232E44012320340323222403ED +:10546000EFB0CFAE130504008320C10203248102F4 +:1054700083244102032901028329C101032A8101F6 +:10548000832A4101032B0101832BC1001301010376 +:1054900067800000737004306F00000097BB01004C +:1054A000938B8B3A13850B00EFB04FA817BB01000D +:1054B000130BCB3A13050B00EFB04FA713060000F8 +:1054C0009305C0001305400097B7010023A277138E +:1054D00097B7010023AC6711EFB00FD317B70100E6 +:1054E0002324A710630A050097B50100938505F4EE +:1054F000EFC0CFD16FF01FF5737004306F00000064 +:10550000630E0506130101FE232C8100232E1100DA +:10551000232A910017B401001304040D832804000A +:1055200013080500130500006380080493040700B6 +:105530002322B100138706002324C1002326010182 +:105540009306500063C0B604EFE0DFD69307200057 +:10555000630EF50403250400930600001306000003 +:1055600093054100EFB08FDE8320C1010324810148 +:10557000832441011301010267800000737004302D +:105580006F0000009305410093060000130607001A +:1055900013850800EFB05FAD8320C10103248101B2 +:1055A00083244101130101026780000003250400E8 +:1055B000930600001386040093054100EFB00FD955 +:1055C0006FF09FFA63060500032505006780000061 +:1055D000737004306F0000006316050073700430B0 +:1055E0006F000000130101FF232611002324810016 +:1055F00013040500EFE0DFEB032544013334A00082 +:10560000EFE05FEE130504008320C1000324810056 +:1056100013010101678000006316050073700430F8 +:105620006F000000130101FF232481001304050013 +:1056300023261100EFE0DFE703240402EFE09FEAF6 +:105640008320C1001305040003248100130101011C +:105650006780000063160500737004306F0000005F +:10566000130101FF232481002322910013840500EC +:105670009304050023261100EFE09FE323A084029A +:10568000032481008320C100832441001301010110 +:105690006FE05FE5130101FF1305C00123248100C2 +:1056A00023261100EF00C04813040500630805001D +:1056B0002320050013054500EFB04F8713050400B4 +:1056C0008320C100032481001301010167800000D1 +:1056D00063020502B70700FFB3F7F5006386070012 +:1056E000737004306F000000639A0500737004301B +:1056F0006F000000737004306F000000130101FEA2 +:10570000232C810023282101232631012324410158 +:1057100023225101232E1100232A9100930907000F +:1057200013890600930A060013840500130A050076 +:10573000EFE05FB8631805006386090073700430FA +:105740006F000000EFD08FCC83240A00B377940061 +:10575000631209046382070463880A009347F4FF15 +:10576000B3F797002320FA00EFD0CFFD8320C101CB +:1057700003248101138504000329010183244101CD +:105780008329C100032A8100832A410013010102F9 +:1057900067800000E302F4FCE38809FCB3355001A4 +:1057A0009395850163060900B7070004B3E5F5008A +:1057B00013860900B3E5850013054A00EFE0CFE149 +:1057C000EFD04FF863140500EFA09FDCEFE01FD689 +:1057D000931765009309050063DA0700B704000119 +:1057E0009384F4FFB3F499006FF05FF8EFE05FCCBF +:1057F00083290A00B377340163100902638A070022 +:1058000063880A009347F4FFB3F737012320FA00B7 +:10581000EFE05FCD6FF09FFCE31CF4FE6FF05FFEE6 +:10582000630C0500B70700FFB3F7F500638A0700B4 +:10583000737004306F000000737004306F0000005C +:10584000130101FF23248100130405002326110006 +:10585000232291002320210113890500EFE05FC579 +:10586000832404009345F9FFB3F795002320F40047 +:10587000EFE05FC78320C10003248100138504008B +:105880000329010083244100130101016780000006 +:10589000130101FF232481001304050023261100B6 +:1058A000EFA05FE303240400EFA05FE28320C100C8 +:1058B0001305040003248100130101016780000027 +:1058C000630C0500370700FFB3F7E500638A0700A4 +:1058D000737004306F000000737004306F000000BC +:1058E0006F50407DB70700FFB377F600638607006F +:1058F000737004306F00000063160600737004308C +:105900006F000000130101FE232C810023282101D8 +:105910002326310123244101232E1100232A910043 +:105920001389060093890500130A05001304060075 +:10593000EFE05F98631E050463060900737004308E +:105940006F000000EFD08FAC83240A00938509001C +:1059500013050A00B3E49900EFF09FF6B3779400C3 +:10596000630AF40883240A00EFD0CFDD8320C1014D +:1059700003248101138504000329010183244101CB +:105980008329C100032A81001301010267800000FE +:10599000EFD0CFA783240A009385090013050A00DE +:1059A000B3E43401EFF0DFF1B3F7840063848704DC +:1059B000E30A09FAB705000513060900B365B40048 +:1059C00013054A00EFE04FC1EFD0CFD763140500B5 +:1059D000EFA01FBCEFE09FB593176500930405008F +:1059E00063D40702B70700019387F7FFB3F4F4000D +:1059F0006FF0DFF783270A001344F4FFB3F7870043 +:105A00002320FA006FF05FF6EFE09FAA83240A00DC +:105A1000B3779400639887009347F4FFB3F7970038 +:105A20002320FA00EFE01FAC6FF0DFFB130101FF52 +:105A30002324810023261100130405002322910052 +:105A4000EFD0CF9C8327440063860702032504011F +:105A50009304C400631895006F00800303250401BC +:105A600063089502B7050002EFE04FE18327440089 +:105A7000E39607FE13050400EF0080230324810052 +:105A80008320C10083244100130101016FD08FCB1B +:105A9000737004306F000000630C0500370700FFCF +:105AA000B3F7E500638A0700737004306F000000ED +:105AB000737004306F0000006F50C05F630C05000E +:105AC000B70700FFB3F7F500638A0700737004306F +:105AD0006F000000737004306F000000130101FFBD +:105AE00023248100232291002326110013040500A2 +:105AF00093840500EFE0DF9B0327040093C7F4FFC6 +:105B0000B377F7002320F400032481008320C10031 +:105B100083244100130101016FE0DF9C630605004F +:105B200003258501678000001305000067800000E1 +:105B3000130101FF23248100232611001304050013 +:105B4000EFD0CF8C97B701009387C7AA83A7070030 +:105B5000639C0704B797010017B70100130787D1AB +:105B60009387C7FF97B6010023ACE6A817B70100DB +:105B70002326F7A817B701002320F7D097B7010015 +:105B8000938787A717B701002326F7CE9307100046 +:105B900017B70100232807A617B70100232E07A473 +:105BA00017B701002328F7A463120402EFD08FB9BE +:105BB000EF10C05813040000130504008320C10037 +:105BC0000324810013010101678000001305840094 +:105BD000937735006398070CB79701001307F5FF1B +:105BE0009387A7FFE3E4E7FC97B60100938646A1FD +:105BF00083A706006F00400103A70700630A0700A0 +:105C0000938607009307070003A74700E366A7FEF4 +:105C100017B701001307479EE38AE7F803A70700B9 +:105C2000130600011384870023A0E60003A74700A2 +:105C3000B306A740637ED6023388A7002322D8008C +:105C400023A2A7008325480097B601009386469BB0 +:105C50006F0080009306070003A706000326470095 +:105C6000E36AB6FE2320E80023A0060103A747004D +:105C700097B70100938787A883A70700B387E740FA +:105C800017B70100232CF7A6EFD0CFABE30204F245 +:105C9000130504008320C1000324810013010101C6 +:105CA000678000001375C5FF130545006FF0DFF234 +:105CB00063080506130101FF232481002326110038 +:105CC00013040500EFC09FF4930584FF0326C4FF6F +:105CD00017B701001307C7926F00800013870700F2 +:105CE0008327070083A64700E3EAC6FE232CF4FEC1 +:105CF0002320B70097B70100938747A003A70700A9 +:105D00008327C4FF032481008320C100B387E700F9 +:105D100017B701002324F79E130101016FD08FA252 +:105D20006780000097B701009387479D03A5070090 +:105D300067800000678000003367B5001377370085 +:105D400093070500631207049308D6FFB3081501F3 +:105D500013070500938605006378150303A8060062 +:105D60001307470093864600232E07FFE36817FFBB +:105D70009347F5FFB387170193F7C7FF9387470052 +:105D8000B385F500B307F5003306C50063F4C70C0F +:105D90009386450013884700B3B6D70033B8050192 +:105DA00033E7F50093C61600134818001377370041 +:105DB000B3E60601133717003377D700330EF640EA +:105DC00093861700630807061337AE0063140706AF +:105DD00013532E0013880500938607001307000055 +:105DE00083280800130717001308480023A0160192 +:105DF00093864600E36667FE1377CEFFB385E50022 +:105E0000B387E7006306EE0483C605001387170017 +:105E10002380D700637EC70283C61500138727003F +:105E2000A380D7006376C70203C725002381E7005C +:105E300067800000B307F640B387F500938515002F +:105E400003C7F5FF93861600238FE6FEE398F5FE61 +:105E50006780000067800000B367C50093F73700D4 +:105E6000B308C5006382070E63761511B307A0401F +:105E700093F73700138737009306500093F5F50F1B +:105E8000130815003383A8401306F6FF6374D70088 +:105E9000130750006368E60E6380070E2300B50009 +:105EA00013071000638EE700A300B500130730004E +:105EB000130825006396E700130835002301B50099 +:105EC0001396850033E6C500139705013367E60096 +:105ED0003303F34093968501B366D700135623002E +:105EE000B307F5001307000023A0D700130717001E +:105EF00093874700E36AC7FE1377C3FFB307E80041 +:105F00006300E3082380B70013871700637C17033F +:105F1000A380B70013872700637617032381B70098 +:105F20001387370063701703A381B70013874700F7 +:105F3000637A17012382B700138757006374170130 +:105F4000A382B7006780000093F5F50F13978500D3 +:105F5000B365B700139705013367B700E37415FF06 +:105F6000930705009387470023AEE7FEE3EC17FF96 +:105F70006780000067800000130805006FF05FF481 +:105F800067800000930705006FF0DFF78347050087 +:105F900013070500638C07001305150083470500F0 +:105FA000E39C07FE3305E540678000001305000011 +:105FB00067800000130515008347F5FF93851500E2 +:105FC00003C7F5FF63880700E386E7FE3385E740F4 +:105FD00067800000930700006FF05FFF93070500E4 +:105FE0009385150003C7F5FF93871700A38FE7FE7E +:105FF000E31807FE67800000834605009307000250 +:106000006398F6001305150083460500E38CF6FE41 +:10601000938756FD93F7D70F638007049307050016 +:10602000930500006388060413050000938717009A +:10603000138606FD1317250083C607003305A70046 +:10604000131515003305A600E39206FE63840500D0 +:106050003305A04067800000938536FD8346150018 +:1060600093B5150093071500E39006FC1305000097 +:106070006FF0DFFD130500006780000083A70500B7 +:106080002380A70083A705009387170023A0F500AE +:106090006780000097A601009386065783A7060035 +:1060A000173703001307077E13861700B307F7009F +:1060B0002380A70097A5010023A8C5549307A0003B +:1060C000630AF500930700046308F6001305000057 +:1060D00067800000E30C06FE370610F01307170078 +:1060E0008347F7FF2320F6F083A706009387F7FF87 +:1060F00097A5010023AAF550E39207FE13050000BF +:1061000067800000130101EB232291142320211545 +:10611000232A5113232481132326111423248114A9 +:10612000232E3113232C41132328611323267113AB +:10613000232291132320A113232EB11193040500D0 +:10614000138905002326C100130C5002930A900006 +:106150008347090063888703638C070603A4040050 +:106160006F008000638607062300F40003A4040088 +:10617000130919001304140023A0840083470900A5 +:10618000E39287FF034619009308190093860800DD +:10619000130D00029309F0FF130AF0FF130800002B +:1061A000130550059307D6FD93F7F70F13891600D3 +:1061B000636EF53A17A701001307C7E4939727000A +:1061C000B387E70083A70700B387E700678007006E +:1061D0008320C1140324811483244114032901144E +:1061E0008329C113032A8113832A4113032B01132B +:1061F000832BC112032C8112832C4112032D011217 +:10620000832DC1111301011567800000930C8000DC +:10621000232401009307100063DA071D8327C100C0 +:1062200003A40400938B770093F68BFF03AB060067 +:1062300083A94600938786002326F10013860C006D +:106240009306000013050B0093850900EF20807270 +:106250002328A1009307000063803733930D410189 +:10626000930B100013860C009306000013050B001F +:1062700093850900EF2040129306000013860C005E +:10628000130B050093890500EF20C06E8327810062 +:1062900023A0AD0093861B00938D4D0063863701CC +:1062A000938B06006FF01FFCE37C9BFF63D24603D9 +:1062B000930704002380A70103A40400130AFAFF34 +:1062C0009307140023A0F40013840700E3C446FFDF +:1062D00093962B0093070101B386D7006F008002CD +:1062E000938777052300F40003A40400938BFBFF3E +:1062F00093871B001304140023A084009386C6FF19 +:10630000E358F0E483A70600E3ECFAFC9387070365 +:106310006FF05FFD83A70400930600030327C1000D +:106320002380D70083A7040093064700930C000145 +:106330001386170023A0C40013068007A380C7009C +:1063400003A404002324010093070700130414008E +:1063500023A0840003AB0700930900002326D1008B +:106360006FF0DFED8327C10083AC0700938B4700FC +:1063700063880C28635C40259307D002631EFD20D0 +:1063800083C70C006388070263D8092483A604002E +:10639000938C1C00130AFAFF2380F60003A4040068 +:1063A0001304140023A0840083C70C00E39E07FCA1 +:1063B0006352400303A40400930700022300F40087 +:1063C00003A40400130AFAFF1304140023A084009A +:1063D000E3160AFE232671016FF09FD723240100E4 +:1063E00093071000930CA000E3CA07E38327C100C2 +:1063F00003A40400938647006FF0DFF503C6160080 +:10640000930609006FF01FDA83A704009306500279 +:106410002380D70003A404001304140023A08400E5 +:106420006FF01FD3930C0001232401006FF09FDE57 +:106430008327C10003C616009306090083A907003D +:10644000938747002326F100E35E0AD4138A0900EC +:106450009309F0FF6FF01FD503C61600130DD0028D +:10646000930609006FF01FD49347FAFF93D7F741C3 +:1064700003C61600337AFA00930609006FF09FD224 +:1064800003C61600130D0003930609006FF09FD199 +:1064900083C51600930906FD93060900938705FD41 +:1064A00013860500E3E2FAFA93972900B3893701CE +:1064B0009386160093991900B389B90083C5060025 +:1064C000938909FD938705FD13860500E3FEFAFC19 +:1064D0006FF09FF70327C10083A7040083260700FE +:1064E000130747002326E1002380D70003A40400FC +:1064F0001304140023A084006FF09FC593071000BD +:1065000063D4070F8327C1009386770093F686FF35 +:10651000938786002326F10083A9460083A70600FF +:1065200063DE090E83A604001306D002B307F04011 +:106530002380C60003A40400B336F000B309304141 +:106540001304140023A08400138B0700B389D940DF +:10655000930CA000232401006FF05FCE03C6160049 +:1065600013081800930609006FF0DFC383A7040027 +:10657000138908006FF09FE9E3729BCF9307100027 +:10658000930B000093061000E3C447D36FF05FD471 +:1065900097AC0100938C8CEA9385090013850C005D +:1065A000EF10D007330AAA406352400303A404004B +:1065B0002300A40103A40400130AFAFF1304140027 +:1065C00023A08400E3160AFE130A000083C70C0010 +:1065D000E38207E0E3CC09DA9389F9FF1307F0FFC0 +:1065E000E396E9DA6FF0DFDC8327C100938647008A +:1065F00083A707002326D10093D9F7416FF05FF2FC +:10660000635640019307D002E314FDF897AC0100F4 +:10661000938CCCE2930780026FF01FD7138B070097 +:10662000930CA0002324010003A404006FF01FC1F9 +:10663000130101EB232E3113B70900409387F9FFB3 +:106640002324811423229114232C4113232A511330 +:1066500023261114232021152328611323267113C7 +:1066600023248113232291132320A113232EB1115C +:10667000130A05002324B10017A401001304C4F871 +:10668000973A0300938A0A2097A40100938404ADEB +:106690002326F100930550021305A00013080004FF +:1066A000B70610F083470A00638EB7046388070AB1 +:1066B00003270400130A1A00130617003387EA00A1 +:1066C00097A8010023A2C8F42300F7006380A7085D +:1066D000E31A06FD173703001307C71A130717003D +:1066E0008347F7FF23A0F6F0832704009387F7FF83 +:1066F00017A60100232AF6F0E39207FE83470A005B +:10670000E396B7FA83461A0013051A00130705002B +:10671000930B0002130BF0FF130CF0FF13080000A3 +:1067200093055005930890009387D6FD93F7F70FD4 +:10673000130A170063ECF54493972700B38797007B +:1067400083A70700B3879700678007001737030008 +:1067500013074713E31406F86FF0DFF48320C11426 +:106760000324811483244114032901148329C113B0 +:10677000032A8113832A4113032B0113832BC11294 +:10678000032C8112832C4112032D0112832DC11180 +:106790001301011567800000930C8000130D0000A9 +:1067A0009307100063DA072183278100938777001E +:1067B00093F787FF83AD070003AB4700138787007C +:1067C0002324E10013860C009306000013850D00BE +:1067D00093050B00EF20001A2328A10063086D45E4 +:1067E000130941019309100013860C009306000061 +:1067F00013850D0093050B00EF10103A13860C0063 +:1068000093060000930D0500138B0500EF20801602 +:106810002320A9009389190013094900E3166DFD8F +:10682000E3F49DFD13060004B70610F063D489035A +:106830008327040013871700B387FA0097A5010088 +:1068400023A4E5DC23807701630AC706130CFCFF51 +:10685000E3C089FF8327C1001305C100930890009E +:10686000B386F9009396260093070101B386D700FB +:106870001308A00013030004370610F0E38CA6E011 +:1068800083A706009305000363F4F80093057005E1 +:1068900003270400B387B700930517003387EA0086 +:1068A00017AE01002322BED62300F7006386073708 +:1068B000638C65329386C6FF6FF05FFC1737030069 +:1068C000130747FC130717008347F7FF23A0F6F0D1 +:1068D000832704009387F7FF97A5010023A6F5D22D +:1068E000E39207FE130CFCFF6FF09FF68327040072 +:1068F000130600041387170097A5010023A6E5D00F +:10690000B386FA00930500032380B6006308C750DE +:106910009387270097A6010023A8F6CE3387EA00C5 +:10692000930680072300D70017370300130787F566 +:10693000B70610F06392C702130717008347F7FFEB +:1069400023A0F6F0832704009387F7FF17A6010022 +:10695000232CF6CAE39207FE83278100930C0001E3 +:10696000130D00009387470003278100130B0000DD +:10697000832D07002324F1006FF0DFE483278100DB +:1069800083AC070013894700638E0C4C6358802B3F +:106990009307D0026394FB3E03C70C0093050700E6 +:1069A0006314072A6F00002F93071000930CA000B8 +:1069B000130D0000E3CA07DF832781009387470098 +:1069C0006FF09FFA832781008346170013070A00A0 +:1069D00003AB0700938747002324F100E3560CD450 +:1069E000130C0B00130BF0FF6FF01FD4834617003E +:1069F000930BD00213070A006FF01FD39347FCFFDD +:106A000093D7F74183461700337CFC0013070A0035 +:106A10006FF09FD183461700930B000313070A0002 +:106A20006FF09FD003461700138B06FD13070A0073 +:106A3000930706FD93060600E3E2F8FA93172B008E +:106A4000B38767011307170093971700B387C70031 +:106A500003460700138B07FD930706FD9306060008 +:106A6000E3FEF8FC6FF09FF7832581008327040085 +:106A70001306A00003A7050093861700B387FA004A +:106A800017A501002322D5B82380E700138945000C +:106A90006300C736930700046384F62A2324210188 +:106AA0006FF05FBF9307100063DE07358327810017 +:106AB00013877700137787FF930787002324F1005C +:106AC000032B47008327070063560B3E0327040070 +:106AD000130600049306170097A5010023A6D5B25C +:106AE0003387EA009305D0022300B700638CC638D1 +:106AF000B307F0403337F000330B6041938D07004C +:106B0000330BEB40930CA000130D00006FF09FCBF4 +:106B1000834617001308180013070A006FF0DFC040 +:106B20008346170013070A006FF01FC08327040075 +:106B3000930600041387170017A601002326E6AC6E +:106B4000B387FA00130650022380C700E314D7B4BA +:106B500017370300130707D3B70610F01307170002 +:106B60008347F7FF23A0F6F0832704009387F7FFFE +:106B700017A60100232AF6A8E39207FE6FF09FB143 +:106B8000930C0001130D00006FF09FC183270400D8 +:106B900093060004130A05001387170017A60100C7 +:106BA0002324E6A6B387FA00130650022380C70009 +:106BB000E312D7AE173703001307C7CCB70610F0A0 +:106BC000130717008347F7FF23A0F6F0832704007D +:106BD0009387F7FF17A601002328F6A2E39207FE8A +:106BE000130A05006FF01FAB17370300130787C99F +:106BF000130717008347F7FF2320F6F083270400CD +:106C00009387F7FF97A5010023A0F5A0E39207FE65 +:106C10009386C6FF6FF09FC617370300130787C61A +:106C2000E39805FC9386C6FF6FF05FC5E3FA9DBB52 +:106C3000930910006FF01FBF130C000003C70C0076 +:106C400093050700E30C07E49308F0FF1305A00089 +:106C500013080004B70610F0635E0B0C83270400D2 +:106C600013861700B387FA0017A30100232EC398D9 +:106C70002380E7006382A5086308060B938C1C0041 +:106C800003C70C00130CFCFF93050700E31607FC79 +:106C9000E35680E1832704009305000213060004F5 +:106CA000B70610F06F00C000130CFCFFE3080CDE09 +:106CB00013871700B387FA002380B70017A50100D8 +:106CC0002324E59493070700E310C7FE173703005A +:106CD000130747BB130717008347F7FF23A0F6F0FE +:106CE000832704009387F7FF17A50100232EF59053 +:106CF000E39207FE6FF05FFB17370300130787B8B7 +:106D0000E30E06F6130717008347F7FF23A0F6F0FC +:106D1000832704009387F7FF17A601002326F68E2A +:106D2000E39207FE6FF09FF517370300130787B54F +:106D30006FF05FFD130BFBFFE3121BF36FF05FF5CA +:106D400017370300130707B4B70610F0130717002F +:106D50008347F7FF23A0F6F0832704009387F7FF0C +:106D600017A601002322F68AE39207FE23242101BD +:106D70006FF05F92979C0100938C4C6C93050B0015 +:106D800013850C00EF108009330CAC40E35880EB06 +:106D90008327040013060004B70610F06F00C0003C +:106DA000130CFCFFE30A0CE813871700B387FA0003 +:106DB0002380770197A5010023A8E58493070700A6 +:106DC000E310C7FE173703001307C7AB13071700FD +:106DD0008347F7FF23A0F6F0832704009387F7FF8C +:106DE00097A5010023A2F582E39207FE6FF05FFBF7 +:106DF00017370300130707A9E39806F4232421019A +:106E00006FF05F89832781001387470083A70700FE +:106E10002324E10013DBF7416FF01FCB173703008A +:106E2000130747A6B70610F0130717008347F7FFAD +:106E300023A0F6F0832704009387F7FF179601003D +:106E40002324F67CE39207FE9307100017970100B6 +:106E5000232CF77A93078007173703002304F7A240 +:106E60006FF09FAF635680019307D002E394FBF06D +:106E7000979C0100938C8C5C130780029305800221 +:106E80006FF09FDC973603009386C69F370610F09D +:106E90009386160003C7F6FF2320E6F003270400BD +:106EA0001307F7FF9795010023A0E576E31207FE8D +:106EB0006FF01FC4938D0700930CA000130D00000A +:106EC0006FF05F90B70710F023A0A7F26F000000EB +:106ED000130101FC130341022322B10293050300B5 +:106EE000232E11002324C1022326D1022328E102EC +:106EF000232AF102232C0103232E110323266100F0 +:106F0000EFF00FF38320C10113050000130101040A +:106F100067800000130101FB130381032324810216 +:106F20002326A100232CC10213040500130603002D +:106F30001305C100232611022322F104232ED102BE +:106F40002320E1042324010523261105232E6100BB +:106F5000EFF04F9B8327C100238007000325C1006A +:106F60008320C102330585400324810213010105FA +:106F700067800000B70710F083A647F403A607F464 +:106F800003A747F4E31AD7FEB7160000938606FA64 +:106F9000B306D60033B6C600B305E60023A4D7F483 +:106FA00023A6B7F467800000B70710F003A747F4E3 +:106FB00003A607F483A647F4E31AD7FEB71600002A +:106FC000938606FAB306D60033B6C60023A4D7F4D8 +:106FD000B305E60023A6B7F49307000873A0473073 +:106FE00067800000130101FF232481002326110084 +:106FF000979701009387C7611794010013040461F8 +:1070000003A70700832704001306F07C93064706B6 +:10701000938747069795010023ACD55E97950100AD +:1070200023A6F55E634AD6008320C10003248100B5 +:10703000130101016780000013074789979701003A +:1070400023A8E75CEF009054930710006316F50245 +:1070500003270400B71700009387F7761305000095 +:10706000E3D4E7FCEFF01FE6032481008320C10096 +:10707000130101016FB09FB8179501001305853CFE +:10708000EFF01FE513052000EFF0DFE3032481009C +:107090008320C100130101016FB05FB61795010095 +:1070A0001305C53B6FF0DFE2179501001305853B23 +:1070B0006FF01FE2130101FF2324810023229100BE +:1070C00023261100B7140000179401001304043A9A +:1070D00093878438130000009387F7FFE39C07FE33 +:1070E00013050400EFF0DFDE6FF09FFE13050000D4 +:1070F0006F00103C130101FF23261100EF0010491F +:107100001307100093070000631AE5008320C100F5 +:107110001385070013010101678000001795010026 +:1071200013054532EFF0DFDA8320C100930720001A +:10713000138507001301010167800000737004309C +:107140006F00000067800000737004306F00000063 +:10715000979701009387874C03A7070083A74700F1 +:10716000130101F92324E1022326F102979701007C +:107170009387474B232861052326710503AB07003E +:1071800083AB4700979701009387474A232C41051B +:10719000232A510503AA070083AA470097970100F5 +:1071A0009387474923202107232E310503A9070090 +:1071B00083A9470023248105232291052320A105CB +:1071C000232EB103232611062324810623229106B0 +:1071D000930D0500930C0000179C0100130C0C4646 +:1071E000370D0080232461012326710123284101EA +:1071F000232A5101232C2101232E31010325810053 +:107200008325C10003260101832641010324810156 +:107210008324C101EF101046130604009386040076 +:10722000EF2050662320A1022322B1020325010290 +:1072300083254102032681028326C102EF30805359 +:107240001306000093060000EF201054634205046B +:107250000325010283254102032681028326C10200 +:10726000EF30405103260C0083264C00EF201042E3 +:107270003325A000631A0500E3960CF6930710006F +:107280002390FD006FF01FF6930C10006FF09FF538 +:107290008327010203284102032681021387070086 +:1072A000B3470D018326C10213050700938507002C +:1072B0006FF01FFB130101F997970100938787383F +:1072C0002328610503AB07002326710583AB470024 +:1072D0009797010093878737232C410503AA07005E +:1072E00023246101232A510583AA470097970100AF +:1072F00093874736232671012320210703A907001E +:1073000023284101232E310583A94700232A510157 +:10731000232C2101232E3101032781008327C10063 +:10732000032601018326410123248106232291069D +:10733000032481018324C101232EB1039385070017 +:10734000930D05001305070023261106232481054C +:10735000232291052320A105EF20403213060400CB +:1073600093860400EF2010522324A1022326B102A9 +:10737000930C0000179C0100130C4C2C370D00805F +:10738000232461012326710123284101232A51016D +:10739000232C2101232E3101032581008325C100E7 +:1073A0000326010183264101032481018324C101B5 +:1073B000EF20C02C1306040093860400EF20904CAD +:1073C0002320A1022322B1020325010283254102C9 +:1073D000032681028326C102EF30C0391306000064 +:1073E00093060000EF20503A634205040325010292 +:1073F00083254102032681028326C102EF308037B4 +:1074000003260C0083264C00EF2050283325A000D3 +:10741000631A0500E3960CF6930710002390FD0015 +:107420006FF01FF6930C10006FF09FF58327010299 +:10743000032841020326810213870700B3470D0189 +:107440008326C10213050700938507006FF01FFB19 +:10745000130101F72326A102130500052324810847 +:107460002322910823202109232E3107232C4107B1 +:10747000232A510723286107232671072324810724 +:10748000232291072320A107232EB10523261108CB +:10749000EFE00FEA979701009387471C03AB0700C3 +:1074A00083AB4700979701009387C71B03AA070088 +:1074B00083AA4700979701009387471B03A90700FA +:1074C00083A94700979701009387C71A03A4070071 +:1074D00083A44700979701009387471A03A84700A2 +:1074E00083A70700130C0500232601012324F100C4 +:1074F000979701009387071903A8470083A7070000 +:10750000930C0000232A01012328F1009797010022 +:107510009387C71703A8470083A70700232E0101FD +:10752000232CF100979701009387C71603A8470003 +:1075300083A70700232201032320F102979701006C +:107540009387C71503AD070083AD4700232C0102C5 +:10755000232E0102232001042322010423200C00F6 +:1075600023220C00032581038325C1031306000099 +:1075700093060000EF101010232CA102232EB1025D +:1075800023246C0123267C01032581038325C10369 +:1075900013060B0093860B00EF10D00D232CA102D5 +:1075A000232EB10223284C01232A5C0103258103E9 +:1075B0008325C10313060A0093860A00EF10900B7F +:1075C000232CA102232EB102232C2C01232E3C01BB +:1075D000032581038325C10313060900938609004F +:1075E000EF105009232CA102232EB10223208C027C +:1075F00023229C02032581038325C1031306040073 +:1076000093860400EF10100703268100232CA102AB +:107610008326C100232EB1022324CC022326DC02C0 +:10762000032581038325C103EF10D0040326010144 +:10763000232CA10283264101232EB1022328CC0250 +:10764000232ADC02032581038325C103EF10900266 +:1076500003268101232CA1028326C101232EB1021E +:10766000232CCC02232EDC02032581038325C103B6 +:10767000EF10500003260102232CA10283264102B1 +:10768000232EB1022320CC042322DC040325810312 +:107690008325C103EF10007E232CA102232EB1020B +:1076A0002324AC052326BC05032581038325C103C0 +:1076B00013060D0093860D00EF10C07B232CA10252 +:1076C000232EB10203250C0083254C000326010460 +:1076D00083264104EF10007A2320A1042322B10461 +:1076E00003258C008325CC00032601048326410456 +:1076F000EF1040782320A1042322B10403250C01BC +:1077000083254C010326010483264104EF10807673 +:107710002320A1042322B10403258C018325CC015D +:107720000326010483264104EF10C0742320A10422 +:107730002322B10403250C0283254C0203260104F5 +:1077400083264104EF1000732320A1042322B104F7 +:1077500003258C028325CC020326010483264104E1 +:10776000EF1040712320A1042322B10403250C0350 +:1077700083254C030326010483264104EF10806F08 +:107780002320A1042322B10403258C038325CC03E9 +:107790000326010483264104EF10C06D2320A104B9 +:1077A0002322B10403250C0483254C040326010481 +:1077B00083264104EF10006C2320A1042322B1048E +:1077C00003258C048325CC0403260104832641046D +:1077D000EF10406A2320A1042322B1040325810372 +:1077E0008325C1030326010483264104EF209078FA +:1077F0002324A1042326B104032581048325C10485 +:107800001306000093060000EF2000786342050491 +:1078100097970100938787E20325810403A6070059 +:107820008325C10483A64700EF2040663325A000CE +:10783000631C0500E39C0CD08327C10213071000D2 +:107840002390E7006FF09FD0930C10006FF01FD0D3 +:1078500097970100938707E50325810403A6070096 +:107860008325C10483A64700EF2000721355F5015C +:107870006FF01FFC130101F72326A1021305000579 +:10788000232481082322910823202109232E310754 +:10789000232C4107232A5107232861072326710738 +:1078A00023248107232291072320A107232EB1053A +:1078B00023261108EFE0CFA797970100938707DFF2 +:1078C00003AB070083AB470097970100938787DEE0 +:1078D00003AA070083AA470097970100938707DE52 +:1078E00003A9070083A9470097970100938787DDC5 +:1078F00003A4070083A4470097970100938707DD3F +:1079000003A8470083A70700130C050023220101E9 +:107910002320F100979701009387C7DB03A8470056 +:1079200083A70700930C0000232601012324F10004 +:1079300097970100938787DA03A8470083A707007A +:10794000232A01012328F10097970100938787D903 +:1079500003A8470083A70700232E0101232CF10071 +:1079600097970100938787D803A8470083A707004C +:10797000232201032320F10297970100938787D7E1 +:1079800003AD070083AD4700232C0102232E010223 +:10799000232001042322010423206C0123227C01E3 +:1079A000032581038325C10313060B0093860B0077 +:1079B000EF10404C232CA102232EB10223244C01B2 +:1079C00023265C01032581038325C10313060A00D6 +:1079D00093860A00EF10004A232CA102232EB10245 +:1079E00023282C01232A3C01032581038325C1037D +:1079F0001306090093860900EF10C047232CA1024B +:107A0000232EB102232C8C00232E9C0003258103FE +:107A10008325C1031306040093860400EF108045FC +:107A200003260100232CA10283264100232EB1024C +:107A30002320CC022322DC02032581038325C103FA +:107A4000EF10404303268100232CA1028326C100AE +:107A5000232EB1022324CC022326DC02032581033A +:107A60008325C103EF10004103260101232CA1024D +:107A700083264101232EB1022328CC02232ADC02D3 +:107A8000032581038325C103EF10C03E0326810136 +:107A9000232CA1028326C101232EB102232CCC0268 +:107AA000232EDC02032581038325C103EF10803CD4 +:107AB00003260102232CA10283264102232EB102B8 +:107AC0002320CC042322DC04032581038325C10366 +:107AD000EF10403A232CA102232EB1022324AC053F +:107AE0002326BC05032581038325C10313060D004E +:107AF00093860D00EF100038232CA102232EB10233 +:107B000003250C0083254C00032601048326410431 +:107B1000EF1040362320A1042322B10403258C005A +:107B20008325CC000326010483264104EF10803412 +:107B30002320A1042322B10403250C0183254C0139 +:107B40000326010483264104EF10C0322320A10440 +:107B50002322B10403258C018325CC0103260104D3 +:107B600083264104EF1000312320A1042322B10415 +:107B700003250C0283254C020326010483264104BD +:107B8000EF10402F2320A1042322B10403258C02EF +:107B90008325CC020326010483264104EF10802DA7 +:107BA0002320A1042322B10403250C0383254C03C5 +:107BB0000326010483264104EF10C02B2320A104D7 +:107BC0002322B10403258C038325CC03032601045F +:107BD00083264104EF10002A2320A1042322B104AC +:107BE00003250C0483254C04032601048326410449 +:107BF000EF1040282320A1042322B10403258C0484 +:107C00008325CC040326010483264104EF1080263B +:107C10002320A1042322B104032581038325C1036A +:107C20000326010483264104EF20D0342324A10439 +:107C30002326B104032581048325C1041306000013 +:107C400093060000EF20403463420504979701003B +:107C50009387C79E0325810403A607008325C104DB +:107C600083A64700EF2080223325A000631C050077 +:107C7000E39C0CD08327C102130710002390E70078 +:107C80006FF09FD0930C10006FF01FD097970100FA +:107C9000938747A10325810403A607008325C10418 +:107CA00083A64700EF20402E1355F5016FF01FFC0F +:107CB000130101FF232481001307050013040500AD +:107CC0009308000013080000930700009796010036 +:107CD0009386469413060040978501009385457A64 +:107CE00017F5FFFF1305054723261100EFA0CF84EA +:107CF0001307040093080000130800009307000016 +:107D000097960100938626911306004097850100FF +:107D10009385857717F5FFFF1305055AEFA0CF81EF +:107D200013070400930800001308000093070000E5 +:107D3000979601009386468E1306004097850100B2 +:107D40009385057517050000130505B3EF90DFFE59 +:107D500013070400032481008320C100930800005E +:107D60001308000093070000979601009386E68AA7 +:107D700013060040978501009385057217F5FFFFF4 +:107D80001305456D130101016F901FFB97960100CC +:107D900083D646881307100097970100938787873B +:107DA000130500006398E600179701002314078667 +:107DB0001305100003D72700930610006386E60220 +:107DC00003D7470093061000130500006388E602FE +:107DD00083D767001307100013050000630AF7023A +:107DE0001305000067800000179701002315078224 +:107DF00003D7470093061000E39CE6FC17970100A9 +:107E0000231C078083D7670013071000E31AF7FCD1 +:107E1000979701002393078067800000B305B500A2 +:107E2000930705006386B70003C7070063160700C2 +:107E30003385A74067800000938717006FF09FFE8F +:107E4000130101FB23229104232C410323229103DC +:107E5000232611042324810423202105232E31030A +:107E6000232A51032328610323267103232481033A +:107E70002320A103232EB101930C0500138A0500D2 +:107E80009304000063DE05003305A0403337A000F3 +:107E9000B305B040930C0500338AE5409304F0FF2E +:107EA00063DA06003306C040B337C000B306D040E3 +:107EB000B386F640930A06009389060013840C00EB +:107EC00013090A0063960628178B0100130B0B4653 +:107ED0006370CA16B70701006372F6149307F00FB8 +:107EE00063F4C70093098000B3573601330BFB00DE +:107EF00083470B0013050002B3873701B309F54030 +:107F0000638C0900B3153A01B3D7FC00B31A3601EC +:107F100033E9B70033943C0113DB0A0193050B00EE +:107F200013050900EF30000E130A050093050B003E +:107F3000939B0A0113050900EF30400893DB0B0106 +:107F40009305050013850B00EF308004131A0A0116 +:107F500093570401B367FA0063FAA700B387570188 +:107F600063E6570163F4A700B38757013389A7403D +:107F700093050B0013050900EF30C008130A050034 +:107F800093050B0013050900EF304003131404019F +:107F900093050500131A0A0113850B0013540401FD +:107FA000EF20107F33648A00637AA4003304540105 +:107FB000636654016374A400330454013304A44081 +:107FC0003354340193050000638A04003304804075 +:107FD000B3378000B305B040B385F5401305040006 +:107FE0008320C10403248104832441040329010460 +:107FF0008329C103032A8103832A4103032B01033D +:10800000832BC102032C8102832C4102032D010228 +:10801000832DC1011301010567800000B70700012E +:1080200093090001E362F6EC930980016FF0DFEB46 +:10803000631A06009305000013051000EF20107866 +:10804000930A0500B707010063FAFA0E9307F00FD1 +:1080500063F4570193098000B3D73A01330BFB0057 +:1080600083470B001305000233095A41B3873701D8 +:10807000B309F540E38209EAB39A3A01335BFA00A7 +:10808000B3153A01B3D7FC0093DB0A0133E9B7001B +:1080900013050B0093850B00EF20D076130A050023 +:1080A00093850B00139C0A0113050B00EF20107140 +:1080B000135C0C019305050013050C00EF20506DB7 +:1080C000131A0A0193570901B367FA0033943C016C +:1080D00063FAA700B387570163E6570163F4A7006B +:1080E000B3875701338BA74093850B0013050B0013 +:1080F000EF205071130A050093850B0013050B0048 +:10810000EF20D06B9305050013050C00EF2050689D +:1081100093160901131A0A0193D60601B366DA0011 +:1081200063FAA600B386560163E6560163F4A6001F +:10813000B38656013389A6406FF01FDEB7070001F2 +:1081400093090001E3EAFAF0930980016FF0DFF090 +:108150006376DA0093050A006FF01FE7B7070100A6 +:1081600063FAF604930BF00F33B5DB0013153500FB +:1081700033D7A600978701009387471BB387E70093 +:1081800083CB070093050002B38BAB00338B7541A3 +:10819000631C0B0263E4460163EACC003384CC40E9 +:1081A000B306DA4033B98C003389264193050900C0 +:1081B0006FF09FE1B707000113050001E3EAF6FA4B +:1081C000130580016FF0DFFAB3966601335D760127 +:1081D000336DDD00B35D7A01B3156A0133DC7C01D8 +:1081E00013540D01336CBC0013850D00930504007E +:1081F000B3196601EF201061930A0500930504008E +:1082000013850D0033996C01931C0D01EF20105B59 +:1082100093DC0C01130A05009305050013850C007F +:10822000EF201057939A0A0113570C0133E7EA0025 +:10823000930D0A00637EA7003307A701930DFAFF91 +:108240006368A7016376A700930DEAFF3307A701D0 +:10825000330AA7409305040013050A00EF20905A43 +:1082600093050400930A050013050A00EF2010553A +:10827000930505001304050013850C00EF205051F1 +:1082800093150C01939A0A0193D50501B3E5BA0041 +:108290001307040063FEA500B385A5011307F4FFCF +:1082A00063E8A50163F6A5001307E4FFB385A50104 +:1082B000939D0D01B70C0100B3EDED001384FCFF9D +:1082C000B3F78D0033F48900338AA5401385070086 +:1082D000930504002326F10093DD0D01EF20504BA0 +:1082E00093050400930A050013850D00EF20504A02 +:1082F00013DC090193050C002324A10013850D0054 +:10830000EF2010498327C10013040C0093050400DB +:10831000130C050013850700EF209047832681008A +:1083200013D70A013305D5003307A7006374D700BC +:10833000330C9C01B70701009387F7FF93550701A2 +:108340003377F70013170701B3F7FA00B3858501F8 +:10835000B307F7006366BA00631EBA00637CF900D6 +:1083600033863741B3B7C700B385A541B385F54020 +:1083700093070600B307F9403339F900B305BA4053 +:10838000B385254133947501B3D767013364F40095 +:10839000B3D565016FF05FC3130101FD2322910284 +:1083A000232A5101232611022324810223202103A1 +:1083B000232E3101232C4101232861012326710141 +:1083C00023248101232291012320A101930A050086 +:1083D00093840500639E0638130406009309050084 +:1083E00017890100130989F463F8C512B707010062 +:1083F000138B05006378F6101307F00F3337C700AF +:1084000013173700B357E6003309F9008346090014 +:108410003387E60093060002B386E640638C0600CD +:10842000B394D40033D7EA003314D600336B9700EB +:10843000B399DA00935A040193850A0013050B00DF +:10844000EF20503C1309050093850A00931B04019B +:1084500013050B00EF20903693DB0B01930405000E +:108460009305050013850B00EF20903213190901C5 +:1084700093D70901B367F900138A040063FEA700CC +:10848000B3878700138AF4FF63E8870063F6A700C9 +:10849000138AE4FFB3878700B384A74093850A005B +:1084A00013850400EF2010361309050093850A0098 +:1084B00013850400EF20903093990901930405007F +:1084C000930505001319090113850B0093D90901C0 +:1084D000EF20102CB36939011386040063FCA90056 +:1084E000B30934011386F4FF63E6890063F4A9003D +:1084F0001386E4FF13140A013364C400130A000056 +:108500006F000013B707000113070001E36CF6EEDC +:10851000130780016FF01FEF138A0600631A06002D +:108520009305000013051000EF20502913040500E7 +:10853000B7070100637EF4129307F00F63F487001E +:10854000130A8000B35744013309F90003470900B7 +:108550009306000233074701B386E6406394061290 +:10856000B3848440130A1000135B040193050B00CD +:1085700013850400EF2010291309050093050B0053 +:1085800013850400931B0401EF20502393DB0B01A0 +:10859000930405009305050013850B00EF20501F81 +:1085A0001319090193D70901B367F900938A0400ED +:1085B00063FEA700B3878700938AF4FF63E8870010 +:1085C00063F6A700938AE4FFB3878700B384A740CC +:1085D00093050B0013850400EF20D022130905003A +:1085E00093050B0013850400EF20501D939909019A +:1085F00093040500930505001319090113850B0069 +:1086000093D90901EF20D018B3693901138604000A +:1086100063FCA900B30934011386F4FF63E6890003 +:1086200063F4A9001386E4FF13940A013364C400C1 +:108630001305040093050A008320C102032481026C +:1086400083244102032901028329C101032A8101F4 +:10865000832A4101032B0101832BC100032C8100DC +:10866000832C4100032D01001301010367800000EA +:10867000B7070001130A0001E366F4EC130A800156 +:108680006FF05FEC3314D40033DAE400B399DA000E +:1086900033D7EA00935A0401B394D40093850A00B7 +:1086A00013050A00336B9700EF20D015130905005E +:1086B00093850A0013050A00931B0401EF20101094 +:1086C00093DB0B01930405009305050013850B0054 +:1086D000EF20100C1319090113570B013367E90040 +:1086E000138A0400637EA70033078700138AF4FF10 +:1086F000636887006376A700138AE4FF3307870067 +:10870000B304A74093850A0013850400EF20900F5F +:108710001309050093850A0013850400EF20100A51 +:10872000930405009305050013850B00EF20500608 +:1087300013170B011357070113190901B367E90058 +:108740001387040063FEA700B38787001387F4FF35 +:1087500063E8870063F6A7001387E4FFB387870009 +:10876000131A0A01B384A740336AEA006FF0DFDF0F +:1087700063ECD51EB707010063F4F6041307F00F8E +:10878000B335D7009395350033D7B60097870100EE +:108790009387C7B9B387E70003C70700130A00022E +:1087A0003307B700330AEA4063160A0213041000C5 +:1087B000E3E096E833B6CA00134416006FF05FE7B3 +:1087C000B707000193050001E3E0F6FC9305800183 +:1087D0006FF09FFBB35CE600B3964601B3ECDC00A0 +:1087E00033D4E40093DB0C01B397440133D7EA00A0 +:1087F00093850B0013050400336BF700B319460192 +:10880000EF2050001309050093850B0013050400A9 +:10881000139C0C01EF20807A135C0C01930405007B +:108820009305050013050C00EF208076131909014C +:1088300013570B013367E90013840400637EA7001C +:10884000330797011384F4FF636897016376A700E9 +:108850001384E4FF33079701B304A74093850B000B +:1088600013850400EF20007A1309050093850B009F +:1088700013850400EF208074930405009305050020 +:1088800013050C00EF20C07093170B011319090199 +:1088900093D70701B367F9001386040063FEA700AE +:1088A000B38797011386F4FF63E8970163F6A70087 +:1088B0001386E4FFB387970113140401B70B01007B +:1088C0003364C4001389FBFF337D240133F929018C +:1088D000B384A7409305090013050D00EF20406BFA +:1088E000935C040193050900130B050013850C002C +:1088F000EF20006A93D90901130C05009385090044 +:1089000013850C00EF20C06813090500938509004A +:1089100013050D00EF20C0673305850193570B0148 +:108920003385A700637485013309790193570501E5 +:10893000B387270163E6F402E392F4BCB7070100B2 +:108940009387F7FF3375F50013150501337BFB00A3 +:1089500033964A0133056501130A0000E37AA6CC79 +:108960001304F4FF6FF09FB9130A00001304000012 +:108970006FF01FCC130101FB2324810423229104F7 +:10898000232E3103232291032326110423202105C2 +:10899000232C4103232A5103232861032326710337 +:1089A000232481032320A103232EB101930C05006E +:1089B000938905001304050093840500639E062631 +:1089C00013090600138A0600978A0100938A0A9603 +:1089D00063F4C514B70701006376F6129307F00F2E +:1089E00063F4C700130A8000B3574601B38AFA0044 +:1089F00003C70A001305000233074701330AE540A5 +:108A0000630C0A00B395490133D7EC0033194601D2 +:108A1000B364B70033944C01935A090193850A005B +:108A200013850400EF20005E9309050093850A007A +:108A3000131B090113850400EF204058135B0B0141 +:108A40009305050013050B00EF208054939909014D +:108A500093570401B3E7F90063FAA700B38727012E +:108A600063E6270163F4A700B3872701B384A74017 +:108A700093850A0013850400EF20C0589309050070 +:108A800093850A0013850400EF204053131404015A +:108A9000930505009399090113050B001354040174 +:108AA000EF20004F33E48900637AA40033042401EB +:108AB000636624016374A400330424013304A440D6 +:108AC00033554401930500008320C104032481042D +:108AD00083244104032901048329C103032A810358 +:108AE000832A4103032B0103832BC102032C810240 +:108AF000832C4102032D0102832DC10113010105C5 +:108B000067800000B7070001130A0001E36EF6EC6E +:108B1000130A80016FF05FED631A060093050000F1 +:108B200013051000EF20804913090500B707010065 +:108B3000637AF90E9307F00F63F42701130A80009C +:108B4000B3574901B38AFA0003C70A0013050002AC +:108B5000B384294133074701330AE540E30E0AEAAB +:108B600033194901B3DAE900B395490133D7EC0071 +:108B700093540901336BB70013850A0093850400F1 +:108B8000EF2040489309050093850400931B0901D9 +:108B900013850A00EF20804293DB0B01930505004B +:108BA00013850B00EF20C03E9399090193570B01E9 +:108BB000B3E7F90033944C0163FAA700B3872701A8 +:108BC00063E6270163F4A700B3872701B38AA740B0 +:108BD0009385040013850A00EF20C0429309050025 +:108BE0009385040013850A00EF20403D930505009E +:108BF00013850B00EF20C03993150B0193990901E0 +:108C000093D50501B3E5B90063FAA500B385250145 +:108C100063E6250163F4A500B3852501B384A5406F +:108C20006FF09FDFB7070001130A0001E36AF9F054 +:108C3000130A80016FF0DFF0E3E8D5E8B707010021 +:108C400063FCF604930BF00F33B5DB00131535000E +:108C500033D7A600977701009387476DB387E70066 +:108C600083CB070093050002B38BAB00338B7541B8 +:108C7000631E0B0263E4360163EACC003384CC400C +:108C8000B386D94033B58C00B384A64013050400E5 +:108C9000938504006FF05FE3B7070001130500013F +:108CA000E3E8F6FA130580016FF09FFAB3966601C8 +:108CB000335D7601336DDD0033D47901B3956901FD +:108CC00033DC7C0193540D01336CBC0013050400AC +:108CD00093850400B31A6601EF20C032130A050021 +:108CE000938504001305040033996C01931C0D0156 +:108CF000EF20C02C93DC0C01130405009305050044 +:108D000013850C00EF20C028131A0A0113570C0119 +:108D10003367EA00130A0400637EA7003307A70144 +:108D2000130AF4FF6368A7016376A700130AE4FF40 +:108D30003307A701B309A7409385040013850900F1 +:108D4000EF20402C938504001304050013850900CF +:108D5000EF20C026930505009304050013850C0041 +:108D6000EF20002393150C011314040193D5050182 +:108D7000B365B4001387040063FEA500B385A501A5 +:108D80001387F4FF63E8A50163F6A5001387E4FFEA +:108D9000B385A501131A0A01B70C0100336AEA0072 +:108DA0001384FCFFB3778A0033F48A00B384A540B0 +:108DB00013850700930504002326F100135A0A01C6 +:108DC000EF20001D930905009305040013050A0018 +:108DD000EF20001C13DC0A01930D050093050C0025 +:108DE00013050A00EF20C01A8327C100130A0500EB +:108DF00093050C0013850700EF2080193305B5019A +:108E000013D709013307A7006374B701330A9A0126 +:108E1000B70701009387F7FF935507013377F700F2 +:108E200013170701B3F7F900B3854501B307F7003E +:108E300063E6B400639EB400637CF9003386574157 +:108E4000B3B7C700B385A541B385F54093070600C6 +:108E5000B307F9403339F900B385B440B3852541F0 +:108E600033947501B3D767013365F400B3D5650159 +:108E70006FF09FC5370810001308F8FF130101FEBB +:108E8000B378B80013D745019357D50123282101A2 +:108E90001379F77F139738003378D800B3E7E700EA +:108EA00013D7460113183800232A91001377F77F50 +:108EB00093D8F601232E11009356D601232C81005E +:108EC0002326310193D4F50133E306011315350050 +:108ED000131636003308E9406394143B6354001FB3 +:108EE000631207143367C3006314070C9306F07F03 +:108EF00013070800631CD830B3E6A7006398063058 +:108F000093070000130500009396870063DE0600B8 +:108F1000130717009306F07F630CD774B70680FF22 +:108F20009386F6FFB3F7D7009396D7011355350014 +:108F300033E5A6009306F07F93D73700631ED70072 +:108F40003365F5009307000063080500B7070800C4 +:108F50001305000093040000B70610009386F6FF87 +:108F6000B3F7D700B70610801377F77F9386F6FF25 +:108F700013174701B3F7D700B3E7E70037070080BF +:108F80001347F7FF8320C101032481019395F40166 +:108F9000B3F7E70033E7B700832441010329010158 +:108FA0008329C100930507001301010267800000B7 +:108FB0009305F8FF639C05043306C5003335A6000E +:108FC000B3876700B387A7001307100013050600D7 +:108FD0009396870063DC0622130717009306F07F41 +:108FE000E300D7F2B70680FF9386F6FFB3F6D7000B +:108FF00093551500137515009397F60133E5A500F9 +:1090000033E5A70093D716006F0040201307F07FC9 +:109010006314E80233E7A700630007621307F07FD9 +:109020006F00C01E1307F07FE306E9FE37078000DC +:109030003363E3009305080013078003634EB7060C +:109040001307F0016342B704130700023307B74068 +:10905000B356B6003318E3003316E6003368D80081 +:109060003336C0003368C800B355B3003308A800D6 +:10907000B385F500B337A800B387F50013050800E2 +:10908000130709006FF0DFF4130700023358B30031 +:10909000930600006388E50093060004B385B6409C +:1090A000B316B30033E6C6003336C0003368C800D9 +:1090B000930500006FF09FFB3368C3003338000155 +:1090C0006FF01FFF630A080E631E0908B3E6A700CE +:1090D000639206029307F07F6318F7003365C300BD +:1090E00093070000E30205E293070300130506005F +:1090F0006F00C0119306F0FF631CD8003305C50054 +:10910000B38767003336C500B387C7006FF05FECE5 +:109110009306F07F1348F8FFE302D7FC9306800321 +:1091200063C606099306F00163CA060593050002AB +:10913000B3850541B3580501B396B7003315B500A3 +:10914000B3E616013335A00033E5A60033D8070196 +:109150003305C50033086800B337C500B307F8000E +:109160006FF01FE79306F07FE30AD7F6B70680009B +:1091700033080041B3E7D7006FF05FFA93080002AD +:10918000B3D6070193050000630818019305000496 +:1091900033880541B395070133E5A5003335A000B9 +:1091A00033E5A600130800006FF09FFA33E5A7002F +:1091B0003335A0006FF01FFF130719009375F77F79 +:1091C0009306100063C8B60833E7A70063140906C6 +:1091D00063080744B366C3001307000063880602F0 +:1091E0003306C5003335A600B3876700B387A700F1 +:1091F000939687001305060063DA0600370780FFA1 +:109200001307F7FFB3F7E700130710009376750015 +:10921000E38C06CE9376F50013064000E386C6CEB7 +:109220009306450033B5A600B387A7001385060053 +:109230006FF09FCD630C073E3368C300E30008DE88 +:10924000B7074000130500001307F07F93040000E8 +:109250006FF09FCB9306F07FE304D7CA3306C500B7 +:1092600033886700B337A6003308F8001315F801F8 +:10927000135616003365C500935718006FF01FF999 +:10928000635E000F6312070A3367C300E30007C67B +:109290009306F8FF639E06023306C5403335C500CA +:1092A000B3876740B387A740130710001305060074 +:1092B00093968700E3DC06F4370480001304F4FF80 +:1092C00033F4870093090500130907006F00002796 +:1092D0001307F07FE300E8D413078003634AD7083D +:1092E0001307F001634ED704130700023307D7407A +:1092F000B355D6003318E3003316E6003368B800E0 +:109300003336C0003368C800B356D3003308054174 +:10931000B386D740B3370501B387F640130508007D +:10932000130709006FF0DFF81307F07FE304E9CEBD +:10933000370780003363E300930608006FF0DFF91E +:10934000130700023358D300130400006388E600BB +:1093500013040004B306D4403314D3003366C400AE +:109360003336C0003368C800930600006FF01FFA60 +:109370003368C300333800016FF01FFF630A080E23 +:10938000631E0908B3E6A700639006029307F07F07 +:109390006316F7003365C3006308052A93070300CB +:1093A000130506006F00C00F9306F0FF631ED80080 +:1093B0003305A640B307F3403336A600B387C74052 +:1093C000938408006FF0DFEE9306F07F1348F8FFF8 +:1093D000E302D7FC9306800363C606099306F001F7 +:1093E00063CA060593050002B3850541335E050196 +:1093F000B396B7003315B500B3E6C6013335A00008 +:1094000033E5A60033D807013305A64033080341EE +:10941000B337A600B307F8406FF09FFA9306F07FCA +:10942000E30AD7F6B706800033080041B3E7D70058 +:109430006FF05FFA130E0002B3D607019305000028 +:109440006308C8019305000433880541B3950701FB +:1094500033E5A5003335A00033E5A600130800006E +:109460006FF09FFA33E5A7003335A0006FF01FFFC0 +:10947000130719001377F77F9306100063CAE608F5 +:10948000B3E6A7003367C30063140906639E0600B2 +:109490006302071C9307030013050600130700006F +:1094A000938408006FF09FD663000704B306C5409D +:1094B000B335D500338767403307B740931587002E +:1094C00063DC05003305A640B307F3403336A6003E +:1094D000B387C7406FF09FFC33E5E600630A050CD5 +:1094E0009307070013850600130700006FF01FD2D3 +:1094F000639C060063080716930703001305060024 +:10950000938408006FF09FB1E30A07B06FF05FD358 +:10951000B309C5403384674033383501330404410F +:109520001317840063520708B309A6403304F340BD +:10953000B33736013304F44093840800630E040605 +:1095400013050400EF105032130785FF9307F00155 +:1095500063CCE70693070002B387E7403314E400C7 +:10956000B3D7F90033E487003395E9006340270B54 +:1095700033072741930717009306F00163CEF604E3 +:10958000130700023307F7403356F500B316E40023 +:109590003315E500B3E6C6003335A00033E5A60079 +:1095A000B357F4006FF05FF433E58900E31805F872 +:1095B000930700006F00800A13850900EF10D02A7E +:1095C000130505026FF05FF8130485FD33948900DD +:1095D000130500006FF09FF9130717FE1306000232 +:1095E0003357E400930600006388C7009306000425 +:1095F000B386F640B316D4003365D5003335A000EA +:109600003365A700930700006FF01FEEB70780FFD8 +:109610009387F7FF3307E940B377F4006FF01FBF7C +:1096200093070300130506006FF01FEC9307030078 +:10963000130506006FF09F9E9307000013050000BE +:109640001307F07F6FF05F8C93070000938408008E +:109650006FF09F8B930700001305000013070000B5 +:109660006FF0DFBE13050000B70740006FF0DFBDED +:1096700093070000130500006FF01F8B130101FB1F +:1096800023248104232C41033704100013DA4501FD +:1096900023202105232E3103232A51032324810370 +:1096A0001304F4FF232611042322910423286103C9 +:1096B00023267103232291032320A103232EB1012A +:1096C000137AFA7F13090500130C0600938A06002B +:1096D0003374B40093D9F50163060A0A9307F07F47 +:1096E0006304FA1013143400B70780003364F400E5 +:1096F000135BD501336B8B0093143500130A1AC02A +:10970000930B000013D54A01370910001309F9FF24 +:109710001375F57F3379590193050C0093DAFA013B +:10972000630205109307F07F6302F516370480008B +:1097300013193900336989001354DC01336424019F +:1097400093153C00130515C09307000013972B00D9 +:109750003367F7001307F7FF9306E00033C9590199 +:10976000330AAA4063E0E61697760100938686B432 +:10977000131727003307D700032707003307D70045 +:1097800067000700336BA400630E0B06630004043C +:1097900013050400EF10500D930755FF1307C00188 +:1097A000634CF702130BD001930485FF330BFB408E +:1097B00033149400335B6901336B8B00B31499004D +:1097C000130AD0C0330AAA406FF09FF3EF10D009FC +:1097D000130505026FF05FFC130485FD331B890040 +:1097E000930400006FF0DFFD336BA40063040B02F1 +:1097F00093040500130B0400130AF07F930B300051 +:109800006FF05FF093040000130A0000930B100048 +:109810006FF05FEF93040000130AF07F930B2000BA +:109820006FF05FEE33648901630E04066300090480 +:1098300013050900EF105003930755FF1307C001EC +:10984000634EF7021304D001930585FF3304F440FF +:109850003319B90033548C0033642401B315BC00B0 +:109860001307D0C03305A7406FF01FEE13050C009F +:10987000EF10807F130505026FF01FFC130485FDB8 +:1098800033148C00930500006FF09FFD3364890151 +:1098900063020402130409001305F07F93073000EC +:1098A0006FF0DFEA93050000130500009307100036 +:1098B0006FF0DFE9930500001305F07F93072000A8 +:1098C0006FF0DFE86366640163128B4863E0B448BD +:1098D0009316FB0113D71400139CF401135B1B00B8 +:1098E000B3E4E6001314840093DC8501B3EC8C0030 +:1098F00093DA0C0193970C0193D70701139D850010 +:1099000013050B0093850A002322F100EF10006B72 +:1099100093050500930B050013950C0113550501E4 +:10992000EF1000671304050093850A0013050B0070 +:10993000EF10406D1315050113D704013365A7001F +:1099400093890B00637E8500330595019389FBFFA6 +:1099500063689501637685009389EBFF3305950174 +:109960003304854093850A0013050400EF10006559 +:1099700093050500930B050013950C011355050184 +:10998000EF100061130B050093850A001305040016 +:10999000EF104067939D04011315050193DD0D0140 +:1099A000B3EDAD0013870B0063FE6D01B38D9D0118 +:1099B0001387FBFF63E89D0163F66D011387EBFFDF +:1099C000B38D9D0193960901B7040100B3E6E6004B +:1099D000B38D6D41138BF4FF33F76601337B6D015B +:1099E0001305070093050B0013D406012326D100AD +:1099F0002324E100EF10C0592322A10093050B009E +:109A000013050400EF10C058935B0D019309050086 +:109A100093850B0013050400EF1080570327810086 +:109A20001304050013850B0093050700EF10405643 +:109A300003264100330535018326C1001357060173 +:109A40003307A7006374370133049400370501001E +:109A50001305F5FF93540701B379A7009399090102 +:109A60003376A600B3848400B389C90063E89D00FF +:109A70001384060063949D0463723C05330CAC01AF +:109A80003337AC0133079701B38DED001384F6FF34 +:109A900063E6BC016394BC036362AC0363E69D00B0 +:109AA000639EB401637C3C01330CAC013337AC01E1 +:109AB000330797011384E6FFB38DED00B3093C41F2 +:109AC000B3849D40B3373C01B384F4409305F0FF69 +:109AD00063889C1A93850A0013850400EF10004EDA +:109AE000930505002324A10013950C0113550501CE +:109AF000EF10004A2322A10093850A001385040079 +:109B0000EF10405083268100032741001315050103 +:109B100093D7090133E5A700938D0600637EE50026 +:109B200033059501938DF6FF636895016376E50033 +:109B3000938DE6FF33059501B304E54093850A0054 +:109B400013850400EF108047930505002322A10030 +:109B500013950C0113550501EF108043130C0500FC +:109B600093850A0013850400EF10C04993990901F9 +:109B7000032741001315050193D9090133E5A90015 +:109B800093070700637E8501330595019307F7FF6F +:109B900063689501637685019307E7FF33059501B7 +:109BA00093940D01B3E4F4009397040193D7070154 +:109BB00093050B00B3098541138507002322F100AB +:109BC00093DD0401EF10C03C93050B00930A0500E0 +:109BD00013850D00EF10C03B130C050093850D009D +:109BE00013850B00EF10C03A83274100130B0500CB +:109BF00013850B0093850700EF108039330585012D +:109C000093D70A013385A70063768501B707010062 +:109C1000330BFB00B70601009386F6FF935705014F +:109C20003377D50013170701B3FADA00B38767015A +:109C30003307570163E8F900938504006390F90442 +:109C40006300070433853C019385F4FF6364950347 +:109C50006366F5006314F5026370ED0293161D0050 +:109C600033BDA601B30C9D019385E4FF3305950137 +:109C7000138D06006314F5006304A70193E5150036 +:109C80001307FA3F6352E01293F7750063800702EF +:109C900093F7F50093064000638AD700938645004A +:109CA000B3B5B6003304B40093850600931774006F +:109CB00063DA0700B70700FF9387F7FF3374F400F8 +:109CC00013070A409307E07F63C2E71A9317D40192 +:109CD00093D53500B3E7B70013543400B70610002E +:109CE0009386F6FF3374D400B70610801377F77F9E +:109CF0009386F6FF131747013374D4001319F90143 +:109D00003364E400336724018320C1040324810405 +:109D100083244104032901048329C103032A810305 +:109D2000832A4103032B0103832BC102032C8102ED +:109D3000832C4102032D0102832DC10113850700ED +:109D4000930507001301010567800000130AFAFF5D +:109D5000130C00006FF01FB91389090013040B00E6 +:109D60009385040093870B00130720006380E7109E +:109D7000130730006382E70E13071000E392E7F049 +:109D800013040000930700006F00400913890A00C4 +:109D90006FF09FFD370408009305000013090000D1 +:109DA000930730006FF05FFC93061000B386E64027 +:109DB00093078003E3C6D7FC9307F00163C4D7067B +:109DC000130AEA41B317440133D7D500339A45014A +:109DD000B3E7E700333A4001B3E747013354D40017 +:109DE00013F777006300070213F7F70093064000AC +:109DF000630AD70013874700B337F7003304F40032 +:109E00009307070013178400634A07061317D4014A +:109E100093D73700B367F7001354340013070000DB +:109E20006FF0DFEB930710FEB387E74013070002E4 +:109E3000B357F400130500006386E600130AEA43F3 +:109E400033154401336AB500333A4001B3E74701A3 +:109E5000130400006FF0DFF83704080093070000D8 +:109E60001307F07F130900006FF05FE71304000091 +:109E7000930700001307F07F6FF05FE61304000004 +:109E800093070000130710006FF05FE513D745013B +:109E9000B70710009387F7FF13D846019308050012 +:109EA000930E05001377F77F1305F07F33F3B700A8 +:109EB000130F0600B3F7D70093D5F5011378F87F99 +:109EC00093D6F601631AA700336E13011305E0FF62 +:109ED000630C0E0867800000631AA80033E6C70011 +:109EE0001305E0FF630A0608678000006310070897 +:109EF00033651301133515006316080033E6C700F8 +:109F000063000606631A0500638ED5001305100072 +:109F10006396050067800000E39E06FE1305F0FFD0 +:109F200067800000E344E8FE635A07011305F0FF71 +:109F3000E38205FE1305100067800000E3E867FC7C +:109F4000631AF300E364DFFD13050000E3E0EEFFB6 +:109F50006FF05FFCE36CF3FC13050000678000000A +:109F6000E31C05FE6FF09FFAE30AE8F61305000014 +:109F7000E30608F86FF05FF9E31807F8336513019B +:109F8000133515006FF01FF813D74501B707100000 +:109F90009387F7FF13D8460193080500930E050039 +:109FA0001377F77F1305F07F33F3B700130F060025 +:109FB000B3F7D70093D5F5011378F87F93D6F60160 +:109FC000631AA700336E130113052000630C0E08FB +:109FD00067800000631AA80033E6C700130520005D +:109FE000630A0608678000006310070833651301E1 +:109FF000133515006316080033E6C7006300060634 +:10A00000631A0500638ED5001305100063960500E2 +:10A0100067800000E39E06FE1305F0FF67800000E6 +:10A02000E344E8FE635A07011305F0FFE38205FEEF +:10A030001305100067800000E3E867FC631AF30073 +:10A04000E364DFFD13050000E3E0EEFF6FF05FFC6B +:10A05000E36CF3FC1305000067800000E31C05FEC1 +:10A060006FF09FFAE30AE8F613050000E30608F82C +:10A070006FF05FF9E31807F8336513011335150026 +:10A080006FF01FF8130101FA232C810423263105F8 +:10A090003704100093D94501232A91042320610538 +:10A0A000232E7103232C81031304F4FF232E1104A8 +:10A0B000232821052324410523225105232A910326 +:10A0C0002328A1032326B10393F9F97F9304050004 +:10A0D000930B0600138C06003374B40013DBF501F8 +:10A0E0006388090A9307F07F6386F91037098000B7 +:10A0F00013143400336424011359D50133698900E2 +:10A10000131D3500938919C0930C000013554C01A1 +:10A11000370A1000130AFAFF1375F57F337A8A01A4 +:10A1200093840B00135CFC01630405109307F07F1C +:10A130006304F51637048000131A3A00336A8A0064 +:10A1400013D4DB013364440193943B00130515C021 +:10A150009307000013972C003367F700B389A90019 +:10A160001307F7FF9306E000B34B8B01938A1900A6 +:10A1700063E0E6169766010093868617131727009B +:10A180003307D700032707003307D700670007000E +:10A190003369A400630E0906630004041305040078 +:10A1A000EF00906C930755FF1307C001634CF70253 +:10A1B0001309D001130D85FF3309F9403314A401AD +:10A1C00033D9240133698900339DA4019309D0C098 +:10A1D000B389A9406FF05FF3EF0010691305050222 +:10A1E0006FF05FFC130985FD33992401130D000006 +:10A1F0006FF0DFFD3369A40063040902130D05004D +:10A20000130904009309F07F930C30006FF01FF0E6 +:10A21000130D000093090000930C10006FF01FEF66 +:10A22000130D00009309F07F930C20006FF01FEED8 +:10A2300033647A01630E040663000A0413050A00FE +:10A24000EF009062930755FF1307C001634EF702BA +:10A250001304D001930485FF3304F440331A9A00A9 +:10A2600033D48B0033644401B3949B009307D0C074 +:10A270003385A7406FF0DFED13850B00EF00D05E54 +:10A28000130505026FF01FFC130485FD33948B004A +:10A29000930400006FF09FFD33647A0163020402AF +:10A2A00013040A001305F07F930730006FF09FEA54 +:10A2B0009304000013050000930710006FF09FE95E +:10A2C000930400001305F07F930720006FF09FE8D0 +:10A2D00037070100130AF7FF135C0D0193DD04013A +:10A2E000337D4D01B3F4440193050D001385040043 +:10A2F0002328E100EF00D049930C0500938504006A +:10A3000013050C00EF00D0482326A10093850D0013 +:10A3100013050C00EF00D047130B050093050D004B +:10A3200013850D00EF00D0468326C10093D70C01A2 +:10A330003305D5003385A7006376D50003270101D7 +:10A34000330BEB009356050133754501B3FC4C010B +:10A3500013150501B3079501935C0401337444019F +:10A3600093050D0013050400232AD1002326F100D4 +:10A37000EF0010422328A1009305040013050C00F0 +:10A38000EF001041130A050093850C0013050C0023 +:10A39000EF001040130C050093050D0013850C0011 +:10A3A000EF00103F032701013305450183264101DA +:10A3B000935707013385A70063764501B70701006E +:10A3C000330CFC003706010093570501338C8701DD +:10A3D0009307F6FF337AF5003377F700131A0A0173 +:10A3E000135D0901330AEA003379F9003387460126 +:10A3F00093050900138504002328E100232EC100E2 +:10A40000EF00103993850400232CA10013050D00E3 +:10A41000EF001038232AA10093050D0013850D00CD +:10A42000EF001037930405009305090013850D0014 +:10A43000EF00103683264101032781013305D50043 +:10A44000935707013385A7006376D5000326C10122 +:10A45000B384C400B70601009387F6FF935D05013E +:10A46000B3849D00B37DF5003377F70093050900B1 +:10A4700013050400939D0D01B38DED00232CD10035 +:10A48000EF00103193050400232AA10013050D00ED +:10A49000EF00103093050D001304050013850C0028 +:10A4A000EF00102F130D05009305090013850C0014 +:10A4B000EF00102E03274101330585009357070154 +:10A4C0003385A7006376850083268101330DDD0087 +:10A4D00083270101B70601009386F6FF330BFB00CB +:10A4E000B377D5003377D70093970701B387E70099 +:10A4F000333A4B01B387870133844701330BBB01E8 +:10A5000033079400B33DBB01B306B70133BC8701E9 +:10A5100033344401935705013337970033648C007B +:10A52000B3BDB6013304F400B36DB7013304B40115 +:10A530003304A40193D77601131494003364F40018 +:10A540008327C10093149B00135B7B01B3E4F400E9 +:10A55000B334900093979600B3E46401B3E4F4003D +:10A560009317740063D2071293D7140093F4140066 +:10A57000B3E497009317F401B3E4F4001354140008 +:10A580001387FA3F6356E01093F774006380070265 +:10A5900093F7F40093064000638AD7009387440042 +:10A5A000B3B49700330494009384070093177400A6 +:10A5B00063DA0700B70700FF9387F7FF3374F400EF +:10A5C00013870A409307E07F63C6E71893DA3400E5 +:10A5D0009314D401B3E4540113543400B7071000AA +:10A5E0009387F7FF3374F4009377F77F3707108072 +:10A5F0001307F7FF939747013374E400939BFB0124 +:10A600003364F400B36774018320C105032481051A +:10A610001385040003290105832441058329C1040E +:10A62000032A8104832A4104032B0104832BC103E1 +:10A63000032C8103832C4103032D0103832DC102CD +:10A64000938507001301010667800000930B0B0040 +:10A650001304090093040D0093870C0013072000D6 +:10A66000638AE70E13073000638CE70C13071000B2 +:10A67000E398E7F013040000930400006F008008E3 +:10A68000930B0C006FF09FFD938A09006FF05FEF52 +:10A6900093061000B386E64093078003E3CCD7FC13 +:10A6A0009307F00163C4D706938AEA41B3175401B4 +:10A6B00033D7D400B3945401B3E7E700B334900028 +:10A6C000B3E497003354D40093F774006380070217 +:10A6D00093F7F40013074000638AE7009387440070 +:10A6E000B3B4970033049400938407009317840055 +:10A6F00063CA07069317D40193D43400B3E49700D8 +:10A7000013543400130700006FF05FED930710FE41 +:10A71000B387E74013060002B357F40013070000A5 +:10A720006386C600938AEA4333175401B3649700E3 +:10A73000B3349000B3E49700130400006FF0DFF827 +:10A7400037040800930400001307F07F930B000008 +:10A750006FF0DFE813040000930400001307F07F9C +:10A760006FF0DFE7130400009304000013071000EC +:10A770006FF0DFE6370810001308F8FF130101FE41 +:10A78000B378B80013D745019357D5013378D80073 +:10A79000232821011379F77F13973800B3E7E700E7 +:10A7A00093D8F60113D74601131838009356D601F3 +:10A7B000232A910033E30601232E1100232C81006C +:10A7C000232631011377F77F9306F07F93D4F501A9 +:10A7D00013153500131636006316D700B366C30091 +:10A7E0006394060093C818003308E9406394983ACC +:10A7F0006354001F631207143367C3006314070C0C +:10A800009306F07F13070800631CD830B3E6A70057 +:10A8100063980630930700001305000093968700A5 +:10A8200063DE0600130717009306F07F630CD774EE +:10A83000B70680FF9386F6FFB3F7D7009396D7014C +:10A840001355350033E5A6009306F07F93D7370004 +:10A85000631ED7003365F500930700006308050009 +:10A86000B70708001305000093040000B7061000A6 +:10A870009386F6FFB3F7D700B70610801377F77FFC +:10A880009386F6FF13174701B3F7D700B3E7E70046 +:10A89000370700801347F7FF8320C101032481019C +:10A8A0009395F401B3F7E70033E7B7008324410140 +:10A8B000032901018329C100930507001301010247 +:10A8C000678000009305F8FF639C05043306C5000C +:10A8D0003335A600B3876700B387A70013071000BE +:10A8E000130506009396870063DC06221307170002 +:10A8F0009306F07FE300D7F2B70680FF9386F6FF5A +:10A90000B3F6D70093551500137515009397F6010C +:10A9100033E5A50033E5A70093D716006F0040206C +:10A920001307F07F6314E80233E7A70063000762B0 +:10A930001307F07F6F00C01E1307F07FE306E9FEE8 +:10A94000370780003363E300930508001307800393 +:10A95000634EB7061307F0016342B7041307000202 +:10A960003307B740B356B6003318E3003316E6009A +:10A970003368D8003336C0003368C800B355B3001D +:10A980003308A800B385F500B337A800B387F500F6 +:10A9900013050800130709006FF0DFF41307000226 +:10A9A0003358B300930600006388E5009306000463 +:10A9B000B385B640B316B30033E6C6003336C000E5 +:10A9C0003368C800930500006FF09FFB3368C30035 +:10A9D000333800016FF01FFF630A080E631E090879 +:10A9E000B3E6A700639206029307F07F6318F700AF +:10A9F0003365C30093070000E30205E293070300F9 +:10AA0000130506006F00C0119306F0FF631CD80009 +:10AA10003305C500B38767003336C500B387C70069 +:10AA20006FF05FEC9306F07F1348F8FFE302D7FC6A +:10AA30009306800363C606099306F00163CA060500 +:10AA400093050002B3850541B3580501B396B700DD +:10AA50003315B500B3E616013335A00033E5A60083 +:10AA600033D807013305C50033086800B337C50084 +:10AA7000B307F8006FF01FE79306F07FE30AD7F6FD +:10AA8000B706800033080041B3E7D7006FF05FFAE4 +:10AA900093080002B3D6070193050000630818016C +:10AAA0009305000433880541B395070133E5A500FC +:10AAB0003335A00033E5A600130800006FF09FFABD +:10AAC00033E5A7003335A0006FF01FFF130719000F +:10AAD0009375F77F9306100063C8B60833E7A700A5 +:10AAE0006314090663080744B366C3001307000034 +:10AAF000638806023306C5003335A600B3876700B6 +:10AB0000B387A700939687001305060063DA060053 +:10AB1000370780FF1307F7FFB3F7E70013071000AD +:10AB200093767500E38C06CE9376F500130640000D +:10AB3000E386C6CE9306450033B5A600B387A700CB +:10AB4000138506006FF09FCD630C073E3368C3008A +:10AB5000E30008DEB7074000130500001307F07F8D +:10AB6000930400006FF09FCB9306F07FE304D7CAF5 +:10AB70003306C50033886700B337A6003308F800F2 +:10AB80001315F801135616003365C50093571800C6 +:10AB90006FF01FF9635E000F6312070A3367C3008B +:10ABA000E30007C69306F8FF639E06023306C5401E +:10ABB0003335C500B3876740B387A740130710003C +:10ABC0001305060093968700E3DC06F43704800043 +:10ABD0001304F4FF33F487009309050013090700F9 +:10ABE0006F0000271307F07FE300E8D4130780030A +:10ABF000634AD7081307F001634ED7041307000216 +:10AC00003307D740B355D6003318E3003316E600B8 +:10AC10003368B8003336C0003368C800B356D30079 +:10AC200033080541B386D740B3370501B387F640F3 +:10AC300013050800130709006FF0DFF81307F07F12 +:10AC4000E304E9CE370780003363E300930608008E +:10AC50006FF0DFF9130700023358D300130400002C +:10AC60006388E60013040004B306D4403314D30011 +:10AC70003366C4003336C0003368C8009306000052 +:10AC80006FF01FFA3368C300333800016FF01FFF05 +:10AC9000630A080E631E0908B3E6A7006390060264 +:10ACA0009307F07F6316F7003365C3006308052A36 +:10ACB00093070300130506006F00C00F9306F0FF13 +:10ACC000631ED8003305A640B307F3403336A60011 +:10ACD000B387C740938408006FF0DFEE9306F07FE0 +:10ACE0001348F8FFE302D7FC9306800363C6060906 +:10ACF0009306F00163CA060593050002B38505417A +:10AD0000335E0501B396B7003315B500B3E6C6014F +:10AD10003335A00033E5A60033D807013305A6403C +:10AD200033080341B337A600B307F8406FF09FFA2A +:10AD30009306F07FE30AD7F6B70680003308004198 +:10AD4000B3E7D7006FF05FFA130E0002B3D6070126 +:10AD5000930500006308C80193050004338805418A +:10AD6000B395070133E5A5003335A00033E5A60010 +:10AD7000130800006FF09FFA33E5A7003335A000F9 +:10AD80006FF01FFF130719001377F77F930610006A +:10AD900063CAE608B3E6A7003367C3006314090675 +:10ADA000639E06006302071C930703001305060059 +:10ADB00013070000938408006FF09FD66300070418 +:10ADC000B306C540B335D500338767403307B74076 +:10ADD0009315870063DC05003305A640B307F340F5 +:10ADE0003336A600B387C7406FF09FFC33E5E6001B +:10ADF000630A050C9307070013850600130700007C +:10AE00006FF01FD2639C06006308071693070300C8 +:10AE100013050600938408006FF09FB1E30A07B0A2 +:10AE20006FF05FD3B309C5403384674033383501D1 +:10AE3000330404411317840063520708B309A64082 +:10AE40003304F340B33736013304F44093840800ED +:10AE5000630E040613050400EF000021130785FFAD +:10AE60009307F00163CCE70693070002B387E7403E +:10AE70003314E400B3D7F90033E487003395E900D5 +:10AE80006340270B33072741930717009306F00110 +:10AE900063CEF604130700023307F7403356F5007C +:10AEA000B316E4003315E500B3E6C6003335A00061 +:10AEB00033E5A600B357F4006FF05FF433E5890083 +:10AEC000E31805F8930700006F00800A1385090056 +:10AED000EF008019130505026FF05FF8130485FD7C +:10AEE00033948900130500006FF09FF9130717FED4 +:10AEF000130600023357E400930600006388C7007E +:10AF000093060004B386F640B316D4003365D5002B +:10AF10003335A0003365A700930700006FF01FEEE4 +:10AF2000B70780FF9387F7FF3307E940B377F40053 +:10AF30006FF01FBF93070300130506006FF01FECAF +:10AF400093070300130506006FF09F9E9307000010 +:10AF5000130500001307F07F6FF05F8C930700006C +:10AF6000938408006FF09F8B930700001305000087 +:10AF7000130700006FF0DFBE13050000B7074000A5 +:10AF80006FF0DFBD93070000130500006FF01F8B0B +:10AF9000130605001305000093F6150063840600F0 +:10AFA0003305C50093D5150013161600E39605FE6C +:10AFB000678000006340050663C60506138605002A +:10AFC000930505001305F0FF630C060293061000BD +:10AFD000637AB6006358C0001316160093961600E5 +:10AFE000E36AB6FE1305000063E6C500B385C540FD +:10AFF0003365D50093D6160013561600E39606FE69 +:10B000006780000093820000EFF05FFB138505006E +:10B01000678002003305A04063D80500B305B04047 +:10B020006FF0DFF9B305B04093820000EFF01FF935 +:10B030003305A040678002009382000063CA0500C8 +:10B04000634C0500EFF09FF7138505006780020051 +:10B05000B305B040E35805FE3305A040EFF01FF6FE +:10B060003305B04067800200B7070100637AF5023C +:10B070009307F00FB3B7A7009397370013070002A9 +:10B080003307F740B357F500175501001305052A9C +:10B09000B307F50003C507003305A740678000002C +:10B0A0003707000193070001E36AE5FC930780017D +:10B0B0006FF0DFFC130101FE232C8100232A910095 +:10B0C0001304050093840500232821012326310160 +:10B0D0002324410123225101232E1100EF701FB3BD +:10B0E0008327040003290401B7090001B3E5970091 +:10B0F000930AC4002320B400930400009389F9FF4D +:10B10000370A00020327040063822A050326090088 +:10B1100013050900B3654701B3763601931756004E +:10B1200033F7E6000329490063C6070063160700EA +:10B130006FF05FFDE398E6FC9317760063D4070099 +:10B14000B3E4D400EF809FF36FF0DFFB93C4F4FF10 +:10B15000B3F4E40023209400EF70DFDE0325040045 +:10B160008320C101032481018324410103290101BA +:10B170008329C100032A8100832A410013010102AF +:10B1800067800000130101FF130500002326110052 +:10B1900023248100EFC0DFB117C7FFFF1307C7E407 +:10B1A00093060000130610009305200317550100B5 +:10B1B0001305452CEFA0CFA263020502130405007E +:10B1C000EF705FA61306050013070000930600004A +:10B1D0009305100013050400EFA08FB2EF708FEFFE +:10B1E0008320C10003248100130500001301010125 +:04B1F0006780000074 +:02000004800278 +:10000000FC63FEFF6C65FEFF0864FEFF6C65FEFF8F +:100010006C65FEFF6C65FEFF6C65FEFF3064FEFFE5 +:100020006C65FEFF6C65FEFF5864FEFF6864FEFFB2 +:100030006C65FEFF8064FEFF9064FEFF9064FEFF2F +:100040009064FEFF9064FEFF9064FEFF9064FEFFEC +:100050009064FEFF9064FEFF9064FEFF6C65FEFFFF +:100060006C65FEFF6C65FEFF6C65FEFF6C65FEFF58 +:100070006C65FEFF6C65FEFF6C65FEFF6C65FEFF48 +:100080006C65FEFF6C65FEFF6C65FEFF6C65FEFF38 +:100090006C65FEFF6C65FEFF6C65FEFF6C65FEFF28 +:1000A0006C65FEFF6C65FEFF6C65FEFF6C65FEFF18 +:1000B0006C65FEFF6C65FEFF6C65FEFF6C65FEFF08 +:1000C0006C65FEFF6C65FEFF6C65FEFF6C65FEFFF8 +:1000D0006C65FEFF6C65FEFF6C65FEFF6C65FEFFE8 +:1000E0006C65FEFF6C65FEFF6C65FEFF6C65FEFFD8 +:1000F0006C65FEFF6C65FEFF6C65FEFF6C65FEFFC8 +:10010000D464FEFFFC64FEFF6C65FEFF6C65FEFFC1 +:100110006C65FEFF6C65FEFF6C65FEFF6C65FEFFA7 +:100120006C65FEFF5C65FEFF6C65FEFF6C65FEFFA7 +:100130000C62FEFF1463FEFF6C65FEFF6C65FEFF44 +:100140006463FEFF6C65FEFFDC63FEFF6C65FEFF13 +:100150006C65FEFF2464FEFFC869FEFF346AFEFF83 +:10016000D469FEFF346AFEFF346AFEFF346AFEFF84 +:10017000346AFEFF6C68FEFF346AFEFF346AFEFFDD +:100180009468FEFFA468FEFF346AFEFFBC68FEFFB1 +:10019000CC68FEFFCC68FEFFCC68FEFFCC68FEFF9B +:1001A000CC68FEFFCC68FEFFCC68FEFFCC68FEFF8B +:1001B000CC68FEFF346AFEFF346AFEFF346AFEFF3D +:1001C000346AFEFF346AFEFF346AFEFF346AFEFFC3 +:1001D000346AFEFF346AFEFF346AFEFF346AFEFFB3 +:1001E000346AFEFF346AFEFF346AFEFF346AFEFFA3 +:1001F000346AFEFF346AFEFF346AFEFF346AFEFF93 +:10020000346AFEFF346AFEFF346AFEFF346AFEFF82 +:10021000346AFEFF346AFEFF346AFEFF346AFEFF72 +:10022000346AFEFF346AFEFF346AFEFF346AFEFF62 +:10023000346AFEFF346AFEFF346AFEFF346AFEFF52 +:10024000346AFEFF346AFEFF346AFEFF346AFEFF42 +:10025000346AFEFF346AFEFF1069FEFF4C69FEFF40 +:10026000346AFEFF346AFEFF346AFEFF346AFEFF22 +:10027000346AFEFF346AFEFF346AFEFFB869FEFF8F +:10028000346AFEFF346AFEFF4066FEFF9467FEFF9D +:10029000346AFEFF346AFEFF2468FEFF346AFEFF04 +:1002A0005068FEFF346AFEFF346AFEFF286AFEFFD4 +:1002B000BC9BFEFFD09AFEFFDC9AFEFFD09AFEFFA9 +:1002C000A89BFEFFD09AFEFFDC9AFEFFBC9BFEFFC0 +:1002D000BC9BFEFFA89BFEFFDC9AFEFFA89AFEFFD8 +:1002E000A89AFEFFA89AFEFFE49AFEFF70A3FEFF05 +:1002F00070A3FEFF94A3FEFF64A3FEFF64A3FEFFB2 +:1003000054A4FEFF94A3FEFF64A3FEFF54A4FEFFCB +:1003100064A3FEFF94A3FEFF60A3FEFF60A3FEFFA5 +:1003200060A3FEFF54A4FEFF0001020203030303C7 +:100330000404040404040404050505050505050575 +:100340000505050505050505060606060606060655 +:10035000060606060606060606060606060606063D +:100360000606060606060606070707070707070725 +:10037000070707070707070707070707070707070D +:1003800007070707070707070707070707070707FD +:1003900007070707070707070707070707070707ED +:1003A00007070707070707070808080808080808D5 +:1003B00008080808080808080808080808080808BD +:1003C00008080808080808080808080808080808AD +:1003D000080808080808080808080808080808089D +:1003E000080808080808080808080808080808088D +:1003F000080808080808080808080808080808087D +:10040000080808080808080808080808080808086C +:10041000080808080808080808080808080808085C +:100420000808080808080808546D72510000000008 +:10043000546D722053766300286E756C6C29000031 +:100440004572726F7220696E20666C6F70732074D3 +:1004500061736B73200D0A006D69616F750A00008E +:100460006D69616F75320A0068756E6772790A008E +:10047000436865636B54696D657200004D61746813 +:10048000310000004D617468320000004D617468F5 +:0C049000330000004D617468340000006F +:10049C001000000000000000017A5200017C0101F4 +:1004AC001B0D020050000000180000008879FEFFB0 +:1004BC005805000000440E507489039406990B8172 +:1004CC0001880292049305950796089709980A9A51 +:1004DC000C9B0D036C010AC144C844C944D244D3DB +:1004EC0044D444D544D644D744D844D944DA44DB24 +:1004FC00440E00440B0000004C0000006C00000097 +:10050C008C7EFEFFDC05000000440E3070890395E4 +:10051C00078101880292049305940696089709981E +:10052C000A990B9A0C0370020AC144C844C944D2FC +:10053C0044D344D444D544D644D744D844D944DADB +:10054C00440E00440B00000050000000BC000000F2 +:10055C001884FEFF0005000000440E5074880289C8 +:10056C00039305990B8101920494069507960897BD +:10057C0009980A9A0C9B0D0320010AC144C844C96E +:10058C0044D244D344D444D544D644D744D844D993 +:0C059C0044DA44DB440E00440B00000075 +:100618006AE67F50274C41C15305A3923ADD5E40FC +:1006280082E2C7985B53A240B29DEFA7C6B18CC0C7 +:10063800FCA9F1D24D62503FAE47E17A145678C01A +:10064800CDCCCCCC8CBCDF4039D6C56D340000C0D5 +:10065800E5D022DBF93E2840E5D022DBF93E3840E0 +:10066800AC1C5A643B2F4240E5D022DBF93E48409F +:100678001E85EB51B84E4E40AC1C5A643B2F52407D +:10068800C876BE9F1A375540E5D022DBF93E584060 +:10069800022B8716D9465B40FCA9F1D24D6250BFA8 +:1006A80000000000000016400000000000001A4092 +:1006B8000000000000001E40000000000000214073 +:1006C800000000000000234000000000000025405A +:1006D8000000000000002740000000000000294042 +:1006E8000000000000002B400000000000002D402A +:0806F800FC8F0100040000006A +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/flop_rv32ic_O0.hex b/VexRiscv/src/test/resources/freertos/flop_rv32ic_O0.hex new file mode 100644 index 0000000..072f038 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/flop_rv32ic_O0.hex @@ -0,0 +1,2103 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900021C3170502001305E546979503009385D4 +:1000A000A57201461122170502001305A540970508 +:1000B00002009385A5400146C52817A50300130536 +:1000C000E57097B503009385257037B6ABAB130683 +:1000D000B6BAD92817B103001301416FEF40807DF4 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2022823A02200F322103496 +:1002400096DEEF006030170102000321A126024173 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E22523A0120023A2AD +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40804D170102000321E2 +:1003300061180241F65273901234B7220000938282 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A21783A0B4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2020623A0220086DEEF108C +:10046000B040170102000321E1040241F6527390EB +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F0961730020309707BF +:1004C00002009387E7FF9843FD576305F7007370B9 +:1004D000043001A07370043001A041112AC6B24754 +:1004E000739047300100410182804111F327403071 +:1004F0003EC673F04330B2473E85410182804111D0 +:100500002AC62EC432C2B247F1173EC62247B247AE +:1005100098C3B247938787FA3EC61247B24798C33B +:10052000B247A1173EC68E873E87B24798C3B247EF +:10053000D1173EC6170700001307A7F8B24798C3A4 +:10054000B2473E8541018280411106C6EF40A0239B +:10055000EF10D014AA8799C3EF1010310100B240F8 +:100560004101828041112AC6B24713878700B247F2 +:10057000D8C3B2477D5798C7B24713878700B247A1 +:10058000D8C7B24713878700B24798CBB24723A09A +:10059000070001004101828041112AC6B24723A809 +:1005A000070001004101828001112AC62EC4B24712 +:1005B000DC433ECEA2477247D8C3F2479847A247D2 +:1005C00098C7F2479C472247D8C3F247224798C7AB +:1005D000A247324798CBB2479C4313871700B247D4 +:1005E00098C301000561828001112AC62EC4A2476A +:1005F0009C433ECC6247FD576316F700B2479C4BC5 +:100600003ECE31A8B247A1073ECE21A0F247DC433F +:100610003ECEF247DC439C436247E379F7FEF24764 +:10062000D843A247D8C3A247DC43224798C7A24772 +:10063000724798C7F2472247D8C3A247324798CBA0 +:10064000B2479C4313871700B24798C30100056166 +:10065000828001112AC6B2479C4B3ECEB247DC4392 +:100660003247184798C7B2479C4732475843D8C3C8 +:10067000F247DC4332476316F700B2479847F24728 +:10068000D8C3B24723A80700F2479C431387F7FF5C +:10069000F24798C3F2479C433E8505618280797199 +:1006A00006D622D42AC62EC4B2473ECEF24781E7F0 +:1006B0007370043001A0EF202057F2478043F247C7 +:1006C000D85FF247BC43BE853A85EF70A066AA8723 +:1006D0003307F400F247D8C3F24723AC0702F247CE +:1006E0009843F24798C7F2478043F247DC5F13878D +:1006F000F7FFF247BC43BE853A85EF70A063AA8737 +:100700003307F400F247D8C7F2477D57F8C3F247E2 +:100710007D57B8C7A24799EFF2479C4B8DC7F24768 +:10072000C1073E85EF1090402A878547631DF7007B +:10073000F13911A8F247C1073E852D35F24793875D +:1007400047023E850535EF20005185473E85B25072 +:10075000225445618280797106D62AC62EC4B2879A +:10076000A303F10002CCB24781E77370043001A00B +:10077000A24799E302CE01A8A2453245EF70805B03 +:10078000AA8785073ECEF247938747053E85EF301F +:10079000805C2ACAD24785CFA24789E7D247524711 +:1007A00098C331A0D24713874705D24798C3D24791 +:1007B0003247D8DFD2472247B8C385455245C535B1 +:1007C000D247034771002388E704D2473ECCE24773 +:1007D00081E77370043001A0E2473E85B250456165 +:1007E0008280797106D6AA87A307F1001305400518 +:1007F000EF3060562ACEF247B9CFF24723A2070066 +:10080000F24723A00700F24723A40700F24723A6DC +:100810000700F24723AC0702F2470547D8DFF2474B +:1008200023A00704F2477D57F8C3F2477D57B8C7A6 +:10083000F2470347F1002388E704F247C1073E85EA +:100840001533F247938747023E85293B814601468F +:10085000814572453122F2473E85B2504561828022 +:10086000797106D622D42AC6B2473ECCE24781E748 +:100870007370043001A0E247C043EF20001DAA8737 +:100880006313F402E247DC471387F7FFE247D8C758 +:10089000E247DC4791E78146014681456245C92030 +:1008A00085473ECE11A002CEF2473E85B25022547B +:1008B00045618280797106D622D42AC62EC4B247F9 +:1008C0003ECCE24781E77370043001A0E247C043A9 +:1008D000EF20A017AA87631BF400E247DC471387C9 +:1008E0001700E247D8C785473ECE0DA08146224675 +:1008F00081456245252E2ACE724785476318F70049 +:10090000E247DC4713871700E247D8C7F2473E8526 +:10091000B250225445618280797106D62AC62EC40F +:10092000B24781E77370043001A02247B24763F5F4 +:10093000E7007370043001A0094681453245213D2E +:100940002ACEF24781C7F247224798DFF24781E774 +:100950007370043001A0F2473E85B2504561828039 +:10096000397106DE2AC62EC432C236C002D6B2475C +:100970003ED4A25781E77370043001A0A24781E7FB +:10098000A257BC4399E3854711A0814781E7737063 +:10099000043001A0024789476317F700A257D85FC8 +:1009A00085476314F700854711A0814781E773707D +:1009B000043001A0EF20C00AAA8799E3924799E387 +:1009C000854711A0814781E77370043001A0EF20B3 +:1009D000A025A257985FA257DC5F6366F700024725 +:1009E0008947631DF7020246A245225565232AD294 +:1009F000A257DC5389CFA257938747023E85EF1059 +:100A0000F0122A8785476317F700093421A092570F +:100A100091C3ED3AEF202024854771A8924789E7DA +:100A2000EF206023814741A8B25799E77C083E85B3 +:100A3000EF10702785473ED6EF20E021EF10401AD7 +:100A4000EF20801EA257F843FD576315F700A25709 +:100A500023A20704A257B847FD576315F700A25712 +:100A600023A40704EF20201F58007C08BA853E8588 +:100A7000EF107026AA879DEB2255EF006071AA87C0 +:100A80008DC3A257C1071247BA853E85EF10E070AB +:100A900022551525EF108016AA87E39A07F2BD327A +:100AA0003DB72255092DEF10601515B722552125A8 +:100AB000EF10C01481473E85F25021618280797128 +:100AC00006D62AC62EC432C236C0B2473ECCE24752 +:100AD00081E77370043001A0A24781E7E247BC437D +:100AE00099E3854711A0814781E77370043001A025 +:100AF000024789476317F700E247D85F85476314C9 +:100B0000F700854711A0814781E77370043001A089 +:100B1000E93AAA873ECAE247985FE247DC5F63662C +:100B2000F700024789476315F7040246A24562456C +:100B30009521E247B847FD576313F702E247DC53BC +:100B40008DC7E247938747023E85EF10207EAA8734 +:100B500089CF924799CB9247054798C339A0E2477E +:100B6000BC4713871700E247B8C785473ECE11A0A0 +:100B700002CED2473E859532F2473E85B25045615E +:100B80008280797106D62AC62EC4B2473ECCE2478F +:100B900081E77370043001A0E247BC4381C77370E2 +:100BA000043001A0E2479C4381E7E247DC4399E33C +:100BB000854711A0814781E77370043001A0353269 +:100BC000AA873ECAE247985FE247DC5F6377F70493 +:100BD000E2479C5F13871700E24798DFE247B84778 +:100BE000FD576313F702E247DC538DC7E247938753 +:100BF00047023E85EF108073AA8789CFA24799CB21 +:100C0000A247054798C339A0E247BC47138717009E +:100C1000E247B8C785473ECE11A002CED2473E85F7 +:100C20006D38F2473E85B25045618280397106DEEB +:100C30002AC62EC432C236C002D6B2473ED4A2570C +:100C400081E77370043001A0A24781E7A257BC433B +:100C500099E3854711A0814781E77370043001A0B3 +:100C6000EF101060AA8799E3924799E3854711A096 +:100C7000814781E77370043001A0EF10F07AA2572A +:100C80009C5FB5CBA257DC473ED2A2452255F92E38 +:100C9000824795EFA2579C5F1387F7FFA25798DF13 +:100CA000A2579C4391E7EF2060022A87A257D8C33E +:100CB000A2579C4B8DCFA257C1073E85EF1000670E +:100CC0002A8785476315F702EFF04FF40DA0A2576E +:100CD0001257D8C7A257DC5399CBA2579387470224 +:100CE0003E85EF10A064AA8799C3EFF02FF2EF10B2 +:100CF000907685475DA8924789E7EF10D0758147C8 +:100D00006DA0B25799E77C083E85EF10C079854702 +:100D10003ED6EF105074EF00B06CEF10F070A25799 +:100D2000F843FD576315F700A25723A20704A25703 +:100D3000B847FD576315F700A25723A40704EF1027 +:100D4000907158007C08BA853E85EF10C078AA875C +:100D5000A1EB2255CD2EAA879DCFA2579C4391EBA4 +:100D6000EF10906CA257DC433E85EF107052EF10ED +:100D7000906EA257938747021247BA853E85EF10BF +:100D8000C04122550D2EEF007067AA87E39707EE4A +:100D9000EFF0CFE7DDB52255392EEF003066F1BD1B +:100DA0002255112EEF00906581473E85F25021615A +:100DB0008280797106D62AC62EC432C2B2473ECC92 +:100DC000E24781E77370043001A0A24781E7E24760 +:100DD000BC4399E3854711A0814781E773700430D4 +:100DE00001A0EFF08FF0AA873ECAE2479C5FA1CB3B +:100DF000A2456245A52CE2479C5F1387F7FFE247B7 +:100E000098DFE247F843FD576312F702E2479C4B35 +:100E100085C7E247C1073E85EF104051AA8789CFB9 +:100E2000924799CB9247054798C339A0E247FC43C4 +:100E300013871700E247F8C385473ECE11A002CEC4 +:100E4000D2473E85EFF06FE9F2473E85B2504561EB +:100E50008280797106D62AC62EC4B2473ECCE247BC +:100E600081E77370043001A0A24781E7E247BC43E9 +:100E700099E3854711A0814781E77370043001A091 +:100E8000E247BC4381E77370043001A0EFF0EFE567 +:100E9000AA873ECAE2479C5F89CFE247DC473EC84B +:100EA000A2456245E122E2474247D8C785473ECE88 +:100EB00011A002CED2473E85EFF02FE2F2473E85E9 +:100EC000B25045618280797106D62AC6B24781E761 +:100ED0007370043001A0EF103055B2479C5F3ECED6 +:100EE000EF107057F2473E85B250456182807971AC +:100EF00006D62AC6B2473ECEF24781E77370043069 +:100F000001A0EF107052F247D85FF2479C5FB30721 +:100F1000F7403ECCEF103054E2473E85B250456179 +:100F2000828001112AC6B24781E77370043001A0A4 +:100F3000B2479C5F3ECEF2473E8505618280797163 +:100F400006D62AC6B2473ECEF24781E77370043018 +:100F500001A07245E5247245EF20F0720100B25005 +:100F60004561828041112AC6B247FC473E85410156 +:100F7000828041112AC62EC4B2472247F8C7010019 +:100F80004101828041112AC6B24783C707053E85C9 +:100F900041018280797106D62AC62EC432C202CEA1 +:100FA000B247BC4391EFB2479C43D5E7B247DC431D +:100FB0003E85EF10903B2ACEB24723A2070061A8DE +:100FC000924785EFB2479847B247BC433E86A24559 +:100FD0003A85EF20F079B2479847B247BC433E9735 +:100FE000B24798C7B2479847B247DC436365F706F4 +:100FF000B2479843B24798C7B9A8B247D847B24753 +:10100000BC433E86A2453A85EF209076B247D8474A +:10101000B247BC43B307F0403E97B247D8C7B24788 +:10102000D847B2479C43637BF700B247D843B247E7 +:10103000BC43B307F0403E97B247D8C71247894731 +:10104000631BF700B2479C5F99C7B2479C5F138749 +:10105000F7FFB24798DFB2479C5F13871700B2478C +:1010600098DFF2473E85B25045618280011106CE7D +:101070002AC62EC4B247BC439DCBB247D847B2471D +:10108000BC433E97B247D8C7B247D847B247DC43C4 +:101090006366F700B2479843B247D8C7B247D8470C +:1010A000B247BC433E86BA852245EF20706C0100F2 +:1010B000F24005618280011106CE2AC6EF10D036BB +:1010C00025A0B247DC5395C7B247938747023E85B8 +:1010D000EF10C025AA8799C3EF104049B247BC471B +:1010E0001387F7FFB247B8C7B247BC47E34BF0FCE2 +:1010F00011A00100B2477D57B8C7EF10D035EF10EF +:10110000B0321DA0B2479C4B8DC7B247C1073E8588 +:10111000EF10C021AA8799C3EF104045B247FC43A6 +:101120001387F7FFB247F8C3B247FC43E34CF0FC28 +:1011300011A00100B2477D57F8C3EF10D031010074 +:10114000F24005618280797106D62AC6EF10D02D53 +:10115000B2479C5F81E785473ECE11A002CEEF10DB +:10116000902FF2473E85B2504561828001112AC618 +:10117000B24781E77370043001A0B2479C5F81E7FA +:1011800085473ECE11A002CEF2473E8505618280A2 +:10119000797106D62AC6EF103029B247985FB24758 +:1011A000DC5F6315F70085473ECE11A002CEEF103D +:1011B000902AF2473E85B2504561828001112AC6CD +:1011C000B24781E77370043001A0B247985FB2471D +:1011D000DC5F6315F70085473ECE11A002CEF247D3 +:1011E0003E850561828001112AC62EC402CE81A0EF +:1011F0001787030013078759F2478E07BA979C4356 +:1012000085E71787030013076758F2478E07BA97D9 +:10121000224798C31787030013074757F2478E07E3 +:10122000BA973247D8C301A8F24785073ECE724726 +:101230009D47E3FFE7FA01000561828001112AC69C +:1012400002CE0DA81787030013074754F2478E07F5 +:10125000BA97DC433247631CF70017870300130774 +:10126000E752F2478E07BA9723A0070001A8F2477A +:1012700085073ECE72479D47E3F6E7FC0100056116 +:101280008280797106D62AC62EC432C2B2473ECEBB +:10129000EF109019F247F843FD576315F700F24736 +:1012A00023A20704F247B847FD576315F700F2473A +:1012B00023A40704EF10301AF2479C5F89EBF24732 +:1012C000938747021246A2453E85EF00907E724505 +:1012D000DD330100B25045618280397106DE2ACECD +:1012E0002ECC36C83AC63EC442C246C0B287231B83 +:1012F000F100F24781E77370043001A03247914753 +:1013000063F5E7007370043001A0835761019245D3 +:101310003E85EF1080512AD4A257638F0712A2573F +:10132000985B83566101B7070040FD17B6978A079F +:10133000BA973ED29257F19B3ED292578D8B81C77E +:101340007370043001A0835761013E8782463246A4 +:10135000E2452255EF10E0274246F2451255EFF0E4 +:101360000F9A2A87A25798C3A24781C7A24722573C +:1013700098C3EF10700B97F701009387A72D9C433C +:101380001387170097F701009387C72C98C397F727 +:101390000100938727129C4395E397F701009387F9 +:1013A0006711225798C397F701009387A72A98439C +:1013B0008547631AF702EF10C02C35A097F701009C +:1013C0009387072A9C4385E397F701009387870E4D +:1013D0009C43DC5732476368F70097F70100938717 +:1013E000670D225798C397F70100938767289C439E +:1013F0001387170097F701009387872798C397F7FC +:1014000001009387E7269843A257B8C7A257D85739 +:1014100097F70100938787249C4363F9E700A2575D +:10142000D85797F701009387672398C3A257D857D7 +:10143000BA878A07BA978A0717F701001307471474 +:101440003E97A2579107BE853A85EFF0EF95854705 +:101450003ED6EF10500019A0FD573ED632578547B3 +:101460006313F70297F701009387871F9C4381CF8F +:1014700097F70100938707049C43DC57324763F4D6 +:10148000E700EFE0BFF8B2573E85F250216182805D +:10149000797106D62AC6EF102079B24799E797F7F7 +:1014A0000100938727019C4311A0B2473ECEF2472B +:1014B00091073E85EFF0EF99F2479C5791C7F247AD +:1014C000E1073E85EFF0EF98F2479107BE8517F5EB +:1014D000010013056515EFF02F8D97F70100938735 +:1014E000E7159C431387170097F7010093870715AB +:1014F00098C397F701009387A7179C43138717009A +:1015000097F701009387C71698C3EF10C07497F739 +:1015100001009387E7149C439DCB97F701009387C5 +:1015200067F99C437247631EF70097F701009387A2 +:10153000A7149C4381C77370043001A0EFE01FED36 +:1015400039A0EF10606EEF10A04BEF10C0700100DB +:10155000B25045618280797106D62AC62EC402CE69 +:10156000B24781E77370043001A0A24781E773702E +:10157000043001A097F70100938707109C4381C7AF +:101580007370043001A0A92D97F701009387C70C51 +:101590009C433ECCB2479C432247BA973ECAB247CF +:1015A0009C436247637EF700B2479C435247637493 +:1015B000F7025247E24763F0E70285473ECE21A893 +:1015C000B2479C4352476366F7005247E24763F4D1 +:1015D000E70085473ECEB247524798C3F24789CFCE +:1015E00097F70100938707ED9C4391073E85EFF045 +:1015F0004F865245EF10001B11252AC8C24799E3B8 +:10160000EFE0DFE00100B25045618280797106D6DB +:101610002AC602CEB247A9C397F701009387C70530 +:101620009C4381C77370043001A05D2B97F70100C4 +:10163000938787029C433247BA973ECC97F70100C5 +:10164000938747E79C4391073E85EFF08F80624583 +:10165000EF10401565232ACEF24799E3EFE01FDB38 +:101660000100B25045618280797106D62AC6B24720 +:101670003ECCE24781E77370043001A097F7010088 +:10168000938747E39C4362476314F70002CEBDA0F3 +:10169000EF108059E247DC4B3ECAEF10C05B97F772 +:1016A00001009387A7F69C435247630AF70097F718 +:1016B00001009387E7F59C4352476315F70089477C +:1016C0003ECE2DA8524797F70100938767F7631B1B +:1016D000F700E2479C5781E78D473ECE05A089473A +:1016E0003ECE29A8524797F701009387E7F3631589 +:1016F000F70091473ECE19A085473ECEF2473E8582 +:10170000B25045618280797106D62AC6EF10C05169 +:10171000B24799E797F701009387C7D99C4311A077 +:10172000B2473ECEF247DC573ECCEF10C052E24704 +:101730003E85B25045618280797106D62AC6EFE0B7 +:10174000DFDAAA873ECEB24799E797F70100938781 +:1017500067D69C4311A0B2473ECCE247DC573ECA55 +:10176000F2473E85EFE07FD7D2473E85B2504561D4 +:101770008280797106D62AC62EC402CE22479147AE +:1017800063F5E7007370043001A02247914763F4CA +:10179000E70091473EC4EF102049B24799E797F719 +:1017A0000100938727D19C4311A0B2473ECCE2476A +:1017B000BC4B3ECA5247A247630AF70E2247D247A4 +:1017C00063F6E70297F701009387C7CE9C43624711 +:1017D0006308F70297F701009387C7CD9C43DC5756 +:1017E0002247636FF70085473ECE19A897F701009F +:1017F000938747CC9C4362476314F70085473ECEEE +:10180000E247DC573EC8E247B84BE247DC57631576 +:10181000F700E2472247D8D7E2472247B8CBE24752 +:101820009C4F63C707001547A2471D8FE24798CF1B +:10183000E247D44B4247BA878A07BA978A0717F715 +:1018400001001307E7D3BA976394F600854711A008 +:101850008147B9C7E24791073E85EFE09FDFE24746 +:10186000D85797F70100938767DF9C4363F9E70038 +:10187000E247D85797F70100938747DE98C3E247BE +:10188000D857BA878A07BA978A0717F7010013074C +:1018900027CF3E97E2479107BE853A85EFE0DFD03C +:1018A000724785476314F700EFE05FB6EF10A03A88 +:1018B0000100B25045618280797106D62AC6EF10C8 +:1018C000A036B24799E797F701009387A7BE9C43DC +:1018D00011A0B2473ECEF24791073E85EFE07FD799 +:1018E000F2479C5791C7F247E1073E85EFE07FD66C +:1018F000F2479107BE8517F50100130565D4EFE0A7 +:10190000BFCAEF10403597F701009387A7BA9C43F1 +:1019100072476319F70497F70100938767D49C43D4 +:1019200089CF97F70100938727D59C4381C77370B0 +:10193000043001A0EFE09FAD91A097F701009387DD +:1019400027D0984397F701009387C7D09C4363192A +:10195000F70097F701009387E7B523A0070039A8A0 +:10196000212729A897F70100938787CF9C4399C720 +:10197000EF10802BEF10C008EF10E02D0100B250E7 +:101980004561828001112AC602CEB2473ECCB247E1 +:1019900081E77370043001A0E247D84B97F701004C +:1019A000938707CA6314F700854711A0814795C341 +:1019B000E247985797F701009387C7C5630BF70075 +:1019C000E2479C5799E3854711A0814799C3854712 +:1019D0003ECEF2473E8505618280797106D62AC6E1 +:1019E000B2473ECEB24781E77370043001A0F247A0 +:1019F000C9C797F701009387E7AB9C437247630E13 +:101A0000F706EF1060227245B53F2A8785476314B9 +:101A1000F706F24791073E85EFE0BFC3F247D8577C +:101A200097F70100938787C39C4363F9E700F24768 +:101A3000D85797F70100938767C298C3F247D857E2 +:101A4000BA878A07BA978A0717F70100130747B3BF +:101A50003E97F2479107BE853A85EFE0FFB4F24723 +:101A6000D85797F701009387E7A49C43DC5763643A +:101A7000F700EFE0BF99EF10001E0100B250456182 +:101A80008280797106D62AC602CEB2473ECCB247D2 +:101A900081E77370043001A0EFE03FA5AA873ECA3A +:101AA0006245CD352A8785476314F70897F701000B +:101AB000938787BC9C43A5E7E247D85797F7010077 +:101AC0009387479F9C43DC576364F70085473ECE6E +:101AD000E24791073E85EFE0DFB7E247D85797F737 +:101AE00001009387A7B79C4363F9E700E247D85703 +:101AF00097F70100938787B698C3E247D857BA870C +:101B00008A07BA978A0717F70100130767A73E9756 +:101B1000E2479107BE853A85EFE01FA911A8E24789 +:101B2000E107BE8517F501001305C5AEEFE0DFA79D +:101B3000D2473E85EFE07F9AF2473E85B25045613D +:101B40008280011106CE81480148814701478146C4 +:101B50001306004097E501009385C54A171500005C +:101B6000130585A5EFF06FF72AC632478547631541 +:101B7000F700EF10C06D2AC6324785476319F70298 +:101B80007370043097F701009387C7AE7D5798C3F1 +:101B900097F701009387C7AC054798C397F70100F3 +:101BA000938787AB23A00700EFE0AFEF31A0B247E8 +:101BB00081E77370043001A00100F240056182806A +:101BC000411106C67370043097F70100938747A947 +:101BD00023A00700EFE02FFB0100B240410182800B +:101BE00097F70100938747A99C431387170097F73E +:101BF0000100938767A898C301008280011106CE77 +:101C000002C697F70100938727A79C4381E773706B +:101C1000043001A0EF10400197F701009387C7A59A +:101C20009C431387F7FF97F701009387E7A498C3B6 +:101C300097F70100938747A49C436390071097F799 +:101C40000100938727A19C436389070E59A097F74A +:101C500001009387279CDC47DC473EC4A247E1078D +:101C60003E85EFE01F9FA24791073E85EFE07F9EF4 +:101C7000A247D85797F701009387479E9C4363F983 +:101C8000E700A247D85797F701009387279D98C38D +:101C9000A247D857BA878A07BA978A0717F7010069 +:101CA0001307078E3E97A2479107BE853A85EFE05E +:101CB000BF8FA247D85797E701009387A77F9C4320 +:101CC000DC576368F70097F701009387E7990547AA +:101CD00098C397F701009387E7939C43ADFB97F771 +:101CE0000100938727989C438DCF35A0452AAA876A +:101CF00099C797F7010093872797054798C397F7E8 +:101D00000100938727969C431387F7FF97F70100FD +:101D10009387479598C397F701009387A7949C43AF +:101D2000F1F797F701009387279498438547631647 +:101D3000F70085473EC6EFE06FEDEF00D071B24788 +:101D40003E85F24005618280011106CEEF00D06D24 +:101D500097F70100938747909C433EC6EF00B06F12 +:101D6000B2473E85F24005618280011106CEEFE068 +:101D7000CFF7AA873EC697F701009387E78D9C436C +:101D80003EC4B2473E85EFE04FF5A2473E85F240A4 +:101D90000561828097F701009387C78B9C433E853E +:101DA0008280797106D62AC62EC432C202CE9547E9 +:101DB0003ECC3D3597F701009387C7899C43224766 +:101DC0006368F70CE247FD173ECCF24796073247AF +:101DD000B306F7006247BA878A07BA978A0717E7F8 +:101DE00001001307E779BA970546BE853685EF00EF +:101DF000902A2A87F247BA973ECEE247E1F7F247A8 +:101E0000960732473E9797F70100938727809C43B8 +:101E10000946BE853A85EF0010282A87F247BA970F +:101E20003ECEF247960732473E9797E701009387E9 +:101E3000277E9C430946BE853A85EF00D0252A8738 +:101E4000F247BA973ECEF24796073247BA97114605 +:101E500097E501009385457D3E85EF00D0232A87D5 +:101E6000F247BA973ECEF24796073247BA970D46E9 +:101E700097E501009385C57C3E85EF00D0212A8738 +:101E8000F247BA973ECE924781C7924723A00700F8 +:101E9000B533F2473E85B25045618280797106D6EE +:101EA00002CE97E701009387277D9C43639507182F +:101EB00097E701009387477A9C431387170097E7BA +:101EC00001009387677998C397E701009387C778E4 +:101ED0009C433ECCE247B9EF97E701009387077335 +:101EE0009C439C4381C77370043001A097E70100B5 +:101EF0009387C7719C433ECA97E701009387477158 +:101F0000984397E701009387677098C397E70100AC +:101F100093870770524798C397E701009387077527 +:101F20009C431387170097E701009387277498C392 +:101F3000EF00102D97E701009387C7739C4362471A +:101F40006365F70C97E701009387476C9C439C43BC +:101F500099E3854711A0814781CB97E701009387DB +:101F600067717D5798C355A097E701009387076A6B +:101F70009C43DC47DC473EC8C247DC433EC6624761 +:101F8000B2476379F70097E701009387A76E32475E +:101F900098C3A5A8C24791073E85EFE08FEBC247E3 +:101FA0009C5791C7C247E1073E85EFE08FEAC247E1 +:101FB000D85797E701009387676A9C4363F9E70066 +:101FC000C247D85797E701009387476998C3C2472C +:101FD000D857BA878A07BA978A0717E70100130705 +:101FE000275A3E97C2479107BE853A85EFE0CFDB7F +:101FF000C247D85797E701009387C74B9C43DC57EC +:10200000E362F7F485473ECE35BF97E7010093873B +:10201000674A9C43D85797E6010093866656BA876D +:102020008A07BA978A07B6979843854763F1E7020C +:1020300085473ECE29A897E701009387A7629C4376 +:102040001387170097E701009387C76198C397E745 +:102050000100938767619C4399C385473ECEF24751 +:102060003E85B25045618280011106CE97E701009E +:10207000938787609C4381CB97E701009387C75E76 +:10208000054798C339A297E701009387E75D23A02E +:10209000070097E701009387E7419C439C5B3EC69E +:1020A000B7A7A5A59387575A3EC4B2479C4322477A +:1020B0006314F702B24791079C432247631EF7005F +:1020C000B247A1079C4322476318F700B247B10704 +:1020D0009C4322476308F70497E701009387873DF5 +:1020E000984397E701009387E73C9C439387470316 +:1020F000BE853A85EF20F00A35A097E70100938767 +:10210000E7559C4381E77370043001A097E7010015 +:102110009387C7549C431387F7FF97E70100938782 +:10212000E75398C397E7010093874753984397E68F +:1021300001009386E644BA878A07BA978A07B6975A +:102140009C43C5DF97E70100938747519843BA87BF +:102150008A07BA978A0717E7010013076742BA97F9 +:102160003EC29247DC43D8439247D8C39247D843F4 +:102170009247A1076317F7009247DC43D843924781 +:10218000D8C39247DC43D84797E70100938787324B +:1021900098C30100F24005618280797106D62AC693 +:1021A0002EC4B24781E77370043001A097E70100A5 +:1021B000938747309C43E107BE853245EFE0CFC2AD +:1021C00097E701009387072F9C4391073E85EFE037 +:1021D0004FC82247FD576310F70297E70100938726 +:1021E000672D9C439107BE8517E501001305454502 +:1021F000EFE08FBB19A897E701009387E7459C4361 +:102200002247BA973ECE7245712B0100B25045610C +:102210008280797106D62AC62EC432C2B24781E7BF +:102220007370043001A097E701009387E7449C4353 +:1022300081E77370043001A097E701009387872737 +:102240009C43A24637070080558F98CF97E701003F +:10225000938747269C43E107BE853245EFE0CFB424 +:1022600097E70100938707259C4391073E85EFE0A0 +:102270004FBE1247FD576310F70297E7010093879F +:1022800067239C439107BE8517E501001305453B75 +:10229000EFE08FB119A897E701009387E73B9C43D4 +:1022A0001247BA973ECE7245F5290100B2504561FA +:1022B0008280797106D62AC62EC432C2B24781E71F +:1022C0007370043001A097E701009387A71E9C4319 +:1022D000E107BE853245EFE02FAD97E70100938718 +:1022E000671D9C4391073E85EFE0AFB612478547D7 +:1022F0006310F70297E701009387C71B9C43910780 +:10230000BE8517E501001305A533EFE0EFA919A875 +:1023100097E70100938747349C432247BA973ECE04 +:10232000724549210100B25045618280797106D61B +:102330002AC6B247DC47DC473ECCE24781E77370F0 +:10234000043001A0E247E1073E85EFE08FB097E758 +:102350000100938767329C43A1EBE24791073E85DA +:10236000EFE02FAFE247D85797E701009387072F99 +:102370009C4363F9E700E247D85797E7010093874A +:10238000E72D98C3E247D857BA878A07BA978A07D2 +:1023900017E701001307C71E3E97E2479107BE8566 +:1023A0003A85EFE06FA011A8E247E107BE8517E587 +:1023B000010013052526EFE02F9FE247D85797E746 +:1023C00001009387270F9C43DC5763FBE700854799 +:1023D0003ECE97E7010093872729054798C311A0B0 +:1023E00002CEF2473E85B25045618280797106D6B1 +:1023F0002AC62EC497E70100938707289C4381E7EC +:102400007370043001A02247B70700805D8FB24788 +:1024100098C3B247DC473ECCE24781E77370043093 +:1024200001A03245EFE0EFA2E24791073E85EFE0E1 +:102430004FA2E247D85797E70100938727229C4392 +:1024400063F9E700E247D85797E701009387072130 +:1024500098C3E247D857BA878A07BA978A0717E717 +:1024600001001307E7113E97E2479107BE853A85C1 +:10247000EFE08F93E247D85797E7010093878703F0 +:102480009C43DC5763FBE70085473ECE97E701009E +:102490009387871D054798C311A002CEF2473E855A +:1024A000B2504561828041112AC6B24781E77370FC +:1024B000043001A097E701009387471B9843B24778 +:1024C00098C397E70100938727199843B247D8C369 +:1024D000010041018280797106D62AC62EC4B24716 +:1024E00081E77370043001A0A24781E77370043064 +:1024F00001A01D2F97E70100938707169C433ECC50 +:10250000A2479843FD576314F70002CE91A8B24743 +:10251000984397E70100938767159C43630AF70088 +:10252000B247DC4362476365F70085473ECE0DA89E +:10253000B247DC4362471D8FA2479C436370F7029A +:10254000A2479843B247D443E247B387F6403E9749 +:10255000A24798C33245813F02CE19A085473ECE9F +:10256000DD2DF2473E85B2504561828097E701003C +:102570009387870F054798C30100828001112AC6FF +:10258000B24799C7B2473ECCE247FC473ECE11A0C6 +:1025900002CEF2473E850561828001112AC62EC413 +:1025A000B24791C7B2473ECEF2472247F8C7010073 +:1025B00005618280011106CE2AC6852297E70100B7 +:1025C000938707FC98438547E3F9E7FEEFD01FE4C4 +:1025D000EDB7397106DE2ACE2ECC32CA36C8BA879C +:1025E0002317F10002D625A06247B257BA9703C756 +:1025F0000700F246B257B697238AE7026247B257FE +:10260000BA9783C7070089CBB25785073ED63257A2 +:10261000BD47E3FBE7FC11A00100F247A3810704DB +:102620005247914763F4E70091473ECAF247524749 +:10263000D8D7F2475247B8CBF24723AA0704F2474C +:1026400091073E85EFD05FF5F247E1073E85EFD079 +:10265000BFF4F247724798CB1547D2471D8FF24718 +:1026600098CFF2477247D8D3F24723A20704F24724 +:1026700023AC0704F24723AE07040100F2502161A6 +:102680008280011106CE02C60DA03247BA878A07A2 +:10269000BA978A0717E70100130787EEBA973E85B6 +:1026A000EFD05FECB24785073EC632479147E3FE65 +:1026B000E7FC17E501001305E5F2EFD0BFEA17E5E7 +:1026C0000100130565F3EFD0FFE917E501001305DD +:1026D00065F4EFD03FE917E501001305E5F4EFD00D +:1026E0007FE817E501001305A5F5EFD0BFE797E7F1 +:1026F00001009387A7F117E701001307A7EE98C31E +:1027000097E701009387C7F017E701001307C7EEAB +:1027100098C30100F24005618280011106CE8DA8A8 +:10272000EFF00FCC97E70100938707F09C4393B736 +:10273000170093F7F70F3EC6EFF04FCCB247A9EB67 +:10274000E52197E70100938727EEDC47DC473EC48D +:10275000A24791073E85EFD0DFEF97E7010093870F +:1027600067EF9C431387F7FF97E70100938787EE96 +:1027700098C397E70100938767EC9C431387F7FFA3 +:1027800097E70100938787EB98C3F1212245912CAD +:1027900097E70100938787EA9C43D9F30100F24051 +:1027A00005618280011106CE2AC697E70100938752 +:1027B00067D09C433247D8C397E701009387C7E9A6 +:1027C0009C4332476372F70297E70100938747E41F +:1027D000984397E701009387E7CD9C439107BE8517 +:1027E0003A85EFD07FE035A897E70100938707E2AD +:1027F000984397E701009387E7CB9C439107BE85F9 +:102800003A85EFD07FDE97E701009387A7E69C43E8 +:1028100032476378F70097E701009387A7E53247CF +:1028200098C30100F24005618280797106D6AA87BB +:102830002EC42317F100A24789EB8357E1008A07D2 +:102840003E85EF104051AA8711A0A2473ECCE24737 +:1028500085C313050006EF1000502ACEF24789C742 +:10286000F247624798DB31A06245EF10C06111A0CA +:1028700002CEF24781CFF247985B8357E1008A0787 +:102880003E869305500A3A85EF104075F2473E8523 +:10289000B25045618280397106DE22DC2AC62EC420 +:1028A00032C202D6A2479C43638D070EA2473ED494 +:1028B000A257DC43D843A257D8C3A257D843A25744 +:1028C000A1076317F700A257DC43D843A257D8C328 +:1028D000A257DC43DC473ED2A2473ED08257DC43BE +:1028E000D8438257D8C38257D8438257A10763176A +:1028F000F7008257DC43D8438257D8C38257DC4362 +:10290000DC473ECEB25796073247BA97724798C314 +:10291000B25796073247BA97724713074703D8C38F +:10292000B25796073247BA977247384798C7B25797 +:1029300096073247BA971247D8C7B2579607324719 +:10294000BA977247585798CB12478D47631BF700C9 +:10295000F2479C5799C7B25796073247BA9709472B +:10296000D8C7B25796073247BA977247384BD8CB79 +:10297000B25796073247BA9723AC0700F247945BE9 +:10298000B257960732473304F70036850D20AA87E1 +:10299000231EF400B25785073ED672479257E31DB7 +:1029A000F7F2B2573E85F2506254216182800111E4 +:1029B0002AC602CE39A0B24785073EC6F247850730 +:1029C0003ECEB24703C707009307500AE305F7FE60 +:1029D000F24789833ECEF247C207C1833E85056137 +:1029E0008280011106CE2AC6B2479C5B3E85EF105D +:1029F00080493245EF1020490100F2400561828094 +:102A0000411197E70100938767C09C439C4399E37A +:102A1000854711A0814781CB97E70100938787C540 +:102A20007D5798C305A097E70100938727BE9C4375 +:102A3000DC47DC473EC6B247D84397E7010093879F +:102A400067C398C3010041018280411197E70100EB +:102A5000938747A69C433EC6B2473E85410182808C +:102A6000411197E701009387A7BF9C4381E7854702 +:102A70003EC619A897E70100938707C09C4381E7EA +:102A800089473EC611A002C6B2473E8541018280F9 +:102A9000797106D62AC6B2473ECEB247F9C3F2478D +:102AA000D85797E701009387E7A09C43DC57637AE8 +:102AB000F70AF2479C4F63CC070097E70100938722 +:102AC000679F9C43DC5715471D8FF24798CFF2470D +:102AD000D44BF247D857BA878A07BA978A0717E7BD +:102AE00001001307E7A9BA976394F600854711A080 +:102AF0008147A5C3F24791073E85EFD09FB597E781 +:102B000001009387279B9C43D857F247D8D7F247B9 +:102B1000D85797E70100938767B49C4363F9E700B0 +:102B2000F247D85797E70100938747B398C3F24716 +:102B3000D857BA878A07BA978A0717E70100130799 +:102B400027A43E97F2479107BE853A85EFD0DFA5CF +:102B500009A897E701009387E7959C43D857F24768 +:102B6000D8D70100B25045618280797106D62AC655 +:102B7000B2473ECC02CEB247DDC397E70100938750 +:102B800067939C4362476305F7007370043001A0AC +:102B9000E247FC4B81E77370043001A0E247FC4B35 +:102BA0001387F7FFE247F8CBE247D857E247BC4B21 +:102BB0006307F706E247FC4BBDE3E24791073E851A +:102BC000EFD03FA9E247B84BE247D8D7E247DC57FE +:102BD00015471D8FE24798CFE247D85797E7010086 +:102BE0009387C7A79C4363F9E700E247D85797E765 +:102BF00001009387A7A698C3E247D857BA878A07E8 +:102C0000BA978A0717E70100130787973E97E247AD +:102C10009107BE853A85EFD03F9985473ECEF24772 +:102C20003E85B250456182807370043097E70100A1 +:102C3000938707A39C4391CF97E7010093878787EA +:102C40009C43F8430507F8C397E7010093878786FD +:102C50009C430100828097E70100938767A09C4313 +:102C60008DCB97E701009387E7849C43FC4395C392 +:102C700097E70100938707849C43F8437D17F8C3C7 +:102C800097E70100938707839C43FC4399E37360B4 +:102C9000043001008280411197E70100938787810A +:102CA0009C439C4F3EC697E701009387A7809C43B7 +:102CB000D85797D701009387E77F9C439546338782 +:102CC000E64098CFB2473E854101828097D7010008 +:102CD0009387477E9C4389CB97D701009387877D55 +:102CE0009C43F84B0507F8CB97D701009387877C67 +:102CF0009C433E858280797106D62AC62EC42D3724 +:102D000097D701009387077B9C43BC4FADE397D7D0 +:102D100001009387277A9C430547F8CFA247A1CBB0 +:102D200097D70100938707799C4391073E85EFD0A1 +:102D30005F922247FD576310F70297D701009387F0 +:102D400067779C439107BE8517E501001305458F02 +:102D5000EFD09F8519A897E701009387E78F9C43E1 +:102D60002247BA973ECE7245353CEFD02FEAE53583 +:102D7000653D97D701009387E7739C43BC4F3ECCDA +:102D8000E2479DC3B24789CB97D7010093878772EB +:102D90009C4323AC070409A897D701009387877148 +:102DA0009C43B84F7D17B8CF97D7010093878770A2 +:102DB0009C4323AE07044535E2473E85B25045614A +:102DC0008280797106D62AC62EC432C236C0A93D89 +:102DD00097D701009387076E9C43F84F8947630D8F +:102DE000F70697D701009387E76C9C43B44F3247AF +:102DF0001347F7FF758FB8CF97D701009387876B7D +:102E00009C430547F8CF8247A1CB97D70100938712 +:102E1000676A9C4391073E85EFD0BF830247FD5709 +:102E20006310F70297D701009387C7689C43910707 +:102E3000BE8517E501001305A580EFD0EFF619A8B0 +:102E400097E70100938747819C430247BA973ECC9E +:102E50006245893AEFD08FDBFD3BF933924789CB4E +:102E600097D70100938707659C43B84F924798C353 +:102E700097D70100938707649C43F84F85476314F5 +:102E8000F70002CE31A897D701009387A7629C4331 +:102E9000B44F22471347F7FF758FB8CF85473ECE13 +:102EA00097D70100938707619C4323AE07046533DE +:102EB000F2473E85B25045618280797106D62AC6B6 +:102EC0002EC432C236C085473ECEB24781E773700A +:102ED000043001A0B2473ECC813B824789C7E2471C +:102EE000B84F824798C3E247FC4F3ECAE2470947C2 +:102EF000F8CF1247914763EEE704924713972700F4 +:102F000097D7010093878710BA97984397D7010006 +:102F10009387C70FBA978287E247B84FA2475D8F62 +:102F2000E247B8CF3DA0E247BC4F13871700E24706 +:102F3000B8CF05A0E2472247B8CF21A8524789471A +:102F40006306F700E2472247B8CF21A002CE11A0C6 +:102F5000010052478547631AF706E24791073E850D +:102F6000EFD02FEFE247D85797D701009387076F2D +:102F70009C4363F9E700E247D85797D7010093874E +:102F8000E76D98C3E247D857BA878A07BA978A0786 +:102F900017D701001307C75E3E97E2479107BE852A +:102FA0003A85EFD06FE0E2479C5781C773700430D9 +:102FB00001A0E247D85797D701009387A74F9C43BA +:102FC000DC5763F4E700EFD06FC47131F2473E8500 +:102FD000B25045618280397106DE2ACE2ECC32CACB +:102FE00036C83AC685473ED6F24781E7737004304B +:102FF00001A0F2473ED4EFD04FCFAA873ED2C247BE +:1030000089C7A257B84FC24798C3A257FC4F3ED0BA +:10301000A2570947F8CF5247914763EEE704D247DA +:103020001397270097D70100938787FFBA97984394 +:1030300097D701009387C7FEBA978287A257B84FE8 +:10304000E2475D8FA257B8CF3DA0A257BC4F138770 +:103050001700A257B8CF05A0A2576247B8CF21A842 +:10306000025789476306F700A2576247B8CF21A0ED +:1030700002D611A0010002578547631DF708A25729 +:103080009C5781C77370043001A097D701009387C4 +:10309000A75E9C43A1EBA25791073E85EFD06FDB63 +:1030A000A257D85797D701009387475B9C4363F992 +:1030B000E700A257D85797D701009387275A98C39C +:1030C000A257D857BA878A07BA978A0717D7010035 +:1030D0001307074B3E97A2579107BE853A85EFD05D +:1030E000AFCC11A8A257E107BE8517D50100130583 +:1030F0006552EFD06FCBA257D85797D7010093876F +:10310000673B9C43DC5763F7E700B24781C7B24790 +:10311000054798C392573E85EFD02FBCB2573E85E6 +:10312000F25021618280797106D62AC62EC4B24738 +:1031300081E77370043001A0B2473ECEEFD0EFBA02 +:10314000AA873ECCF247FC4F3ECAF2470947F8CF68 +:10315000F247BC4F13871700F247B8CF5247854755 +:10316000631DF708F2479C5781C77370043001A0B4 +:1031700097D70100938747509C43A1EBF2479107F3 +:103180003E85EFD00FCDF247D85797D701009387F0 +:10319000E74C9C4363F9E700F247D85797D7010003 +:1031A0009387C74B98C3F247D857BA878A07BA970D +:1031B0008A0717D701001307A73C3E97F2479107EC +:1031C000BE853A85EFD04FBE11A8F247E107BE8514 +:1031D00017D5010013050544EFD00FBDF247D857AE +:1031E00097D701009387072D9C43DC5763F7E700CF +:1031F000A24781C7A247054798C3E2473E85EFD063 +:10320000CFAD0100B25045618280797106D62AC6E1 +:10321000B2473ECCE24799E797D7010093878729C9 +:103220009C4311A0E2473ECC0134E247F84F894766 +:103230006318F700E24723AE070485473ECE11A08E +:1032400002CE113CF2473E85B250456182800111A9 +:1032500006CE02C61D2B97D70100938727459C43B6 +:103260009DC38148014881470947814613060040B4 +:1032700097D50100938505DC170500001305051B94 +:10328000EFE0AF852AC6B24781E77370043001A032 +:10329000B2473E85F24005618280397106DE2ACE52 +:1032A0002ECC32CA36C83AC6E24799E302D62DA8D8 +:1032B0001305C002EF00302A2AD6B25795C7F12174 +:1032C000B257724798C3B257624798CFB257524726 +:1032D000D8CFB257424798D3B2573247D8D3B25714 +:1032E00091073E85EFD04FABE24781E77370043022 +:1032F00001A0B2573E85F25021618280397106DE0D +:103300002ACE2ECC32CA36C83AC602D6F24781E758 +:103310007370043001A097D70100938727399C432D +:10332000B5CBE2473ED0D2473ED2F2473ED46247C9 +:10333000954763C4E704EFF0AFF22A87894763102B +:10334000F70297D70100938767369C431810814690 +:103350003246BA853E85EFD0AFE02AD625A897D76A +:1033600001009387A7349C43181081460146BA8513 +:103370003E85EFD0EFDE2AD631A897D7010093879C +:10338000E7329C43181081464246BA853E85EFD00D +:103390000FF32AD6B2573E85F25021618280011187 +:1033A0002AC6B2473ECEB24781E77370043001A00F +:1033B000F2479C433E8505618280797106D62AC614 +:1033C0002EC497D701009387E72D9C43DC47DC4749 +:1033D0003ECEF24791073E85EFD0AFA7F247D84FD8 +:1033E0008547631BF702F247984FB247BA97B24638 +:1033F0002246BE857245B9222A878547631EF7009B +:1034000001478146324681457245CD3D2ACCE2478F +:1034100081E77370043001A0F247DC537245829754 +:103420000100B25045618280797106D62AC63C08F7 +:103430003E8541282ACEE247BE8572451920692281 +:10344000FDB7797106D62AC62EC4EFE06FF93C08A5 +:103450003E8545282ACEE247B9EFA24799EB32478D +:10346000F24763E8E700EFE06FF9F2453245B13724 +:10347000A9A0A24781CF97D701009387E7229C4359 +:103480009C4393B7170093F7F70F3EC497D70100FB +:103490009387C72194433247F247B307F740224648 +:1034A000BE853685EFD0FFDDEFE04FF5AA8791E7C7 +:1034B000EFC0DFF519A0EFE06FF40100B2504561F5 +:1034C000828001112AC697D701009387A71D9C43CC +:1034D0009C4393B7170093F7F70F3E87B24798C303 +:1034E000B2479C4391EB97D701009387A71B9C435E +:1034F000DC479C433ECE11A002CEF2473E850561DB +:103500008280797106D62AC6EFE01F842ACE97D72B +:1035100001009387E7199C4372476377F700692A94 +:10352000B247054798C321A0B24723A0070097D709 +:1035300001009387E717724798C3F2473E85B25060 +:1035400045618280797106D62AC62EC432C236C041 +:1035500002CEB2472247D8C3B247324798CB224760 +:10356000924763E8E702124782471D8FB2479C4F9C +:103570006365F70085473ECE99A097D701009387F2 +:10358000A7129843B2479107BE853A85EFD0CF8501 +:103590003DA0124782476379F7002247824763655F +:1035A000F70085473ECE21A897D701009387870F64 +:1035B0009843B2479107BE853A85EFD0EF82F24734 +:1035C0003E85B25045618280797106D6D9A0A24766 +:1035D00063C0070CC2473ECEF247DC4B91C7F247AF +:1035E00091073E85EFD0EF865C003E85193F2ACCDF +:1035F000A2472547636FF7081397270097D7010065 +:103600009387C7A3BA97984397D70100938707A3D7 +:10361000BA9782873247F2479C4FBA973247BA86A9 +:103620006246BE857245393F2A8785476313F70690 +:10363000F247DC5372458297F247D84F8547631AA9 +:10364000F7043247F2479C4FBA97014781463E86BE +:103650008145724565312ACAD24785EF73700430BF +:1036600001A03247F24798CFF2479C4F81E7737031 +:10367000043001A0F247984FE247BA97E24662460B +:10368000BE857245C13531A07245EF00C07F11A0E3 +:10369000010097D70100938767019C43380081465A +:1036A0000146BA853E85EFD06FD8AA87E39107F22D +:1036B0000100B25045618280797106D671A097D71A +:1036C0000100938727FE9C43DC479C433ECC97D761 +:1036D0000100938727FD9C43DC47DC473ECAD24765 +:1036E00091073E85EFC0FFF6D247DC5352458297E3 +:1036F000D247D84F85476319F704D2479C4F62479A +:10370000BA973EC84247E24763F4E702D2474247CE +:10371000D8C3D247524798CB97D70100938787F8F1 +:103720009843D2479107BE853A85EFC0FFEB29A8A1 +:1037300001478146624681455245C9362AC6B2478D +:1037400081E77370043001A097D70100938787F554 +:103750009C439C43ADF797D701009387A7F49C4304 +:103760003ECE97D70100938727F4984397D701005F +:10377000938747F398C397D701009387E7F272477F +:1037800098C30100B25045618280411106C6EFF036 +:10379000AFC997D70100938767F19C43C9E717D555 +:1037A00001001305A5EDEFC0FFDB17D501001305E0 +:1037B00025EEEFC03FDB97D701009387A7EE17D721 +:1037C00001001307A7EB98C397D701009387C7EDB4 +:1037D00017D701001307C7EB98C30146B145114540 +:1037E000EFC07FF72A8797D70100938727EC98C30C +:1037F00097D70100938787EB9C4381E77370043070 +:1038000001A097D70100938767EA9C4389CF97D798 +:1038100001009387A7E99C4397D501009385858490 +:103820003E85EFD05F9CEFF00FC30100B240410135 +:103830008280797106D62AC6B2473ECEB24781E76A +:103840007370043001A0EFF02FBEF247DC4BB337AA +:10385000F00093F7F70F3ECCEFF0EFBFE2473E8565 +:10386000B25045618280797106D62AC6B2473ECEF3 +:10387000B24781E77370043001A0EFF0EFBAF2476E +:103880009C533ECCEFF02FBDE2473E85B2504561E0 +:103890008280797106D62AC62EC4B2473ECEB24780 +:1038A00081E77370043001A0EFF00FB8F2472247B0 +:1038B00098D3EFF04FBA0100B250456182800111F8 +:1038C00006CE714549292AC6B24789CBB24723A003 +:1038D0000700B24791073E85EFC0DFC8B2473E857B +:1038E000F24005618280397106DE2AC62EC432C2DA +:1038F00036C0B2473ED402D21247B70700FFF98F55 +:1039000081C77370043001A0924781E7737004305F +:1039100001A0EFF0EF94AA8799E3824799E38547E6 +:1039200011A0814781E77370043001A0EFE04FAB35 +:10393000A2579C433ED0A245324585240257A24758 +:103940005D8F9247F98F12476310F7020257A24723 +:10395000D98F3ED6A2579843924793C7F7FF7D8FE2 +:10396000A25798C302C025A0824799CFA257938639 +:1039700047001247B7070005D98F0246BE85368536 +:10398000EFE03F8902D621A0A2579C433ED6EFE04C +:10399000EFA62ACE8247B1CBF24799E3EFC01FA72B +:1039A000EFF06FAF2AD63257B7070002F98F85EBD9 +:1039B000EFF08FA7A2579C433ED632579247F98F1C +:1039C0001247631AF700A2579843924793C7F7FF2D +:1039D0007D8FA25798C3EFF00FA885473ED232578C +:1039E000B7070001FD17F98F3ED6B2573E85F2505A +:1039F000216182805D7186C62ACE2ECC32CA36C83D +:103A00003AC6F2473EDA02DC02D8F24781E7737029 +:103A1000043001A06247B70700FFF98F81C77370B8 +:103A2000043001A0E24781E77370043001A0EFF099 +:103A30002F83AA8799E3B24799E3854711A081476D +:103A400081E77370043001A0EFE08F99D2579C4357 +:103A50003ED64246E245325575242AD4A25799CF24 +:103A6000B2573EDE02C6D247A9CBD2579843E247AF +:103A700093C7F7FF7D8FD25798C381A0B24781E7E4 +:103A8000B2573EDE1DA8D24791C76257B707000163 +:103A9000D98F3EDCC24791C76257B7070004D98F60 +:103AA0003EDCD257938647006247E257D98F3246B1 +:103AB000BE853685EFE0EFF502DEEFE02F942AD2E7 +:103AC000B247B9CB925799E3EFC05F94EFF0AF9C48 +:103AD0002ADE7257B7070002F98F8DEBEFF0CF9413 +:103AE000D2579C433EDE4246E2457255212CAA87BE +:103AF00099CBD24789CBD2579843E24793C7F7FF78 +:103B00007D8FD25798C3EFF00F9502D87257B70741 +:103B10000001FD17F98F3EDEF2573E85B640616128 +:103B20008280797106D62AC62EC4B2473ECEB247ED +:103B300081E77370043001A02247B70700FFF98FB7 +:103B400081C77370043001A0EFF00F8EF2479C43E1 +:103B50003ECCF2479843A24793C7F7FF7D8FF247C9 +:103B600098C3EFF04F8FE2473E85B25045618280A7 +:103B7000797106D62AC6B2473ECEEFC01F97AA87F4 +:103B80003ECCF2479C433ECAE2473E85EFC0FF94DD +:103B9000D2473E85B250456182805D7186C62AC695 +:103BA0002EC402DCB2473ED802DAB24781E7737016 +:103BB000043001A02247B70700FFF98F81C7737057 +:103BC000043001A0C25791073ED6B257A1073ED498 +:103BD000EFE00F81B257DC473EDEC2579843A24761 +:103BE0005D8FC25798C3BDA8F257DC433ED2F2574F +:103BF0009C433ED002DA0257B70700FFF98F3ECE52 +:103C00000257B7070001FD17F98F3ED07247B7077B +:103C10000004F98F89EBC25798438257F98F89CFF7 +:103C200085473EDA11A8C25798438257F98F025749 +:103C30006314F70085473EDAD2579DC37247B70732 +:103C40000001F98F89C762578257D98F3EDCC2576E +:103C50009843B7070002D98FBE857255EFE00FF980 +:103C600092573EDE7257A257E310F7F8C2579843B7 +:103C7000E25793C7F7FF7D8FC25798C3EFD01FF865 +:103C8000C2579C433E85B64061618280797106D6F9 +:103C90002AC6B2473ECEF24791073ECCEFD05FF442 +:103CA0000DA0E247D847E247A1076315F7007370FC +:103CB000043001A0E247DC47B70500023E85EFE093 +:103CC000EFF2E2479C43F1FF7245752AEFD01FF3F4 +:103CD0000100B25045618280011106CE2AC62EC471 +:103CE000A24532455D3D0100F2400561828001112F +:103CF00006CE2AC62EC4A245324525350100F24023 +:103D00000561828001112AC62EC432C202CE9247BA +:103D100081EB3247A247F98F81CF85473ECE09A874 +:103D20003247A247F98F22476314F70085473ECEFA +:103D3000F2473E850561828001112AC6B2473ECC1A +:103D4000B24799E302CE21A0E2479C4F3ECEF24714 +:103D50003E8505618280397106DE2AC602D2EFD027 +:103D60003FE8976703009387E7959C4381EB5522D3 +:103D70009767030093870795054798C3B24789CF94 +:103D8000A1473E87B247BA973EC6B2478D8B89C7D7 +:103D9000B247F19B91073EC6B247F9CB3247E56780 +:103DA000ED1763E7E70C976703009387A7903ED46E +:103DB00097670300938707909C433ED631A0B25784 +:103DC0003ED4B2579C433ED6B257DC43324763F5EC +:103DD000E700B2579C43E5F7325797670300938794 +:103DE000E78D6307F708A2579C432147BA973ED255 +:103DF000B2579843A25798C3B257D843B247B307B4 +:103E0000F740214706076378F7043257B247BA975D +:103E10003ECEB257D843B2471D8FF247D8C3B257F0 +:103E20003247D8C3F247DC433ECC97670300938701 +:103E300067883ED021A082579C433ED082579C4346 +:103E4000DC436247E3E9E7FE82579843F24798C3B1 +:103E50008257724798C397C701009387E7669843D4 +:103E6000B257DC431D8F97C701009387E76598C35E +:103E7000EFD0DFD8925799E3EF00103292573E858A +:103E8000F25021618280797106D62AC6B2473ECCB3 +:103E9000B247BDC3A147B307F0406247BA973ECCD3 +:103EA000E2473ECAEFD0DFD3D247DC433EC8976734 +:103EB0000300938727803ECE21A0F2479C433ECE4D +:103EC000F2479C43DC434247E3E9E7FEF24798436D +:103ED000D24798C3F247524798C3D247D84397C7AF +:103EE00001009387675E9C433E9797C701009387C5 +:103EF000A75D98C3EFD09FD00100B250456182808A +:103F000097C701009387475C9C433E8582800100F0 +:103F10008280411197C701009387077AF19B3EC6C3 +:103F20009757030093870779324798C39757030041 +:103F30009387477823A20700975703009387077852 +:103F400065677117D8C3975703009387277723A016 +:103F50000700B2473EC4A24765677117D8C3A2479E +:103F6000175703001307877598C30100410182802A +:103F700001112AC62EC432C2A2473ECEB2473ECC61 +:103F80003247A247D98F8D8BA1E319A8F2479843F6 +:103F9000E24798C3E24791073ECCF24791073ECEF5 +:103FA0009247F5173247BA976247E361F7FE29A8AF +:103FB0007247930717003ECEE2479386170036CC30 +:103FC000034707002380E70032479247BA976247CA +:103FD000E360F7FEB2473E850561828001112AC683 +:103FE0002EC432C232479247D98F8D8B9DEFA247A4 +:103FF00093F7F70F3ECAD247A2075247D98F3ECA5E +:10400000D247C2075247D98F3ECAB2473ECE39A0E7 +:10401000F247138747003ACE524798C33247924738 +:10402000BA977247E366F7FE1DA0B2473ECC11A8CF +:10403000E247138717003ACC22471377F70F238004 +:10404000E70032479247BA976247E363F7FEB24709 +:104050003E850561828001112AC6B2473ECE21A06D +:10406000F24785073ECEF24783C70700F5FB72474C +:10407000B247B307F7403E850561828001112AC629 +:104080002EC4B247138717003AC683C70700A30F91 +:10409000F100A247138717003AC483C70700230F14 +:1040A000F1008347F10199C70347F1018347E1011B +:1040B000E309F7FC0347F1018347E101B307F74048 +:1040C0003E850561828001112AC62EC4B2473ECECC +:1040D00001002247930717003EC4F247938617005A +:1040E00036CE034707002380E70083C70700F5F3B8 +:1040F000B2473E850561828001112AC602CE02CCFC +:1041000021A0B24785073EC6B24703C70700930701 +:104110000002E308F7FEB24703C707009307D00287 +:104120006309F700B24703C707009307B0026311A2 +:10413000F704B24783C70700938737FD93B717008B +:1041400093F7F70F3ECCB24785073EC615A07247DE +:10415000BA878A07BA9786073ECEB24713871700F9 +:104160003AC683C70700938707FD7247BA973ECECA +:10417000B24783C70700E1FFE24789C7F247B307A9 +:10418000F04011A0F2473E850561828001112AC6E8 +:1041900097570300938707579C4393861700175739 +:1041A00003001307275614C332471377F70F9756A8 +:1041B000030093862659B6972380E7003247A94724 +:1041C000630BF700975703009387C75398439307F0 +:1041D00000046315F704975703009387A7563ECE54 +:1041E00005A8F247138717003ACE03C70700B707A1 +:1041F00010F0938707F098C3975703009387875071 +:104200009C431387F7FF975703009387A74F98C3E3 +:10421000975703009387074F9C43E1F781473E85FB +:10422000056182804D7123261114232481142322D9 +:10423000911423202115232E3113232C4113232ADB +:1042400051132ACE2ECC32C836CA3AC63EC442C218 +:1042500023260112B2473E8A814AC24752485286FB +:10426000D6863E85C285EF10E040AA872E883E861E +:10427000C2868327C112138717002326E1123287D3 +:104280008A07141AB69723AEE7EEB2473E848144FC +:10429000D247268763E8E702D24726876396E7007E +:1042A000C247228763E0E702B2473E8981494A86D6 +:1042B000CE864245D245EF00305EAA872E883EC8A2 +:1042C00042CA49BF010029A0F247E2451245829740 +:1042D000A2471387F7FF3AC40327C112E346F7FE4C +:1042E00025A88327C1128A07181ABA9703A7C7EF10 +:1042F0008327C1128A07141AB69783A6C7EFA5476A +:1043000063F5D7009307700519A093070003BA97C8 +:104310007247E2453E8502978327C1121387F7FF54 +:104320002326E112E34FF0FA01008320C114032495 +:10433000811483244114032901148329C113032AFE +:104340008113832A41137161828041112AC62EC4D0 +:10435000A2460547635ED700B2479C439D07E19B99 +:1043600093868700324714C303A847009C4335A0B7 +:10437000224719CB3247184313064700B24690C272 +:104380001843BA87014811A832471843130647005B +:10439000B24690C21843BA8701483E85C2854101A2 +:1043A000828041112AC62EC4A2460547635ED7000B +:1043B000B2479C439D07E19B93868700324714C315 +:1043C00003A847009C4305A8224701CF3247184362 +:1043D00013064700B24690C21843BA877D873A88D1 +:1043E00019A83247184313064700B24690C2184333 +:1043F000BA877D873A883E85C285410182803971BE +:1044000006DE22DC26DA2AC62EC432C236C011A845 +:1044100063070424924785073EC2B247A24522851E +:104420008297924783C707003E8493075002E311A7 +:10443000F4FE924785073EC292473EC893070002AA +:10444000A30BF100FD573ECEFD573ECC02D0924764 +:10445000138717003AC283C707003E849307D4FD31 +:10446000130750056364F71E1397270097C70100D1 +:10447000938747C0BA97984397C70100938787BF30 +:10448000BA9782879307D002A30BF100C9B79307AD +:104490000003A30BF10065BF02CC6247BA878A070D +:1044A000BA978607A297938707FD3ECC924783C7AA +:1044B00007003E849307F00263D6870293079003B8 +:1044C00063C28702924785073EC2C1BF82471387F6 +:1044D00047003AC09C433ECC39A0F247E3D907F6E7 +:1044E00002CEB5B70100F247E3D307F6E2473ECE6E +:1044F000FD573ECCA9BF825785073ED089BF824772 +:10450000138747003AC09C433247A2453E85029735 +:10451000B1A28247138747003AC0844389E497C415 +:1045200001009384A4B4F2476357F0040347710178 +:104530009307D0026301F704E247BE852685EF00AA +:1045400070332A87F247998F3ECE11A883477101B5 +:104550003247A2453E850297F247FD173ECEF2470D +:10456000E346F0FE09A8B247A2452285829785045A +:10457000F247FD173ECE83C704003E841DC0E247CC +:10458000E3C307FEE247FD173ECCE247E3DD07FC4D +:1045900009A8B247A245130500028297F247FD170A +:1045A0003ECEF247E347F0FE55A88A8782553E8506 +:1045B000CD3BAA872E883ED442D6A2573258C28716 +:1045C00063D90702B247A2451305D0028297A255CC +:1045D000325681470148B386B740368533B5A700C8 +:1045E0003307C840B307A7403E87B6873A883ED412 +:1045F00042D6A9473ED21DA8A9473ED215A0A14741 +:104600003ED239A885473ED0B247A24513050003E4 +:104610008297B247A245130580078297C1473ED2D1 +:104620008A8782553E8515332AD42ED61257834762 +:1046300071013E88F2472256B256A2453245DD3618 +:1046400031A8B247A2452285829709A8B247A24560 +:10465000130550028297C2473EC20100D9B3010040 +:10466000F2506254D2542161828041112AC6B707A8 +:1046700010F0938707F2324798C301A05D7106D608 +:104680002AC62EDA32DC36DEBAC0BEC2C2C4C6C604 +:104690009C0891173ECEF247BE86324681451705EB +:1046A00000001305E5AEA13B81473E85B250616134 +:1046B000828001112AC62EC41EC2A2473ECEF247F6 +:1046C0009C4332471377F70F2380E700F2479C4360 +:1046D00013871700F24798C30100056182801D719E +:1046E00006DE2AC62EC4B2C4B6C6BAC8BECAC2CC7A +:1046F000C6CE9C103ED25C084C081706000013067C +:1047000086FB7D779346F7FF0565130505803388A3 +:10471000A5003378E8001368783B23A007013295A1 +:10472000698F13677733D8C333F7D50093154701E3 +:1047300037870300130737394D8F98C73377D60073 +:10474000931647013707030013077706558FD8C71D +:104750000F100000B2473ED69C10A1173ED422573E +:104760005C083E857C00BA862246BE854939B24740 +:1047700023800700B2473E87B257B307F7403E8514 +:10478000F250256182804111370510F0130545F480 +:1047900008412AC6370510F0130505F408412AC45C +:1047A000370510F0130545F40841B248E39EA8FC14 +:1047B00032452A8381431317030081462245AA8587 +:1047C0000146B3E7B6003368C700370710F013059A +:1047D00087F48565938505FA0146B386B700B688E8 +:1047E000B3B8F8003307C800B387E8003E87B68740 +:1047F0003A881CC123220501010041018280411138 +:1048000006C651379307000873A047300100B24035 +:1048100041018280011106CE2AC617C50100130589 +:10482000E59AEFF0BFE50100F240056182800111D9 +:1048300006CE2AC617C501001305C599EFF01FE47F +:104840000100F24005618280797106D62AC602CE47 +:1048500029A00100F24785073ECE724785679387FE +:104860007738E3D8E7FE17C5010013052597EFF069 +:10487000FFE0F1BF411106C60145152A0100B24013 +:1048800041018280011106CE02C6EF0060792A87BD +:104890008547630CF70017C501001305A594EFF0D9 +:1048A000FFDDB24793E727003EC6B2473E85F240A0 +:1048B00005618280011106CE02C66D3F1707000018 +:1048C00013076704814605469305200317C50100B9 +:1048D0001305C592EFE07F9C2AC6B24799CBEFD073 +:1048E000AFC6AA87014781463E8685453245EFE03F +:1048F000FFA002C4EFD0EFA481473E85F2400561DE +:104900008280797106D62AC697C701009387C7BAF5 +:104910009C431387470697C701009387E7B998C35D +:1049200097C70100938787B99C431387470697C7AA +:1049300001009387A7B898C397C701009387C7B7AB +:1049400098439307F07C63D3E70497C701009387EC +:10495000A7B69C431387078397C701009387C7B502 +:1049600098C30D372ACEF24799EB97C7010093877A +:10497000E7B4984385679387F77663DBE700F247F0 +:104980003E85EFF09FCEEFD0AFA321A0010011A094 +:104990000100B250456182807370043001A00100B3 +:1049A000828041112AC62EC47370043001A0011107 +:1049B00006CE2AC6814801488147324797560300F0 +:1049C000938646DC1306004097C501009385058455 +:1049D000170500001305C508EFC03F908148014846 +:1049E00081473247975603009386E6D91306004065 +:1049F00097C5010093850582170500001305451B27 +:104A0000EFC0BF8D81480148814732479756030068 +:104A1000938686D71306004097C5010093850580CD +:104A20001705000013050533EFC03F8B814801488F +:104A30008147324797560300938626D513060040D8 +:104A400097B501009385057E1705000013050547FE +:104A5000EFC0BF880100F240056182805D7186C6AB +:104A6000A2C4A6C2CAC02AC6231F010297B701006A +:104A70009387C77B03A847009C433EC842CAB247FE +:104A80003EDC97B701009387E77A03A847009C4371 +:104A90003ED842DA97B701009387477A03A84700C8 +:104AA0009C433ED442D697B701009387A77903A8C9 +:104AB00047009C433ED042D2C2575258A25632576A +:104AC0003686BA863E85C285EF10C00AAA872E8830 +:104AD0003E85C285825712583E86C286EF20802AC4 +:104AE000AA872E883ECC42CEE2477248C246524741 +:104AF0003686BA863E85C285EF20D017AA872E88D3 +:104B0000014681463E85C285EF200018AA8763D5FD +:104B10000704E24772483E8437070080B344E80048 +:104B2000C24752483E86C2862285A685EF20901451 +:104B3000AA872E883E85C285054997B701009387CD +:104B4000E7709043D443EF206004AA876343F000EA +:104B500001499377F90F35A8E2477248C246524798 +:104B60003686BA863E85C285EF20D010AA872E8869 +:104B70003E85C285054997B701009387276D90430D +:104B8000D443EF20A000AA876343F0000149937744 +:104B9000F90F81C78547231FF1028317E103E392D1 +:104BA00007EEE25705472390E700E1BD5D7186C639 +:104BB000A2C4A6C2CAC02AC6231F010297B7010019 +:104BC0009387476903A847009C433ED842DA97B7CA +:104BD00001009387A76803A847009C433ED442D6B0 +:104BE00097B701009387076803A847009C433ED00E +:104BF00042D2C2575258A25632573686BA863E859E +:104C0000C285EF10A077AA872E883E85C28582577D +:104C100012583E86C286EF20E016AA872E883EC82C +:104C200042CAB2473EDC97B701009387A76203A848 +:104C300047009C433ED842DA97B70100938707624A +:104C400003A847009C433ED442D697B70100938700 +:104C5000676103A847009C433ED042D2C2575258D6 +:104C6000A25632573686BA863E85C285EF1000714D +:104C7000AA872E883E85C285825712583E86C286F4 +:104C8000EF204010AA872E883ECC42CEE2477248E1 +:104C9000C24652473686BA863E85C285EF20807D61 +:104CA000AA872E88014681463E85C285EF10D07DB9 +:104CB000AA8763D50704E24772483E84370700801D +:104CC000B344E800C24752483E86C2862285A68584 +:104CD000EF20407AAA872E883E85C285054997B77E +:104CE00001009387A7569043D443EF10306AAA87F8 +:104CF0006343F00001499377F90F35A8E247724802 +:104D0000C24652473686BA863E85C285EF208076F7 +:104D1000AA872E883E85C285054997B701009387EB +:104D2000E7529043D443EF107066AA876343F000C4 +:104D300001499377F90F81C78547231FF102831734 +:104D4000E103E39207EEE25705472390E700E1BD58 +:104D50005D7186C6A2C42AC6A9473EDA231D010298 +:104D6000B2473ED8D2578E073E85EFE0DFFE2AD607 +:104D700002D002D202CC02CE02DE95A07255EF2004 +:104D80003071F2578E0732573304F70097B701009E +:104D90009387C74D9043D443EF00D05DAA872E88F8 +:104DA0001CC0232204017255EF20906E97B70100BA +:104DB0009387C74B9043D443EF00D05BAA872E88DC +:104DC0003E85C285825712583E86C286EF00905AB1 +:104DD000AA872E883ED042D2F25785073EDE725710 +:104DE000D257E36DF7F802DE3DA0F2578E07325737 +:104DF000BA9703A847009C43E24672473686BA86B4 +:104E00003E85C285EF001057AA872E883ECC42CE41 +:104E1000F25785073EDE7257D257E368F7FC825798 +:104E20001258E24672473686BA863E85C285EF2022 +:104E30006064AA872E883EC842CAC24752480146CB +:104E400081463E85C285EF103064AA8763D607028B +:104E5000C2475248054417B701001307A741104342 +:104E600054433E85C285EF103062AA8763C30700B2 +:104E700001449377F40F25A0C2475248054417B761 +:104E800001001307A73C104354433E85C285EF1031 +:104E9000F04FAA876343F00001449377F40F81C772 +:104EA0008547231DF1028317A103E39307ECC25743 +:104EB00005472390E7006DBD5D7186C6A2C42AC672 +:104EC000A9473EDA231D0102B2473ED8D2578E07CA +:104ED0003E85EFE05FE82AD602D002D202CC02CEB5 +:104EE00002DE95A07255EF20B05AF2578E07325766 +:104EF0003304F70097B70100938747389043D443B2 +:104F0000EF105068AA872E881CC023220401725516 +:104F1000EF20105897B70100938747369043D4434A +:104F2000EF105066AA872E883E85C2858257125898 +:104F30003E86C286EF001044AA872E883ED042D219 +:104F4000F25785073EDE7257D257E36DF7F802DE5F +:104F50003DA0F2578E073257BA9703A847009C43EB +:104F6000E24672473686BA863E85C285EF0090409B +:104F7000AA872E883ECC42CEF25785073EDE725776 +:104F8000D257E368F7FC82571258E24672473686DA +:104F9000BA863E85C285EF20E04DAA872E883EC89E +:104FA00042CAC2475248014681463E85C285EF103B +:104FB000B04DAA8763D60702C2475248054417B7C7 +:104FC00001001307272B104354433E85C285EF1081 +:104FD000B04BAA8763C3070001449377F40F25A061 +:104FE000C2475248054417B701001307272610434C +:104FF00054433E85C285EF107039AA876343F000A1 +:1050000001449377F40F81C78547231DF10283176D +:10501000A103E39307ECC25705472390E7006DBD5A +:10502000411185473EC602C435A817470300130740 +:105030006775A2478607BA9783D7070013970701BA +:10504000418385476304F70002C611A81747030090 +:1050500013074773A2478607BA9723900700A24712 +:1050600085073EC422478D47E3D1E7FCB2473E8522 +:1050700041018280B305B500930705006386B70040 +:1050800003C70700631607003385A7406780000049 +:10509000938717006FF09FFE130101FD23229102F9 +:1050A000232A5101232611022324810223202103D4 +:1050B000232E3101232C4101232861012326710174 +:1050C00023248101232291012320A101930A0500B9 +:1050D00093840500639E06381304060093090500B7 +:1050E00017B901001309892163F8C512B707010038 +:1050F000138B05006378F6101307F00F3337C700E2 +:1051000013173700B357E6003309F9008346090047 +:105110003387E60093060002B386E640638C060000 +:10512000B394D40033D7EA003314D600336B97001E +:10513000B399DA00935A040193850A0013050B0012 +:10514000EF2090461309050093850A00931B040184 +:1051500013050B00EF20D04093DB0B0193040500F7 +:105160009305050013850B00EF20D03C13190901AE +:1051700093D70901B367F900138A040063FEA700FF +:10518000B3878700138AF4FF63E8870063F6A700FC +:10519000138AE4FFB3878700B384A74093850A008E +:1051A00013850400EF2050401309050093850A0081 +:1051B00013850400EF20D03A939909019304050068 +:1051C000930505001319090113850B0093D90901F3 +:1051D000EF205036B36939011386040063FCA9003F +:1051E000B30934011386F4FF63E6890063F4A90070 +:1051F0001386E4FF13140A013364C400130A000089 +:105200006F000013B707000113070001E36CF6EE0F +:10521000130780016FF01FEF138A0600631A060060 +:105220009305000013051000EF20903313040500D0 +:10523000B7070100637EF4129307F00F63F4870051 +:10524000130A8000B35744013309F90003470900EA +:105250009306000233074701B386E64063940612C3 +:10526000B3848440130A1000135B040193050B0000 +:1052700013850400EF2050331309050093050B003C +:1052800013850400931B0401EF20902D93DB0B0189 +:10529000930405009305050013850B00EF2090296A +:1052A0001319090193D70901B367F900938A040020 +:1052B00063FEA700B3878700938AF4FF63E8870043 +:1052C00063F6A700938AE4FFB3878700B384A740FF +:1052D00093050B0013850400EF20102D1309050022 +:1052E00093050B0013850400EF2090279399090183 +:1052F00093040500930505001319090113850B009C +:1053000093D90901EF201023B369390113860400F2 +:1053100063FCA900B30934011386F4FF63E6890036 +:1053200063F4A9001386E4FF13940A013364C400F4 +:105330001305040093050A008320C102032481029F +:1053400083244102032901028329C101032A810127 +:10535000832A4101032B0101832BC100032C81000F +:10536000832C4100032D010013010103678000001D +:10537000B7070001130A0001E366F4EC130A800189 +:105380006FF05FEC3314D40033DAE400B399DA0041 +:1053900033D7EA00935A0401B394D40093850A00EA +:1053A00013050A00336B9700EF2010201309050046 +:1053B00093850A0013050A00931B0401EF20501A7D +:1053C00093DB0B01930405009305050013850B0087 +:1053D000EF2050161319090113570B013367E90029 +:1053E000138A0400637EA70033078700138AF4FF43 +:1053F000636887006376A700138AE4FF330787009A +:10540000B304A74093850A0013850400EF20D01948 +:105410001309050093850A0013850400EF2050143A +:10542000930405009305050013850B00EF209010F1 +:1054300013170B011357070113190901B367E9008B +:105440001387040063FEA700B38787001387F4FF68 +:1054500063E8870063F6A7001387E4FFB38787003C +:10546000131A0A01B384A740336AEA006FF0DFDF42 +:1054700063ECD51EB707010063F4F6041307F00FC1 +:10548000B335D7009395350033D7B60097B70100F1 +:105490009387C7E6B387E70003C70700130A000234 +:1054A0003307B700330AEA4063160A0213041000F8 +:1054B000E3E096E833B6CA00134416006FF05FE7E6 +:1054C000B707000193050001E3E0F6FC93058001B6 +:1054D0006FF09FFBB35CE600B3964601B3ECDC00D3 +:1054E00033D4E40093DB0C01B397440133D7EA00D3 +:1054F00093850B0013050400336BF700B3194601C5 +:10550000EF20900A1309050093850B001305040092 +:10551000139C0C01EF20D004135C0C0193040500D4 +:105520009305050013050C00EF20D00013190901A5 +:1055300013570B013367E90013840400637EA7004F +:10554000330797011384F4FF636897016376A7001C +:105550001384E4FF33079701B304A74093850B003E +:1055600013850400EF2050041309050093850B00F8 +:1055700013850400EF20C07E930405009305050009 +:1055800013050C00EF20007B93170B011319090181 +:1055900093D70701B367F9001386040063FEA700E1 +:1055A000B38797011386F4FF63E8970163F6A700BA +:1055B0001386E4FFB387970113140401B70B0100AE +:1055C0003364C4001389FBFF337D240133F92901BF +:1055D000B384A7409305090013050D00EF208075E3 +:1055E000935C040193050900130B050013850C005F +:1055F000EF20407493D90901130C0500938509002D +:1056000013850C00EF200073130905009385090032 +:1056100013050D00EF2000723305850193570B0130 +:105620003385A70063748501330979019357050118 +:10563000B387270163E6F402E392F4BCB7070100E5 +:105640009387F7FF3375F50013150501337BFB00D6 +:1056500033964A0133056501130A0000E37AA6CCAC +:105660001304F4FF6FF09FB9130A00001304000045 +:105670006FF01FCC130101FB23248104232291042A +:10568000232E3103232291032326110423202105F5 +:10569000232C4103232A510323286103232671036A +:1056A000232481032320A103232EB101930C0500A1 +:1056B000938905001304050093840500639E062664 +:1056C00013090600138A060097BA0100938A0AC3D9 +:1056D00063F4C514B70701006376F6129307F00F61 +:1056E00063F4C700130A8000B3574601B38AFA0077 +:1056F00003C70A001305000233074701330AE540D8 +:10570000630C0A00B395490133D7EC003319460105 +:10571000B364B70033944C01935A090193850A008E +:1057200013850400EF2040689309050093850A0063 +:10573000131B090113850400EF208062135B0B012A +:105740009305050013050B00EF20C05E9399090136 +:1057500093570401B3E7F90063FAA700B387270161 +:1057600063E6270163F4A700B3872701B384A7404A +:1057700093850A0013850400EF2000639309050058 +:1057800093850A0013850400EF20805D1314040143 +:10579000930505009399090113050B0013540401A7 +:1057A000EF20405933E48900637AA40033042401D4 +:1057B000636624016374A400330424013304A44009 +:1057C00033554401930500008320C1040324810460 +:1057D00083244104032901048329C103032A81038B +:1057E000832A4103032B0103832BC102032C810273 +:1057F000832C4102032D0102832DC10113010105F8 +:1058000067800000B7070001130A0001E36EF6ECA1 +:10581000130A80016FF05FED631A06009305000024 +:1058200013051000EF20C05313090500B70701004E +:10583000637AF90E9307F00F63F42701130A8000CF +:10584000B3574901B38AFA0003C70A0013050002DF +:10585000B384294133074701330AE540E30E0AEADE +:1058600033194901B3DAE900B395490133D7EC00A4 +:1058700093540901336BB70013850A009385040024 +:10588000EF2080529309050093850400931B0901C2 +:1058900013850A00EF20C04C93DB0B019305050034 +:1058A00013850B00EF2000499399090193570B01D1 +:1058B000B3E7F90033944C0163FAA700B3872701DB +:1058C00063E6270163F4A700B3872701B38AA740E3 +:1058D0009385040013850A00EF20004D930905000D +:1058E0009385040013850A00EF2080479305050087 +:1058F00013850B00EF20004493150B0193990901C8 +:1059000093D50501B3E5B90063FAA500B385250178 +:1059100063E6250163F4A500B3852501B384A540A2 +:105920006FF09FDFB7070001130A0001E36AF9F087 +:10593000130A80016FF0DFF0E3E8D5E8B707010054 +:1059400063FCF604930BF00F33B5DB001315350041 +:1059500033D7A60097B701009387479AB387E7002C +:1059600083CB070093050002B38BAB00338B7541EB +:10597000631E0B0263E4360163EACC003384CC403F +:10598000B386D94033B58C00B384A6401305040018 +:10599000938504006FF05FE3B70700011305000172 +:1059A000E3E8F6FA130580016FF09FFAB3966601FB +:1059B000335D7601336DDD0033D47901B395690130 +:1059C00033DC7C0193540D01336CBC0013050400DF +:1059D00093850400B31A6601EF20003D130A050009 +:1059E000938504001305040033996C01931C0D0189 +:1059F000EF20003793DC0C0113040500930505002C +:105A000013850C00EF200033131A0A0113570C0101 +:105A10003367EA00130A0400637EA7003307A70177 +:105A2000130AF4FF6368A7016376A700130AE4FF73 +:105A30003307A701B309A740938504001385090024 +:105A4000EF208036938504001304050013850900B8 +:105A5000EF200031930505009304050013850C0029 +:105A6000EF20402D93150C011314040193D505016B +:105A7000B365B4001387040063FEA500B385A501D8 +:105A80001387F4FF63E8A50163F6A5001387E4FF1D +:105A9000B385A501131A0A01B70C0100336AEA00A5 +:105AA0001384FCFFB3778A0033F48A00B384A540E3 +:105AB00013850700930504002326F100135A0A01F9 +:105AC000EF204027930905009305040013050A0001 +:105AD000EF20402613DC0A01930D050093050C000E +:105AE00013050A00EF2000258327C100130A0500D3 +:105AF00093050C0013850700EF20C0233305B50183 +:105B000013D709013307A7006374B701330A9A0159 +:105B1000B70701009387F7FF935507013377F70025 +:105B200013170701B3F7F900B3854501B307F70071 +:105B300063E6B400639EB400637CF900338657418A +:105B4000B3B7C700B385A541B385F54093070600F9 +:105B5000B307F9403339F900B385B440B385254123 +:105B600033947501B3D767013365F400B3D565018C +:105B70006FF09FC5370810001308F8FF130101FEEE +:105B8000B378B80013D745019357D50123282101D5 +:105B90001379F77F139738003378D800B3E7E7001D +:105BA00013D7460113183800232A91001377F77F83 +:105BB00093D8F601232E11009356D601232C810091 +:105BC0002326310193D4F50133E306011315350083 +:105BD000131636003308E9406394143B6354001FE6 +:105BE000631207143367C3006314070C9306F07F36 +:105BF00013070800631CD830B3E6A700639806308B +:105C000093070000130500009396870063DE0600EB +:105C1000130717009306F07F630CD774B70680FF55 +:105C20009386F6FFB3F7D7009396D7011355350047 +:105C300033E5A6009306F07F93D73700631ED700A5 +:105C40003365F5009307000063080500B7070800F7 +:105C50001305000093040000B70610009386F6FFBA +:105C6000B3F7D700B70610801377F77F9386F6FF58 +:105C700013174701B3F7D700B3E7E70037070080F2 +:105C80001347F7FF8320C101032481019395F40199 +:105C9000B3F7E70033E7B70083244101032901018B +:105CA0008329C100930507001301010267800000EA +:105CB0009305F8FF639C05043306C5003335A60041 +:105CC000B3876700B387A70013071000130506000A +:105CD0009396870063DC0622130717009306F07F74 +:105CE000E300D7F2B70680FF9386F6FFB3F6D7003E +:105CF00093551500137515009397F60133E5A5002C +:105D000033E5A70093D716006F0040201307F07FFC +:105D10006314E80233E7A700630007621307F07F0C +:105D20006F00C01E1307F07FE306E9FE370780000F +:105D30003363E3009305080013078003634EB7063F +:105D40001307F0016342B704130700023307B7409B +:105D5000B356B6003318E3003316E6003368D800B4 +:105D60003336C0003368C800B355B3003308A80009 +:105D7000B385F500B337A800B387F5001305080015 +:105D8000130709006FF0DFF4130700023358B30064 +:105D9000930600006388E50093060004B385B640CF +:105DA000B316B30033E6C6003336C0003368C8000C +:105DB000930500006FF09FFB3368C3003338000188 +:105DC0006FF01FFF630A080E631E0908B3E6A70001 +:105DD000639206029307F07F6318F7003365C300F0 +:105DE00093070000E30205E2930703001305060092 +:105DF0006F00C0119306F0FF631CD8003305C50087 +:105E0000B38767003336C500B387C7006FF05FEC18 +:105E10009306F07F1348F8FFE302D7FC9306800354 +:105E200063C606099306F00163CA060593050002DE +:105E3000B3850541B3580501B396B7003315B500D6 +:105E4000B3E616013335A00033E5A60033D80701C9 +:105E50003305C50033086800B337C500B307F80041 +:105E60006FF01FE79306F07FE30AD7F6B7068000CE +:105E700033080041B3E7D7006FF05FFA93080002E0 +:105E8000B3D60701930500006308180193050004C9 +:105E900033880541B395070133E5A5003335A000EC +:105EA00033E5A600130800006FF09FFA33E5A70062 +:105EB0003335A0006FF01FFF130719009375F77FAC +:105EC0009306100063C8B60833E7A70063140906F9 +:105ED00063080744B366C300130700006388060223 +:105EE0003306C5003335A600B3876700B387A70024 +:105EF000939687001305060063DA0600370780FFD4 +:105F00001307F7FFB3F7E700130710009376750048 +:105F1000E38C06CE9376F50013064000E386C6CEEA +:105F20009306450033B5A600B387A7001385060086 +:105F30006FF09FCD630C073E3368C300E30008DEBB +:105F4000B7074000130500001307F07F930400001B +:105F50006FF09FCB9306F07FE304D7CA3306C500EA +:105F600033886700B337A6003308F8001315F8012B +:105F7000135616003365C500935718006FF01FF9CC +:105F8000635E000F6312070A3367C300E30007C6AE +:105F90009306F8FF639E06023306C5403335C500FD +:105FA000B3876740B387A7401307100013050600A7 +:105FB00093968700E3DC06F4370480001304F4FFB3 +:105FC00033F4870093090500130907006F000027C9 +:105FD0001307F07FE300E8D413078003634AD70870 +:105FE0001307F001634ED704130700023307D740AD +:105FF000B355D6003318E3003316E6003368B80013 +:106000003336C0003368C800B356D30033080541A7 +:10601000B386D740B3370501B387F64013050800B0 +:10602000130709006FF0DFF81307F07FE304E9CEF0 +:10603000370780003363E300930608006FF0DFF951 +:10604000130700023358D300130400006388E600EE +:1060500013040004B306D4403314D3003366C400E1 +:106060003336C0003368C800930600006FF01FFA93 +:106070003368C300333800016FF01FFF630A080E56 +:10608000631E0908B3E6A700639006029307F07F3A +:106090006316F7003365C3006308052A93070300FE +:1060A000130506006F00C00F9306F0FF631ED800B3 +:1060B0003305A640B307F3403336A600B387C74085 +:1060C000938408006FF0DFEE9306F07F1348F8FF2B +:1060D000E302D7FC9306800363C606099306F0012A +:1060E00063CA060593050002B3850541335E0501C9 +:1060F000B396B7003315B500B3E6C6013335A0003B +:1061000033E5A60033D807013305A6403308034121 +:10611000B337A600B307F8406FF09FFA9306F07FFD +:10612000E30AD7F6B706800033080041B3E7D7008B +:106130006FF05FFA130E0002B3D60701930500005B +:106140006308C8019305000433880541B39507012E +:1061500033E5A5003335A00033E5A60013080000A1 +:106160006FF09FFA33E5A7003335A0006FF01FFFF3 +:10617000130719001377F77F9306100063CAE60828 +:10618000B3E6A7003367C30063140906639E0600E5 +:106190006302071C930703001305060013070000A2 +:1061A000938408006FF09FD663000704B306C540D0 +:1061B000B335D500338767403307B7409315870061 +:1061C00063DC05003305A640B307F3403336A60071 +:1061D000B387C7406FF09FFC33E5E600630A050C08 +:1061E0009307070013850600130700006FF01FD206 +:1061F000639C060063080716930703001305060057 +:10620000938408006FF09FB1E30A07B06FF05FD38B +:10621000B309C54033846740333835013304044142 +:106220001317840063520708B309A6403304F340F0 +:10623000B33736013304F44093840800630E040638 +:1062400013050400EF10903C130785FF9307F0013E +:1062500063CCE70693070002B387E7403314E400FA +:10626000B3D7F90033E487003395E9006340270B87 +:1062700033072741930717009306F00163CEF60416 +:10628000130700023307F7403356F500B316E40056 +:106290003315E500B3E6C6003335A00033E5A600AC +:1062A000B357F4006FF05FF433E58900E31805F8A5 +:1062B000930700006F00800A13850900EF10103566 +:1062C000130505026FF05FF8130485FD3394890010 +:1062D000130500006FF09FF9130717FE1306000265 +:1062E0003357E400930600006388C7009306000458 +:1062F000B386F640B316D4003365D5003335A0001D +:106300003365A700930700006FF01FEEB70780FF0B +:106310009387F7FF3307E940B377F4006FF01FBFAF +:1063200093070300130506006FF01FEC93070300AB +:10633000130506006FF09F9E9307000013050000F1 +:106340001307F07F6FF05F8C9307000093840800C1 +:106350006FF09F8B930700001305000013070000E8 +:106360006FF0DFBE13050000B70740006FF0DFBD20 +:1063700093070000130500006FF01F8B130101FB52 +:1063800023248104232C41033704100013DA450130 +:1063900023202105232E3103232A510323248103A3 +:1063A0001304F4FF232611042322910423286103FC +:1063B00023267103232291032320A103232EB1015D +:1063C000137AFA7F13090500130C0600938A06005E +:1063D0003374B40093D9F50163060A0A9307F07F7A +:1063E0006304FA1013143400B70780003364F40018 +:1063F000135BD501336B8B0093143500130A1AC05D +:10640000930B000013D54A01370910001309F9FF57 +:106410001375F57F3379590193050C0093DAFA016E +:10642000630205109307F07F6302F51637048000BE +:1064300013193900336989001354DC0133642401D2 +:1064400093153C00130515C09307000013972B000C +:106450003367F7001307F7FF9306E00033C95901CC +:10646000330AAA4063E0E61697A60100938686E108 +:10647000131727003307D700032707003307D70078 +:1064800067000700336BA400630E0B06630004046F +:1064900013050400EF109017930755FF1307C00171 +:1064A000634CF702130BD001930485FF330BFB40C1 +:1064B00033149400335B6901336B8B00B314990080 +:1064C000130AD0C0330AAA406FF09FF3EF101014E4 +:1064D000130505026FF05FFC130485FD331B890073 +:1064E000930400006FF0DFFD336BA40063040B0224 +:1064F00093040500130B0400130AF07F930B300084 +:106500006FF05FF093040000130A0000930B10007B +:106510006FF05FEF93040000130AF07F930B2000ED +:106520006FF05FEE33648901630E040663000904B3 +:1065300013050900EF10900D930755FF1307C001D5 +:10654000634EF7021304D001930585FF3304F44032 +:106550003319B90033548C0033642401B315BC00E3 +:106560001307D0C03305A7406FF01FEE13050C00D2 +:10657000EF10D009130505026FF01FFC130485FD11 +:1065800033148C00930500006FF09FFD3364890184 +:1065900063020402130409001305F07F930730001F +:1065A0006FF0DFEA93050000130500009307100069 +:1065B0006FF0DFE9930500001305F07F93072000DB +:1065C0006FF0DFE86366640163128B4863E0B448F0 +:1065D0009316FB0113D71400139CF401135B1B00EB +:1065E000B3E4E6001314840093DC8501B3EC8C0063 +:1065F00093DA0C0193970C0193D70701139D850043 +:1066000013050B0093850A002322F100EF1040755B +:1066100093050500930B050013950C011355050117 +:10662000EF1040711304050093850A0013050B0059 +:10663000EF1080771315050113D704013365A70008 +:1066400093890B00637E8500330595019389FBFFD9 +:1066500063689501637685009389EBFF33059501A7 +:106660003304854093850A0013050400EF10406F42 +:1066700093050500930B050013950C0113550501B7 +:10668000EF10406B130B050093850A0013050400FF +:10669000EF108071939D04011315050193DD0D0129 +:1066A000B3EDAD0013870B0063FE6D01B38D9D014B +:1066B0001387FBFF63E89D0163F66D011387EBFF12 +:1066C000B38D9D0193960901B7040100B3E6E6007E +:1066D000B38D6D41138BF4FF33F76601337B6D018E +:1066E0001305070093050B0013D406012326D100E0 +:1066F0002324E100EF1000642322A10093050B0086 +:1067000013050400EF100063935B0D01930905006E +:1067100093850B0013050400EF10C061032781006F +:106720001304050013850B0093050700EF1080602C +:1067300003264100330535018326C10013570601A6 +:106740003307A70063743701330494003705010051 +:106750001305F5FF93540701B379A7009399090135 +:106760003376A600B3848400B389C90063E89D0032 +:106770001384060063949D0463723C05330CAC01E2 +:106780003337AC0133079701B38DED001384F6FF67 +:1067900063E6BC016394BC036362AC0363E69D00E3 +:1067A000639EB401637C3C01330CAC013337AC0114 +:1067B000330797011384E6FFB38DED00B3093C4125 +:1067C000B3849D40B3373C01B384F4409305F0FF9C +:1067D00063889C1A93850A0013850400EF104058C3 +:1067E000930505002324A10013950C011355050101 +:1067F000EF1040542322A10093850A001385040062 +:10680000EF10805A832681000327410013150501EC +:1068100093D7090133E5A700938D0600637EE50059 +:1068200033059501938DF6FF636895016376E50066 +:10683000938DE6FF33059501B304E54093850A0087 +:1068400013850400EF10C051930505002322A10019 +:1068500013950C0113550501EF10C04D130C0500E5 +:1068600093850A0013850400EF10005493990901E1 +:10687000032741001315050193D9090133E5A90048 +:1068800093070700637E8501330595019307F7FFA2 +:1068900063689501637685019307E7FF33059501EA +:1068A00093940D01B3E4F4009397040193D7070187 +:1068B00093050B00B3098541138507002322F100DE +:1068C00093DD0401EF10004793050B00930A0500C8 +:1068D00013850D00EF100046130C050093850D0085 +:1068E00013850B00EF10004583274100130B0500B3 +:1068F00013850B0093850700EF10C0433305850116 +:1069000093D70A013385A70063768501B707010095 +:10691000330BFB00B70601009386F6FF9357050182 +:106920003377D50013170701B3FADA00B38767018D +:106930003307570163E8F900938504006390F90475 +:106940006300070433853C019385F4FF636495037A +:106950006366F5006314F5026370ED0293161D0083 +:1069600033BDA601B30C9D019385E4FF330595016A +:10697000138D06006314F5006304A70193E5150069 +:106980001307FA3F6352E01293F775006380070222 +:1069900093F7F50093064000638AD700938645007D +:1069A000B3B5B6003304B4009385060093177400A2 +:1069B00063DA0700B70700FF9387F7FF3374F4002B +:1069C00013070A409307E07F63C2E71A9317D401C5 +:1069D00093D53500B3E7B70013543400B706100061 +:1069E0009386F6FF3374D400B70610801377F77FD1 +:1069F0009386F6FF131747013374D4001319F90176 +:106A00003364E400336724018320C1040324810438 +:106A100083244104032901048329C103032A810338 +:106A2000832A4103032B0103832BC102032C810220 +:106A3000832C4102032D0102832DC1011385070020 +:106A4000930507001301010567800000130AFAFF90 +:106A5000130C00006FF01FB91389090013040B0019 +:106A60009385040093870B00130720006380E710D1 +:106A7000130730006382E70E13071000E392E7F07C +:106A800013040000930700006F00400913890A00F7 +:106A90006FF09FFD37040800930500001309000004 +:106AA000930730006FF05FFC93061000B386E6405A +:106AB00093078003E3C6D7FC9307F00163C4D706AE +:106AC000130AEA41B317440133D7D500339A45017D +:106AD000B3E7E700333A4001B3E747013354D4004A +:106AE00013F777006300070213F7F70093064000DF +:106AF000630AD70013874700B337F7003304F40065 +:106B00009307070013178400634A07061317D4017D +:106B100093D73700B367F70013543400130700000E +:106B20006FF0DFEB930710FEB387E7401307000217 +:106B3000B357F400130500006386E600130AEA4326 +:106B400033154401336AB500333A4001B3E74701D6 +:106B5000130400006FF0DFF837040800930700000B +:106B60001307F07F130900006FF05FE713040000C4 +:106B7000930700001307F07F6FF05FE61304000037 +:106B800093070000130710006FF05FE513D745016E +:106B9000B70710009387F7FF13D846019308050045 +:106BA000930E05001377F77F1305F07F33F3B700DB +:106BB000130F0600B3F7D70093D5F5011378F87FCC +:106BC00093D6F601631AA700336E13011305E0FF95 +:106BD000630C0E0867800000631AA80033E6C70044 +:106BE0001305E0FF630A06086780000063100708CA +:106BF00033651301133515006316080033E6C7002B +:106C000063000606631A0500638ED50013051000A5 +:106C10006396050067800000E39E06FE1305F0FF03 +:106C200067800000E344E8FE635A07011305F0FFA4 +:106C3000E38205FE1305100067800000E3E867FCAF +:106C4000631AF300E364DFFD13050000E3E0EEFFE9 +:106C50006FF05FFCE36CF3FC13050000678000003D +:106C6000E31C05FE6FF09FFAE30AE8F61305000047 +:106C7000E30608F86FF05FF9E31807F833651301CE +:106C8000133515006FF01FF813D74501B707100033 +:106C90009387F7FF13D8460193080500930E05006C +:106CA0001377F77F1305F07F33F3B700130F060058 +:106CB000B3F7D70093D5F5011378F87F93D6F60193 +:106CC000631AA700336E130113052000630C0E082E +:106CD00067800000631AA80033E6C7001305200090 +:106CE000630A060867800000631007083365130114 +:106CF000133515006316080033E6C7006300060667 +:106D0000631A0500638ED500130510006396050015 +:106D100067800000E39E06FE1305F0FF6780000019 +:106D2000E344E8FE635A07011305F0FFE38205FE22 +:106D30001305100067800000E3E867FC631AF300A6 +:106D4000E364DFFD13050000E3E0EEFF6FF05FFC9E +:106D5000E36CF3FC1305000067800000E31C05FEF4 +:106D60006FF09FFAE30AE8F613050000E30608F85F +:106D70006FF05FF9E31807F8336513011335150059 +:106D80006FF01FF8130101FA232C8104232631052B +:106D90003704100093D94501232A9104232061056B +:106DA000232E7103232C81031304F4FF232E1104DB +:106DB000232821052324410523225105232A910359 +:106DC0002328A1032326B10393F9F97F9304050037 +:106DD000930B0600138C06003374B40013DBF5012B +:106DE0006388090A9307F07F6386F91037098000EA +:106DF00013143400336424011359D5013369890015 +:106E0000131D3500938919C0930C000013554C01D4 +:106E1000370A1000130AFAFF1375F57F337A8A01D7 +:106E200093840B00135CFC01630405109307F07F4F +:106E30006304F51637048000131A3A00336A8A0097 +:106E400013D4DB013364440193943B00130515C054 +:106E50009307000013972C003367F700B389A9004C +:106E60001307F7FF9306E000B34B8B01938A1900D9 +:106E700063E0E61697960100938686441317270071 +:106E80003307D700032707003307D7006700070041 +:106E90003369A400630E09066300040413050400AB +:106EA000EF00D076930755FF1307C001634CF7023C +:106EB0001309D001130D85FF3309F9403314A401E0 +:106EC00033D9240133698900339DA4019309D0C0CB +:106ED000B389A9406FF05FF3EF005073130505020B +:106EE0006FF05FFC130985FD33992401130D000039 +:106EF0006FF0DFFD3369A40063040902130D050080 +:106F0000130904009309F07F930C30006FF01FF019 +:106F1000130D000093090000930C10006FF01FEF99 +:106F2000130D00009309F07F930C20006FF01FEE0B +:106F300033647A01630E040663000A0413050A0031 +:106F4000EF00D06C930755FF1307C001634EF702A3 +:106F50001304D001930485FF3304F440331A9A00DC +:106F600033D48B0033644401B3949B009307D0C0A7 +:106F70003385A7406FF0DFED13850B00EF0010693C +:106F8000130505026FF01FFC130485FD33948B007D +:106F9000930400006FF09FFD33647A0163020402E2 +:106FA00013040A001305F07F930730006FF09FEA87 +:106FB0009304000013050000930710006FF09FE991 +:106FC000930400001305F07F930720006FF09FE803 +:106FD00037070100130AF7FF135C0D0193DD04016D +:106FE000337D4D01B3F4440193050D001385040076 +:106FF0002328E100EF001054930C05009385040052 +:1070000013050C00EF0010532326A10093850D00FB +:1070100013050C00EF001052130B050093050D0033 +:1070200013850D00EF0010518326C10093D70C018A +:107030003305D5003385A7006376D500032701010A +:10704000330BEB009356050133754501B3FC4C013E +:1070500013150501B3079501935C040133744401D2 +:1070600093050D0013050400232AD1002326F10007 +:10707000EF00504C2328A1009305040013050C00D9 +:10708000EF00504B130A050093850C0013050C000C +:10709000EF00504A130C050093050D0013850C00FA +:1070A000EF005049032701013305450183264101C3 +:1070B000935707013385A70063764501B7070100A1 +:1070C000330CFC003706010093570501338C870110 +:1070D0009307F6FF337AF5003377F700131A0A01A6 +:1070E000135D0901330AEA003379F9003387460159 +:1070F00093050900138504002328E100232EC10015 +:10710000EF00504393850400232CA10013050D00CC +:10711000EF005042232AA10093050D0013850D00B6 +:10712000EF005041930405009305090013850D00FD +:10713000EF00504083264101032781013305D5002C +:10714000935707013385A7006376D5000326C10155 +:10715000B384C400B70601009387F6FF935D050171 +:10716000B3849D00B37DF5003377F70093050900E4 +:1071700013050400939D0D01B38DED00232CD10068 +:10718000EF00503B93050400232AA10013050D00D6 +:10719000EF00503A93050D001304050013850C0011 +:1071A000EF005039130D05009305090013850C00FD +:1071B000EF0050380327410133058500935707013D +:1071C0003385A7006376850083268101330DDD00BA +:1071D00083270101B70601009386F6FF330BFB00FE +:1071E000B377D5003377D70093970701B387E700CC +:1071F000333A4B01B387870133844701330BBB011B +:1072000033079400B33DBB01B306B70133BC87011C +:1072100033344401935705013337970033648C00AE +:10722000B3BDB6013304F400B36DB7013304B40148 +:107230003304A40193D77601131494003364F4004B +:107240008327C10093149B00135B7B01B3E4F4001C +:10725000B334900093979600B3E46401B3E4F40070 +:107260009317740063D2071293D7140093F4140099 +:10727000B3E497009317F401B3E4F400135414003B +:107280001387FA3F6356E01093F774006380070298 +:1072900093F7F40093064000638AD7009387440075 +:1072A000B3B49700330494009384070093177400D9 +:1072B00063DA0700B70700FF9387F7FF3374F40022 +:1072C00013870A409307E07F63C6E71893DA340018 +:1072D0009314D401B3E4540113543400B7071000DD +:1072E0009387F7FF3374F4009377F77F37071080A5 +:1072F0001307F7FF939747013374E400939BFB0157 +:107300003364F400B36774018320C105032481054D +:107310001385040003290105832441058329C10441 +:10732000032A8104832A4104032B0104832BC10314 +:10733000032C8103832C4103032D0103832DC10200 +:10734000938507001301010667800000930B0B0073 +:107350001304090093040D0093870C001307200009 +:10736000638AE70E13073000638CE70C13071000E5 +:10737000E398E7F013040000930400006F00800816 +:10738000930B0C006FF09FFD938A09006FF05FEF85 +:1073900093061000B386E64093078003E3CCD7FC46 +:1073A0009307F00163C4D706938AEA41B3175401E7 +:1073B00033D7D400B3945401B3E7E700B33490005B +:1073C000B3E497003354D40093F77400638007024A +:1073D00093F7F40013074000638AE70093874400A3 +:1073E000B3B4970033049400938407009317840088 +:1073F00063CA07069317D40193D43400B3E497000B +:1074000013543400130700006FF05FED930710FE74 +:10741000B387E74013060002B357F40013070000D8 +:107420006386C600938AEA4333175401B364970016 +:10743000B3349000B3E49700130400006FF0DFF85A +:1074400037040800930400001307F07F930B00003B +:107450006FF0DFE813040000930400001307F07FCF +:107460006FF0DFE71304000093040000130710001F +:107470006FF0DFE6370810001308F8FF130101FE74 +:10748000B378B80013D745019357D5013378D800A6 +:10749000232821011379F77F13973800B3E7E7001A +:1074A00093D8F60113D74601131838009356D60126 +:1074B000232A910033E30601232E1100232C81009F +:1074C000232631011377F77F9306F07F93D4F501DC +:1074D00013153500131636006316D700B366C300C4 +:1074E0006394060093C818003308E9406394983AFF +:1074F0006354001F631207143367C3006314070C3F +:107500009306F07F13070800631CD830B3E6A7008A +:1075100063980630930700001305000093968700D8 +:1075200063DE0600130717009306F07F630CD77421 +:10753000B70680FF9386F6FFB3F7D7009396D7017F +:107540001355350033E5A6009306F07F93D7370037 +:10755000631ED7003365F50093070000630805003C +:10756000B70708001305000093040000B7061000D9 +:107570009386F6FFB3F7D700B70610801377F77F2F +:107580009386F6FF13174701B3F7D700B3E7E70079 +:10759000370700801347F7FF8320C10103248101CF +:1075A0009395F401B3F7E70033E7B7008324410173 +:1075B000032901018329C10093050700130101027A +:1075C000678000009305F8FF639C05043306C5003F +:1075D0003335A600B3876700B387A70013071000F1 +:1075E000130506009396870063DC06221307170035 +:1075F0009306F07FE300D7F2B70680FF9386F6FF8D +:10760000B3F6D70093551500137515009397F6013F +:1076100033E5A50033E5A70093D716006F0040209F +:107620001307F07F6314E80233E7A70063000762E3 +:107630001307F07F6F00C01E1307F07FE306E9FE1B +:10764000370780003363E3009305080013078003C6 +:10765000634EB7061307F0016342B7041307000235 +:107660003307B740B356B6003318E3003316E600CD +:107670003368D8003336C0003368C800B355B30050 +:107680003308A800B385F500B337A800B387F50029 +:1076900013050800130709006FF0DFF41307000259 +:1076A0003358B300930600006388E5009306000496 +:1076B000B385B640B316B30033E6C6003336C00018 +:1076C0003368C800930500006FF09FFB3368C30068 +:1076D000333800016FF01FFF630A080E631E0908AC +:1076E000B3E6A700639206029307F07F6318F700E2 +:1076F0003365C30093070000E30205E2930703002C +:10770000130506006F00C0119306F0FF631CD8003C +:107710003305C500B38767003336C500B387C7009C +:107720006FF05FEC9306F07F1348F8FFE302D7FC9D +:107730009306800363C606099306F00163CA060533 +:1077400093050002B3850541B3580501B396B70010 +:107750003315B500B3E616013335A00033E5A600B6 +:1077600033D807013305C50033086800B337C500B7 +:10777000B307F8006FF01FE79306F07FE30AD7F630 +:10778000B706800033080041B3E7D7006FF05FFA17 +:1077900093080002B3D6070193050000630818019F +:1077A0009305000433880541B395070133E5A5002F +:1077B0003335A00033E5A600130800006FF09FFAF0 +:1077C00033E5A7003335A0006FF01FFF1307190042 +:1077D0009375F77F9306100063C8B60833E7A700D8 +:1077E0006314090663080744B366C3001307000067 +:1077F000638806023306C5003335A600B3876700E9 +:10780000B387A700939687001305060063DA060086 +:10781000370780FF1307F7FFB3F7E70013071000E0 +:1078200093767500E38C06CE9376F5001306400040 +:10783000E386C6CE9306450033B5A600B387A700FE +:10784000138506006FF09FCD630C073E3368C300BD +:10785000E30008DEB7074000130500001307F07FC0 +:10786000930400006FF09FCB9306F07FE304D7CA28 +:107870003306C50033886700B337A6003308F80025 +:107880001315F801135616003365C50093571800F9 +:107890006FF01FF9635E000F6312070A3367C300BE +:1078A000E30007C69306F8FF639E06023306C54051 +:1078B0003335C500B3876740B387A740130710006F +:1078C0001305060093968700E3DC06F43704800076 +:1078D0001304F4FF33F4870093090500130907002C +:1078E0006F0000271307F07FE300E8D4130780033D +:1078F000634AD7081307F001634ED7041307000249 +:107900003307D740B355D6003318E3003316E600EB +:107910003368B8003336C0003368C800B356D300AC +:1079200033080541B386D740B3370501B387F64026 +:1079300013050800130709006FF0DFF81307F07F45 +:10794000E304E9CE370780003363E30093060800C1 +:107950006FF0DFF9130700023358D300130400005F +:107960006388E60013040004B306D4403314D30044 +:107970003366C4003336C0003368C8009306000085 +:107980006FF01FFA3368C300333800016FF01FFF38 +:10799000630A080E631E0908B3E6A7006390060297 +:1079A0009307F07F6316F7003365C3006308052A69 +:1079B00093070300130506006F00C00F9306F0FF46 +:1079C000631ED8003305A640B307F3403336A60044 +:1079D000B387C740938408006FF0DFEE9306F07F13 +:1079E0001348F8FFE302D7FC9306800363C6060939 +:1079F0009306F00163CA060593050002B3850541AD +:107A0000335E0501B396B7003315B500B3E6C60182 +:107A10003335A00033E5A60033D807013305A6406F +:107A200033080341B337A600B307F8406FF09FFA5D +:107A30009306F07FE30AD7F6B706800033080041CB +:107A4000B3E7D7006FF05FFA130E0002B3D6070159 +:107A5000930500006308C8019305000433880541BD +:107A6000B395070133E5A5003335A00033E5A60043 +:107A7000130800006FF09FFA33E5A7003335A0002C +:107A80006FF01FFF130719001377F77F930610009D +:107A900063CAE608B3E6A7003367C30063140906A8 +:107AA000639E06006302071C93070300130506008C +:107AB00013070000938408006FF09FD6630007044B +:107AC000B306C540B335D500338767403307B740A9 +:107AD0009315870063DC05003305A640B307F34028 +:107AE0003336A600B387C7406FF09FFC33E5E6004E +:107AF000630A050C930707001385060013070000AF +:107B00006FF01FD2639C06006308071693070300FB +:107B100013050600938408006FF09FB1E30A07B0D5 +:107B20006FF05FD3B309C540338467403338350104 +:107B3000330404411317840063520708B309A640B5 +:107B40003304F340B33736013304F4409384080020 +:107B5000630E040613050400EF00402B130785FF96 +:107B60009307F00163CCE70693070002B387E74071 +:107B70003314E400B3D7F90033E487003395E90008 +:107B80006340270B33072741930717009306F00143 +:107B900063CEF604130700023307F7403356F500AF +:107BA000B316E4003315E500B3E6C6003335A00094 +:107BB00033E5A600B357F4006FF05FF433E58900B6 +:107BC000E31805F8930700006F00800A1385090089 +:107BD000EF00C023130505026FF05FF8130485FD65 +:107BE00033948900130500006FF09FF9130717FE07 +:107BF000130600023357E400930600006388C700B1 +:107C000093060004B386F640B316D4003365D5005E +:107C10003335A0003365A700930700006FF01FEE17 +:107C2000B70780FF9387F7FF3307E940B377F40086 +:107C30006FF01FBF93070300130506006FF01FECE2 +:107C400093070300130506006FF09F9E9307000043 +:107C5000130500001307F07F6FF05F8C930700009F +:107C6000938408006FF09F8B9307000013050000BA +:107C7000130700006FF0DFBE13050000B7074000D8 +:107C80006FF0DFBD93070000130500006FF01F8B3E +:107C9000130101FF2326110023248100630405083A +:107CA00013040500EF0080161307E0419307A000BE +:107CB0003307A74063C0A7069307B000B387A74068 +:107CC00013055501B357F4003314A4003705100011 +:107CD0001305F5FFB7061080B3F7A7001377F77FFA +:107CE0009386F6FF13174701B3F7D700B3E7E70012 +:107CF000130504008320C10003248100370700809E +:107D00001347F7FF33F7E70093050700130101015D +:107D100067800000130555FFB317A400130400008B +:107D20006FF0DFFA93070000130400001307000050 +:107D30006FF0DFF9130605001305000093F6150038 +:107D4000638406003305C50093D51500131616008D +:107D5000E39605FE678000006340050663C60506DE +:107D600013860500930505001305F0FF630C06025A +:107D700093061000637AB6006358C000131616000D +:107D800093961600E36AB6FE1305000063E6C5008D +:107D9000B385C5403365D50093D61600135616003B +:107DA000E39606FE6780000093820000EFF05FFB21 +:107DB00013850500678002003305A04063D80500E5 +:107DC000B305B0406FF0DFF9B305B0409382000017 +:107DD000EFF01FF93305A040678002009382000096 +:107DE00063CA0500634C0500EFF09FF7138505009B +:107DF00067800200B305B040E35805FE3305A0409C +:107E0000EFF01FF63305B04067800200B7070100AE +:107E1000637AF5029307F00FB3B7A7009397370083 +:107E2000130700023307F740B357F5001785010029 +:107E30001305C54CB307F50003C507003305A7407C +:107E4000678000003707000193070001E36AE5FC43 +:087E5000930780016FF0DFFCD5 +:02000004800278 +:1000000049444C4500000000482FFEFF102FFEFF22 +:100010001E2FFEFF2C2FFEFF342FFEFF5830FEFF59 +:100020002030FEFF2E30FEFF3C30FEFF4430FEFF4E +:10003000546D722053766300DC35FEFFDC35FEFF25 +:10004000DC35FEFF5A36FEFF2A36FEFF5036FEFF35 +:10005000DC35FEFFDC35FEFF5A36FEFF2A36FEFF9A +:10006000546D725100000000286E756C6C29000000 +:10007000DE43FEFFDC45FEFFD245FEFFDC45FEFF12 +:10008000DC45FEFFDC45FEFFDC45FEFF5C44FEFF79 +:10009000DC45FEFFDC45FEFF1444FEFF6A44FEFF24 +:1000A000DC45FEFF1E44FEFF2844FEFF2844FEFF01 +:1000B0002844FEFF2844FEFF2844FEFF2844FEFF9C +:1000C0002844FEFF2844FEFF2844FEFFDC45FEFFD7 +:1000D000DC45FEFFDC45FEFFDC45FEFFDC45FEFFA8 +:1000E000DC45FEFFDC45FEFFDC45FEFFDC45FEFF98 +:1000F000DC45FEFFDC45FEFFDC45FEFFDC45FEFF88 +:10010000DC45FEFFDC45FEFFDC45FEFFDC45FEFF77 +:10011000DC45FEFFDC45FEFFDC45FEFFDC45FEFF67 +:10012000DC45FEFFDC45FEFFDC45FEFFDC45FEFF57 +:10013000DC45FEFFDC45FEFFDC45FEFFDC45FEFF47 +:10014000DC45FEFFDC45FEFFDC45FEFFDC45FEFF37 +:10015000DC45FEFFDC45FEFFDC45FEFFDC45FEFF27 +:10016000DC45FEFFDC45FEFFDC45FEFFDC45FEFF17 +:100170008E44FEFF3A45FEFFDC45FEFFDC45FEFFF8 +:10018000DC45FEFFDC45FEFFDC45FEFFDC45FEFFF7 +:10019000DC45FEFF8644FEFFDC45FEFFDC45FEFF3E +:1001A0008E45FEFF9445FEFFDC45FEFFDC45FEFF6D +:1001B000A244FEFFDC45FEFF8845FEFFDC45FEFF56 +:1001C000DC45FEFFAC45FEFF6D69616F750A0000FE +:1001D0006D69616F75320A0068756E6772790A0021 +:1001E0004572726F7220696E20666C6F7073207436 +:1001F00061736B73200D0A00436865636B54696D0E +:1002000065720000000000004D617468310000005C +:100210004D617468320000004D6174683300000065 +:100220004D617468340000006AE67F50274C41C17C +:100230005305A3923ADD5E4082E2C7985B53A24029 +:10024000B29DEFA7C6B18CC0FCA9F1D24D62503F60 +:10025000AE47E17A145678C0CDCCCCCC8CBCDF4014 +:1002600039D6C56D340000C0000000000000164003 +:10027000FCA9F1D24D6250BFE5D022DBF93E284007 +:10028000EC68FEFF0068FEFF0C68FEFF0068FEFFE2 +:10029000D868FEFF0068FEFF0C68FEFFEC68FEFFFA +:1002A000EC68FEFFD868FEFF0C68FEFFD867FEFF13 +:1002B000D867FEFFD867FEFF1468FEFFA070FEFF40 +:1002C000A070FEFFC470FEFF9470FEFF9470FEFFEE +:1002D0008471FEFFC470FEFF9470FEFF8471FEFF08 +:1002E0009470FEFFC470FEFF9070FEFF9070FEFFE2 +:1002F0009070FEFF8471FEFF0001020203030303FE +:1003000004040404040404040505050505050505A5 +:100310000505050505050505060606060606060685 +:10032000060606060606060606060606060606066D +:100330000606060606060606070707070707070755 +:10034000070707070707070707070707070707073D +:10035000070707070707070707070707070707072D +:10036000070707070707070707070707070707071D +:100370000707070707070707080808080808080805 +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B00008080808080808080808080808080808BD +:1003C00008080808080808080808080808080808AD +:1003D000080808080808080808080808080808089D +:1003E000080808080808080808080808080808088D +:0803F0000808080808080808C5 +:1003F8001000000000000000017A5200017C010199 +:100408001B0D02004C00000018000000844CFEFF89 +:10041800DC05000000440E307089039507810188CF +:100428000292049305940696089709980A990B9ADC +:100438000C0370020AC144C844C944D244D344D40A +:1004480044D544D644D744D844D944DA440E004469 +:100458000B00000050000000680000001052FEFF72 +:100468000005000000440E50748802890393059922 +:100478000B810192049406950796089709980A9AA1 +:100488000C9B0D0320010AC144C844C944D244D37B +:1004980044D444D544D644D744D844D944DA44DB78 +:0804A800440E00440B000000AB +:1004BC00AAAAAAAA08000000FC8F010004000000F0 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/flop_rv32ic_O3.hex b/VexRiscv/src/test/resources/freertos/flop_rv32ic_O3.hex new file mode 100644 index 0000000..b5e14f9 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/flop_rv32ic_O3.hex @@ -0,0 +1,2348 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000E1D8170502001305E56697A503009385CF +:1000A00025860146112217050200130525509705E4 +:1000B0000200938565560146C52817B50300130550 +:1000C000658497C503009385A58337B6ABAB13064C +:1000D000B6BAD92817C103001301C182EF80B02A34 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2C23A23A02200F3221034C4 +:1002400096DEEF00002B1701020003216139024105 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E24523A0120023A28D +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF4050301701020003212F +:10033000212B0241F65273901234B72200009382AF +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A23783A094 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2C21823A0220086DEEF20AA +:100460004037170102000321A1170241F652739091 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF407010EF10301501E503 +:10050000B24041018280B24041016F20802C9307AC +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30902995 +:1005B0008280411122C406C62A8426C2EF20101B65 +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF2020616DD5EF2010013C44FD17DA +:1005F0003CC43C44E344F0FEFD573CC4EF2050199A +:10060000EF20D0167C40635BF0021C4885CB93043E +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF20E05C6DD5EF20C07C8B +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20901429CD0111FF +:1006500022CC2A8406CE26CA4AC84EC62E89EF204E +:10066000F01024404C5C832904002685EF808036FE +:100670007D57232C0402B3079540CE974E9578C042 +:1006800048C0232434015CC438C4631109021C48E7 +:100690008DE7EF20F00FF2406244D2444249B24964 +:1006A0000545056182807370043001A013050401C3 +:1006B000B93D13054402A13DE9BF13050401EF2034 +:1006C00060538547E317F5FC9133E1B701E573709B +:1006D000043001A0011126CA4AC84EC606CE22CC5B +:1006E000AA842E89B289A5C9EF80C02E13055505AD +:1006F000EF30007D2A8435C5930745051CC144DCD5 +:1007000023202405EF20900624404C5C032904009C +:100710002685EF80202CFD56232C0402B30795403C +:100720003307A900CA9774C058C05CC434C4130509 +:10073000040123242401E13B13054402C93BEF20BB +:100740003005230834052285F2406244D2444249F0 +:10075000B2490561828013054005EF3060762A8436 +:1007600001E57370043001A000C051BF01E5737052 +:10077000043001A06375B5007370043001A041110D +:1007800022C409462E84814506C6893711C500DD7D +:10079000B2402244410182807370043001A05D7137 +:1007A00086C6A2C4A6C2CAC04EDE52DC56DA5AD8E9 +:1007B0005ED632C6630A051C6382051C89476399AD +:1007C000F600585D85476305F7007370043001A09B +:1007D0003689AE842A84EF20A06411E5B24781C730 +:1007E0007370043001A0EF206078185C5C5C014BF2 +:1007F000894BFD59130A0401930A44026365F70CFF +:1008000063077919B2476381072463150B00280831 +:10081000EF206051EF20C077EF10005AEF200075F5 +:100820007C4063943701232204043C4463943701E1 +:1008300023240404EF20C0756C002808EF20C0506A +:10084000631E051EEF208072185C5C5C630CF70A67 +:10085000EF200074EF2080713C44634FF00039A812 +:100860005685EF20203919C1EF2000593C44FD176F +:100870003CC43C446354F0005C50FDF32324340535 +:10088000EF200071EF20806E7C40634FF00039A8AC +:100890005285EF20203619C1EF2000567C40FD170D +:1008A0007CC07C406354F0001C48FDF323223405D7 +:1008B000EF20006EEF104075054BEF20206B185CA9 +:1008C0005C5CE37FF7F230406305060C631F09129E +:1008D0000844A685EF3000771C4434405840B69752 +:1008E0001CC463EAE70A1C5C14405850850714C412 +:1008F0001CDC5DC713054402EF20C02F8547631140 +:10090000F50AC9A8EF20C068B2455285EF20401013 +:10091000EF20C0653C44634FF00039A85685EF20B6 +:10092000602D19C1EF20404D3C44FD173CC43C44B0 +:100930006354F0005C50FDF323243405EF20406540 +:10094000EF20C0627C40634FF00039A85285EF2051 +:10095000602A19C1EF20404A7C40FD177CC07C40D2 +:100960006354F0001C48FDF323223405EF2040625D +:10097000EF10806931F1593C054B81B73C41E38F61 +:1009800007E27370043001A07370043001A030409E +:1009900021E61C4085C71C5C585085071CDC39FBD0 +:1009A000EF20005F0545B640264496440649F259BB +:1009B000625AD25A425BB25B616182804840EF204A +:1009C00040521C5C58502322040085071CDCE313B2 +:1009D00007F279D5253CE9B74844A685EF30806613 +:1009E0003C4058441440B307F0403E9758C4637CE1 +:1009F000D7025840BA975CC409475C506306E902C5 +:100A0000185C050718DCC9DFF5B54844A685EF304A +:100A100060633C4058441440B307F0403E9758C4CC +:100A2000E37CD7FCF9B75C50185C79DB185C7D1768 +:100A300018DC185C050718DCA5D76DBD2285953E2E +:100A4000EF10805C014585B7EF2080540145A1BFC0 +:100A5000411126C2AA841305400522C406C6EF3000 +:100A600020462A8415CD232C0502FD577CC105475D +:100A7000410558DC3CC42322040023200400232425 +:100A80000400232604002320040423089404413492 +:100A900013054402AD3C8146014681452285013360 +:100AA0002285B24022449244410182800DC5411109 +:100AB00022C426C206C64AC0032945002A84EF2064 +:100AC00060358144630CA900B24022442685024966 +:100AD0009244410182807370043001A05C448544DB +:100AE000FD175CC4F5F381460146814522854539F1 +:100AF000E1BF31CDA1C9011122CC26CA4AC852C4D6 +:100B000006CE4EC68947B68432892E8A2A846399D6 +:100B1000F600585D8547630FF7047370043001A039 +:100B20006D32185C5C5CAA896366F70281444E856D +:100B3000513AF240624426854249D244B249224A9F +:100B4000056182803C41C5DB7370043001A0737085 +:100B5000043001A0304041E21C40D5CB1C5C7D57E5 +:100B600085071CDC3C446387E7083C4485448507D3 +:100B70003CC475BF993A185C5C5CAA89636DF70246 +:100B8000304079DA4844D285EF30C04B3C4058447D +:100B90001440B307F0403E9758C46375D7005840DF +:100BA000BA975CC48947E39BF4FA1C5CC5DB1C5C08 +:100BB000FD171CDC65B7304045D24844D285EF3084 +:100BC00060483C4058441440B307F0403E9758C436 +:100BD000E367D7FCD9BFDDF40844D285EF30804607 +:100BE0001C4434405840B6971CC4E3E9E7F61C4067 +:100BF0001CC4ADB75C5085449DDB13054402EF1067 +:100C0000707F15D5E30509F2232099000DB7484000 +:100C1000EF20202D2322040091B721CD3C4181C734 +:100C20007370043001A01C41A1CB011122CC26CA53 +:100C30002A844AC84EC606CE2E894138185C5C5CB0 +:100C4000AA898144637DF7001C5C7D5785071CDC05 +:100C50003C446388E7023C44854485073CC44E8598 +:100C60009530F240624426854249D244B24905613A +:100C700082807370043001A05C41C5DB7370043066 +:100C800001A05C5099E38544D9BF13054402EF10DD +:100C9000707675D9E30909FE85472320F900E5B789 +:100CA000397106DE22DC26DA4AD84ED652D456D224 +:100CB00032C66302051C638A051AB68AAE892A8485 +:100CC000EF20001611E5B24781C77370043001A010 +:100CD000EF20C0291C5C63920714B247638E070A99 +:100CE0002808EF204004FD5413094402130A0401AC +:100CF000EF20002AEF10400CEF2040277C40639447 +:100D00009700232204043C446394970023240404A2 +:100D1000EF2000286C002808EF200003631305185B +:100D2000EF20C0241C5CADCFEF208026EF200024F4 +:100D30003C44634FF00039A84A85EF10B06B19C1ED +:100D4000EF20800B3C44FD173CC43C446354F0004E +:100D50005C50FDF324C4EF20A023EF2020217C4031 +:100D6000634FF00039A85285EF10D06819C1EF2009 +:100D7000A0087C40FD177CC07C406354F0001C48F8 +:100D8000FDF364C0EF20C020EF100028EF20001E0C +:100D90001C5CC1E7B247A9FFEF20801F014555A0A9 +:100DA000EF20001F1C40DDC7B2454A85EF105046BA +:100DB000EF20C01B3C44634FF00039A84A85EF1078 +:100DC000706319C1EF2040033C44FD173CC43C4410 +:100DD0006354F0005C50FDF324C4EF20601BEF204F +:100DE000E0187C40634FF00039A85285EF10906006 +:100DF00019C1EF2060007C40FD177CC07C4063542B +:100E0000F0001C48FDF364C0EF208018EF10C01FF5 +:100E100031C9EF20A0151C5CB5DFCE852285444486 +:100E2000EFF04FF7639C0A041C5C1840FD171CDCB4 +:100E300001E7EF20601A48C01C48A1EFEF204015E1 +:100E40000545F2506254D2544259B259225A925A2C +:100E500021618280EF2080114840EF10707EEF20EA +:100E6000201399B7EFF08FDA6DB73C41E38707E4C1 +:100E70007370043001A07370043001A05C5044C44E +:100E8000D5DF13054402EF10F0564DD9EFF00FD81F +:100E900075B713050401EF10F0558547E310F5FA17 +:100EA000F5B72285EFF0EFF0EF100016014551BFC6 +:100EB0001DC9411122C44AC006C626C244412E891A +:100EC0002A84EF1030756383A40281464A868145E7 +:100ED0002285F93385476300F502B24022449244EB +:100EE0000249410182807370043001A05C440545D1 +:100EF00085075CC4DDB75C4485075CC4F9BF15CDCC +:100F0000ADC9011122CC26CA4AC84EC652C42A8491 +:100F100006CE3289AE89EFF04FDB1C5C2A8A814411 +:100F200085E35285EFF00FDAF240624426854249AC +:100F3000D244B249224A056182807370043001A014 +:100F4000304001CE4C445C40B2954CC463E4F500A3 +:100F50000C404CC44E85EF30E00E1C5C7D57FD17F5 +:100F60001CDC7C40638CE7007C40854485077CC0AA +:100F70004DBF3C41D9D77370043001A01C4899E3A0 +:100F8000854445B713050401EF10D04675D9E30930 +:100F900009FE85472320F900E5B721C93C41A1CDD1 +:100FA000A1CB011122CC26CA4AC852C42A8406CE3B +:100FB0004EC62E8AEFF06FD11C5C2A89814491CBFA +:100FC0008329C400D2852285EFF0CFDC8544232617 +:100FD00034014A85EFF00FCFF24062442685424942 +:100FE000D244B249224A056182807370043001A064 +:100FF0007370043001A0EDDF7370043001A001E5CF +:101000007370043001A0411122C42A8406C6EF1077 +:10101000F075005CEF10D077B24022852244410188 +:10102000828001E57370043001A0411106C622C41C +:101030002A84EF10B0731C5C405C1D8CEF1050755F +:101040002285B24022444101828019C1085D82801C +:101050007370043001A01DCD979703009387878696 +:10106000D843630CE502D847630CE504D84B63070B +:10107000E504D84F6302E504D853630DE502D85761 +:101080006308E502D85B6303E502D85F630EE50001 +:101090006F2030757370043001A001470E07BA97B6 +:1010A00023A007006F20F0731D47CDBF1947FDB780 +:1010B0001547EDB71147DDB70D47CDB70947F9BF64 +:1010C0000547E9BF684582806CC5828003450505F8 +:1010D000828009C5085D1335150082807370043065 +:1010E00001A019C51C5D485D1D8D1335150082805A +:1010F0007370043001A0978703009387A77C9843FF +:1011000005C3984705C7984B05C7984F05C798531F +:1011100005C7985705C7985B15C7985F15C38280A8 +:1011200001470E07BA978CC3C8C382800547D5BF55 +:101130000947C5BF0D47F5B71147E5B71547D5B7FF +:101140001D47C5B71947F1BF978703009387877776 +:10115000D8436308E502D847630BE502D84B630A1E +:10116000E502D84F6309E502D8536308E502D85772 +:101170006307E502D85B6308E502D85F6303A70253 +:10118000828001470E07BA9723A007008280054797 +:10119000D5BF0947C5BF0D47F5B71147E5B7154797 +:1011A000D5B71D47C5B71947F1BF411122C426C2A3 +:1011B0004AC02A8406C6AE843289EF10305B78407C +:1011C000FD576314F700232204043844FD576314C9 +:1011D000F70023240404EF10B05B1C5C99E74A86F7 +:1011E000A68513054402EF10B015EF1030583C44AB +:1011F000635BF0025C5085CB9304440209A83C4435 +:10120000FD173CC43C446350F0025C5089CF2685F6 +:10121000EF10501E6DD5EF10303E3C44FD173CC41E +:101220003C44E344F0FEFD573CC4EF107056EF1011 +:10123000F0537C40635BF0021C4885CB93040401AF +:1012400009A87C40FD177CC07C406350F0021C481C +:1012500089CF2685EF10101A6DD5EF10F0397C403C +:10126000FD177CC07C40E344F0FEFD577CC0224467 +:10127000B2409244024941016F10905197F701002A +:1012800093870736944317F7010013072734184351 +:10129000C8C2636EE502411122C406C617F70100F9 +:1012A0001307C7332A8408438C439105EFF04FA9F5 +:1012B00097F701009387C72F9C436376F40097F755 +:1012C000010023A7872EB24022444101828017F7F4 +:1012D00001001307673008438C4391056FF04FA658 +:1012E00097F701009387C72F984394437C43FD17DA +:1012F0007CC3FC4299E37360043082801DC1797124 +:101300004ECE56CA06D6BE8A22D426D24AD052CC57 +:101310005AC85EC69147BA8963F8E7007370043013 +:1013200001A07370043001A02A8BAE843289B68B81 +:10133000428A6308082213050006EF2070382A84C9 +:10134000630C05200A09232845034A869305500AA1 +:101350005285EF20305D03C704001C587119230A21 +:10136000E40203C704003E991379C9FF79C783C714 +:101370001400A30AF40283C71400E1C383C7240046 +:10138000230BF40283C72400CDCB83C73400A30B07 +:10139000F40283C73400D5C383C74400230CF4028E +:1013A00083C74400D9CB83C75400A30CF40283C77E +:1013B0005400C1C783C76400230DF40283C76400CF +:1013C000ADCF83C77400A30DF40283C77400B5C703 +:1013D00083C78400230EF40283C78400B9CF83C778 +:1013E0009400A30EF40283C79400A1CB83C7A4008A +:1013F000230FF40283C7A400A9C383C7B400A30FBB +:10140000F40283C7B40095CB83C7C4002300F4045F +:1014100083C7C4009DC383C7D400A300F40483C75B +:10142000D40081CF83C7E4002301F40483C7E40020 +:1014300089C783C7F400A301F404130A440052854A +:10144000A30104042326340323283405232A040497 +:10145000EFF02F8D13058401EFF0AF8C9547B38724 +:101460003741232C040400C81CCC40D023220404A0 +:10147000232E04045E86DA854A85EFF0AF8508C026 +:1014800063840A0023A08A007370043017F90100F6 +:10149000130949138327090097F401009384441426 +:1014A00091C798409C407C4385077CC317F7010097 +:1014B000130707121C43850797F6010023AAF610AD +:1014C0009C40CDCB8327090089EB9C40DC5763E629 +:1014D000F90097F7010023A5871097F7010093877C +:1014E000670D9C4317F701001307070E4854184374 +:1014F000850797F6010023AFF60A3CC46376A70080 +:1015000097F7010023A2A70CD145EF70A04C97F7E5 +:1015100001009387E7263E95D285EFF0EF80832781 +:10152000090089C79C40FC436395070E8327090087 +:10153000054499C79C40DC5763F43701EFE01FED89 +:101540002285B250225492540259F249624AD24A38 +:10155000424BB24B456182805285EF2090287D54EA +:10156000C5B713152600EF20B0152A8AE31505DC50 +:101570007D54F9B797F7010023A4870618438547E0 +:10158000E31DF7F417F501001305851FEFE03FF8A1 +:1015900017F5010013050520EFE07FF717F50100AF +:1015A00013058520EFE0BFF617F5010013050521AF +:1015B000EFE0FFF517F5010013058521EFE03FF59A +:1015C00017FB0100130B0B225A85EFE05FF497FA2B +:1015D0000100938A6A225685EFE07FF317F5010038 +:1015E0001305C522EFE0BFF217F5010013054523EF +:1015F000EFE0FFF117F501001305C523EFE03FF120 +:1016000097F7010023AC67FD97F7010023A657FD6C +:10161000E9B5984094407C43FD177CC3FC42E397B6 +:1016200007F07360043019B7411122C406C626C200 +:101630004AC02A847370043097F40100938484F8BC +:101640009C40F9E311E497F70100938767F9804321 +:10165000130944004A85EFE0BFF11C5489C7130504 +:101660008401EFE0FFF0CA8517F501001305451B63 +:10167000EFE09FEB97F701009387C7F5984397F743 +:101680000100938727F39C43050797F6010023A3E6 +:10169000E6F49840850797F6010023ADF6F001CBFC +:1016A00097F701009387C7F39843784349EF9C402D +:1016B000B1C797F701009387A7F29843630F870894 +:1016C00073700430984039EB17F70100130707F1E6 +:1016D00014439442A5E27D5797F6010023AAE6EC55 +:1016E000984009CF9843784311CB984394437C4367 +:1016F000FD177CC3FC4299E373600430B24022447E +:10170000924402494101828097F70100938747ED97 +:1017100098439C437C4385077CC32DB794439843EF +:10172000F8420507F8C217F70100130727EB144327 +:101730009442D5D2184358475847584397F601006A +:1017400023A8E6E671BF984394437C43FD177CC30E +:10175000FC42B1FF7360043099BF97F70100938793 +:10176000E7E49C4381C77370043001A02244B24077 +:101770009244024941016FE07FC941C517F701005A +:10178000130707E614438147638BA606411106C67B +:1017900022C426C27370043097F60100938686E255 +:1017A0009C4291C710431C437C4285077CC29C42EB +:1017B000AA84404981C71C43FC43B9E797F701005D +:1017C0009387C7E19C43638A870497F70100938757 +:1017D000A7E09C436383870497F7010093878705FD +:1017E0006308F40297F70100938787036300F4020C +:1017F0008547B240224492443E85410182803E8525 +:1018000082807370043001A0E13C4DBF9147D5B791 +:101810009C5493B717008907E9BF8947D9BF7370F4 +:10182000043097F701009387E7D9984309EF15C56E +:101830009C43485581CB97F70100938767DA98431B +:10184000784315E3828017F70100130767D9144323 +:101850001843F8420507F8C261FD17F701001307A6 +:1018600027D80843F1B7984394437C43FD177CC3C2 +:10187000FC42E9FB736004308280411122C42A8457 +:1018800006C6EFE09FC411E497F70100938747D5A0 +:1018900080434054EFE01FC3B240228522444101FF +:1018A0008280914763F5B7007370043001A0011185 +:1018B00022CC06CE26CA4AC84EC652C42A847370A9 +:1018C000043017F901001309E9CF83270900CDEF90 +:1018D00071C43C486388F50817F70100130747D027 +:1018E00063FCB7001443638B860C18434457B3B4AE +:1018F000950093C4140029A00443818C93B4140070 +:1019000058546383E70A1C4C2CC863C607009547EC +:10191000B385B7400CCC93172700BA97584897F96E +:1019200001009389E9E58A07CE97631AF702130A43 +:1019300044005285EFE0DFC397F701009387C7C8E3 +:1019400048549C4363F6A70097F7010023AEA7C64F +:10195000D145EF702008D2854E95EFE0FFBC99C0CD +:10196000EFE0DFAA8327090081CB97F70100938777 +:1019700027C79C43FC439DEBF2406244D24442495A +:10198000B249224A0561828097F70100938747C5D3 +:1019900098439C437C4385077CC305FC97F7010073 +:1019A000938707C4804335B74CD4B1BF6244F2403B +:1019B000D2444249B249224A05611DB2814489B7E5 +:1019C00025C1011126CA97F40100938464C19C408B +:1019D00006CE22CC4AC84EC6638DA702737004306F +:1019E00017F90100130909BE8327090091C7984020 +:1019F0009C407C4385077CC3584997F70100938737 +:101A000067E36302F7028327090081C79C40FC4318 +:101A1000A5EBF2406244D2444249B249056182805A +:101A20007370043001A01C5517F70100130707DE7F +:101A3000E38BE7FCE9FB930945002A844E85EFE040 +:101A40003FB397F70100938727B848549C4363F648 +:101A5000A70097F7010023A9A7B6D145EF609077BB +:101A600097F701009387C7D13E95CE85EFE0DFABB6 +:101A70009C405854DC57E368F7F8EFE03F9961B7B2 +:101A80006244F240D2444249B249056191B815CD51 +:101A9000011122CC26CA4EC62A8406CE4AC8EFE0DF +:101AA000DFA2584897F701009387C7D8AA898144D5 +:101AB0006300F7024E85EFE0FFA0F2406244268506 +:101AC0004249D244B249056182807370043001A05A +:101AD0001C5417F50100130565D3E38DA7FCF9FB32 +:101AE00097F70100938787AC9C43A9EB97F7010018 +:101AF000938707AF9C43445413094400DC574A853D +:101B0000B3B4F400EFE0DFA697F701009387C7AB0B +:101B100048549C4393C4140063F6A70097F7010050 +:101B200023A4A7AAD145EF60F06A97F70100938735 +:101B300027C5CA853E95EFE03F9FADBF9305840161 +:101B4000EFE09F9E85BF0111056506CE22CC26CA17 +:101B50004AC84EC652C456C2EF208036630E051CDA +:101B6000AA8413050006EF20A0352A84630A051E07 +:101B700005669305500A04D92685EF20A05A04581B +:101B80008567F117BE94B7474C45938797449309EF +:101B900044005CD84E85230C0402A30104042326D0 +:101BA000040223280404232A0404EFE09F9713056A +:101BB0008401EFE01F979547232C0404F19800C897 +:101BC0001CCC40D023220404232E04040146971584 +:101BD00000009385E5B12685EFE0DF8F08C07370C4 +:101BE000043017F901001309E99D8327090097F4D0 +:101BF00001009384E49E91C798409C407C438507F4 +:101C00007CC317F701001307A79C1C43850797F6B1 +:101C1000010023AFF69A9C40C9C38327090081EBDA +:101C20009C40DC5789E797F7010023AB879A97F729 +:101C30000100938727989C4397F601009386C698E6 +:101C400058549442850717F601002325F6963CC4A4 +:101C500063F6E60097F7010023A8E796931727009D +:101C6000BA978A0717F50100130585B13E95CE8511 +:101C7000EFE09F8B8327090081C79C40FC43F1E77D +:101C80008327090091C39C40EF10F0498547630406 +:101C9000F50E5DE17370043001A097F7010023A1F8 +:101CA000879418438547E314F7F817F501001305E7 +:101CB00025ADEFE0DF8517F501001305A5ADEFE0D9 +:101CC0001F8517F50100130525AEEFE05F8417F5BA +:101CD00001001305A5AEEFE09F8317F50100130582 +:101CE00025AFEFE0DF8297FA0100938AAAAF56850D +:101CF000EFE0FF8117FA0100130A0AB05285EFE006 +:101D00001F8117F50100130565B0EFE05F8017F53F +:101D100001001305E5B0EFE08FFF17F50100130593 +:101D200065B1EFE0CFFE97F7010023A9578B97F736 +:101D3000010023A3478BE5BDF2406244D2444249EF +:101D4000B249224A924A05618280984094407C437D +:101D5000FD177CC3FC42E39507F2736004300DB7B6 +:101D60006244F2404249B249224A924A2685D2440C +:101D700005616F20002773700430FD5717F70100CD +:101D80002328F782624497F7010023ADA782F2402F +:101D9000D2444249B249224A924A97F7010023A706 +:101DA000078205616FE0EFCF7370043097F7010091 +:101DB00023AA07806FE02FDD17E701001307077FD5 +:101DC0001C4385071CC382807370043097E70100B1 +:101DD0009387477F984305E317E701001307077FC1 +:101DE00008439C4381CB97E701009387677F984323 +:101DF000784301EF828017E701001307677E1443E1 +:101E00001843F8420507F8C2C1BF984394437C4386 +:101E1000FD177CC3FC42F9FF7360043082804111DE +:101E200006C622C4EFE06FEA97E701009387077ABE +:101E30008043EFE02FE9B2402285224441018280B5 +:101E400097E701009387C77888438280797106D627 +:101E500022D426D24AD04ECE52CC56CA5AC85EC6DA +:101E600097E70100938787749C43B1EF17E7010060 +:101E70001307C7751C43850797E6010023A8F6746E +:101E8000832A0700638F0A0697E70100938747724A +:101E90009C43814463F1FA0897F901009389498EC4 +:101EA00017EA0100130ACA7383270A008546D85728 +:101EB00093172700BA978A07BE9983A7090063FF83 +:101EC000F600854421A897E701009387676F9C433C +:101ED0008144850717E701002324F76E97E7010087 +:101EE0009387C76D9C4391C38544B2502254268585 +:101EF00002599254F249624AD24A424BB24B45616E +:101F0000828017E401001304646D1C409C43DDC310 +:101F10007370043001A017E401001304246C97F9D6 +:101F200001009389E98517EA0100130A6A6B17EB30 +:101F30000100130B6B691C409C43B5C31C40DC477C +:101F400003A9C70083274900930B49005E8563EE10 +:101F5000FA04EFE0EFE1832789021305890199C3B1 +:101F6000EFE00FE18327C90203270B00DE851395FD +:101F700027003E950A054E956376F70017E70100A6 +:101F80002324F764EFE04FDA83270A000327C9020E +:101F9000DC57E362F7FA1C4085449C43C5F3FD57C8 +:101FA00017E701002326F76001B717E70100232197 +:101FB000F760DDBD17E70100130707621C401843F7 +:101FC00097E6010023ACE66017E701002326F760DF +:101FD00097E701009387475E9C43850717E7010059 +:101FE000232CF75C1C409C4399E7FD5717E7010041 +:101FF0002320F75C51BD1C40DC47DC47DC4317E77E +:1020000001002327F75A49B597E701009387075A3C +:10201000984301E77370043001A0011106CE22CC71 +:1020200026CA4AC84EC652C456C25AC0737004303B +:1020300097E4010093840459984061EB98437D171D +:1020400097E6010023A4E6569C43D9EB97E70100ED +:10205000938707589C43C9C797EA0100938A4A723D +:1020600017EA0100130A4A5617E9010013094957F4 +:10207000854919A83385EA00EFE00FCB83270900D3 +:102080005854DC576379F70483A7CA08C1CF83A7E4 +:102090008A09C04713058401EFE08FCD130B44007C +:1020A0005A85EFE0EFCC5C5483260A00DA8513955D +:1020B00027003E950A052A875695E3FDF6FA17E7AD +:1020C00001002323F750EFE02FC68327090058545F +:1020D000DC57E36BF7FA97E7010023A1374F6DB7A1 +:1020E00001449C4081CB97E701009387674F9C4355 +:1020F000FC4395E72285F2406244D2444249B2490A +:10210000224A924A024B0561828017E701001307B9 +:10211000274D14431843F8420507F8C205B7EFF0FE +:102120002F9CC9BF17E40100130484491C4095C3C8 +:102130001C40054999CF193B09C597E7010023AF1A +:1021400027471C40FD1717E70100232BF7461C40CB +:10215000FDF397E701009387674698438547E311AE +:10216000F7F8EFE0AFAA0544ADBF11CD81E573707C +:10217000043001A017E70100130747431C4399C728 +:102180007370043001A07370043001A0411122C4A7 +:1021900006C614431841850617E601002328D640D9 +:1021A00097E601009386864294423304B70000C14B +:1021B00063F7E602637FE40063FD860097E70100B2 +:1021C0009387074288431105EFE08FBA2285EFF02D +:1021D000EF8A1D3D01C9B240224441018280E36F74 +:1021E000E4FCD9BF2244B24041016FE02FA211C9E3 +:1021F00017E701001307873B1C4391C77370043036 +:1022000001A06FE0AFA0411106C622C41843AA87FF +:10221000050797E6010023ABE63897E601009386B1 +:10222000263C17E701001307673A18438842330436 +:10223000F7001105EFE0CFB32285EFF02F84E933EB +:1022400011E52244B24041016FE04F9CB24022446C +:1022500041018280411122C406C697E7010093879D +:10226000E7349C430144850717E701002320F73436 +:1022700097E701009387C7359C4363E3F50C17EE9E +:102280000100130E6E5597E201009382A24E0144A5 +:102290000147854F1303500A21A0311E6387C20DE9 +:1022A00083278EFFBA85F5DB8327CEFFDC43232E01 +:1022B000FEFE6382C71B03AFC700DC432A97814E33 +:1022C000232EFEFE6385C70783A8C70093874803B4 +:1022D000232017015CC383A788042326F7011CC7AA +:1022E00083A7C8021CCB83A70805232C07005CCB5F +:1022F00003A8080383470800639A6704C287850719 +:1023000083C60700B3850741E38B66FE8981C2055A +:10231000C181231EB700850E1307070263001F0546 +:102320008327CEFFDC43232EFEFEE39FC7F98327DE +:102330004E00232EFEFE49BF1A9419C22320060028 +:10234000E1312285B2402244410182808145231E31 +:10235000B700850E13070702E3141FFD76941317C9 +:102360005400311EBA85E39DC2F397E701009387BD +:10237000E72603AE070083270E00FDE397E7010081 +:102380009387872503AE070083270E0063980716FF +:1023900017EE0100130ECE3E83270E0A639D071E23 +:1023A00083274E0BD9DB83278E0B97EE0100938E8C +:1023B000EE48DC4317E701002320F7486381D73359 +:1023C00083AFC700DC433307B500014397E6010044 +:1023D00023A4F6460D4F89429308500A6386D70717 +:1023E000C8479307450308C35CC33C452326E70160 +:1023F0001CC75C551CCB1C5599C3232657003C4970 +:10240000232C07005CCB0328050383470800639552 +:10241000172BC287850783C60700B3850741E38B67 +:1024200016FF8981C205C181231EB700050313076A +:102430000702E383AFF083278E0BDC4397E60100AE +:1024400023ACF63EE39ED7F983270E0C97E60100F6 +:1024500023A4F63E71B783274E00232EFEFEA1BDB6 +:1024600083274E00130F8E00D4432322DE00638C9B +:10247000E625B307E500D84283A2C600814E232299 +:10248000EE00894F1303500A6305EF068328C70047 +:102490001387480323A01701D8C303A7880423A6E2 +:1024A000F70198C703A7C80298CB03A7080523AC78 +:1024B0000700D8CB03A80803034708006318671C6C +:1024C0004287050783460700B3050741E38B66FE95 +:1024D0008981C205C181239EB700850E93870702BB +:1024E000638E121B83264E00D8422322EE00E31F88 +:1024F000EFF80327CE002322EE0049BF83274E00CA +:10250000130F8E00DC432322FE006389E71B83A2A6 +:10251000C700DC43AA95814E2322FE00894F130396 +:10252000500A6305FF0683A8C7009387480323A0CA +:102530001501DCC183A7880423A6F5019CC583A7E8 +:10254000C8029CC983A7080523AC0500DCC903A801 +:1025500008038347080063976710C287850783C60F +:10256000070033870741E38B66FE098342074183F7 +:10257000239EE500850E93850502638D120F832748 +:102580004E00DC432322FE00E31FFFF88327CE002A +:102590002322FE0049BF83274E0A17EF0100130FC5 +:1025A000AF28DC4317E70100232EF7266382E713E9 +:1025B00083A2C700DC43AA95814E17E701002323BD +:1025C000F726914F1303500A6387E70783A8C700D4 +:1025D0009387480323A01501DCC183A7880423A6A1 +:1025E000F5019CC583A7C8029CC983A7080523AC35 +:1025F0000500DCC903A80803834708006394670447 +:10260000C287850783C6070033870741E38B66FED1 +:10261000098342074183239EE500850E93850502C9 +:10262000638A120383274E0ADC4317E70100232B3A +:10263000F71EE39DE7F98327CE0A17E7010023235E +:10264000F71E69B70147239EE500850E93850502B5 +:10265000E39A12FD83274E0B769493155400E38E74 +:1026600007CC91B30147239EE500850E93850502B3 +:10267000E39712F117EE0100130E8E1083270E0A56 +:10268000769493155400E38D07D031B78145239E8E +:10269000B700850E93870702E39612E597E70100DE +:1026A000938787F303AE070076949315540083272E +:1026B0000E00E38F07CC99B58145BDB38327CE00CB +:1026C0002322FE00A9B58326CE002322DE0055B3C7 +:1026D0008327CE0A17E701002326F714D1BD8327ED +:1026E0000E0C17E701002329F714D9B9797126D206 +:1026F0004AD04ECE52CC56CA5AC85EC606D622D44E +:1027000097E90100938989EA17E90100130949074C +:1027100017EA0100130A0AEB97EA0100938A4AECD0 +:1027200097EB0100938BCBEA97E40100938484EA52 +:10273000054B21A883A709000324090A850717E789 +:1027400001002325F7E6C93011E89C40E5F783270F +:102750000900E37CFBFEEFD07FCBC5BF7370043074 +:1027600083270A00B9E38327C90AC0471305440039 +:10277000EFD01FE083A70B00FD1717E70100232907 +:10278000F7E49C40FD1717E701002325F7E48327B2 +:102790000A0089C783A70A00FC4385E30858EF10A5 +:1027A00050042285EF10F0034DB703A70A0083A75A +:1027B0000A007C4385077CC37DB703A70A0083A674 +:1027C0000A007C43FD177CC3FC42E9FB73600430C4 +:1027D000F1B797E70100938767DD9C43DDE741117F +:1027E00006C622C426C297E7010023A907DC17E723 +:1027F00001001307E7DE1C43B7A6A5A59386565A2A +:102800009C5B8C43639BD500D0436318B600944710 +:102810006395C600DC476388D70008430C43938563 +:102820004503EF20607417E701001307E7D914434D +:1028300017E401001304C4F411A81C43A9CF1C43DE +:10284000FD1797E6010023A1F6D8144393972600BD +:10285000B6978A07A2979C43EDD3084393172500A8 +:10286000AA978A073307F4005443A107A297C442EA +:1028700044C36385F402DC44B240224417E70100FC +:102880002320F7D6924441018280854717E7010053 +:102890002326F7D282807370043001A0C440D14552 +:1028A000EF604013229544C1F9B701114AC806CE22 +:1028B00022CC26CA4EC62A897370043097E40100E0 +:1028C000938444D09C4017E40100130464D1D9E7F9 +:1028D0006314090003290400930949004E85EFD0D1 +:1028E0003FC98327890289C713058901EFD05FC8D3 +:1028F000CE8517E501001305E5F3EFD0FFC29C403C +:1029000081C71C40FC43ADE31C40638B27079C4000 +:102910009DCF737004309C40C1EB97E70100938713 +:10292000E7CB9843184325EBFD5717E70100232118 +:10293000F7C89C4089CF1C40FC4391CB1840144001 +:102940007C43FD177CC3FC4299E373600430F24082 +:102950006244D2444249B2490561828018401C4019 +:102960007C4385077CC3ADB7184014407C43FD17FA +:102970007CC3FC42D1FB736004301C40E39927F90F +:102980009C40A1C397E70100938747C29C43ADCB0E +:102990007370043001A09C43DC47DC47DC4317E73D +:1029A00001002327F7C071B718401C407C438507FE +:1029B0007CC397E70100938767C2984318432DD7DC +:1029C000D9BF97E701009387A7C017E70100130756 +:1029D00027DB9C430327470B631DF700F24062444B +:1029E00097E7010023AE07BED2444249B2490561D0 +:1029F00082806244F240D2444249B2490561D1BB6F +:102A00006244F240D2444249B24905616FD01FA0EE +:102A10003DC9411126C297E40100938464BC4AC0B9 +:102A20002E898C4006C622C4E105EFD07FB18840D4 +:102A30001105EFD0FFB3FD57630AF90497E70100D2 +:102A40009387C7B8804398409C434A9440C3636CC3 +:102A5000F40497E70100938767B888438C40910599 +:102A6000EFD01FAE97E70100938787B49C4363764E +:102A7000F40097E7010023AD87B2B24022449244AC +:102A80000249410182807370043001A08C402244CD +:102A9000B2409244024917E501001305A5D99105FA +:102AA00041016FD07FA897E701009387E7B2884381 +:102AB00022448C40B24092440249910541016FD0BA +:102AC0003FA811C997E70100938747AE9C4399E758 +:102AD0007370043001A07370043001A0411126C24C +:102AE00097E401009384C4AF9840AE878C40B7064A +:102AF0000080D58F06C622C41CCFE1053284EFD0FA +:102B0000BFA288401105EFD0BFA6FD57630EF400A9 +:102B100097E70100938787AB8843B24092442295A0 +:102B2000224441016FE08FF58C402244B240924430 +:102B300017E50100130505D0910541016FD0DF9E17 +:102B400025CD411126C297E40100938464A94AC0AF +:102B50002E898C4006C622C4E1053284EFD0DF9C6A +:102B600088401105EFD0DFA08547630AF40497E79A +:102B700001009387A7A5804398409C434A9440C393 +:102B8000636CF40497E70100938747A588438C4062 +:102B90009105EFD0FF9A97E70100938767A19C43C7 +:102BA0006376F40097E7010023A487A0B240224493 +:102BB00092440249410182807370043001A08C402C +:102BC0002244B2409244024917E50100130585C62C +:102BD000910541016FD05F9597E701009387C79FEB +:102BE000884322448C40B2409244024991054101FD +:102BF0006FD01F95411106C622C426C25C45C0474E +:102C000035CC930484012685EFD09F9697E7010089 +:102C10009387C7999C43B5E7930444002685EFD07A +:102C20003F9597E701009387279A58549C4363F692 +:102C3000E70097E7010023A9E79893172700BA97C1 +:102C40008A0717E501001305A5B3A6853E95EFD0C9 +:102C5000BF8D97E701009387A7989C43585401457F +:102C6000DC5763F8E700854717E701002328F7944E +:102C70000545B24022449244410182807370043081 +:102C800001A0A68517E50100130545B8EFD0DF893F +:102C9000C9B797E70100938767919C4381E77370F9 +:102CA000043001A0411106C622C426C2B707008025 +:102CB0004045CD8F1CC12DC4EFD09F8B93044400A1 +:102CC0002685EFD0FF8A97E701009387E78F585456 +:102CD0009C4363F6E70097E7010023A7E78E93176D +:102CE0002700BA978A0717E50100130565A93E95E5 +:102CF000A685EFD07F8397E701009387678E9C437B +:102D000058540145DC5763F8E700854717E7010091 +:102D10002326F78A0545B24022449244410182802D +:102D20007370043001A011CD97E701009387C78825 +:102D3000984397E70100938767899C4318C15CC15A +:102D400082807370043001A055C1D1CD411106C6F7 +:102D500022C42A877370043097E80100938888861C +:102D600083A7080091CB97E7010093876787944377 +:102D70009C43FC428507FCC217E301001303038553 +:102D80009C4103260300FD560144638FD70217EED2 +:102D90000100130E6E8203250E0003280700544322 +:102DA0006305A80005446371D6023308D640054484 +:102DB000637CF80003250E00918F03260300B6976D +:102DC0009CC108C350C3014483A7080081CB97E787 +:102DD00001009387E7809C43FC4381EF2285B2404A +:102DE0002244410182807370043001A0737004306A +:102DF00001A0EFE0EFCEDDB7854717D70100232F05 +:102E0000F77A828019C1684582800145828011C1AC +:102E10006CC5828097D701009387877C8843828026 +:102E200097D701009387077A9C43054589CB97D7AD +:102E300001009387A7778843133515000605828024 +:102E400031CD011126CA97D40100938464799C4046 +:102E500006CE22CC4AC84EC65855DC576379F702D5 +:102E60001C4D63C707009C40D4579547958F1CCDD8 +:102E700093172700BA97584917E901001309499099 +:102E80008A07CA97630DF7009C40DC575CD5F24077 +:102E90006244D2444249B2490561828082809309EA +:102EA00045002A844E85EFD0AFEC9C4017D7010037 +:102EB000130787711843DC575CD46376F70017D784 +:102EC00001002323F770139527003E9562440A05FD +:102ED000F240D244CE854A95B249424905616FD04D +:102EE000AFE419CD411106C622C426C297D701000E +:102EF0009387076F80436307A4007370043001A0B9 +:102F0000014582807C4881E77370043001A05454ED +:102F10003848FD177CC86383E60099C70145B24075 +:102F20002244924441018280930444002685EFD0DC +:102F30002FE4284897D7010093870769984395475E +:102F4000898F48D41CCC6376A70097D7010023ADA6 +:102F5000A766D145EF50102897E701009387478275 +:102F60003E95A685EFD04FDC054555BF7370043004 +:102F700097D70100938707659C4391CB97D70100B2 +:102F80009387076698439C437C4385077CC3828074 +:102F900097D70100938707639C438DC397D70100A0 +:102FA000938707649843784311CB984394437C43B9 +:102FB000FD177CC3FC4299E373600430828097D78D +:102FC00001009387E7619043944398439547D45613 +:102FD000084E958F1CCF828097D70100938747605A +:102FE000984309C79443F84A0507F8CA8843828082 +:102FF00079714AD006D622D426D24ECE2A89737051 +:10300000043097D401009384E45B9C4017D4010002 +:103010001304045DBDE31C40BC4F89E71C40054719 +:10302000F8CFD1E19C4081C71C40FC43F1EB7370A9 +:1030300004309C408DEF1C40A84F11C56304090269 +:103040001C4023AC07041C4023AE07049C4081C7EE +:103050001C40FC438DEBB250225492540259F24969 +:103060004561828018403C4FFD173CCFE9BF1840B6 +:103070001C407C4385077CC37DBF18401C407C43BB +:1030800085077CC349BF184014407C43FD177CC3AF +:10309000FC42F1F373600430B2502254925402594E +:1030A000F2494561828008402EC61105EFD04FCC11 +:1030B000B245FD576380F50697D701009387075106 +:1030C00083A9070018409C43AE992322370163EC83 +:1030D000F90497D701009387675088430C40910506 +:1030E000EFD00FC697D701009387874C9C4363F6B8 +:1030F000F90097D7010023AD374BEFD02FB11DB7A3 +:10310000184014407C43FD177CC3FC428DF3736070 +:10311000043031BF0C4017D501001305A57191058E +:10312000EFD08FC0D9BF97D701009387E74A884374 +:103130000C409105EFD0CFC0C9B779714AD04ECEBF +:1031400006D622D426D252CC2E89B289737004308E +:1031500097D40100938404479C4017D401001304C2 +:103160002448F9EF18408947784F630CF7001C405A +:103170001345F5FFB84F798DA8CF1C400547F8CF10 +:10318000ADE69C4081C71C40FC43F5E373700430FE +:103190009C40B1E7638609001C40BC4F23A0F900A6 +:1031A000184085470145784F630BF7001C401349D1 +:1031B000F9FF0545B84F3379E90023AC27051C40DA +:1031C00023AE07049C4081C71C40FC43C1E3B250BE +:1031D000225492540259F249624A45618280184051 +:1031E0001C407C4385077CC375B7084036C6110573 +:1031F000EFD00FB8B246FD576385F60897D70100A8 +:103200009387C73C03AA070018409C43369A2322A1 +:1032100047016363FA0897D701009387273C8843E7 +:103220000C409105EFD0CFB197D701009387473875 +:103230009C436370FA0697D7010023AB473791A8E8 +:1032400018401C407C4385077CC329BF18401440AC +:103250007C43FD177CC3FC42BDFB73600430B2505D +:10326000225492540259F249624A456182801840C0 +:1032700014407C43FD177CC3FC4289FB736004301F +:1032800031B70C4017D501001305C55A9105EFD091 +:10329000AFA9EFD0AF97F5B597D701009387C733A4 +:1032A00088430C409105EFD0AFA9E5B751C141115A +:1032B00006C622C426C24AC07370043097D40100E7 +:1032C000938444309C40B9EB99C23C4D9CC2B28778 +:1032D0008946704D74CD2E872A846386D70663FC99 +:1032E000F6048D456387B70091456395B700630089 +:1032F000D60C38CC8547630FF60405449C4081CB3F +:1033000097D701009387C72D9C43FC43A9E32285EF +:10331000B2402244924402494101828097D7010081 +:103320009387072C98439C437C4385077CC369BFE4 +:103330007370043001A08546E39ED7FA3C4D33E715 +:10334000B70038CD45BF3C4D85073CCD65B7EFD0C4 +:103350003FF975BF130944004A85EFD06FA197D795 +:1033600001009387672648549C4363F6A70097D7CC +:10337000010023ABA724D145EF50C06597D70100CA +:10338000938707403E95CA85EFD00F9A1C5481C79A +:103390007370043001A097D70100938767249C4382 +:1033A0005854DC57E3FBE7F4EFD04F86B9B701443C +:1033B000B1B739CD011122CC26CA4AC84EC652C473 +:1033C00056C206CEBA89368AB2842E892A84EFD0B4 +:1033D000CF8FAA8A63050A003C4C2320FA00784C60 +:1033E00089477CCC6389F40263F4970C8D4663872C +:1033F000D40091466396D400630AF70A232C24056F +:103400008547631EF7061C5499CB7370043001A0E6 +:103410007370043001A03C4C85073CCCD5B797D7DE +:1034200001009387A7189C43ADEB930444002685C5 +:10343000EFD00F9497D701009387071948549C4306 +:1034400063F6A70097D7010023A0A718D145EF5036 +:10345000605897D701009387A732A6853E95EFD095 +:10346000AF8C97D701009387A7179C435854DC571C +:1034700063F7E70063850900854723A0F900054449 +:103480005685EFD02F842285F2406244D2444249CF +:10349000B249224A924A056182809305840117D578 +:1034A00001001305A536EFD02F8865BF0144C9BFC1 +:1034B0008547E397F4F43C4C33E92701232C24059A +:1034C00081B74DC1011122CC26CA2A844EC606CE30 +:1034D0004AC8AE84EFC07FFF8947744C7CCC3C4C1B +:1034E0000547AA8985073CCC6395E6061C5481C72D +:1034F0007370043001A097D701009387270B9C437A +:10350000ADE7130944004A85EFD08F8697D70100B5 +:103510009387870B48549C4363F6A70097D7010015 +:1035200023A4A70AD145EF50E04A97D7010093871B +:103530002725CA853E95EFC03FFF97D701009387A7 +:10354000270A9C435854DC5763F5E70099C0854728 +:103550009CC06244F240D24442494E85B249056162 +:103560006FC05FF67370043001A09305840117D516 +:1035700001001305A529EFC03FFBC1B7AA8729C5E4 +:103580007370043017D701001307C70314439DE27B +:10359000F04F894601456315D60023AE0704054563 +:1035A0001C4381CB97D701009387870398437843C7 +:1035B00015E3828097D6010093868602904294425A +:1035C0007442850674C2E9B797D701009387470113 +:1035D0009C437DB7984394437C43FD177CC3FC42D6 +:1035E000E9FB7360043082805D71A6C2CAC052DC00 +:1035F00056DA5AD85ED686C6A2C44EDE62D466D2E9 +:103600006AD017D901001309A9FE17DB0100130BBB +:103610006BFD97D40100938424FD97DA0100938A0F +:10362000EAFC054AA54B832709009843630107126A +:10363000DC4783A90700EFE02FF8EFE0EFF88327DE +:103640000B002A8C6362F50A97D7010023ACA7F818 +:1036500001466370351B8840B3858941EFD0FFB4C4 +:10366000EFE09F9A630105128840814601462C00D5 +:10367000EFD00FE34DD9A247E3C807FEC24983A7A5 +:10368000490189C713854900EFC09FEEEFE0CFF3F2 +:1036900083270B002A8C636DF508A24717D701001A +:1036A000232287F5E3E2FBFCB317FA0013F70721A7 +:1036B000631A071613F7770C6318071E93F70702B0 +:1036C000C5D74E85EF00F01145B7DC47C04783AC46 +:1036D0000700930944004E85EFC09FE95C502285A6 +:1036E00082975C4C6384470B83270900984371FFE2 +:1036F00003A70A0097D6010023A686EF97D60100FC +:1037000023A6F6EE97D7010023A4E7EEEFE0DF8FC4 +:10371000A1BFDC47C04703AD0700930C440066859A +:10372000EFC01FE55C50228582975C4C6386470999 +:1037300083270900984371FF03A70A0097D6010069 +:1037400023A6F6EA97D7010023A4E7EAB9B7EFE08A +:10375000AFE6EFE06FE783270B002A8CE366F5F80E +:1037600083A70A00884081499043B385894117D7D0 +:103770000100232987E713361600EFD01FA3EFE0DF +:10378000BF88E31305EEEFC07FC8F9BD1C4CCE85A2 +:10379000E69763E9FC049C4089CF02CA66CC22CE3E +:1037A000EFF00FE88840814601464C08EFC03FFF2C +:1037B00005FD7370043001A01C4CE685EA97636C2C +:1037C000FD049C4089CF02CA6ACC22CEEFF04FE5BF +:1037D0008840814601464C08EFC07FFC31F9737088 +:1037E000043001A0032509005CC000C8EFC05FD50C +:1037F000E5BDEFE07F8183270900DC47C047930CDC +:1038000044006685EFC0DFD65C4C638F47035C5095 +:103810002285829791BD032509005CC000C8EFC0D6 +:103820003FD239B7B24723ACF900A5CFE29723A224 +:10383000F90023A8390193854900636FFC0403A5AF +:103840000A00EFC0FFCF0DB51C4C00C83387F9004C +:1038500058C0636DEC02330C3C416363FC029C4036 +:1038600089CF02CA4ECC22CEEFF08FDB8840814652 +:1038700001464C08EFC0BFF259F97370043001A043 +:1038800003A50A00E685EFC0BFCB51B703250900A9 +:10389000E685EFC0FFCAA5BF03250900EFC05FCAD8 +:1038A000E1B37370043001A0B24783A6890123A855 +:1038B00039013387D70023A2E9006364EC04B3071E +:1038C000FC4063EBD70483A749024E85829783A708 +:1038D000C901E39B47D983A68901B2479840B697AF +:1038E00011CF02CA3ECC4ECEEFF08FD38840814636 +:1038F00001464C08EFC0BFEAE31805D673700430E8 +:1039000001A06374FC00E370F7FC032509009385B4 +:103910004900EFC0FFC289BB03A50A009385490097 +:10392000EFC01FC291B3411122C406C626C24AC0CD +:1039300017D40100130444CBEFF04FE31C4095CBA8 +:10394000EFF00FE51C409DC38148014881470947BE +:1039500081461306004097D501009385A5AD170554 +:1039600000001305A5C8EFD07F9939E973700430C2 +:1039700001A017D90100130929ED4A85EFC03FB90D +:1039800097D40100938484ED2685EFC05FB801468B +:10399000B145114597D7010023AC27C597D7010042 +:1039A00023A697C4EFC09FD217D70100232EA7C22A +:1039B00011CD97D50100938565A7EFD0CFF349B717 +:1039C000B240224492440249410182807370043023 +:1039D00001A0A5C9797156CAAA8A1305C00222D4CA +:1039E00026D24AD04ECE52CC06D65AC85EC63A89A6 +:1039F000B689328AAE84E9212A8405C9EFF00FD74F +:103A000097D70100938747BE9C439DCFEFF04FD8D7 +:103A1000130544002320540104CC232E4401232009 +:103A2000340323222403EFC0DFAF2285B250225497 +:103A300092540259F249624AD24A424BB24B456112 +:103A400082807370043001A097DB0100938BCBDF81 +:103A50005E85EFC0DFAB17DB0100130B2BE05A854F +:103A6000EFC0FFAA0146B145114597D7010023A138 +:103A700077B997D7010023AB67B7EFC03FC517D71A +:103A800001002323A7B601C997D501009385059AA4 +:103A9000EFD06FE6A5BF7370043001A039C50111E6 +:103AA00022CC06CE26CA17D401001304E4B383281F +:103AB00004002A88014563850802BA842EC236872D +:103AC00032C442C6954663C5B602EFF06FB589476A +:103AD000630BF5020840814601464C00EFC03FCC25 +:103AE000F2406244D244056182807370043001A0C8 +:103AF0004C0081463A864685EFC0BFFFF2406244E3 +:103B0000D244056182800840814626864C00EFC081 +:103B10001FC9F9B719C1084182807370043001A030 +:103B200001E57370043001A0411106C622C42A8445 +:103B3000EFF0CFC348483334A000EFF06FC52285C3 +:103B4000B24022444101828001E57370043001A03B +:103B5000411122C42A8406C6EFF04FC10050EFF095 +:103B60002FC3B240228522444101828001E5737057 +:103B7000043001A0411122C426C22E84AA8406C6A4 +:103B8000EFF0CFBE80D02244B240924441016FF0AA +:103B90002FC04111714522C406C61D262A8411C5B5 +:103BA000232005001105EFC09F962285B2402244D4 +:103BB0004101828001CDB70700FFED8F81C773708F +:103BC000043001A099E57370043001A073700430D3 +:103BD00001A0011122CC4AC84EC652C456C206CE1C +:103BE00026CABA893689B28A2E842A8AEFF04FA370 +:103BF00011E5638509007370043001A0EFE0CF9BED +:103C000083240A00B37794006316090295C763877B +:103C10000A009347F4FFE58F2320FA00EFE0CFBEC0 +:103C2000F240624426854249D244B249224A924A2D +:103C300005618280E30DF4FCE38209FEB335500197 +:103C4000E20563050900B7070004DD8D4E86C18DCE +:103C500013054A00EFE0FFE6EFE00FBB19E1EFC00C +:103C6000EFFAEFF0CFB593176500AA8963D8070084 +:103C7000B7040001FD14B3F499005DB7EFF00FAF86 +:103C800083290A00B3773401631E090089CB638856 +:103C90000A009347F4FFB3F737012320FA00EFF04F +:103CA0002FAFF9B7E31DF4FEDDB701C9B70700FF79 +:103CB000ED8F99C77370043001A07370043001A0B8 +:103CC000411122C42A8406C626C24AC02E89EFF0BA +:103CD000EFA904409345F9FFB3F795001CC0EFF03E +:103CE0002FABB24022442685024992444101828092 +:103CF000411122C42A8406C6EFC02FFD0040EFC048 +:103D00006FFCB240228522444101828009C93707F5 +:103D100000FFB3F7E50099C77370043001A073701A +:103D2000043001A06F40505DB70700FFF18F81C7DD +:103D30007370043001A001E67370043001A001111A +:103D400022CC4AC84EC652C406CE26CA3689AE898F +:103D50002A8A3284EFF0CF8C21E163050900737069 +:103D6000043001A0EFE04F8583240A00CE85528500 +:103D7000B3E49900613FB37794006307F4068324AA +:103D80000A00EFE06FA8F240624426854249D2441F +:103D9000B249224A05618280EFE00F8283240A0043 +:103DA000CE855285B3E434019537B3F78400638D33 +:103DB0008702E30609FCB70500054A86C18D130595 +:103DC0004A00EFE01FD0EFE02FA419E1EFC00FE4AD +:103DD000EFF0EF9E93176500AA8463DF0700B70733 +:103DE0000001FD17FD8C45B783270A001344F4FF3B +:103DF000E18F2320FA0071B7EFF04F9783240A0078 +:103E0000B3779400639787009347F4FFE58F2320EF +:103E1000FA00EFF0EF97E1B7411122C406C62A84F9 +:103E200026C2EFD07FF95C4085C308489304C400E4 +:103E30006316950015A0084863009502B7050002B7 +:103E4000EFE03FE55C40E5FB2285612A2244B24079 +:103E5000924441016FE04F9B7370043001A009C987 +:103E6000370700FFB3F7E50099C77370043001A06E +:103E70007370043001A06F40304801C9B70700FFDC +:103E8000ED8F99C77370043001A07370043001A0E6 +:103E9000411122C426C206C62A84AE84EFF00F8DDB +:103EA000184093C7F4FFF98F1CC02244B2409244DB +:103EB00041016FF0EF8D19C1084D8280014582806C +:103EC000411122C406C62A84EFD01FEF97C7010014 +:103ED000938747729C43A1EBE56717D7010013074F +:103EE0006799F11797C6010023ACE67017C7010068 +:103EF0002326F77017D701002320F79897C70100F2 +:103F00009387876F17D701002326F796854717C732 +:103F100001002329076E17C70100232F076C17C75D +:103F200001002329F76C19E8EFE00F8EEF10400332 +:103F300001442285B240224441018280130584005D +:103F400093773500C1EFE5671307F5FFE917E3ED58 +:103F5000E7FC97C601009386A66A9C4229A0984375 +:103F600011C7BE86BA87D843E36BA7FE17C7010007 +:103F700013078768E38AE7FA98434146138487006A +:103F800098C2D843B306A7406378D6023388A70007 +:103F90002322D800C8C38325480097C60100938612 +:103FA000266611A0BA8698425043E36DB6FE2320E0 +:103FB000E80023A00601D84397C701009387077440 +:103FC0009C43998F17C70100232AF772EFE0CF8334 +:103FD00031DC2285B2402244410182807199110571 +:103FE0009DB729C9411122C406C62A84EFD0DFDC5F +:103FF000930584FF0326C4FF17C70100130747601A +:1040000011A03E871C43D443E3EDC6FE232CF4FEEF +:104010000CC397C701009387676E98438327C4FF3B +:104020002244B240BA9717C701002329F76C410117 +:104030006FD09FFD828097C701009387276C8843CC +:10404000828082803367B5000D8BAA871DE79308B5 +:10405000D6FFAA982A87AE866371150303A80600C7 +:1040600011079106232E07FFE36A17FF9347F5FF19 +:10407000C697F19B9107BE95AA972A9663F3C70A44 +:104080009386450013884700B3B6D70033B80501BF +:1040900033E7F50093C61600134818000D8BB3E6FE +:1040A000060113371700758F330EF64093861700FD +:1040B00029CF1337AE0031EB13532E002E88BE8666 +:1040C0000147832808000507110823A0160191065F +:1040D000E36967FE1377CEFFBA95BA976302EE04E1 +:1040E00083C60500138717002380D700637AC702B1 +:1040F00083C6150013872700A380D7006372C70209 +:1041000003C725002381E7008280B307F640AE97FE +:10411000850503C7F5FF8506238FE6FEE39AF5FEC6 +:1041200082808280B367C5008D8BB308C500E9C368 +:104130006371150FB307A0408D8B13873700954629 +:1041400093F5F50F130815003383A8407D166373AC +:10415000D70015476363E60CD5CF2300B5000547AC +:10416000638DE700A300B5000D4713082500639693 +:10417000E700130835002301B500139685004D8E26 +:1041800013970501518F3303F34093968501D98E20 +:1041900013562300AA97014794C305079107E36DBF +:1041A000C7FE1377C3FFB307E8006307E306238066 +:1041B000B70013871700637C1703A380B70013872A +:1041C0002700637617032381B700138737006370D6 +:1041D0001703A381B70013874700637A170123826F +:1041E000B7001387570063741701A382B70082805A +:1041F00093F5F50F13978500D98D139705014D8F12 +:10420000E37715FFAA87910723AEE7FEE3ED17FFDB +:10421000828082802A8895B78280AA8749BF834797 +:1042200005002A8799C7050583470500EDFF198D0D +:1042300082800145828005058347F5FF850503C718 +:10424000F5FF91C7E389E7FE3385E7408280814728 +:10425000E5BFAA87850503C7F5FF8507A38FE7FE9E +:1042600075FB828083460500930700026397F60082 +:10427000050583460500E38DF6FE938756FD93F70B +:10428000D70F85C7AA87814585CE01458507138647 +:1042900006FD1317250083C607003A9506053295DB +:1042A000F5F699C13305A0408280938536FD83469B +:1042B000150093B5150093071500E1FA0145D5B730 +:1042C000014582809C412380A7009C4185079CC1B9 +:1042D000828097C60100938626339C4217570300BD +:1042E0001307475A13861700BA972380A70097C56C +:1042F000010023ABC530A9476308F500930700040C +:104300006305F6000145828075DE370610F005076B +:104310008347F7FF2320F6F09C42FD1797C5010065 +:1043200023A4F52EEDF7014582804D7123229114CF +:1043300023202115232A511323248113232611140A +:1043400023248114232E3113232C4113232861139A +:1043500023267113232291132320A113232EB1119D +:10436000AA842E8932C6130C5002A54A834709003D +:1043700063808703B9CB804011A0A1CB2300F40058 +:1043800080400509050480C083470900E39787FF43 +:104390000346190093081900C686130D0002FD5943 +:1043A0007D5A0148130550059307D6FD93F7F70F83 +:1043B000138916006363F52A17C70100130787C422 +:1043C0008A07BA979C43BA9782878320C114032433 +:1043D000811483244114032901148329C113032A5E +:1043E0008113832A4113032B0113832BC112032C46 +:1043F0008112832C4112032D0112832DC111716191 +:104400008280A14C02C4854763D50715B24780401E +:10441000938B770093F68BFF03AB060083A94600CE +:10442000938786003EC6668681465A85CE85EF1004 +:10443000B0782AC8814763853723930D4101854BA6 +:10444000668681465A85CE85EF105019814666866C +:104450002A8BAE89EF105076A24723A0AD00938639 +:104460001B00910D63843701B68BD9BFE37E9BFFA0 +:1044700063DD4601A2872380A70180407D1A930750 +:1044800014009CC03E84E3C846FF93962B001C0892 +:10449000BE9631A8938777052300F4008040FD1B6A +:1044A00093871B00050480C0F116E351F0EC9C4299 +:1044B000E3E2FAFE93870703C5B79C409306000327 +:1044C00032472380D7009C4093064700C14C138697 +:1044D000170090C013068007A380C700804002C465 +:1044E000BA87050480C003AB0700814936C625BFE3 +:1044F000B24783AC0700938B470063840C1C635F57 +:1045000040199307D0026319FD1683C70C0085C3B9 +:1045100063DC09189440850C7D1A2380F6008040E6 +:10452000050480C083C70C00E5F7635D400180404F +:10453000930700022300F40080407D1A050480C028 +:10454000E31A0AFE5EC61DB502C48547A94CE3CF37 +:1045500007EBB24780409386470071B703C6160049 +:10456000CA8699B59C40930650022380D7008040AC +:10457000050480C0E5BBC14C02C471B5B24703C697 +:104580001600CA8683A9070091073EC6E35E0AE0CB +:104590004E8AFD5911BD03C61600130DD002CA86FE +:1045A00021B59347FAFFFD8703C61600337AFA0058 +:1045B000CA86DDBB03C61600130D0003CA86EDB321 +:1045C00083C51600930906FDCA86938705FD2E86CE +:1045D000E3EEFAFA93972900BE9985068609AE990B +:1045E00083C50600938909FD938705FD2E86E3F3B5 +:1045F000FAFE69BF32479C40144311073AC6238034 +:10460000D7008040050480C095B3854763D3070B6E +:10461000B24793867700E19A938786003EC683A9C6 +:1046200046009C4263DC090A94401306D002B3079B +:10463000F0402380C6008040B336F000B30930411B +:10464000050480C03E8BB389D940A94C02C4E1BBAC +:1046500003C616000508CA8681BB9C40468921B75F +:10466000E37D9BDD8547814B8546E3C547E131BD51 +:1046700097CC0100938C8CDCCE856685EF10401EB4 +:10468000330AAA40635C400180402300A4018040BB +:104690007D1A050480C0E31A0AFE014A83C70C0094 +:1046A000E38207EAE3C809E6FD197D57E394E9E6EA +:1046B000ADBDB247938647009C4336C693D9F741B8 +:1046C00095B7635640019307D002E313FDFA97CCE8 +:1046D0000100938CACD6930780021DBD3E8BA94C84 +:1046E00002C4804089B34D71232E3113B7090040B5 +:1046F0009387F9FF2324811423229114232C41133F +:10470000232A511323261114232021152328611352 +:104710002326711323248113232291132320A11311 +:10472000232EB1112A8A2EC417C401001304C4ED2C +:10473000975A0300938A0A1597C40100938404A230 +:104740003EC693055002294513080004B70610F031 +:1047500083470A006386B704C1C71840050A1306D9 +:104760001700569797C8010023A0C8EA2300F70056 +:104770006382A706E31E06FD175703001307871081 +:1047800005078347F7FF23A0F6F01C40FD1717C667 +:104790000100232BF6E6EDF783470A00E39EB7FA04 +:1047A00083461A0013051A002A87930B00027D5BCB +:1047B0007D5C014893055005A5489387D6FD93F786 +:1047C000F70F130A170063E7F5348A07A6979C438F +:1047D000A6978287175703001307C70A55F28DBFA4 +:1047E0008320C11403248114832441140329011458 +:1047F0008329C113032A8113832A4113032B011335 +:10480000832BC112032C8112832C4112032D011220 +:10481000832DC11171618280A14C014D854763DBFD +:104820000719A2479D07E19B83AD070003AB470033 +:10483000138787003AC4668681466E85DA85EF1055 +:10484000B0372AC863066D3513094101854966866C +:1048500081466E85DA85EF10605866868146AA8D9E +:104860002E8BEF1070352320A90085091109E31064 +:104870006DFFE3FE9DFD13060004B70610F063D143 +:1048800089031C4013871700D69797C5010023ADF5 +:10489000E5D623807701630CC7047D1CE3C389FF41 +:1048A000B2476800A548B386F9008A061C08BE9680 +:1048B000294813030004370610F0E384A6E89C425D +:1048C0009305000363F4F800930570051840AE9754 +:1048D00093051700569717CE01002327BED2230059 +:1048E000F7006380072B638C6526F116F9B717571D +:1048F0000300130727F905078347F7FF23A0F6F006 +:104900001C40FD1797C5010023A0F5D0EDF77D1CD5 +:1049100071B71C40130600041387170097C50100E8 +:1049200023A4E5CEB386FA00930500032380B600E6 +:104930006300C73E890797C6010023A7F6CC5697A8 +:10494000930680072300D70017570300130787F348 +:10495000B70610F0639EC70005078347F7FF23A043 +:10496000F6F01C40FD1717C60100232FF6C8EDF71F +:10497000A247C14C014D91072247014B832D0700EF +:104980003EC455BDA24783AC070013894700638F1F +:104990000C3A635480219307D002639EFB2E03C719 +:1049A0000C00BA856310072025AC8547A94C014D42 +:1049B000E3C907E7A2479107C1B7A247834617009B +:1049C000528703AB070091073EC4E3580CDE5A8CB4 +:1049D0007D5BE5B383461700930BD0025287F1BB92 +:1049E0009347FCFFFD8783461700337CFC0052870A +:1049F000E9B383461700930B000352877DBB034640 +:104A00001700138B06FD5287930706FDB286E3EE6F +:104A1000F8FA93172B00DA9705078607B297034633 +:104A20000700138B07FD930706FDB286E3F3F8FE3C +:104A300069BFA2451C402946984193861700D69726 +:104A400017C501002322D5BC2380E7001389450048 +:104A50006301C72A930700046387F6204AC4D5B1CF +:104A6000854763DF0729A24713877700619B930778 +:104A700087003EC4032B47001C43635B0B30184088 +:104A8000130600049306170097C5010023AED5B6A0 +:104A900056979305D0022300B7006388C62CB3074E +:104AA000F0403337F000330B6041BE8D330BEB40E9 +:104AB000A94C014D49B38346170005085287F5B943 +:104AC000834617005287D5B91C409306000413870C +:104AD000170017C601002329E6B2D6971306500225 +:104AE0002380C700E31FD7C417570300130787D9D4 +:104AF000B70610F005078347F7FF23A0F6F01C4028 +:104B0000FD1717C601002321F6B0EDF71DB9C14C02 +:104B1000014D29B31C40930600042A8A138717000D +:104B200017C601002322E6AED69713065002238053 +:104B3000C700E318D7C0175703001307A7D4B70659 +:104B400010F005078347F7FF23A0F6F01C40FD1780 +:104B500017C60100232AF6AAEDF72A8ADDB61757F1 +:104B60000300130727D205078347F7FF2320F6F03A +:104B70001C40FD1797C5010023A8F5A8EDF7F11615 +:104B80002DBB175703001307E7CFF1FDF11635B31F +:104B9000E3FC9DCB8549C5B1014C03C70C00BA8528 +:104BA000E30E07EAFD58294513080004B70610F084 +:104BB00063570B0A1C4013861700D69717C30100D2 +:104BC0002324C3A42380E7006384A50663040609A5 +:104BD000850C03C70C007D1CBA8579FBE35080E986 +:104BE0001C409305000213060004B70610F021A034 +:104BF0007D1CE3050CE613871700D6972380B700CA +:104C000017C501002322E5A0BA87E313C7FE175793 +:104C10000300130727C705078347F7FF23A0F6F014 +:104C20001C40FD1717C501002320F59EEDF7C9B7FD +:104C300017570300130707C541DE05078347F7FF32 +:104C400023A0F6F01C40FD1717C60100232EF69A8C +:104C5000EDF7BDBF175703001307C7C2F9BF7D1B90 +:104C6000E31A1BF5A5BF175703001307A7C1B70623 +:104C700010F005078347F7FF23A0F6F01C40FD174F +:104C800017C601002322F698EDF74AC45DBC97BC15 +:104C90000100938CAC7ADA856685EF00703C330CAA +:104CA000AC40E35C80EF1C4013060004B70610F034 +:104CB00021A07D1CE3020CEE13871700D6972380FA +:104CC000770197C5010023A1E594BA87E313C7FED6 +:104CD00017570300130707BB05078347F7FF23A0F8 +:104CE000F6F01C40FD1797C5010023AFF590EDF7D6 +:104CF000C9B7175703001307E7B8B5FA4AC491B408 +:104D0000A247138747009C433AC413DBF741B5B36E +:104D100017570300130707B7B70610F005078347B7 +:104D2000F7FF23A0F6F01C40FD1717C60100232D46 +:104D3000F68CEDF7854717C701002327F78C9307FB +:104D4000800717570300230FF7B21DB16356800188 +:104D50009307D002E39DFBF297BC0100938C0C6E8D +:104D6000130780029305800235BD97560300938692 +:104D700066B1370610F0850603C7F6FF2320E6F07C +:104D800018407D1797C5010023A0E5886DF701BB8A +:104D9000BE8DA94C014D45B4B70710F023A0A7F272 +:104DA00001A03971130341022ED29A8506CE32D466 +:104DB00036D63AD83EDA42DC46DE1AC62D32F2400A +:104DC0000145216182805D711303810322D42AC6CB +:104DD00032DC2A841A86680006D6BEC236DEBAC025 +:104DE000C2C4C6C61ACEEFF04FD4B2472380070024 +:104DF0003245B250018D225461618280B70710F0B4 +:104E000083A647F403A607F403A747F4E31AD7FEE3 +:104E10008566938606FAB29633B6C600B305E600F9 +:104E200023A4D7F423A6B7F48280B70710F003A712 +:104E300047F403A607F483A647F4E31AD7FE856672 +:104E4000938606FAB29633B6C60023A4D7F4B30508 +:104E5000E60023A6B7F49307000873A047308280CA +:104E6000411122C406C697B701009387677A17B429 +:104E700001001304A47998431C401306F07C9306A8 +:104E800047069387470697B5010023A3D57897B5C2 +:104E9000010023ADF5766346D600B24022444101BD +:104EA00082801307478997B7010023A3E776EF00B5 +:104EB000D01385476310F502184085679387F7760E +:104EC0000145E3DCE7FCEFF03FED2244B240410155 +:104ED0006FC09FED17B501001305C556EFF07FECCD +:104EE0000945EFF07FEB2244B24041016FC0DFEB98 +:104EF00017B50100130585566FF0BFEA17B501001D +:104F0000130545566FF0FFE9411122C426C206C6BB +:104F1000856417B401001304645593878438010035 +:104F2000FD17F5FF2285EFF0DFE7C5BF01456F00F4 +:104F3000F000411106C6EF00500B0547814763168C +:104F4000E500B2403E854101828017B5010013059E +:104F5000654FEFF01FE5B24089473E8541018280F1 +:104F60007370043001A082807370043001A097B781 +:104F700001009387A76A9843DC4359713AD43ED61F +:104F800097B701009387076ADAC8DEC603AB07004C +:104F900083AB470097B7010093874769D2CCD6CA45 +:104FA00003AA070083AA470097B701009387876881 +:104FB000CAD0CECE03A9070083A94700E2C4E6C247 +:104FC000EAC06EDE86D6A2D4A6D2AA8D814C17BCCA +:104FD0000100130CAC66370D00805AC45EC652C87F +:104FE00056CA4ACC4ECE2245B2454246D2466244CB +:104FF000F244EF10700C2286A686EF20F02C2AD007 +:105000002ED2025592552256B256EF30E01A014682 +:105010008146EF20B01B6349050202559255225686 +:10502000B256EF30601903260C0083264C00EF20A7 +:10503000300A3325A00019E5E3910CFA8547239047 +:10504000FD0061BF854C51BF8257125822563E87E2 +:10505000B3470D01B2563A85BE85E1B7597197B78E +:1050600001009387275EDAC803AB0700DEC683AB77 +:10507000470097B701009387675DD2CC03AA07006A +:105080005AC4D6CA83AA470097B701009387875CA2 +:105090005EC6CAD003A9070052C8CECE83A9470076 +:1050A00056CA4ACC4ECE2247B2474246D246A2D436 +:1050B000A6D26244F2446EDEBE85AA8D3A8586D6BB +:1050C000E2C4E6C2EAC0EF10B07F2286A686EF20D7 +:1050D000B01F2AD42ED6814C17BC0100130C0C56DD +:1050E000370D00805AC45EC652C856CA4ACC4ECE4E +:1050F0002245B2454246D2466244F244EF10507C0B +:105100002286A686EF20501C2AD02ED20255925518 +:105110002256B256EF30400A01468146EF20100B6E +:1051200063490502025592552256B256EF30C00827 +:1051300003260C0083264C00EF2080793325A00045 +:1051400019E5E3910CFA85472390FD0061BF854C7A +:1051500051BF8257125822563E87B3470D01B256AF +:105160003A85BE85E1B775712AD61305000522C5BB +:1051700026C34AC1CEDED2DCD6DADAD8DED6E2D415 +:10518000E6D2EAD0EECE06C7EFE09FD397B7010094 +:105190009387C74C03AB070083AB470097B7010069 +:1051A0009387474C03AA070083AA470097B70100DB +:1051B0009387C74B03A9070083A9470097B701004E +:1051C0009387474B8043C44397B701009387074BAE +:1051D00003A847009C432A8C42C63EC497B70100EF +:1051E0009387474A03A847009C43814C42CA3EC864 +:1051F00097B701009387874903A847009C4342CE95 +:105200003ECC97B701009387E74803A847009C432B +:1052100042D23ED097B701009387474803AD0700BD +:1052200083AD470002DC02DE82C082C223200C0074 +:1052300023220C006255F25501468146EF10C067EB +:105240002ADC2EDE23246C0123267C016255F255D4 +:105250005A86DE86EF1040662ADC2EDE23284C01BB +:10526000232A5C016255F2555286D686EF10C0643F +:105270002ADC2EDE232C2C01232E3C016255F25514 +:105280004A86CE86EF1040632ADC2EDE23208C0275 +:1052900023229C026255F2552286A686EF10C06139 +:1052A00022462ADCB2462EDE2324CC022326DC0250 +:1052B0006255F255EF10406042462ADCD2462EDE9F +:1052C0002328CC02232ADC026255F255EF10C05E7F +:1052D00062462ADCF2462EDE232CCC02232EDC0290 +:1052E0006255F255EF10405D02562ADC92562EDED2 +:1052F0002320CC042322DC046255F255EF10C05B5E +:105300002ADC2EDE2324AC052326BC056255F2558B +:105310006A86EE86EF10405A2ADC2EDE03250C004A +:1053200083254C0006469646EF100059AAC0AEC22F +:1053300003258C008325CC0006469646EF10C05707 +:10534000AAC0AEC203250C0183254C010646964631 +:10535000EF108056AAC0AEC203258C018325CC0174 +:1053600006469646EF104055AAC0AEC203250C0271 +:1053700083254C0206469646EF100054AAC0AEC2E2 +:1053800003258C028325CC0206469646EF10C052B8 +:10539000AAC0AEC203250C0383254C0306469646DD +:1053A000EF108051AAC0AEC203258C038325CC0325 +:1053B00006469646EF104050AAC0AEC203250C0424 +:1053C00083254C0406469646EF10004FAAC0AEC295 +:1053D00003258C048325CC0406469646EF10C04D69 +:1053E000AAC0AEC26255F25506469646EF20D05C82 +:1053F000AAC4AEC62645B64501468146EF20005DEB +:105400006348050297B701009387472326459043D9 +:10541000B645D443EF20C04B3325A00001E9E39308 +:105420000CE0B25705472390E700EDBB854CDDBB90 +:1054300097B701009387072726459043B645D44385 +:10544000EF20C0587D81D9BF75712AD6130500059C +:1054500022C526C34AC1CEDED2DCD6DADAD8DED601 +:10546000E2D4E6D2EAD0EECE06C7EFE07FA597B74A +:1054700001009387A72303AB070083AB470097B7CF +:1054800001009387272303AA070083AA470097B741 +:1054900001009387A72203A9070083A9470097B7B4 +:1054A0000100938727228043C44397B70100938765 +:1054B000E72103A847009C432A8C42C23EC097B70D +:1054C00001009387272103A847009C43814C42C6D3 +:1054D0003EC497B701009387672003A847009C4309 +:1054E00042CA3EC897B701009387C71F03A8470069 +:1054F0009C4342CE3ECC97B701009387271F03A859 +:1055000047009C4342D23ED097B701009387871E45 +:1055100003AD070083AD470002DC02DE82C082C219 +:1055200023206C0123227C016255F2555A86DE86C7 +:10553000EF1080382ADC2EDE23244C0123265C0168 +:105540006255F2555286D686EF1000372ADC2EDEE1 +:1055500023282C01232A3C016255F2554A86CE8627 +:10556000EF1080352ADC2EDE232C8C00232E9C00AD +:105570006255F2552286A686EF10003402462ADCD8 +:1055800092462EDE2320CC022322DC026255F25505 +:10559000EF10803222462ADCB2462EDE2324CC02D3 +:1055A0002326DC026255F255EF10003142462ADC18 +:1055B000D2462EDE2328CC02232ADC026255F25585 +:1055C000EF10802F62462ADCF2462EDE232CCC021E +:1055D000232EDC026255F255EF10002E02562ADC13 +:1055E00092562EDE2320CC042322DC046255F25591 +:1055F000EF10802C2ADC2EDE2324AC052326BC05EC +:105600006255F2556A86EE86EF10002B2ADC2EDEFC +:1056100003250C0083254C0006469646EF10C02952 +:10562000AAC0AEC203258C008325CC000646964650 +:10563000EF108028AAC0AEC203250C0183254C01BF +:1056400006469646EF104027AAC0AEC203258C013D +:105650008325CC0106469646EF100026AAC0AEC2AE +:1056600003250C0283254C0206469646EF10C02403 +:10567000AAC0AEC203258C028325CC0206469646FC +:10568000EF108023AAC0AEC203250C0383254C0370 +:1056900006469646EF104022AAC0AEC203258C03F0 +:1056A0008325CC0306469646EF100021AAC0AEC261 +:1056B00003250C0483254C0406469646EF10C01FB4 +:1056C000AAC0AEC203258C048325CC0406469646A8 +:1056D000EF10801EAAC0AEC26255F255064696462D +:1056E000EF20902DAAC4AEC62645B6450146814698 +:1056F000EF20C02D6348050297B70100938707F498 +:1057000026459043B645D443EF20801C3325A000A6 +:1057100001E9E3930CE0B25705472390E700EDBBA6 +:10572000854CDDBB97B701009387C7F726459043AB +:10573000B645D443EF2080297D81D9BF411122C4D1 +:105740002A872A8481480148814797B601009386B9 +:1057500066EC1306004097B50100938565D21705E6 +:1057600000001305058106C6EFB05FB922878148A6 +:105770000148814797B601009386E6E91306004089 +:1057800097B50100938545D0170500001305458D99 +:10579000EFB0DFB6228781480148814797B6010004 +:1057A000938686E71306004097B50100938545CEA2 +:1057B00017050000130585C9EFB05FB422872244A6 +:1057C000B24081480148814797B601009386E6E4DC +:1057D0001306004097B50100938505CC170500001E +:1057E0001305A59841016FB07FB197B6010083D62C +:1057F00066E2054797B701009387C7E101456397C4 +:10580000E60017B70100231707E0054503D7270077 +:1058100085466380E60203D74700854601456383DA +:10582000E60283D76700054701456306F702014595 +:10583000828017B70100231007DE03D74700854693 +:10584000E391E6FE17B70100231807DC83D7670052 +:105850000547E31EF7FC97B70100239007DC828021 +:10586000B305B500930705006386B70003C70700BB +:10587000631607003385A7406780000093871700F1 +:105880006FF09FFE130101FB23229104232C41039F +:10589000232291032326110423248104232021059C +:1058A000232E3103232A5103232861032326710366 +:1058B000232481032320A103232EB101930C05008F +:1058C000138A05009304000063DE05003305A04041 +:1058D0003337A000B305B040930C0500338AE54090 +:1058E0009304F0FF63DA06003306C040B337C0000C +:1058F000B306D040B386F640930A060093890600AB +:1059000013840C0013090A006396062817BB0100D4 +:10591000130BCBA16370CA16B70701006372F614AC +:105920009307F00F63F4C70093098000B357360163 +:10593000330BFB0083470B0013050002B3873701CD +:10594000B309F540638C0900B3153A01B3D7FC00E5 +:10595000B31A360133E9B70033943C0113DB0A0173 +:1059600093050B0013050900EF30000E130A050024 +:1059700093050B00939B0A0113050900EF304008C3 +:1059800093DB0B019305050013850B00EF308004BA +:10599000131A0A0193570401B367FA0063FAA700C8 +:1059A000B387570163E6570163F4A700B387570134 +:1059B0003389A74093050B0013050900EF30C00899 +:1059C000130A050093050B0013050900EF3040038F +:1059D0001314040193050500131A0A0113850B0023 +:1059E00013540401EF20107F33648A00637AA4000B +:1059F00033045401636654016374A40033045401F6 +:105A00003304A4403354340193050000638A040036 +:105A100033048040B3378000B305B040B385F54010 +:105A2000130504008320C10403248104832441045A +:105A3000032901048329C103032A8103832A410323 +:105A4000032B0103832BC102032C8102832C41020F +:105A5000032D0102832DC1011301010567800000A0 +:105A6000B707000193090001E362F6EC9309800196 +:105A70006FF0DFEB631A06009305000013051000BA +:105A8000EF201078930A0500B707010063FAFA0EB9 +:105A90009307F00F63F4570193098000B3D73A01DD +:105AA000330BFB0083470B001305000233095A41F7 +:105AB000B3873701B309F540E38209EAB39A3A01A3 +:105AC000335BFA00B3153A01B3D7FC0093DB0A014C +:105AD00033E9B70013050B0093850B00EF20D07658 +:105AE000130A050093850B00139C0A0113050B0094 +:105AF000EF201071135C0C019305050013050C00D9 +:105B0000EF20506D131A0A0193570901B367FA0089 +:105B100033943C0163FAA700B387570163E657014A +:105B200063F4A700B3875701338BA74093850B001D +:105B300013050B00EF205071130A050093850B002D +:105B400013050B00EF20D06B9305050013050C0027 +:105B5000EF20506893160901131A0A0193D6060123 +:105B6000B366DA0063FAA600B386560163E656010F +:105B700063F4A600B38656013389A6406FF01FDE9A +:105B8000B707000193090001E3EAFAF093098001E5 +:105B90006FF0DFF06376DA0093050A006FF01FE71D +:105BA000B707010063FAF604930BF00F33B5DB007F +:105BB0001315350033D7A60097A701009387077701 +:105BC000B387E70083CB070093050002B38BAB00DC +:105BD000338B7541631C0B0263E4460163EACC001E +:105BE0003384CC40B306DA4033B98C003389264184 +:105BF000930509006FF09FE1B7070001130500014D +:105C0000E3EAF6FA130580016FF0DFFAB396660156 +:105C1000335D7601336DDD00B35D7A01B3156A0142 +:105C200033DC7C0113540D01336CBC0013850D0073 +:105C300093050400B3196601EF201061930A050073 +:105C40009305040013850D0033996C01931C0D011D +:105C5000EF20105B93DC0C01130A0500930505008F +:105C600013850C00EF201057939A0A0113570C016B +:105C700033E7EA00930D0A00637EA7003307A7010C +:105C8000930DFAFF6368A7016376A700930DEAFFFF +:105C90003307A701330AA7409305040013050A0040 +:105CA000EF20905A93050400930A050013050A009B +:105CB000EF201055930505001304050013850C0013 +:105CC000EF20505193150C01939A0A0193D50501C9 +:105CD000B3E5BA001307040063FEA500B385A50170 +:105CE0001307F4FF63E8A50163F6A5001307E4FFBB +:105CF000B385A501939D0D01B70C0100B3EDED0037 +:105D00001384FCFFB3F78D0033F48900338AA54078 +:105D100013850700930504002326F10093DD0D0190 +:105D2000EF20504B93050400930A050013850D00E6 +:105D3000EF20504A13DC090193050C002324A10035 +:105D400013850D00EF2010498327C10013040C00B8 +:105D500093050400130C050013850700EF209047FE +:105D60008326810013D70A013305D5003307A70026 +:105D70006374D700330C9C01B70701009387F7FFCA +:105D8000935507013377F70013170701B3F7FA00AC +:105D9000B3858501B307F7006366BA00631EBA00D6 +:105DA000637CF90033863741B3B7C700B385A5419B +:105DB000B385F54093070600B307F9403339F9007E +:105DC000B305BA40B385254133947501B3D7670154 +:105DD0003364F400B3D565016FF05FC3130101FDB7 +:105DE00023229102232A5101232611022324810216 +:105DF00023202103232E3101232C4101232861017B +:105E00002326710123248101232291012320A10152 +:105E1000930A050093840500639E06381304060068 +:105E20009309050017A901001309495063F8C51229 +:105E3000B7070100138B05006378F6101307F00F06 +:105E40003337C70013173700B357E6003309F9009B +:105E5000834609003387E60093060002B386E640D6 +:105E6000638C0600B394D40033D7EA003314D60011 +:105E7000336B9700B399DA00935A040193850A00B3 +:105E800013050B00EF20503C1309050093850A0011 +:105E9000931B040113050B00EF20903693DB0B01DD +:105EA000930405009305050013850B00EF20903245 +:105EB0001319090193D70901B367F900138A040084 +:105EC00063FEA700B3878700138AF4FF63E88700A7 +:105ED00063F6A700138AE4FFB3878700B384A74063 +:105EE00093850A0013850400EF201036130905007E +:105EF00093850A0013850400EF20903093990901DF +:105F000093040500930505001319090113850B007F +:105F100093D90901EF20102CB369390113860400CD +:105F200063FCA900B30934011386F4FF63E689001A +:105F300063F4A9001386E4FF13140A013364C40058 +:105F4000130A00006F000013B707000113070001D8 +:105F5000E36CF6EE130780016FF01FEF138A060063 +:105F6000631A06009305000013051000EF20502966 +:105F700013040500B7070100637EF4129307F00FC6 +:105F800063F48700130A8000B35744013309F90012 +:105F9000034709009306000233074701B386E64032 +:105FA00063940612B3848440130A1000135B040147 +:105FB00093050B0013850400EF2010291309050039 +:105FC00093050B0013850400931B0401EF2050235D +:105FD00093DB0B01930405009305050013850B006B +:105FE000EF20501F1319090193D70901B367F90076 +:105FF000938A040063FEA700B3878700938AF4FFA7 +:1060000063E8870063F6A700938AE4FFB3878700FD +:10601000B384A74093050B0013850400EF20D02222 +:106020001309050093050B0013850400EF20501D94 +:1060300093990901930405009305050013190901BB +:1060400013850B0093D90901EF20D018B3693901EA +:106050001386040063FCA900B30934011386F4FF1E +:1060600063E6890063F4A9001386E4FF13940A0130 +:106070003364C4001305040093050A008320C102A1 +:106080000324810283244102032901028329C101DF +:10609000032A8101832A4101032B0101832BC100C3 +:1060A000032C8100832C4100032D01001301010307 +:1060B00067800000B7070001130A0001E366F4ECF3 +:1060C000130A80016FF05FEC3314D40033DAE4007C +:1060D000B399DA0033D7EA00935A0401B394D40099 +:1060E00093850A0013050A00336B9700EF20D01543 +:1060F0001309050093850A0013050A00931B040188 +:10610000EF20101093DB0B019304050093050500AD +:1061100013850B00EF20100C1319090113570B0105 +:106120003367E900138A0400637EA7003307870002 +:10613000138AF4FF636887006376A700138AE4FF7D +:1061400033078700B304A74093850A001385040032 +:10615000EF20900F1309050093850A0013850400B2 +:10616000EF20100A930405009305050013850B002A +:10617000EF20500613170B011357070113190901DC +:10618000B367E9001387040063FEA700B3878700A5 +:106190001387F4FF63E8870063F6A7001387E4FF23 +:1061A000B3878700131A0A01B384A740336AEA0051 +:1061B0006FF0DFDF63ECD51EB707010063F4F60470 +:1061C0001307F00FB335D7009395350033D7B600DA +:1061D00097A7010093878715B387E70003C70700D8 +:1061E000130A00023307B700330AEA4063160A02B3 +:1061F00013041000E3E096E833B6CA001344160017 +:106200006FF05FE7B707000193050001E3E0F6FCDC +:10621000930580016FF09FFBB35CE600B3964601E7 +:10622000B3ECDC0033D4E40093DB0C01B3974401FE +:1062300033D7EA0093850B0013050400336BF70096 +:10624000B3194601EF2050001309050093850B0098 +:1062500013050400139C0C01EF20807A135C0C01E1 +:10626000930405009305050013050C00EF208076CC +:106270001319090113570B013367E9001384040054 +:10628000637EA700330797011384F4FF63689701C7 +:106290006376A7001384E4FF33079701B304A74094 +:1062A00093850B0013850400EF20007A1309050085 +:1062B00093850B0013850400EF2080749304050080 +:1062C0009305050013050C00EF20C07093170B0118 +:1062D0001319090193D70701B367F9001386040066 +:1062E00063FEA700B38797011386F4FF63E8970165 +:1062F00063F6A7001386E4FFB38797011314040124 +:10630000B70B01003364C4001389FBFF337D240104 +:1063100033F92901B384A7409305090013050D0043 +:10632000EF20406B935C040193050900130B0500FB +:1063300013850C00EF20006A93D90901130C0500A6 +:106340009385090013850C00EF20C0681309050030 +:106350009385090013050D00EF20C0673305850103 +:1063600093570B013385A7006374850133097901C5 +:1063700093570501B387270163E6F402E392F4BC67 +:10638000B70701009387F7FF3375F5001315050173 +:10639000337BFB0033964A0133056501130A000085 +:1063A000E37AA6CC1304F4FF6FF09FB9130A000040 +:1063B000130400006FF01FCC130101FB23248104A0 +:1063C00023229104232E3103232291032326110437 +:1063D00023202105232C4103232A51032328610371 +:1063E00023267103232481032320A103232EB1013B +:1063F000930C0500938905001304050093840500A0 +:10640000639E062613090600138A060097AA010058 +:10641000938ACAF163F4C514B70701006376F612D4 +:106420009307F00F63F4C700130A8000B3574601C7 +:10643000B38AFA0003C70A001305000233074701B5 +:10644000330AE540630C0A00B395490133D7EC00E9 +:1064500033194601B364B70033944C01935A0901D0 +:1064600093850A0013850400EF20005E9309050060 +:1064700093850A00131B090113850400EF2040587F +:10648000135B0B019305050013050B00EF208054EF +:106490009399090193570401B3E7F90063FAA70040 +:1064A000B387270163E6270163F4A700B3872701B9 +:1064B000B384A74093850A0013850400EF20C058D9 +:1064C0009309050093850A0013850400EF204053CB +:1064D00013140401930505009399090113050B009A +:1064E00013540401EF20004F33E48900637AA400C1 +:1064F00033042401636624016374A400330424017B +:106500003304A44033554401930500008320C104A3 +:106510000324810483244104032901048329C10342 +:10652000032A8103832A4103032B0103832BC10226 +:10653000032C8102832C4102032D0102832DC10112 +:106540001301010567800000B7070001130A00016D +:10655000E36EF6EC130A80016FF05FED631A06003C +:106560009305000013051000EF2080491309050072 +:10657000B7070100637AF90E9307F00F63F4270160 +:10658000130A8000B3574901B38AFA0003C70A000F +:1065900013050002B384294133074701330AE5405C +:1065A000E30E0AEA33194901B3DAE900B395490168 +:1065B00033D7EC0093540901336BB70013850A00FD +:1065C00093850400EF20404893090500938504005B +:1065D000931B090113850A00EF20804293DB0B0116 +:1065E0009305050013850B00EF20C03E9399090128 +:1065F00093570B01B3E7F90033944C0163FAA700FA +:10660000B387270163E6270163F4A700B387270157 +:10661000B38AA7409385040013850A00EF20C04287 +:10662000930905009385040013850A00EF20403D7F +:106630009305050013850B00EF20C03993150B015E +:106640009399090193D50501B3E5B90063FAA50053 +:10665000B385250163E6250163F4A500B385250113 +:10666000B384A5406FF09FDFB7070001130A000154 +:10667000E36AF9F0130A80016FF0DFF0E3E8D5E890 +:10668000B707010063FCF604930BF00F33B5DB0092 +:106690001315350033D7A60097A70100938707C9C4 +:1066A000B387E70083CB070093050002B38BAB00F1 +:1066B000338B7541631E0B0263E4360163EACC0041 +:1066C0003384CC40B386D94033B58C00B384A64024 +:1066D00013050400938504006FF05FE3B707000122 +:1066E00013050001E3E8F6FA130580016FF09FFA45 +:1066F000B3966601335D7601336DDD0033D47901E5 +:10670000B395690133DC7C0193540D01336CBC00FB +:106710001305040093850400B31A6601EF20C0320C +:10672000130A0500938504001305040033996C01D6 +:10673000931C0D01EF20C02C93DC0C011304050009 +:106740009305050013850C00EF20C028131A0A01D9 +:1067500013570C013367EA00130A0400637EA70095 +:106760003307A701130AF4FF6368A7016376A70044 +:10677000130AE4FF3307A701B309A7409385040078 +:1067800013850900EF20402C9385040013040500B5 +:1067900013850900EF20C02693050500930405002A +:1067A00013850C00EF20002393150C011314040132 +:1067B00093D50501B365B4001387040063FEA500FB +:1067C000B385A5011387F4FF63E8A50163F6A5006F +:1067D0001387E4FFB385A501131A0A01B70C010062 +:1067E000336AEA001384FCFFB3778A0033F48A002B +:1067F000B384A54013850700930504002326F10008 +:10680000135A0A01EF20001D9309050093050400A7 +:1068100013050A00EF20001C13DC0A01930D05008C +:1068200093050C0013050A00EF20C01A8327C1004E +:10683000130A050093050C0013850700EF2080194B +:106840003305B50113D709013307A7006374B701F6 +:10685000330A9A01B70701009387F7FF93550701A1 +:106860003377F70013170701B3F7F900B385450134 +:10687000B307F70063E6B400639EB400637CF900DD +:1068800033865741B3B7C700B385A541B385F540FB +:1068900093070600B307F9403339F900B385B440D4 +:1068A000B385254133947501B3D767013365F4008F +:1068B000B3D565016FF09FC5370810001308F8FFC6 +:1068C000130101FEB378B80013D745019357D501E2 +:1068D000232821011379F77F139738003378D800E4 +:1068E000B3E7E70013D7460113183800232A9100B5 +:1068F0001377F77F93D8F601232E11009356D60114 +:10690000232C81002326310193D4F50133E30601C2 +:1069100013153500131636003308E9406394143B11 +:106920006354001F631207143367C3006314070C1A +:106930009306F07F13070800631CD830B3E6A70066 +:1069400063980630930700001305000093968700B4 +:1069500063DE0600130717009306F07F630CD774FD +:10696000B70680FF9386F6FFB3F7D7009396D7015B +:106970001355350033E5A6009306F07F93D7370013 +:10698000631ED7003365F500930700006308050018 +:10699000B70708001305000093040000B7061000B5 +:1069A0009386F6FFB3F7D700B70610801377F77F0B +:1069B0009386F6FF13174701B3F7D700B3E7E70055 +:1069C000370700801347F7FF8320C10103248101AB +:1069D0009395F401B3F7E70033E7B700832441014F +:1069E000032901018329C100930507001301010256 +:1069F000678000009305F8FF639C05043306C5001B +:106A00003335A600B3876700B387A70013071000CC +:106A1000130506009396870063DC06221307170010 +:106A20009306F07FE300D7F2B70680FF9386F6FF68 +:106A3000B3F6D70093551500137515009397F6011B +:106A400033E5A50033E5A70093D716006F0040207B +:106A50001307F07F6314E80233E7A70063000762BF +:106A60001307F07F6F00C01E1307F07FE306E9FEF7 +:106A7000370780003363E3009305080013078003A2 +:106A8000634EB7061307F0016342B7041307000211 +:106A90003307B740B356B6003318E3003316E600A9 +:106AA0003368D8003336C0003368C800B355B3002C +:106AB0003308A800B385F500B337A800B387F50005 +:106AC00013050800130709006FF0DFF41307000235 +:106AD0003358B300930600006388E5009306000472 +:106AE000B385B640B316B30033E6C6003336C000F4 +:106AF0003368C800930500006FF09FFB3368C30044 +:106B0000333800016FF01FFF630A080E631E090887 +:106B1000B3E6A700639206029307F07F6318F700BD +:106B20003365C30093070000E30205E29307030007 +:106B3000130506006F00C0119306F0FF631CD80018 +:106B40003305C500B38767003336C500B387C70078 +:106B50006FF05FEC9306F07F1348F8FFE302D7FC79 +:106B60009306800363C606099306F00163CA06050F +:106B700093050002B3850541B3580501B396B700EC +:106B80003315B500B3E616013335A00033E5A60092 +:106B900033D807013305C50033086800B337C50093 +:106BA000B307F8006FF01FE79306F07FE30AD7F60C +:106BB000B706800033080041B3E7D7006FF05FFAF3 +:106BC00093080002B3D6070193050000630818017B +:106BD0009305000433880541B395070133E5A5000B +:106BE0003335A00033E5A600130800006FF09FFACC +:106BF00033E5A7003335A0006FF01FFF130719001E +:106C00009375F77F9306100063C8B60833E7A700B3 +:106C10006314090663080744B366C3001307000042 +:106C2000638806023306C5003335A600B3876700C4 +:106C3000B387A700939687001305060063DA060062 +:106C4000370780FF1307F7FFB3F7E70013071000BC +:106C500093767500E38C06CE9376F500130640001C +:106C6000E386C6CE9306450033B5A600B387A700DA +:106C7000138506006FF09FCD630C073E3368C30099 +:106C8000E30008DEB7074000130500001307F07F9C +:106C9000930400006FF09FCB9306F07FE304D7CA04 +:106CA0003306C50033886700B337A6003308F80001 +:106CB0001315F801135616003365C50093571800D5 +:106CC0006FF01FF9635E000F6312070A3367C3009A +:106CD000E30007C69306F8FF639E06023306C5402D +:106CE0003335C500B3876740B387A740130710004B +:106CF0001305060093968700E3DC06F43704800052 +:106D00001304F4FF33F48700930905001309070007 +:106D10006F0000271307F07FE300E8D41307800318 +:106D2000634AD7081307F001634ED7041307000224 +:106D30003307D740B355D6003318E3003316E600C7 +:106D40003368B8003336C0003368C800B356D30088 +:106D500033080541B386D740B3370501B387F64002 +:106D600013050800130709006FF0DFF81307F07F21 +:106D7000E304E9CE370780003363E300930608009D +:106D80006FF0DFF9130700023358D300130400003B +:106D90006388E60013040004B306D4403314D30020 +:106DA0003366C4003336C0003368C8009306000061 +:106DB0006FF01FFA3368C300333800016FF01FFF14 +:106DC000630A080E631E0908B3E6A7006390060273 +:106DD0009307F07F6316F7003365C3006308052A45 +:106DE00093070300130506006F00C00F9306F0FF22 +:106DF000631ED8003305A640B307F3403336A60020 +:106E0000B387C740938408006FF0DFEE9306F07FEE +:106E10001348F8FFE302D7FC9306800363C6060914 +:106E20009306F00163CA060593050002B385054188 +:106E3000335E0501B396B7003315B500B3E6C6015E +:106E40003335A00033E5A60033D807013305A6404B +:106E500033080341B337A600B307F8406FF09FFA39 +:106E60009306F07FE30AD7F6B706800033080041A7 +:106E7000B3E7D7006FF05FFA130E0002B3D6070135 +:106E8000930500006308C801930500043388054199 +:106E9000B395070133E5A5003335A00033E5A6001F +:106EA000130800006FF09FFA33E5A7003335A00008 +:106EB0006FF01FFF130719001377F77F9306100079 +:106EC00063CAE608B3E6A7003367C3006314090684 +:106ED000639E06006302071C930703001305060068 +:106EE00013070000938408006FF09FD66300070427 +:106EF000B306C540B335D500338767403307B74085 +:106F00009315870063DC05003305A640B307F34003 +:106F10003336A600B387C7406FF09FFC33E5E60029 +:106F2000630A050C9307070013850600130700008A +:106F30006FF01FD2639C06006308071693070300D7 +:106F400013050600938408006FF09FB1E30A07B0B1 +:106F50006FF05FD3B309C5403384674033383501E0 +:106F6000330404411317840063520708B309A64091 +:106F70003304F340B33736013304F44093840800FC +:106F8000630E040613050400EF105032130785FF4B +:106F90009307F00163CCE70693070002B387E7404D +:106FA0003314E400B3D7F90033E487003395E900E4 +:106FB0006340270B33072741930717009306F0011F +:106FC00063CEF604130700023307F7403356F5008B +:106FD000B316E4003315E500B3E6C6003335A00070 +:106FE00033E5A600B357F4006FF05FF433E5890092 +:106FF000E31805F8930700006F00800A1385090065 +:10700000EF10D02A130505026FF05FF8130485FD19 +:1070100033948900130500006FF09FF9130717FEE2 +:10702000130600023357E400930600006388C7008C +:1070300093060004B386F640B316D4003365D5003A +:107040003335A0003365A700930700006FF01FEEF3 +:10705000B70780FF9387F7FF3307E940B377F40062 +:107060006FF01FBF93070300130506006FF01FECBE +:1070700093070300130506006FF09F9E930700001F +:10708000130500001307F07F6FF05F8C930700007B +:10709000938408006FF09F8B930700001305000096 +:1070A000130700006FF0DFBE13050000B7074000B4 +:1070B0006FF0DFBD93070000130500006FF01F8B1A +:1070C000130101FB23248104232C41033704100006 +:1070D00013DA450123202105232E3103232A5103EE +:1070E000232481031304F4FF232611042322910493 +:1070F0002328610323267103232291032320A10364 +:10710000232EB101137AFA7F13090500130C060030 +:10711000938A06003374B40093D9F50163060A0A12 +:107120009307F07F6304FA1013143400B70780004C +:107130003364F400135BD501336B8B00931435007B +:10714000130A1AC0930B000013D54A013709100027 +:107150001309F9FF1375F57F3379590193050C0075 +:1071600093DAFA01630205109307F07F6302F516C4 +:107170003704800013193900336989001354DC0186 +:107180003364240193153C00130515C093070000D8 +:1071900013972B003367F7001307F7FF9306E00000 +:1071A00033C95901330AAA4063E0E61697960100F5 +:1071B00093864610131727003307D70003270700CD +:1071C0003307D70067000700336BA400630E0B067C +:1071D0006300040413050400EF10500D930755FFDE +:1071E0001307C001634CF702130BD001930485FF12 +:1071F000330BFB4033149400335B6901336B8B001A +:10720000B3149900130AD0C0330AAA406FF09FF359 +:10721000EF10D009130505026FF05FFC130485FD24 +:10722000331B8900930400006FF0DFFD336BA40073 +:1072300063040B0293040500130B0400130AF07F90 +:10724000930B30006FF05FF093040000130A00000E +:10725000930B10006FF05FEF93040000130AF07FB0 +:10726000930B20006FF05FEE33648901630E040618 +:107270006300090413050900EF105003930755FF3D +:107280001307C001634EF7021304D001930585FF75 +:107290003304F4403319B90033548C0033642401AF +:1072A000B315BC001307D0C03305A7406FF01FEE25 +:1072B00013050C00EF10807F130505026FF01FFC13 +:1072C000130485FD33148C00930500006FF09FFDBF +:1072D0003364890163020402130409001305F07F7B +:1072E000930730006FF0DFEA9305000013050000FC +:1072F000930710006FF0DFE9930500001305F07F9E +:10730000930720006FF0DFE86366640163128B4827 +:1073100063E0B4489316FB0113D71400139CF401E7 +:10732000135B1B00B3E4E6001314840093DC8501B7 +:10733000B3EC8C0093DA0C0193970C0193D70701FF +:10734000139D850013050B0093850A002322F1008D +:10735000EF10006B93050500930B050013950C01CE +:1073600013550501EF1000671304050093850A000B +:1073700013050B00EF10406D1315050113D7040121 +:107380003365A70093890B00637E85003305950163 +:107390009389FBFF63689501637685009389EBFF12 +:1073A000330595013304854093850A0013050400D5 +:1073B000EF10006593050500930B050013950C0174 +:1073C00013550501EF100061130B050093850A00AA +:1073D00013050400EF104067939D04011315050188 +:1073E00093DD0D01B3EDAD0013870B0063FE6D015E +:1073F000B38D9D011387FBFF63E89D0163F66D016B +:107400001387EBFFB38D9D0193960901B70401002B +:10741000B3E6E600B38D6D41138BF4FF33F76601DD +:10742000337B6D011305070093050B0013D4060190 +:107430002326D1002324E100EF10C0592322A1000C +:1074400093050B0013050400EF10C058935B0D016A +:107450009309050093850B0013050400EF10805776 +:10746000032781001304050013850B009305070013 +:10747000EF10405603264100330535018326C10035 +:10748000135706013307A7006374370133049400D0 +:10749000370501001305F5FF93540701B379A700E1 +:1074A000939909013376A600B3848400B389C90097 +:1074B00063E89D001384060063949D0463723C0599 +:1074C000330CAC013337AC0133079701B38DED00BA +:1074D0001384F6FF63E6BC016394BC036362AC03F0 +:1074E00063E69D00639EB401637C3C01330CAC01F8 +:1074F0003337AC01330797011384E6FFB38DED00FA +:10750000B3093C41B3849D40B3373C01B384F4409C +:107510009305F0FF63889C1A93850A001385040085 +:10752000EF10004E930505002324A10013950C01D4 +:1075300013550501EF10004A2322A10093850A008C +:1075400013850400EF10405083268100032741007B +:107550001315050193D7090133E5A700938D0600A4 +:10756000637EE50033059501938DF6FF6368950111 +:107570006376E500938DE6FF33059501B304E5409E +:1075800093850A0013850400EF10804793050500DA +:107590002322A10013950C0113550501EF10804320 +:1075A000130C050093850A0013850400EF10C049F1 +:1075B00093990901032741001315050193D9090186 +:1075C00033E5A90093070700637E85013305950124 +:1075D0009307F7FF63689501637685019307E7FFDB +:1075E0003305950193940D01B3E4F40093970401DE +:1075F00093D7070193050B00B30985411385070055 +:107600002322F10093DD0401EF10C03C93050B0031 +:10761000930A050013850D00EF10C03B130C050005 +:1076200093850D0013850B00EF10C03A83274100AE +:10763000130B050013850B0093850700EF108039AD +:107640003305850193D70A013385A7006376850149 +:10765000B7070100330BFB00B70601009386F6FF66 +:10766000935705013377D50013170701B3FADA00F2 +:10767000B38767013307570163E8F9009385040076 +:107680006390F9046300070433853C019385F4FF9C +:10769000636495036366F5006314F5026370ED029D +:1076A00093161D0033BDA601B30C9D019385E4FF25 +:1076B00033059501138D06006314F5006304A701DB +:1076C00093E515001307FA3F6352E01293F7750034 +:1076D0006380070293F7F50093064000638AD700A2 +:1076E00093864500B3B5B6003304B4009385060015 +:1076F0009317740063DA0700B70700FF9387F7FF5B +:107700003374F40013070A409307E07F63C2E71A5B +:107710009317D40193D53500B3E7B7001354340061 +:10772000B70610009386F6FF3374D400B7061080B6 +:107730001377F77F9386F6FF131747013374D4004E +:107740001319F9013364E400336724018320C10471 +:107750000324810483244104032901048329C103F0 +:10776000032A8103832A4103032B0103832BC102D4 +:10777000032C8102832C4102032D0102832DC101C0 +:1077800013850700930507001301010567800000BA +:10779000130AFAFF130C00006FF01FB913890900D8 +:1077A00013040B009385040093870B00130720003C +:1077B0006380E710130730006382E70E13071000A1 +:1077C000E392E7F013040000930700006F00400904 +:1077D00013890A006FF09FFD37040800930500002D +:1077E00013090000930730006FF05FFC9306100050 +:1077F000B386E64093078003E3C6D7FC9307F00106 +:1078000063C4D706130AEA41B317440133D7D5003E +:10781000339A4501B3E7E700333A4001B3E7470144 +:107820003354D40013F777006300070213F7F7000F +:1078300093064000630AD70013874700B337F70069 +:107840003304F4009307070013178400634A070604 +:107850001317D40193D73700B367F70013543400DC +:10786000130700006FF0DFEB930710FEB387E740CC +:1078700013070002B357F400130500006386E60007 +:10788000130AEA4333154401336AB500333A400121 +:10789000B3E74701130400006FF0DFF83704080076 +:1078A000930700001307F07F130900006FF05FE7F4 +:1078B00013040000930700001307F07F6FF05FE6EA +:1078C0001304000093070000130710006FF05FE53A +:1078D00013D74501B70710009387F7FF13D8460168 +:1078E00093080500930E05001377F77F1305F07FCB +:1078F00033F3B700130F0600B3F7D70093D5F501A4 +:107900001378F87F93D6F601631AA700336E13013C +:107910001305E0FF630C0E0867800000631AA800DF +:1079200033E6C7001305E0FF630A0608678000001E +:10793000631007083365130113351500631608003B +:1079400033E6C70063000606631A0500638ED500A0 +:10795000130510006396050067800000E39E06FE95 +:107960001305F0FF67800000E344E8FE635A070157 +:107970001305F0FFE38205FE130510006780000089 +:10798000E3E867FC631AF300E364DFFD130500001E +:10799000E3E0EEFF6FF05FFCE36CF3FC1305000027 +:1079A00067800000E31C05FE6FF09FFAE30AE8F62B +:1079B00013050000E30608F86FF05FF9E31807F815 +:1079C00033651301133515006FF01FF813D7450108 +:1079D000B70710009387F7FF13D8460193080500F7 +:1079E000930E05001377F77F1305F07F33F3B7008D +:1079F000130F0600B3F7D70093D5F5011378F87F7E +:107A000093D6F601631AA700336E13011305200005 +:107A1000630C0E0867800000631AA80033E6C700F5 +:107A200013052000630A060867800000631007083A +:107A300033651301133515006316080033E6C700DC +:107A400063000606631A0500638ED5001305100057 +:107A50006396050067800000E39E06FE1305F0FFB5 +:107A600067800000E344E8FE635A07011305F0FF56 +:107A7000E38205FE1305100067800000E3E867FC61 +:107A8000631AF300E364DFFD13050000E3E0EEFF9B +:107A90006FF05FFCE36CF3FC1305000067800000EF +:107AA000E31C05FE6FF09FFAE30AE8F613050000F9 +:107AB000E30608F86FF05FF9E31807F83365130180 +:107AC000133515006FF01FF8130101FA232C810400 +:107AD000232631053704100093D94501232A910448 +:107AE00023206105232E7103232C81031304F4FF4B +:107AF000232E110423282105232441052322510587 +:107B0000232A91032328A1032326B10393F9F97FA4 +:107B100093040500930B0600138C06003374B40025 +:107B200013DBF5016388090A9307F07F6386F91078 +:107B30003709800013143400336424011359D5012C +:107B400033698900131D3500938919C0930C000017 +:107B500013554C01370A1000130AFAFF1375F57F0D +:107B6000337A8A0193840B00135CFC0163040510D3 +:107B70009307F07F6304F51637048000131A3A0068 +:107B8000336A8A0013D4DB013364440193943B00CD +:107B9000130515C09307000013972C003367F700F7 +:107BA000B389A9001307F7FF9306E000B34B8B01DD +:107BB000938A190063E0E616978601009386467360 +:107BC000131727003307D700032707003307D70011 +:107BD000670007003369A400630E0906630004040C +:107BE00013050400EF00906C930755FF1307C001C5 +:107BF000634CF7021309D001130D85FF3309F940D7 +:107C00003314A40133D9240133698900339DA401BD +:107C10009309D0C0B389A9406FF05FF3EF001069FA +:107C2000130505026FF05FFC130985FD33992401EC +:107C3000130D00006FF0DFFD3369A4006304090237 +:107C4000130D0500130904009309F07F930C300015 +:107C50006FF01FF0130D000093090000930C10004B +:107C60006FF01FEF130D00009309F07F930C2000BD +:107C70006FF01FEE33647A01630E040663000A049A +:107C800013050A00EF009062930755FF1307C00128 +:107C9000634EF7021304D001930485FF3304F440CC +:107CA000331A9A0033D48B0033644401B3949B009D +:107CB0009307D0C03385A7406FF0DFED13850B002D +:107CC000EF00D05E130505026FF01FFC130485FD65 +:107CD00033948B00930400006FF09FFD33647A01AE +:107CE0006302040213040A001305F07F93073000B7 +:107CF0006FF09FEA93040000130500009307100043 +:107D00006FF09FE9930400001305F07F93072000B4 +:107D10006FF09FE837070100130AF7FF135C0D01AE +:107D200093DD0401337D4D01B3F4440193050D004F +:107D3000138504002328E100EF00D049930C0500CF +:107D40009385040013050C00EF00D0482326A10002 +:107D500093850D0013050C00EF00D047130B0500B1 +:107D600093050D0013850D00EF00D0468326C1005A +:107D700093D70C013305D5003385A7006376D50072 +:107D800003270101330BEB009356050133754501C1 +:107D9000B3FC4C0113150501B3079501935C040175 +:107DA0003374440193050D0013050400232AD10008 +:107DB0002326F100EF0010422328A10093050400C0 +:107DC00013050C00EF001041130A050093850C0009 +:107DD00013050C00EF001040130C050093050D0077 +:107DE00013850C00EF00103F032701013305450107 +:107DF00083264101935707013385A7006376450128 +:107E0000B7070100330CFC0037060100935705014A +:107E1000338C87019307F6FF337AF5003377F70049 +:107E2000131A0A01135D0901330AEA003379F900D4 +:107E30003387460193050900138504002328E100D8 +:107E4000232EC100EF00103993850400232CA100DC +:107E500013050D00EF001038232AA10093050D0033 +:107E600013850D00EF0010379304050093050900FA +:107E700013850D00EF001036832641010327810191 +:107E80003305D500935707013385A7006376D500E6 +:107E90000326C101B384C400B70601009387F6FF2F +:107EA000935D0501B3849D00B37DF5003377F70042 +:107EB0009305090013050400939D0D01B38DED009A +:107EC000232CD100EF00103193050400232AA100D8 +:107ED00013050D00EF00103093050D00130405008D +:107EE00013850C00EF00102F130D050093050900FA +:107EF00013850C00EF00102E032741013305850088 +:107F0000935707013385A700637685008326810197 +:107F1000330DDD0083270101B70601009386F6FFCC +:107F2000330BFB00B377D5003377D7009397070166 +:107F3000B387E700333A4B01B387870133844701A6 +:107F4000330BBB0133079400B33DBB01B306B7014C +:107F500033BC87013334440193570501333797000D +:107F600033648C00B3BDB6013304F400B36DB701C4 +:107F70003304B4013304A40193D77601131494009D +:107F80003364F4008327C10093149B00135B7B01CF +:107F9000B3E4F400B334900093979600B3E4640123 +:107FA000B3E4F4009317740063D2071293D714005C +:107FB00093F41400B3E497009317F401B3E4F400CE +:107FC000135414001387FA3F6356E01093F77400BC +:107FD0006380070293F7F40093064000638AD7009A +:107FE00093874400B3B4970033049400938407004C +:107FF0009317740063DA0700B70700FF9387F7FF52 +:108000003374F40013870A409307E07F63C6E718D0 +:1080100093DA34009314D401B3E4540113543400BC +:10802000B70710009387F7FF3374F4009377F77F57 +:10803000370710801307F7FF939747013374E40065 +:10804000939BFB013364F400B36774018320C10583 +:1080500003248105138504000329010583244105B8 +:108060008329C104032A8104832A4104032B0104C8 +:10807000832BC103032C8103832C4103032D0103B4 +:10808000832DC1029385070013010106678000005C +:10809000930B0B001304090093040D0093870C004D +:1080A00013072000638AE70E13073000638CE70C88 +:1080B00013071000E398E7F0130400009304000096 +:1080C0006F008008930B0C006FF09FFD938A0900EE +:1080D0006FF05FEF93061000B386E64093078003CE +:1080E000E3CCD7FC9307F00163C4D706938AEA4137 +:1080F000B317540133D7D400B3945401B3E7E70066 +:10810000B3349000B3E497003354D40093F7740071 +:108110006380070293F7F40013074000638AE700C7 +:1081200093874400B3B4970033049400938407000A +:108130009317840063CA07069317D40193D43400BD +:10814000B3E4970013543400130700006FF05FEDA1 +:10815000930710FEB387E74013060002B357F400FD +:10816000130700006386C600938AEA43331754015D +:10817000B3649700B3349000B3E497001304000095 +:108180006FF0DFF837040800930400001307F07F56 +:10819000930B00006FF0DFE813040000930400006D +:1081A0001307F07F6FF0DFE7130400009304000073 +:1081B000130710006FF0DFE6370810001308F8FF10 +:1081C000130101FEB378B80013D745019357D501C9 +:1081D0003378D800232821011379F77F13973800CB +:1081E000B3E7E70093D8F60113D746011318380018 +:1081F0009356D601232A910033E30601232E110062 +:10820000232C8100232631011377F77F9306F07F1B +:1082100093D4F50113153500131636006316D700F5 +:10822000B366C3006394060093C818003308E9409E +:108230006394983A6354001F631207143367C300B2 +:108240006314070C9306F07F13070800631CD830F3 +:10825000B3E6A700639806309307000013050000FB +:108260009396870063DE0600130717009306F07FDE +:10827000630CD774B70680FF9386F6FFB3F7D70079 +:108280009396D7011355350033E5A6009306F07F8A +:1082900093D73700631ED7003365F50093070000BE +:1082A00063080500B70708001305000093040000E9 +:1082B000B70610009386F6FFB3F7D700B706108015 +:1082C0001377F77F9386F6FF13174701B3F7D700AD +:1082D000B3E7E700370700801347F7FF8320C101AA +:1082E000032481019395F401B3F7E70033E7B70066 +:1082F00083244101032901018329C100930507005B +:1083000013010102678000009305F8FF639C0504D8 +:108310003306C5003335A600B3876700B387A700CF +:1083200013071000130506009396870063DC0622EE +:10833000130717009306F07FE300D7F2B70680FF1C +:108340009386F6FFB3F6D700935515001375150005 +:108350009397F60133E5A50033E5A70093D7160000 +:108360006F0040201307F07F6314E80233E7A70093 +:10837000630007621307F07F6F00C01E1307F07FD2 +:10838000E306E9FE370780003363E3009305080046 +:1083900013078003634EB7061307F0016342B70467 +:1083A000130700023307B740B356B6003318E30093 +:1083B0003316E6003368D8003336C0003368C8008F +:1083C000B355B3003308A800B385F500B337A80050 +:1083D000B387F50013050800130709006FF0DFF4F9 +:1083E000130700023358B300930600006388E500CA +:1083F00093060004B385B640B316B30033E6C60057 +:108400003336C0003368C800930500006FF09FFB4F +:108410003368C300333800016FF01FFF630A080E92 +:10842000631E0908B3E6A700639206029307F07F74 +:108430006318F7003365C30093070000E30205E209 +:1084400093070300130506006F00C0119306F0FFA9 +:10845000631CD8003305C500B38767003336C500F9 +:10846000B387C7006FF05FEC9306F07F1348F8FF07 +:10847000E302D7FC9306800363C606099306F00166 +:1084800063CA060593050002B3850541B35805018B +:10849000B396B7003315B500B3E616013335A00027 +:1084A00033E5A60033D807013305C500330868005B +:1084B000B337C500B307F8006FF01FE79306F07FEE +:1084C000E30AD7F6B706800033080041B3E7D700C8 +:1084D0006FF05FFA93080002B3D60701930500001E +:1084E000630818019305000433880541B39507011B +:1084F00033E5A5003335A00033E5A60013080000DE +:108500006FF09FFA33E5A7003335A0006FF01FFF2F +:10851000130719009375F77F9306100063C8B60818 +:1085200033E7A7006314090663080744B366C30072 +:1085300013070000638806023306C5003335A60022 +:10854000B3876700B387A7009396870013050600DB +:1085500063DA0600370780FF1307F7FFB3F7E7007A +:108560001307100093767500E38C06CE9376F50022 +:1085700013064000E386C6CE9306450033B5A60039 +:10858000B387A700138506006FF09FCD630C073EED +:108590003368C300E30008DEB7074000130500009E +:1085A0001307F07F930400006FF09FCB9306F07FDA +:1085B000E304D7CA3306C50033886700B337A60083 +:1085C0003308F8001315F801135616003365C5007B +:1085D000935718006FF01FF9635E000F6312070ACC +:1085E0003367C300E30007C69306F8FF639E0602E5 +:1085F0003306C5403335C500B3876740B387A7400E +:10860000130710001305060093968700E3DC06F4B9 +:10861000370480001304F4FF33F487009309050046 +:10862000130907006F0000271307F07FE300E8D469 +:1086300013078003634AD7081307F001634ED7047A +:10864000130700023307D740B355D6003318E300B1 +:108650003316E6003368B8003336C0003368C8000C +:10866000B356D30033080541B386D740B33705016D +:10867000B387F64013050800130709006FF0DFF811 +:108680001307F07FE304E9CE370780003363E3008C +:10869000930608006FF0DFF9130700023358D30088 +:1086A000130400006388E60013040004B306D440FA +:1086B0003314D3003366C4003336C0003368C800B7 +:1086C000930600006FF01FFA3368C30033380001CF +:1086D0006FF01FFF630A080E631E0908B3E6A700C8 +:1086E000639006029307F07F6316F7003365C300BB +:1086F0006308052A93070300130506006F00C00FE7 +:108700009306F0FF631ED8003305A640B307F3407D +:108710003336A600B387C740938408006FF0DFEEBE +:108720009306F07F1348F8FFE302D7FC930680031B +:1087300063C606099306F00163CA060593050002A5 +:10874000B3850541335E0501B396B7003315B50017 +:10875000B3E6C6013335A00033E5A60033D80701E0 +:108760003305A64033080341B337A600B307F840EA +:108770006FF09FFA9306F07FE30AD7F6B706800002 +:1087800033080041B3E7D7006FF05FFA130E000221 +:10879000B3D60701930500006308C80193050004E0 +:1087A00033880541B395070133E5A5003335A000B3 +:1087B00033E5A600130800006FF09FFA33E5A70029 +:1087C0003335A0006FF01FFF130719001377F77FF1 +:1087D0009306100063CAE608B3E6A7003367C30038 +:1087E00063140906639E06006302071C93070300D7 +:1087F0001305060013070000938408006FF09FD64E +:1088000063000704B306C540B335D500338767401E +:108810003307B7409315870063DC05003305A64096 +:10882000B307F3403336A600B387C7406FF09FFC11 +:1088300033E5E600630A050C93070700138506007D +:10884000130700006FF01FD2639C06006308071631 +:108850009307030013050600938408006FF09FB18F +:10886000E30A07B06FF05FD3B309C54033846740B4 +:108870003338350133040441131784006352070869 +:10888000B309A6403304F340B33736013304F44050 +:1088900093840800630E040613050400EF00002112 +:1088A000130785FF9307F00163CCE70693070002E7 +:1088B000B387E7403314E400B3D7F90033E487000B +:1088C0003395E9006340270B3307274193071700CF +:1088D0009306F00163CEF604130700023307F74056 +:1088E0003356F500B316E4003315E500B3E6C600D1 +:1088F0003335A00033E5A600B357F4006FF05FF402 +:1089000033E58900E31805F8930700006F00800A3B +:1089100013850900EF008019130505026FF05FF859 +:10892000130485FD33948900130500006FF09FF94F +:10893000130717FE130600023357E40093060000E6 +:108940006388C70093060004B386F640B316D400CC +:108950003365D5003335A0003365A70093070000C9 +:108960006FF01FEEB70780FF9387F7FF3307E940EB +:10897000B377F4006FF01FBF9307030013050600E1 +:108980006FF01FEC93070300130506006FF09F9E26 +:1089900093070000130500001307F07F6FF05F8C52 +:1089A00093070000938408006FF09F8B93070000EB +:1089B00013050000130700006FF0DFBE1305000071 +:1089C000B70740006FF0DFBD9307000013050000FC +:1089D0006FF01F8B130605001305000093F61500BA +:1089E000638406003305C50093D5150013161600E1 +:1089F000E39605FE678000006340050663C6050632 +:108A000013860500930505001305F0FF630C0602AD +:108A100093061000637AB6006358C0001316160060 +:108A200093961600E36AB6FE1305000063E6C500E0 +:108A3000B385C5403365D50093D61600135616008E +:108A4000E39606FE6780000093820000EFF05FFB74 +:108A500013850500678002003305A04063D8050038 +:108A6000B305B0406FF0DFF9B305B040938200006A +:108A7000EFF01FF93305A0406780020093820000E9 +:108A800063CA0500634C0500EFF09FF713850500EE +:108A900067800200B305B040E35805FE3305A040EF +:108AA000EFF01FF63305B04067800200B707010002 +:108AB000637AF5029307F00FB3B7A70093973700D7 +:108AC000130700023307F740B357F500178501007D +:108AD0001305C585B307F50003C507003305A74097 +:108AE000678000003707000193070001E36AE5FC97 +:108AF000930780016FF0DFFC011122CC26CA2A8483 +:108B0000AE844AC84EC652C456C206CEEF90CFAA13 +:108B10001C4003290401B7090001B3E59700930A3B +:108B2000C4000CC08144FD19370A00021840638C50 +:108B30002A03032609004A85B3654701B376360147 +:108B400093175600758F0329490063C4070001E796 +:108B5000F1BFE39DE6FC9317760063D30700D58C45 +:108B6000EFA02F93E1B793C4F4FFF98C04C0EF900A +:108B7000AFC90840F2406244D2444249B249224A55 +:108B8000924A056182804111014506C622C4EFC0A8 +:108B9000FFBA17C7FFFF1307E72C81460546930569 +:108BA0002003178501001305E58CEFA09FE219C98A +:108BB0002A84EF906FA12A86014781468545228548 +:108BC000EFA0DFEDEF803FF8B240224401454101C4 +:028BD0008280A1 +:02000004800278 +:100000005C45FEFF5A46FEFF6445FEFF5A46FEFF72 +:100010005A46FEFF5A46FEFF5A46FEFF7C45FEFF4B +:100020005A46FEFF5A46FEFF9645FEFFA245FEFFDA +:100030005A46FEFFB445FEFFC045FEFFC045FEFF29 +:10004000C045FEFFC045FEFFC045FEFFC045FEFFA8 +:10005000C045FEFFC045FEFFC045FEFF5A46FEFFFD +:100060005A46FEFF5A46FEFF5A46FEFF5A46FEFF1C +:100070005A46FEFF5A46FEFF5A46FEFF5A46FEFF0C +:100080005A46FEFF5A46FEFF5A46FEFF5A46FEFFFC +:100090005A46FEFF5A46FEFF5A46FEFF5A46FEFFEC +:1000A0005A46FEFF5A46FEFF5A46FEFF5A46FEFFDC +:1000B0005A46FEFF5A46FEFF5A46FEFF5A46FEFFCC +:1000C0005A46FEFF5A46FEFF5A46FEFF5A46FEFFBC +:1000D0005A46FEFF5A46FEFF5A46FEFF5A46FEFFAC +:1000E0005A46FEFF5A46FEFF5A46FEFF5A46FEFF9C +:1000F0005A46FEFF5A46FEFF5A46FEFF5A46FEFF8C +:10010000F445FEFF0A46FEFF5A46FEFF5A46FEFF32 +:100110005A46FEFF5A46FEFF5A46FEFF5A46FEFF6B +:100120005A46FEFF5046FEFF5A46FEFF5A46FEFF65 +:100130000244FEFFBA44FEFF5A46FEFF5A46FEFF47 +:10014000F044FEFF5A46FEFF4845FEFF5A46FEFFBA +:100150005A46FEFF7645FEFF6849FEFFBC49FEFF9A +:100160007049FEFFBC49FEFFBC49FEFFBC49FEFFD3 +:10017000BC49FEFF6248FEFFBC49FEFFBC49FEFFD2 +:100180007C48FEFF8848FEFFBC49FEFF9A48FEFF00 +:10019000A648FEFFA648FEFFA648FEFFA648FEFFB3 +:1001A000A648FEFFA648FEFFA648FEFFA648FEFFA3 +:1001B000A648FEFFBC49FEFFBC49FEFFBC49FEFF4E +:1001C000BC49FEFFBC49FEFFBC49FEFFBC49FEFF27 +:1001D000BC49FEFFBC49FEFFBC49FEFFBC49FEFF17 +:1001E000BC49FEFFBC49FEFFBC49FEFFBC49FEFF07 +:1001F000BC49FEFFBC49FEFFBC49FEFFBC49FEFFF7 +:10020000BC49FEFFBC49FEFFBC49FEFFBC49FEFFE6 +:10021000BC49FEFFBC49FEFFBC49FEFFBC49FEFFD6 +:10022000BC49FEFFBC49FEFFBC49FEFFBC49FEFFC6 +:10023000BC49FEFFBC49FEFFBC49FEFFBC49FEFFB6 +:10024000BC49FEFFBC49FEFFBC49FEFFBC49FEFFA6 +:10025000BC49FEFFBC49FEFFDA48FEFF0849FEFF2D +:10026000BC49FEFFBC49FEFFBC49FEFFBC49FEFF86 +:10027000BC49FEFFBC49FEFFBC49FEFF5E49FEFFD4 +:10028000BC49FEFFBC49FEFFC046FEFFBA47FEFF69 +:10029000BC49FEFFBC49FEFF2C48FEFFBC49FEFFE7 +:1002A0005248FEFFBC49FEFFBC49FEFFB649FEFFB7 +:1002B0000076FEFF1475FEFF2075FEFF1475FEFF2D +:1002C000EC75FEFF1475FEFF2075FEFF0076FEFF45 +:1002D0000076FEFFEC75FEFF2075FEFFEC74FEFF5E +:1002E000EC74FEFFEC74FEFF2875FEFFB47DFEFF8C +:1002F000B47DFEFFD87DFEFFA87DFEFFA87DFEFF3A +:10030000987EFEFFD87DFEFFA87DFEFF987EFEFF53 +:10031000A87DFEFFD87DFEFFA47DFEFFA47DFEFF2D +:10032000A47DFEFF987EFEFF00010202030303038B +:100330000404040404040404050505050505050575 +:100340000505050505050505060606060606060655 +:10035000060606060606060606060606060606063D +:100360000606060606060606070707070707070725 +:10037000070707070707070707070707070707070D +:1003800007070707070707070707070707070707FD +:1003900007070707070707070707070707070707ED +:1003A00007070707070707070808080808080808D5 +:1003B00008080808080808080808080808080808BD +:1003C00008080808080808080808080808080808AD +:1003D000080808080808080808080808080808089D +:1003E000080808080808080808080808080808088D +:1003F000080808080808080808080808080808087D +:10040000080808080808080808080808080808086C +:10041000080808080808080808080808080808085C +:100420000808080808080808546D72510000000008 +:10043000546D722053766300286E756C6C29000031 +:100440004572726F7220696E20666C6F70732074D3 +:1004500061736B73200D0A006D69616F750A00008E +:100460006D69616F75320A0068756E6772790A008E +:10047000436865636B54696D657200004D61746813 +:10048000310000004D617468320000004D617468F5 +:0C049000330000004D617468340000006F +:10049C001000000000000000017A5200017C0101F4 +:1004AC001B0D02005000000018000000CC53FEFF92 +:1004BC005805000000440E507489039406990B8172 +:1004CC0001880292049305950796089709980A9A51 +:1004DC000C9B0D036C010AC144C844C944D244D3DB +:1004EC0044D444D544D644D744D844D944DA44DB24 +:1004FC00440E00440B0000004C0000006C00000097 +:10050C00D058FEFFDC05000000440E3070890395C6 +:10051C00078101880292049305940696089709981E +:10052C000A990B9A0C0370020AC144C844C944D2FC +:10053C0044D344D444D544D644D744D844D944DADB +:10054C00440E00440B00000050000000BC000000F2 +:10055C005C5EFEFF0005000000440E5074880289AA +:10056C00039305990B8101920494069507960897BD +:10057C0009980A9A0C9B0D0320010AC144C844C96E +:10058C0044D244D344D444D544D644D744D844D993 +:0C059C0044DA44DB440E00440B00000075 +:100618006AE67F50274C41C15305A3923ADD5E40FC +:1006280082E2C7985B53A240B29DEFA7C6B18CC0C7 +:10063800FCA9F1D24D62503FAE47E17A145678C01A +:10064800CDCCCCCC8CBCDF4039D6C56D340000C0D5 +:10065800E5D022DBF93E2840E5D022DBF93E3840E0 +:10066800AC1C5A643B2F4240E5D022DBF93E48409F +:100678001E85EB51B84E4E40AC1C5A643B2F52407D +:10068800C876BE9F1A375540E5D022DBF93E584060 +:10069800022B8716D9465B40FCA9F1D24D6250BFA8 +:1006A80000000000000016400000000000001A4092 +:1006B8000000000000001E40000000000000214073 +:1006C800000000000000234000000000000025405A +:1006D8000000000000002740000000000000294042 +:1006E8000000000000002B400000000000002D402A +:0806F800FC8F0100040000006A +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/flop_rv32im_O3.hex b/VexRiscv/src/test/resources/freertos/flop_rv32im_O3.hex new file mode 100644 index 0000000..9e5f1d9 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/flop_rv32im_O3.hex @@ -0,0 +1,2836 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F306F00400365 +:100010001300000013000000130000001300000094 +:100020001300000013000000130000001300000084 +:100030001300000013000000130000001300000074 +:100040009702000093824211739052309300000097 +:10005000130100009301000013020000930200004E +:100060001303000093030000130400009304000036 +:10007000130500009305000013060000930600001E +:100080001307000093070000130800009308000006 +:100090001309000093090000130A0000930A0000EE +:1000A000130B0000930B0000130C0000930C0000D6 +:1000B000130D0000930D0000130E0000930E0000BE +:1000C000130F0000930F000097110200938181C964 +:1000D000170502001305055B979503009385457A84 +:1000E00013060000EF00001A170502001305454033 +:1000F000970502009385854613060000EF008018DF +:1001000017A503001305057897B503009385457778 +:1001100037B6ABAB1306B6BAEF00C01617B1030083 +:1001200013014176EFA050189392120093D212005F +:100130009382D2FF638A02008322010013014100EF +:100140006F0000177300203083220100130141006B +:10015000730020301301C1FF23205100F32220340B +:10016000E3C402FC8322010013014100130141F8A2 +:1001700023221100232421002326310023284100BB +:10018000232A5100232C6100232E71002320810299 +:10019000232291022324A1022326B1022328C10293 +:1001A000232AD102232CE102232EF1022320010570 +:1001B0002322110523242105232631052328410567 +:1001C000232A5105232C6105232E71052320810745 +:1001D000232291072324A1072326B1072328C1073F +:1001E000232AD107232CE107232EF107732520347E +:1001F000F3251034130601006F000000731015344E +:1002000083204100032181008321C10003220101D9 +:1002100083224101032381018323C10103240102BD +:1002200083244102032581028325C10203260103A1 +:1002300083264103032781038327C1030328010485 +:1002400083284104032981048329C104032A010569 +:10025000832A4105032B8105832BC105032C01064D +:10026000832C4106032D8106832DC106032E010731 +:10027000832E4107032F8107832FC1071301C10775 +:10028000730020302320C5006376B50013054500B8 +:100290006FF05FFF678000000000000000000000BA +:1002A000000000000000000000000000000000004E +:1002B000130101F8232011002322210023243100FF +:1002C0002326410023285100232A6100232C71009A +:1002D000232E8100232091022322A1022324B10294 +:1002E0002326C1022328D102232AE102232CF10272 +:1002F000232E010323201105232221052324310568 +:100300002326410523285105232A6105232C710545 +:10031000232E8105232091072322A1072324B1073F +:100320002326C1072328D107232AE107232CF1071D +:100330009702020083A2021F23A02200F32210349E +:10034000232E5106EF00C03B170102000321811D3F +:10035000032101008322C10773901234B7220000E9 +:100360009382028873A00230832001000322C1001F +:100370008322010103234101832381010324C1015D +:100380008324010203254102832581020326C10241 +:100390008326010303274103832781030328C10325 +:1003A000832801040329410483298104032AC10409 +:1003B000832A0105032B4105832B8105032CC105ED +:1003C000832C0106032D4106832D8106032EC106D1 +:1003D000832E0107032F4107832F81071301010893 +:1003E00073002030970202009382C22923A01200DA +:1003F00023A2220023A4320023A6420023A85200F5 +:1004000023AA620023AC720023AE820023A09202D2 +:1004100023A2A20223A4B20223A6C20223A8D202CC +:1004200023AAE20223ACF20223AE020323A01205A8 +:1004300023A2220523A4320523A6420523A85205A0 +:1004400023AA620523AC720523AE820523A092077E +:1004500023A2A20723A4B20723A6C20723A8D20778 +:1004600023AAE20723ACF207EF60903117010200E4 +:100470000321410B032101008322C1077390123431 +:10048000B72200009382028873A00230832001000B +:100490000322C1008322010103234101832381013F +:1004A0000324C10183240102032541028325810223 +:1004B0000326C10283260103032741038327810307 +:1004C0000328C103832801040329410483298104EB +:1004D000032AC104832A0105032B4105832B8105CF +:1004E000032CC105832C0106032D4106832D8106B3 +:1004F000032EC106832E0107032F4107832F810797 +:1005000013010108730020309702020093828217C2 +:1005100083A0020003A1420083A1820003A2C200C3 +:1005200083A2020103A3420183A3820103A4C201A7 +:1005300083A4020203A5420283A5820203A6C2028B +:1005400083A6020303A7420383A7820303A8C2036F +:1005500083A8020403A9420483A9820403AAC20453 +:1005600083AA020503AB420583AB820503ACC20537 +:1005700083AC020603AD420683AD820603AEC2061B +:1005800083AE020703AFC20983AF82076780000012 +:1005900073700430130101F823201100232221007D +:1005A000232431002326410023285100232A6100FF +:1005B000232C7100232E8100232091022322A102EB +:1005C0002324B1022326C1022328D102232AE102D7 +:1005D000232CF102232E01032320110523222105C0 +:1005E000232431052326410523285105232A6105AB +:1005F000232C7105232E8105232091072322A10797 +:100600002324B1072326C1072328D107232AE10782 +:10061000232CF1079702020083A2C2F023A022003C +:10062000232E1106EF30003317010200032181EF62 +:10063000032101008322C10773901234B722000006 +:100640009382028873A00230832001000322C1003C +:100650008322010103234101832381010324C1017A +:100660008324010203254102832581020326C1025E +:100670008326010303274103832781030328C10342 +:10068000832801040329410483298104032AC10426 +:10069000832A0105032B4105832B8105032CC1050A +:1006A000832C0106032D4106832D8106032EC106EE +:1006B000832E0107032F4107832F810713010108B0 +:1006C00073002030737004306F00000073104530E9 +:1006D000678000007325403073F04330678000006E +:1006E00097070000938747FE232EB5FE2322C5FA05 +:1006F000232635F82320F5F8130505F86780000058 +:10070000130101FF23261100EF605004EF20805CED +:10071000631805008320C1001301010167800000F8 +:100720008320C100130101016F30C02293078500AF +:100730001307F0FF2322F5002324E5002326F5000C +:100740002328F500232005006780000023280500EA +:10075000678000008327450023A2F50003A78700D8 +:1007600023A4E50003A787002322B70023A4B70032 +:1007700023A8A50083270500938717002320F500F1 +:100780006780000003A605009307F0FF13078500AC +:100790006316F6006F008003138707008327470066 +:1007A00083A60700E37AD6FE23A2F50023A4B700B0 +:1007B00023A4E5002322B70023A8A5008327050072 +:1007C000938717002320F5006780000003270501A9 +:1007D000832747006FF05FFD0327450083268500D0 +:1007E000832705012324D70003278500832645009E +:1007F0002322D70003A747006316A700032785001D +:1008000023A2E7002328050003A507001305F5FF31 +:1008100023A0A70067800000032605049387050036 +:10082000630406028325C50003274500B385C50080 +:100830002326B50063E6E500832505002326B500E1 +:10084000138507006F50C04C67800000130101FF43 +:100850002324810023261100130405002322910084 +:10086000EF30904283278404635AF00483274402C4 +:1008700063860704930444026F0000028327840404 +:100880009387F7FF2324F404832784046358F0023A +:10089000832744026384070213850400EF30C06D90 +:1008A000E30E05FCEF30901A832784049387F7FF4B +:1008B0002324F40483278404E34CF0FC9307F0FF23 +:1008C0002324F404EF30903FEF30103C832744049E +:1008D000635AF00483270401638607049304040128 +:1008E0006F000002832744049387F7FF2322F40458 +:1008F000832744046358F0028327040163840702BA +:1009000013850400EF304067E30E05FCEF30101450 +:10091000832744049387F7FF2322F40483274404A6 +:10092000E34CF0FC9307F0FF2322F404032481003E +:100930008320C10083244100130101016F3010386E +:1009400063000508130101FF232481002322910085 +:10095000130405002326110093840500EF30D032E4 +:10096000832604048327C40303270400232C0402E2 +:10097000B387F6021306F0FF2322C4042324E40005 +:100980002324C404B386D740B307F7003307D70046 +:100990002322F4002326E400639804028327040141 +:1009A000639E0702EF3090318320C1000324810051 +:1009B000832441001305100013010101678000002A +:1009C000737004306F00000013050401EFF01FD6B0 +:1009D00013054402EFF09FD56FF0DFFC130504010F +:1009E000EF30805993071000E31EF5FAEFF05FBA7D +:1009F0006FF05FFB63160500737004306F0000003A +:100A0000130101FE232A910023282101232631010D +:100A1000232E1100232C8100930906001389050061 +:100A200093040500638E05083305B50213055505CB +:100A3000EF50800D13040500630C0508930745056E +:100A40002320F500232E940223202405EF30D02309 +:100A5000832604048327C403032704001306F0FF3E +:100A6000B387F602232C04022322C4042324E400C7 +:100A70002324C40413050401B386D740B307F70049 +:100A80003307D7002322F4002326E400EFF01FCA27 +:100A900013054402EFF09FC9EF30502223083405BC +:100AA000130504008320C101032481018324410133 +:100AB000032901018329C10013010102678000009D +:100AC00013054005EF5040041304050063160500AC +:100AD000737004306F000000232084006FF09FF6D5 +:100AE00063160500737004306F0000006376B50074 +:100AF000737004306F000000130101FF2324810094 +:100B0000130620001384050093050000232611001E +:100B1000EFF05FEE630C0500232C85028320C100FB +:100B20000324810013010101678000007370043009 +:100B30006F000000130101FB23261104232481040C +:100B40002322910423202105232E3103232C41034A +:100B5000232A510323286103232671032326C1007E +:100B600063060528638C052693072000639CF60026 +:100B70000327C503930710006306F7007370043062 +:100B80006F0000001389060093840500130405001C +:100B9000EF30C06F631A05008327C100638607002A +:100BA000737004306F000000EF30100E03278403D1 +:100BB0008327C403130B0000930B20009309F0FF5D +:100BC000130A0401930A44026364F710630479234F +:100BD0008327C1006382073663160B00130581016A +:100BE000EF304054EF30900DEF20C000EF30D009CF +:100BF0008327440463943701232204048327840455 +:100C00006394370123240404EF30500B9305C10093 +:100C100013058101EF30005463160530EF30D00624 +:100C2000032784038327C4036304F710EF301009FC +:100C3000EF309005832784046346F0026F000003C1 +:100C400013850A00EF30403363040500EF30006085 +:100C5000832784049387F7FF2324F40483278404E1 +:100C60006356F00083274402E39C07FC23243405E9 +:100C7000EF30D004EF305001832744046346F00284 +:100C80006F00000313050A00EF30002F6304050016 +:100C9000EF30C05B832744049387F7FF2322F404DB +:100CA000832744046356F00083270401E39C07FC78 +:100CB00023223405EF309000EF204027130B100063 +:100CC000EF30807C032784038327C403E370F7F0AD +:100CD0000326040463040612631A091E0325840014 +:100CE00093850400EF50C002832784008326040408 +:100CF00003274400B387D7002324F40063E4E710FC +:100D00008327840383260400032744029387170064 +:100D10002324D400232CF40263000710130544029B +:100D2000EF308025930710006318F50E6F00401414 +:100D3000EF30C0788325C10013050A00EF20D0767C +:100D4000EF308074832784046346F0026F00000351 +:100D500013850A00EF30402263040500EF30004F96 +:100D6000832784049387F7FF2324F40483278404D0 +:100D70006356F00083274402E39C07FC23243405D8 +:100D8000EF30C073EF304070832744046346F002B5 +:100D90006F00000313050A00EF30001E6304050016 +:100DA000EF30C04A832744049387F7FF2322F404DB +:100DB000832744046356F00083270401E39C07FC67 +:100DC00023223405EF30806FEF204016E31805EE44 +:100DD000EFF00FFC130B10006FF09FEE832705045C +:100DE000E38407D8737004306F0000007370043020 +:100DF0006F00000003260404631006088327040024 +:100E0000638607048327840303274402938717001C +:100E1000232CF402E31407F0EF30406A13051000AE +:100E20008320C10403248104832441040329010491 +:100E30008329C103032A8103832A4103032B01036E +:100E4000832BC102130101056780000003254400C4 +:100E5000EF308056832784030327440223220400B3 +:100E600093871700232CF402E31A07EAE30605FA36 +:100E7000EFF00FF26FF05FFA0325C40093850400D2 +:100E8000EF401069832704040327C400832604006D +:100E9000B307F0403307F7002326E400637ED7044E +:100EA00003274400B307F7002326F40013072000AC +:100EB000832744026304E90403278403130717000C +:100EC000232CE402E38A07F46FF05FE50325C400F6 +:100ED00093850400EF40D063832704040327C400F4 +:100EE00083260400B307F0403307F7002326E4000D +:100EF000E37ED7FA6FF0DFFA8327440203278403E7 +:100F0000E30C07FA032784031307F7FF232CE402FB +:100F10000327840313071700232CE402E38E07EE54 +:100F20006FF0DFDF13050400EFF05F92EF200000A9 +:100F3000130500006FF0DFEEEF304058130500009E +:100F40006FF01FEE130101FF2322910093040500AF +:100F5000130540052324810023261100EF40D03AD9 +:100F600013040500630C0504232C05029307F0FF0E +:100F70002322F5041307100013050501232EE402B4 +:100F80002324F40423220400232004002324040047 +:100F9000232604002320040423089404EFF00FF90F +:100FA00013054402EFF08FF89306000013060000CB +:100FB0009305000013050400EFF0DFB713050400EC +:100FC0008320C10003248100832441001301010117 +:100FD0006780000063040504130101FF23248100DE +:100FE00023229100232611002320210103294500FB +:100FF00013040500EF308028930400006304A90265 +:101000008320C1000324810013850400032901000B +:1010100083244100130101016780000073700430D4 +:101020006F0000008327C400930410009387F7FF2C +:101030002326F400E39607FC930600001306000045 +:101040009305000013050400EFF0DFAE6FF05FFBC7 +:101050006302050A63880508130101FE232C810041 +:10106000232A91002328210123244101232E11004A +:1010700023263101930720009384060013090600FC +:10108000138A050013040500639CF6000327C503BB +:10109000930710006302F70A737004306F000000BA +:1010A000EFF04FE3032784038327C403930905006C +:1010B0006366F7049304000013850900EFF00FE165 +:1010C0008320C10103248101138504000329010148 +:1010D000832441018329C100032A810013010102F5 +:1010E0006780000083270504E38807F673700430E7 +:1010F0006F000000737004306F00000003260404CA +:10110000631E060C8327040063860712832784036B +:101110001307F0FF93871700232CF402832784041E +:101120006388E70E832784049304100093871700D5 +:101130002324F4046FF05FF8EFF0CFD90327840382 +:101140008327C403930905006360F706032604049C +:10115000E30A06FA0325C40093050A00EF40503B5A +:10116000832704040327C40083260400B307F04048 +:101170003307F7002326E4006378D70003274400F1 +:10118000B307F7002326F40093072000E390F4F858 +:1011900083278403E38C07F6832784039387F7FF71 +:1011A000232CF4026FF09FF603260404E30C06F4EC +:1011B0000325C40093050A00EF40903583270404FB +:1011C0000327C40083260400B307F0403307F70069 +:1011D0002326E400E364D7FA6FF09FFBE39C04F658 +:1011E0000325840093050A00EF4090328327840092 +:1011F0008326040403274400B387D7002324F40084 +:10120000E3E6E7F0832704002324F4006FF01FF0E7 +:101210008327440293041000E38007EA1305440285 +:10122000EF209055E30A05E8E30809E82320990038 +:101230006FF09FE803254400EF30001823220400DC +:101240006FF0DFEC6302050A83270504638607005D +:10125000737004306F00000083270500638A07085D +:10126000130101FE232C8100232A910013040500A1 +:101270002328210123263101232E11001389050083 +:10128000EFF04FC5032784038327C40393090500A8 +:10129000930400006376F702832784031307F0FFAB +:1012A00093871700232CF40283278404638AE704BE +:1012B0008327840493041000938717002324F404E5 +:1012C00013850900EFF08FC08320C1010324810141 +:1012D0001385040003290101832441018329C100EE +:1012E0001301010267800000737004306F0000007A +:1012F00083274500E38607F6737004306F00000013 +:101300008327440263960700930410006FF05FFB8D +:1013100013054402EF205046E30805FEE30609FEEC +:10132000930710002320F9006FF01FFE130101FC4A +:10133000232E1102232C8102232A91022328210328 +:101340002326310323244103232251032326C100F2 +:1013500063060528638C0526938A06009389050099 +:1013600013040500EF209072631A05008327C10063 +:1013700063860700737004306F000000EF30C01008 +:10138000832784036394071C8327C10063800710AD +:1013900013058101EF2010599304F0FF1309440253 +:1013A000130A0401EF308011EF10D004EF30C00DAC +:1013B000832744046394970023220404832784042E +:1013C0006394970023240404EF30400F9305C10079 +:1013D00013058101EF20105863100524EF30C00A77 +:1013E00083278403638A070AEF30400DEF30C0097A +:1013F000832784046346F0026F000003130509008D +:10140000EF20903763040500EF20506483278404A5 +:101410009387F7FF2324F404832784046356F000A2 +:1014200083274402E39C07FC23249404EF30000943 +:10143000EF308005832744046346F0026F00000309 +:1014400013050A00EF20503363040500EF201060FD +:10145000832744049387F7FF2322F404832744045B +:101460006356F00083270401E39C07FC23229404C5 +:10147000EF30C004EF10902BEF300001832784037E +:101480006396070C8327C100E39E07F0EF3000034B +:10149000130500006F00800FEF3040028327040027 +:1014A000638807108325C10013050900EF20C07F62 +:1014B000EF20907D832784046346F0026F000003D1 +:1014C00013050900EF20502B63040500EF2010588E +:1014D000832784049387F7FF2324F4048327840459 +:1014E0006356F00083274402E39C07FC2324940402 +:1014F000EF20D07CEF205079832744046346F0022C +:101500006F00000313050A00EF2010276304050095 +:10151000EF20D053832744049387F7FF2322F4045A +:10152000832744046356F00083270401E39C07FCEF +:1015300023229404EF209078EF10501F63040508D5 +:10154000EF20907483278403E38E07F293850900CC +:10155000130504008324C400EFF00FAC63940A0861 +:1015600083278403032704009387F7FF232CF402C7 +:1015700063160700EF20D07B2322A40083270401F9 +:1015800063920708EF209073130510008320C103B6 +:101590000324810383244103032901038329C10216 +:1015A000032A8102832A410213010104678000009B +:1015B000EF20906D03254400EF201050EF201070B5 +:1015C0006FF05FEEEFE0DFFC6FF09FF7832705041D +:1015D000E38407D8737004306F0000007370043028 +:1015E0006F0000008327440223269400E38C07F851 +:1015F00013054402EF205018E30605F8EFE05FF909 +:101600006FF05FF813050401EF2010179307100027 +:10161000E31AF5F66FF09FFE13050400EFF00FA339 +:10162000EF10D010130500006FF05FF663000506A1 +:10163000130101FF2324810023202101232611000F +:10164000232291008324450013890500130405001B +:10165000EF20D0426380A404930600001306090023 +:101660009305000013050400EFF05FCC9307100012 +:10167000630CF5028320C100032481008324410010 +:101680000329010013010101678000007370043019 +:101690006F0000008327C400130510009387170014 +:1016A0002326F4006FF01FFD8327C40093871700E3 +:1016B0002326F4006FF01FFC630805066386050C03 +:1016C000130101FE232C8100232A910023282101EC +:1016D000232631012324410113040500232E110088 +:1016E0001309060093890500EFE0DFFE83278403DA +:1016F000130A050093040000639C070213050A0007 +:10170000EFE0DFFC8320C101032481011385040085 +:1017100003290101832441018329C100032A810097 +:101720001301010267800000737004306F00000035 +:1017300003260404630406028325C40083274400AF +:10174000B385C5002326B40063E6F50083250400B5 +:101750002326B40013850900EF40805B83278403B0 +:101760001307F0FF9387F7FF232CF4028327440429 +:101770006384E702832744049304100093871700CF +:101780002322F4046FF09FF783270504E38A07F20E +:10179000737004306F000000832704016396070014 +:1017A000930410006FF09FF513050401EF20C07C37 +:1017B000E30805FEE30609FE930710002320F90065 +:1017C0006FF01FFE6306050883270504638A05087A +:1017D00063840708130101FE232C8100232A910052 +:1017E000232821012324410113040500232E110085 +:1017F00023263101138A0500EFE0DFED8327840300 +:101800001309050093040000638E07008329C400B8 +:1018100093050A0013050400EFF00F8093041000F5 +:101820002326340113050900EFE05FEA8320C1019C +:10183000032481011385040003290101832441014C +:101840008329C100032A810013010102678000007F +:10185000737004306F000000737004306F0000007C +:10186000E38C07FE737004306F0000006316050000 +:10187000737004306F000000130101FF2324810006 +:101880001304050023261100EF20104003248403D5 +:10189000EF20D0428320C1001305040003248100FF +:1018A00013010101678000006316050073700430A6 +:1018B0006F000000130101FF232611002324810083 +:1018C00013040500EF20503C832784030324C40342 +:1018D0003304F440EF20903E130504008320C10040 +:1018E00003248100130101016780000063060500E5 +:1018F0000325850367800000737004306F000000CB +:1019000063080504978703009387C7F303A747007D +:101910006304E50403A7C7006302E50803A74701C2 +:10192000630AE50603A7C7016302E50603A74702AA +:10193000630AE50403A7C7026302E50403A747039C +:10194000630AE50203A7C7036302E5026F40C033E1 +:10195000737004306F000000130700001317370086 +:10196000B387E70023A007006F4000321307700021 +:101970006FF0DFFE130760006FF05FFE130750008B +:101980006FF0DFFD130740006FF05FFD13073000BD +:101990006FF0DFFC130720006FF05FFC13071000EF +:1019A0006FF0DFFB0325C504678000002326B50424 +:1019B0006780000003450505678000006308050097 +:1019C000032585031335150067800000737004300C +:1019D0006F000000630C0500832785030325C50302 +:1019E0003305F5401335150067800000737004302F +:1019F0006F000000978703009387C7E403A70700E1 +:101A00006300070403A787006308070403A707010F +:101A10006308070403A787016308070403A70702F5 +:101A20006308070403A787026308070403A70703E3 +:101A3000630C070403A7870363040704678000009F +:101A40001307000013173700B387E70023A0B70080 +:101A500023A2A70067800000130710006FF09FFE0D +:101A6000130720006FF01FFE130730006FF09FFD7B +:101A7000130740006FF01FFD130750006FF09FFC2D +:101A8000130770006FF01FFC130760006FF09FFBDF +:101A900097870300938707DB03A747006300E504EC +:101AA00003A7C7006306E50403A747016306E5042F +:101AB00003A7C7016306E50403A747026306E5041D +:101AC00003A7C7026306E50403A74703630AE50407 +:101AD00003A7C7036302A704678000001307000081 +:101AE00013173700B387E70023A0070067800000C3 +:101AF000130710006FF0DFFE130720006FF05FFE8A +:101B0000130730006FF0DFFD130740006FF05FFD3B +:101B1000130750006FF0DFFC130770006FF05FFCDD +:101B2000130760006FF0DFFB130101FF2324810026 +:101B300023229100232021011304050023261100F4 +:101B40009384050013090600EF20101403274404B2 +:101B50009307F0FF6314F70023220404032784048F +:101B60009307F0FF6314F70023240404EF201015FB +:101B700083278403639A07001306090093850400F2 +:101B800013054402EF20802EEF20101083278404D9 +:101B9000635AF004832744026386070493044402D3 +:101BA0006F000002832784049387F7FF2324F40443 +:101BB000832784046358F002832744026384070266 +:101BC00013850400EF20403BE30E05FCEF20006886 +:101BD000832784049387F7FF2324F4048327840452 +:101BE000E34CF0FC9307F0FF2324F404EF20100DE6 +:101BF000EF20900983274404635AF00483270401EB +:101C000063860704930404016F00000283274404E1 +:101C10009387F7FF2322F404832744046358F002D8 +:101C2000832704016384070213850400EF20C03476 +:101C3000E30E05FCEF208061832744049387F7FFC0 +:101C40002322F40483274404E34CF0FC9307F0FFC1 +:101C50002322F404032481008320C1008324410053 +:101C600003290100130101016F20500597F70100BE +:101C70009387478B83A6070017F70100130747894F +:101C80000327070023A2A600636AE504130101FFEE +:101C9000232481002326110017F70100130747882A +:101CA000130405000325070083A50700938545005D +:101CB000EFE05FAD97F701009387C78383A7070025 +:101CC0006376F40097F7010023A687828320C10082 +:101CD00003248100130101016780000017F7010050 +:101CE0001307C7830325070083A5070093854500D5 +:101CF0006FE05FA997F701009387C78203A70700EA +:101D000083A60700832747049387F7FF2322F7045E +:101D100083A7460463940700736004306780000063 +:101D200063020504130101FD232E3101232A510111 +:101D300023261102938A0700232481022322910281 +:101D400023202103232C4101232861012326710133 +:101D5000930740009309070063FAE70073700430AB +:101D60006F000000737004306F000000130B05005B +:101D70009384050013090600938B0600130A0800DC +:101D80006302082C13050006EF30105813040500F9 +:101D90006302052A131929002328450313060900A5 +:101DA0009305500A13050A00EF40800803C704009A +:101DB000832704031309C9FF230AE40203C70400AD +:101DC000338927011379C9FF6306070E83C71400FF +:101DD000A30AF40283C71400638E070C83C7240090 +:101DE000230BF40283C724006386070C83C73400E7 +:101DF000A30BF40283C73400638E070A83C7440031 +:101E0000230CF40283C744006386070A83C7540087 +:101E1000A30CF40283C75400638E070883C76400D1 +:101E2000230DF40283C764006386070883C7740028 +:101E3000A30DF40283C77400638E070683C7840072 +:101E4000230EF40283C784006386070683C79400C9 +:101E5000A30EF40283C79400638E070483C7A40013 +:101E6000230FF40283C7A4006386070483C7B4006A +:101E7000A30FF40283C7B400638E070283C7C400B4 +:101E80002300F40483C7C4006386070283C7D40019 +:101E9000A300F40483C7D400638E070083C7E40063 +:101EA0002301F40483C7E4006386070083C7F400BA +:101EB000A301F404130A440013050A00A301040457 +:101EC0002326340323283405232A0404EFE01F8843 +:101ED00013058401EFE09F8793075000B3873741D4 +:101EE000232C040423288400232CF40023228402BE +:101EF00023220404232E040413860B0093050B00F5 +:101F000013050900EFE0CFFD2320A40063840A003D +:101F100023A08A007370043017E901001309C95E19 +:101F20008327090097E401009384C45F638C070052 +:101F300003A7040083A7040083274704938717009F +:101F40002322F70417E701001307C75C8327070064 +:101F50009387170097E6010023AEF65A83A7040083 +:101F6000638E070E83270900639C070083A7040084 +:101F700083A7C70263E6F90097E7010023A4875A05 +:101F800097E701009387475703A7070097E60100EB +:101F90009386C6578327C40283A60600130717003B +:101FA00017E60100232AE6542324E40463F6F6002E +:101FB00017E70100232CF75413074001B387E7020A +:101FC00017E501001305C57393050A003305F500F5 +:101FD000EFE04FF8832709006388070083A7040018 +:101FE00083A7470463900712832709001304100096 +:101FF000638A070083A7040083A7C70263F437013D +:10200000EFE00FD9130504008320C10203248102ED +:1020100083244102032901028329C101032A81018A +:10202000832A4101032B0101832BC100130101030A +:102030006780000013050A00EF3010451304F0FF1D +:102040006FF05FFC13152600EF30102C130A05000B +:10205000E31A05D21304F0FF6FF0DFFA97E70100EF +:1020600023A2874C0327070093071000E31AF7F019 +:1020700017E501001305C568EFE04FEB17E5010018 +:1020800013054569EFE08FEA17E501001305C569FF +:10209000EFE0CFE917E501001305456AEFE00FE92E +:1020A00017E501001305C56AEFE04FE817EB0100E3 +:1020B000130B4B6B13050B00EFE04FE797EA0100A2 +:1020C000938A8A6B13850A00EFE04FE617E501005B +:1020D0001305C56BEFE08FE517E501001305456CAF +:1020E000EFE0CFE417E501001305C56CEFE00FE466 +:1020F00097E7010023A6674397E7010023A0574312 +:102100006FF01FE803A7040083A604008327470499 +:102110009387F7FF2322F70483A74604E39607EC8F +:10212000736004306FF05FEC130101FF2324810022 +:1021300023261100232291002320210113040500EE +:102140007370043097E401009384043C83A7040077 +:10215000639E07106318040097E701009387873C8C +:1021600003A407001309440013050900EFE0CFE6BC +:10217000832784026386070013058401EFE0CFE51F +:102180009305090017E5010013058561EFE08FDC79 +:1021900097E701009387473803A7070097E70100F7 +:1021A0009387873583A707001307170097E6010079 +:1021B00023A4E63603A704009387170097E60100DF +:1021C00023ACF632630C070097E70100938787354D +:1021D00003A7070003274704631C070E83A7040017 +:1021E000638A070697E701009387C73303A70700B1 +:1021F000630287107370043003A704006318070894 +:1022000017E701001307C7318326070083A60600DE +:102210006392060A1307F0FF97E6010023ACE62C51 +:1022200003A704006308070203A707000327470466 +:102230006302070203A7070083A60700832747045A +:102240009387F7FF2322F70483A7460463940700CC +:10225000736004308320C100032481008324410083 +:1022600003290100130101016780000097E70100C5 +:102270009387472B03A7070083A7070083274704FB +:10228000938717002322F7046FF0DFEC83A6070083 +:1022900003A7070003A746041307170023A2E604B9 +:1022A00017E701001307C7278326070083A6060048 +:1022B000E38206F6032707000327C7000327C700AA +:1022C0000327470097E6010023A6E6226FF05FF59B +:1022D00003A7070083A60700832747049387F7FF18 +:1022E0002322F70483A74604E39A07EE73600430C1 +:1022F0006FF0DFEE97E701009387871F83A7070042 +:1023000063860700737004306F00000003248100AF +:102310008320C1008324410003290100130101012E +:102320006FE00FA76304050C17E701001307871F71 +:1023300083260700930700006386A60A130101FFA6 +:10234000232611002324810023229100737004307E +:1023500097E601009386461B83A70600638C07005F +:102360000326070083270700832746049387170067 +:102370002322F60483A706009304050003244501E5 +:10238000638807008327070083A747046394070631 +:1023900097E701009387C71883A70700638C870618 +:1023A00097E701009387871783A707006384870651 +:1023B00097E70100938707406306F40497E701005D +:1023C0009387073E630CF402930710008320C1003B +:1023D00003248100832441001385070013010101B8 +:1023E0006780000013850700678000007370043069 +:1023F0006F000000EFF01F906FF09FF9930740000F +:102400006FF0DFFC83A7840293B717009387270040 +:102410006FF0DFFB930720006FF05FFB73700430F9 +:1024200097E701009387470E03A70700631607028B +:102430006304050483A707000325C502638C070016 +:1024400097E701009387070E03A7070003274704B8 +:10245000631C07026780000017E701001307870C61 +:10246000832607000327070003A746041307170066 +:1024700023A2E604E31005FC17E701001307870A0F +:10248000032507006FF01FFB03A7070083A60700C3 +:10249000832747049387F7FF2322F70483A7460483 +:1024A000E39A07FA7360043067800000130101FFAC +:1024B000232481001304050023261100EFE08FA1DF +:1024C0006318040097E701009387C70503A407007A +:1024D0000324C402EFE08F9F8320C1001305040092 +:1024E000032481001301010167800000930740006D +:1024F00063F6B700737004306F000000130101FE33 +:10250000232C8100232E1100232A9100232821014E +:102510002326310123244101130405007370043084 +:1025200017E90100130949FE832709006392071088 +:102530006300041283270405638EF50A17E7010080 +:10254000130747FE63F0B70283260700638E8612E7 +:10255000032707008324C702B3B4950093C4140073 +:102560006F00000183240700B384844093B41400F7 +:102570000327C4026386E70E832784012328B4045B +:1025800063C8070093075000B385B740232CB400FD +:1025900093172700B387E7000327440197E9010059 +:1025A0009389091693972700B387F9006310F704FE +:1025B000130A440013050A00EFE00FA217E7010019 +:1025C0001307C7F48327C402032707006376F700C5 +:1025D00017E70100232CF7F213074001B387E70246 +:1025E00093050A003385F900EFE0CF966384040079 +:1025F000EFD01FFA83270900638C070097E70100DB +:10260000938747F283A7070083A74704639E0704C5 +:102610008320C10103248101832441010329010195 +:102620008329C100032A8100130101026780000091 +:1026300097E70100938707EF03A7070083A7070029 +:1026400083274704938717002322F704E31404EE3B +:1026500097E70100938707ED03A407006FF09FED54 +:102660002326B4026FF05FF1032481018320C101AE +:1026700083244101032901018329C100032A810028 +:10268000130101026FF00FE7930400006FF05FEE9B +:10269000630A0508130101FE232A910097E4010053 +:1026A000938444E883A70400232E1100232C810087 +:1026B00023282101232631016388A7047370043085 +:1026C00017E90100130949E483270900638C070017 +:1026D00003A7040083A704008327470493871700F8 +:1026E0002322F7040327450197E701009387870C0E +:1026F000630EF702832709006388070083A704009D +:1027000083A74704639E07088320C1010324810136 +:1027100083244101032901018329C100130101021E +:1027200067800000737004306F000000832785020B +:1027300017E7010013078705E38EE7FAE39C07FA22 +:10274000930945001304050013850900EFE0CF88C5 +:1027500017E70100130787DB8327C402032707005D +:102760006376F70017E701002322F7DA1307400129 +:10277000B387E70217E50100130585F89385090083 +:102780003305F500EFD01FFD83A704000327C40223 +:1027900083A7C702E360F7F6EFD09FDF6FF09FF5E6 +:1027A000032481018320C101832441010329010104 +:1027B0008329C100130101026FF0CFD36302050624 +:1027C000130101FE232C8100232A910023282101DB +:1027D00013040500232E110023263101EFD09FEFB3 +:1027E0000327440197E701009387C7FC13090500FD +:1027F00093040000630AF70213050900EFD01FEDF0 +:102800008320C101032481011385040003290101F0 +:10281000832441018329C100130101026780000064 +:10282000737004306F0000008327840217E50100F5 +:102830001305C5F5E382A7FCE39007FC97E70100C9 +:10284000938707CB83A707006394070697E70100E8 +:10285000938747CD83A707008324C40293094400CC +:1028600083A7C70213850900B3B4F400EFD0DFF6E5 +:1028700017E70100130787C98327C402032707004E +:1028800093C414006376F70017E701002320F7C80C +:1028900013074001B387E70217E50100130545E67A +:1028A000938509003305F500EFD0DFEA6FF0DFF420 +:1028B00093058401EFD01FEA6FF01FF4130101FEAE +:1028C00037150000232E1100232C8100232A9100AC +:1028D00023282101232631012324410123225101F0 +:1028E000EF308022630605249304050013050006DB +:1028F000EF3080211304050063000528371600001F +:102900009305500A2328950213850400EF304052A6 +:1029100083240403B71700009387C7FFB384F40030 +:10292000B7474C459387974493094400232AF40200 +:1029300013850900230C0402A301040423260402C6 +:1029400023280404232A0404EFD05FE01305840144 +:10295000EFD0DFDF93075000232C040493F4C4FF6F +:1029600023288400232CF40023228402232204043D +:10297000232E04041306000097150000938585EAB2 +:1029800013850400EFD0DFD52320A400737004303A +:1029900017E90100130949B78327090097E40100EB +:1029A000938444B8638C070003A7040083A7040042 +:1029B00083274704938717002322F70417E70100B2 +:1029C000130747B5832707009387170097E6010091 +:1029D00023A2F6B483A704006388070A83270900AB +:1029E000639C070083A7040083A7C70263960700C0 +:1029F00097E7010023A887B297E701009387C7AF45 +:102A000083A7070097E60100938646B00327C40218 +:102A100083A606009387170017E60100232EF6AC65 +:102A20002324F40463F6E60097E7010023A0E7AE51 +:102A300093172700B387E7009397270017E5010056 +:102A4000130505CC3305F50093850900EFD09FD021 +:102A5000832709006388070083A7040083A747042E +:102A6000639A070E832709006384070083A7040085 +:102A7000EF201006930710006304F512631A050A8D +:102A8000737004306F00000097E7010023AC87A843 +:102A90000327070093071000E310F7F617E501007E +:102AA000130505C6EFD09FC817E50100130585C6BD +:102AB000EFD0DFC717E50100130505C7EFD01FC72B +:102AC00017E50100130585C7EFD05FC617E50100C4 +:102AD000130505C8EFD09FC597EA0100938A8AC8FD +:102AE00013850A00EFD09FC417EA0100130ACAC871 +:102AF00013050A00EFD09FC317E50100130505C9B0 +:102B0000EFD0DFC217E50100130585C9EFD01FC262 +:102B100017E50100130505CAEFD05FC197E7010073 +:102B200023A057A197E7010023AA479F6FF0DFEC8E +:102B30008320C10103248101832441010329010170 +:102B40008329C100032A8100832A41001301010265 +:102B50006780000003A7040083A6040083274704BE +:102B60009387F7FF2322F70483A74604E39C07EE2D +:102B7000736004306FF01FEF032481018320C101D3 +:102B8000032901018329C100032A8100832A41000E +:102B90001385040083244101130101026F30C00E2C +:102BA000737004309307F0FF17E701002324F794B4 +:102BB0000324810197E7010023A8A7948320C10182 +:102BC00083244101032901018329C100032A8100D3 +:102BD000832A410097E7010023AC07921301010209 +:102BE0006FD05F807370043097E7010023AE0790C9 +:102BF0006FD09F9117E701001307878F8327070086 +:102C0000938717002320F70067800000737004305B +:102C100097E701009387478F03A707006318070210 +:102C200017E701001307C78E0325070083A70700D6 +:102C3000638C070097E701009387C78E03A70700FF +:102C400003274704631407026780000017E70100A9 +:102C50001307478D832607000327070003A74604B1 +:102C60001307170023A2E6046FF09FFB03A70700DA +:102C700083A60700832747049387F7FF2322F704DF +:102C800083A74604E39207FC73600430678000006A +:102C9000130101FF2326110023248100EFD09FA3FD +:102CA00097E701009387C78603A40700EFD01FA210 +:102CB0008320C100130504000324810013010101D6 +:102CC0006780000097E701009387C78403A507008A +:102CD00067800000130101FD2326110223248102D5 +:102CE0002322910223202103232E3101232C410191 +:102CF000232A5101232861012326710197D701005E +:102D00009387077F83A70700639A070617E70100E9 +:102D100013070780832707009387170097D60100C2 +:102D200023A8F67E832A0700638A0A0A97D7010040 +:102D30009387477C83A707009304000063FEFA0A89 +:102D400097E901009389C99B17DA0100130A8A7D6C +:102D500083270A009306100003A7C70293172700D2 +:102D6000B387E70093972700B389F90083A7090089 +:102D700063F4F602930410006F00000297D701007D +:102D80009387477883A70700930400009387170071 +:102D900017D701002328F77697D701009387477646 +:102DA00083A7070063840700930410008320C102F7 +:102DB00003248102138504000329010283244102B4 +:102DC0008329C101032A8101832A4101032B0101C7 +:102DD000832BC100130101036780000017D4010099 +:102DE000130404748327040083A707006388070C77 +:102DF000737004306F00000017D401001304447294 +:102E000097E901009389C98F17DA0100130A8A71C3 +:102E100017DB0100130B8B6F8327040083A70700C8 +:102E2000638007088327040083A7C70003A9C7009E +:102E300083274900930B490013850B0063EAFA06C8 +:102E4000EFD09F99832789021305890163840700C6 +:102E5000EFD09F988327C90203270B0093850B00AF +:102E6000139527003305F500131525003385A900B8 +:102E70006376F70017D70100232AF768EFD09F8DFC +:102E800083270A000327C90283A7C702E366F7F86E +:102E9000832704009304100083A70700E39407F836 +:102EA0009307F0FF17D701002326F7646FF05FEA5E +:102EB00017D701002320F7646FF09FE917D70100AF +:102EC0001307C765832704000327070097D601006F +:102ED00023A8E66417D701002322F76497D70100DF +:102EE0009387C76183A707009387170017D701004F +:102EF0002326F7608327040083A70700639A07004F +:102F00009307F0FF17D701002326F75E6FF01FE24B +:102F10008327040083A7C70083A7C70083A74700B0 +:102F200017D701002328F75C6FF05FE097D7010007 +:102F30009387075C03A707006316070073700430CC +:102F40006F000000130101FE232E1100232C8100CD +:102F5000232A910023282101232631012324410122 +:102F600023225101232061017370043097D40100A2 +:102F70009384845903A704006310071203A7070072 +:102F80001307F7FF97D6010023A4E65683A707008F +:102F9000639E070A97D701009387C75783A7070047 +:102FA0006386070A97DA0100938A8A7517DA0100A7 +:102FB000130ACA5517D901001309C95693091000FD +:102FC0006F00C0013385EA00EFD0CFF883270900F6 +:102FD0000327C40283A7C7026374F70683A7CA083E +:102FE0006380070E83A78A0903A4C7001305840121 +:102FF000EFD08FFE130B440013050B00EFD0CFFD75 +:103000008327C40283260A0093050B00139527002B +:103010003305F50013152500130705003385AA00B5 +:10302000E3F2F6FA17D701002322F74EEFD08FF222 +:10303000832709000327C40283A7C702E360F7FAC6 +:1030400097D7010023AE374B6FF05FF913040000F0 +:1030500083A70400638C070097D701009387874CF0 +:1030600083A7070083A74704639807041305040098 +:103070008320C1010324810183244101032901012B +:103080008329C100032A8100832A4100032B010008 +:10309000130101026780000017D70100130787485A +:1030A000832607000327070003A74604130717001A +:1030B00023A2E6046FF09FECEFE0DFC36FF01FFB8D +:1030C00017D401001304044483270400638C07020F +:1030D000832704001309100063860702EFF09FBFE7 +:1030E0006306050097D7010023AC2741832704001E +:1030F0009387F7FF17D701002326F74083270400A3 +:10310000E39E07FC97D701009387873F03A707003B +:1031100093071000E31CF7F2EFD08FC713041000E1 +:103120006FF01FF3630405026396050073700430AB +:103130006F00000017D701001307873B83270700A4 +:10314000638A0700737004306F00000073700430EE +:103150006F000000130101FF2324810023261100CA +:1031600083260700032705009386160017D6010063 +:103170002320D63897D601009386863983A6060089 +:103180003304B7002320850063F0E6046372E40291 +:1031900063F0860297D701009387C73803A507001D +:1031A00013054500EFD04FE313050400EFE01FAC1B +:1031B000EFF0DFD7630E05008320C10003248100F8 +:1031C0001301010167800000E366E4FC6FF05FFC1F +:1031D000032481008320C100130101016FD04FBB84 +:1031E000630E050017D701001307873083270700F8 +:1031F00063880700737004306F0000006FD04FB910 +:10320000130101FF23261100232481000327070057 +:10321000930705001307170097D6010023AAE62C91 +:1032200097D601009386063017D701001307472E63 +:103230000327070003A506003304F7001305450024 +:10324000EFD08FD913050400EFE05FA2EFF01FCE9F +:10325000631A0500032481008320C10013010101CA +:103260006FD00FB38320C10003248100130101013B +:1032700067800000130101FF232481002326110031 +:1032800097D701009387C72683A707001304000080 +:103290009387170017D70100232CF72497D7010035 +:1032A0009387472783A7070063ECF50E17DE01001D +:1032B000130E8E4A97D201009382C243130400007A +:1032C00013070000930F10001303500A6F00C00093 +:1032D000130ECEFE6386C21183278EFF930507006F +:1032E000E38807FE8327CEFF83A74700232EFEFE39 +:1032F0006388C72103AFC70083A747003307E500F2 +:10330000930E0000232EFEFE6380C70983A8C7002A +:1033100093874803232017012322F70083A78804FB +:103320002326F7012324F70083A7C8022328F700E8 +:1033300083A70805232C0700232AF70003A8080306 +:1033400083470800639A670693070800938717006E +:1033500083C60700B3850741E38A66FE93D525003F +:103360009395050193D50501231EB700938E1E008A +:1033700013070702630C1F058327CEFF83A74700AF +:10338000232EFEFEE394C7F983274E00232EFEFE74 +:103390006FF0DFF7330464006304060023200600A7 +:1033A000EFF0DFB8130504008320C100032481007F +:1033B000130101016780000093050000231EB70080 +:1033C000938E1E0013070702E3181FFB3304D4017A +:1033D00013175400130ECEFE93050700E39EC2EFB1 +:1033E00097D701009387C71303AE070083270E000A +:1033F000639E071097D701009387471203AE07001B +:1034000083270E006392071C17DE0100130E4E2F58 +:1034100083270E0A6398072683274E0BE38E07F651 +:1034200083278E0B97DE0100938E4E3983A74700CA +:1034300017D701002322F738638CD73D83AFC7002D +:1034400083A747003307B5001303000097D6010098 +:1034500023A4F636130F3000930220009308500A7D +:103460006388D70903A5C700930745032320A70056 +:103470002322F700832785042326E7012324F7006E +:103480008327C5022328F70083278502638407006A +:103490002326570083270505232C0700232AF7003E +:1034A0000328050383470800639C1733930708002C +:1034B0009387170083C60700B3850741E38A16FF89 +:1034C00093D525009395050193D50501231EB700DB +:1034D0001303130013070702E38EAFEA83278E0B53 +:1034E00083A7470097D6010023A8F62CE39CD7F7C3 +:1034F00083270E0C97D6010023A0F62C6FF09FF6C1 +:1035000083274E00232EFEFE6FF0DFDE83274E0062 +:10351000130F8E0083A647002322DE00638CE62D66 +:10352000B307E50003A7460083A2C600930E000080 +:103530002322EE00930F20001303500A6300EF08CC +:103540008328C7001387480323A0170123A2E7009D +:1035500003A7880423A6F70123A4E70003A7C80252 +:1035600023A8E70003A7080523AC070023AAE70068 +:1035700003A8080303470800631A6722130708001B +:103580001307170083460700B3050741E38A66FE69 +:1035900093D525009395050193D50501239EB7008A +:1035A000938E1E0093870702638C122183264E00A0 +:1035B00003A746002322EE00E314EFF80327CE0012 +:1035C0002322EE006FF0DFF783274E00130F8E00EB +:1035D00083A747002322FE006388E72183A2C70058 +:1035E00083A74700B305B500930E00002322FE0019 +:1035F000930F20001303500A6300FF0883A8C7003D +:103600009387480323A0150123A2F50083A788040C +:1036100023A6F50123A4F50083A7C80223A8F5007B +:1036200083A7080523AC050023AAF50003A8080317 +:103630008347080063946714930708009387170073 +:1036400083C6070033870741E38A66FE13572700C6 +:103650001317070113570701239EE500938E1E00E1 +:10366000938505026386121383274E0083A74700C4 +:103670002322FE00E314FFF88327CE002322FE005E +:103680006FF0DFF783274E0A17DF0100130FCF110A +:1036900083A7470017D701002326F7106382E71797 +:1036A00083A2C70083A74700B305B500930E0000AF +:1036B00017D701002328F70E930F40001303500A79 +:1036C0006382E70983A8C7009387480323A01501F5 +:1036D00023A2F50083A7880423A6F50123A4F500FF +:1036E00083A7C80223A8F50083A7080523AC05001B +:1036F00023AAF50003A8080383470800639C670416 +:10370000930708009387170083C607003387074194 +:10371000E38A66FE135727001317070113570701A3 +:10372000239EE500938E1E0093850502638E12038F +:1037300083274E0A83A7470017D701002324F706E3 +:10374000E392E7F98327CE0A17D70100232CF70469 +:103750006FF05FF713070000239EE500938E1E00B5 +:1037600093850502E39612FD83274E0B3304D401A3 +:1037700093155400E38207C26FF09FCA130700003D +:10378000239EE500938E1E0093850502E39E12EDB5 +:1037900017DE0100130ECEF683270E0A3304D40180 +:1037A00093155400E38A07C66FF0DFED9305000020 +:1037B000239EB700938E1E0093870702E39812DFC3 +:1037C00097D70100938787D503AE07003304D40150 +:1037D0009315540083270E00E38807C26FF0DFDEE5 +:1037E000930500006FF09FCE8327CE002322FE00BA +:1037F0006FF0DFDE8326CE002322DE006FF05FD283 +:103800008327CE0A17D70100232EF7F86FF05FE960 +:1038100083270E0C17D701002320F7FA6FF01FC281 +:10382000130101FD2322910223202103232E3101C4 +:10383000232C4101232A51012328610123267101F0 +:10384000232611022324810297D90100938949CAB2 +:1038500017D901001309C9EA17DA0100130ACACA05 +:1038600097DA0100938A0ACC97DB0100938B8BCA0D +:1038700097D40100938444CA130B10006F00000218 +:1038800083A709000324090A9387170017D70100AB +:103890002320F7C6EFF08FE9631E040083A704001E +:1038A000E39007FE83270900E37AFBFEEFC05FCEBB +:1038B0006FF0DFFE7370043083270A00639E0704F5 +:1038C0008327C90A03A4C70013054400EFC0DFF033 +:1038D00083A70B009387F7FF17D70100232CF7C2AC +:1038E00083A704009387F7FF17D701002326F7C2A9 +:1038F00083270A006388070083A70A0083A7470479 +:103900006398070203250403EF2000381305040021 +:10391000EF2080376FF09FF803A70A0083A70A0003 +:1039200083274704938717002322F7046FF05FF97A +:1039300003A70A0083A60A00832747049387F7FF9B +:103940002322F70483A74604E39E07FA736004303A +:103950006FF05FFB97D70100938787B983A70700B4 +:103960006396070E130101FF2326110097D701006C +:1039700023A807B817D701001307C7BA8327070082 +:10398000B7A6A5A59386565A83A7070383A5070064 +:10399000639ED50003A64700631AB60083A687007E +:1039A0006396C60083A7C700638AD7000325070074 +:1039B0008325070093854503EF30807697D6010075 +:1039C0009386C6B403A6060017D70100130747D392 +:1039D0006F00000283A706006382070883A7060022 +:1039E0009387F7FF17D601002322F6B203A606003D +:1039F00093172600B387C70093972700B307F700F4 +:103A000083A70700E38807FC03A606009317260098 +:103A1000B387C70093972700B305F70083A6450037 +:103A200093878700B307F70083A6460023A2D5003B +:103A3000638AF60283A7C6008320C10017D701005E +:103A40002322F7AE130101016780000093071000E5 +:103A500017D701002326F7AA67800000737004308F +:103A60006F000000930740013306F60283A646006C +:103A70003307C7002322D7006FF0DFFB130101FEDD +:103A800023282101232E1100232C8100232A9100B9 +:103A900023263101130905007370043097D4010007 +:103AA000938484A683A7040017D40100130484A779 +:103AB000639C070C6314090003290400930949005F +:103AC00013850900EFC05FD1832789026386070051 +:103AD00013058901EFC05FD09385090017D5010058 +:103AE000130545CDEFC01FC783A7040063880700F7 +:103AF0008327040083A747046394070A83270400ED +:103B00006384270D83A70400638207067370043063 +:103B100083A704006398070E97D70100938747A0F7 +:103B200003A70700032707006310070C9307F0FFA4 +:103B300017D701002320F79C83A70400638807029E +:103B40008327040083A74704638207020327040036 +:103B500083260400832747049387F7FF2322F70473 +:103B600083A7460463940700736004308320C10177 +:103B70000324810183244101032901018329C10018 +:103B8000130101026780000003270400832704005B +:103B900083274704938717002322F7046FF09FF1D0 +:103BA0000327040083260400832747049387F7FF35 +:103BB0002322F70483A74604E39207F473600430DA +:103BC00083270400E39027F583A704006382070698 +:103BD00097D701009387C79183A707006388070AD7 +:103BE000737004306F00000083A7070083A7C7002D +:103BF00083A7C70083A7470017D70100232CF78EA0 +:103C00006FF09FF3032704008327040083274704F2 +:103C1000938717002322F70497D7010093874790D3 +:103C200003A7070003270700E30207F06FF0DFFB9D +:103C300097D701009387078E17D70100130747AC6A +:103C400083A707000327470B6314F7028320C101F2 +:103C50000324810197D7010023A6078C8324410107 +:103C6000032901018329C1001301010267800000BB +:103C7000032481018320C10183244101032901011F +:103C80008329C100130101026FF0DFCC03248101FD +:103C90008320C10183244101032901018329C1003B +:103CA000130101026FC0DF8E6306050A130101FFD5 +:103CB0002322910097D401009384C48623202101FC +:103CC0001389050083A50400232611002324810005 +:103CD00093858501EFC01FAB03A5040013054500C4 +:103CE000EFC09FAF9307F0FF630AF90697D7010073 +:103CF0009387078203A4070003A7040083A7070094 +:103D00003304240123228700636EF40697D7010051 +:103D10009387078103A5070083A5040093854500C9 +:103D2000EFC05FA697C701009387C77C83A70700F2 +:103D30006376F40097C7010023AE877A8320C10021 +:103D400003248100832441000329010013010101A0 +:103D500067800000737004306F00000083A50400CA +:103D6000032481008320C100832441000329010032 +:103D700017D50100130505A4938545001301010122 +:103D80006FC05F9D97C701009387477903A5070020 +:103D90000324810083A504008320C1008324410003 +:103DA0000329010093854500130101016FC09F9D08 +:103DB000630E050097C701009387877383A70700E9 +:103DC000639A0700737004306F0000007370043052 +:103DD0006F000000130101FF2322910097C401002E +:103DE0009384447403A704009387050083A504000B +:103DF000B7060080B3E7D7002326110023248100F3 +:103E0000232CF7009385850113040600EFC09F94CF +:103E100003A5040013054500EFC01F9C9307F0FFA6 +:103E20006304F40297C701009387876E03A5070018 +:103E30008320C100832441003305850003248100D1 +:103E4000130101016FD09FE283A5040003248100C8 +:103E50008320C1008324410017D5010013058595F7 +:103E600093854500130101016FC0DF8E6308050AC9 +:103E7000130101FF2322910097C401009384846AF7 +:103E8000232021011389050083A5040023261100A6 +:103E9000232481009385850113040600EFC09F8BC6 +:103EA00003A5040013054500EFC01F9393071000FE +:103EB000630AF40697C701009387876503A4070088 +:103EC00003A7040083A707003304240123228700EB +:103ED000636EF40697C701009387876403A5070004 +:103EE00083A5040093854500EFC0DF8997C70100D3 +:103EF0009387476083A707006376F40097C70100A4 +:103F000023AA875E8320C10003248100832441000B +:103F10000329010013010101678000007370043060 +:103F20006F00000083A50400032481008320C100EA +:103F3000832441000329010017D50100130585875B +:103F400093854500130101016FC0DF8097C7010011 +:103F50009387C75C03A507000324810083A50400A1 +:103F60008320C1008324410003290100938545007B +:103F7000130101016FC01F81130101FF23261100EE +:103F800023248100232291008327C50003A4C700B6 +:103F90006304040A9304840113850400EFC0DF83E3 +:103FA00097C701009387C75483A70700639A070840 +:103FB0009304440013850400EFC01F8297C70100DB +:103FC0009387C7540327C40283A7070063F6E7005B +:103FD00097C7010023ACE75293172700B387E70088 +:103FE0009397270017C50100130585719385040079 +:103FF0003305F500EFC00FF697C70100938787528E +:1040000083A707000327C4021305000083A7C70284 +:1040100063FAE7009307100017C701002322F74E49 +:10402000130510008320C100032481008324410074 +:104030001301010167800000737004306F000000FD +:104040009385040017C5010013054574EFC08FF078 +:104050006FF09FFA97C701009387874983A70700EE +:1040600063960700737004306F000000130101FFB6 +:10407000232611002324810023229100B70700800A +:104080000324C500B3E7F5002320F5006308040806 +:10409000EFC08FF49304440013850400EFC0CFF306 +:1040A00097C70100938787460327C40283A70700A9 +:1040B00063F6E70097C7010023AAE7449317270098 +:1040C000B387E7009397270017C5010013054563E1 +:1040D0003305F50093850400EFC0CFE797C70100D3 +:1040E0009387474483A707000327C40213050000F2 +:1040F00083A7C70263FAE7009307100017C7010000 +:104100002320F740130510008320C1000324810001 +:1041100083244100130101016780000073700430A3 +:104120006F0000006304050297C701009387073DF5 +:1041300003A7070097C701009387873D83A7070060 +:104140002320E5002322F50067800000737004300F +:104150006F0000006304050E638E050C130101FF60 +:1041600023261100232481001307050073700430F7 +:1041700097C801009388483983A708006380070225 +:1041800097C701009387073A83A6070083A7070014 +:1041900083A746049387170023A2F60417C30100E0 +:1041A0001303033783A70500032603009306F0FFDC +:1041B000130400006388D70417CE0100130E0E34D9 +:1041C00003250E0003280700832647006306A80086 +:1041D000130410006378D6023308D640130410008D +:1041E0006372F80203250E00B387C740032603005D +:1041F000B387D70023A0F5002320A7002322C70000 +:104200001304000083A70800638C070097C7010010 +:104210009387473183A7070083A747046394070266 +:10422000130504008320C100032481001301010150 +:1042300067800000737004306F00000073700430FA +:104240006F000000EFD01FAB6FF09FFD93071000D1 +:1042500017C701002326F72A6780000063060500C0 +:104260000325C50467800000130500006780000077 +:10427000630405002326B5046780000097C701008A +:104280009387472A03A507006780000097C70100AE +:104290009387872783A7070013051000638C070007 +:1042A00097C701009387C72403A50700133515009E +:1042B0001315150067800000630C0508130101FE4B +:1042C000232A910097C401009384C42583A7040086 +:1042D000232E1100232C81002328210123263101C4 +:1042E0000327C50283A7C7026376F70483278501E6 +:1042F00063CC070083A7040083A6C702930750007E +:10430000B387D740232CF50093172700B387E70026 +:104310000327450117C901001309893E9397270018 +:10432000B307F9006308F70283A7040083A7C70255 +:104330002326F5028320C101032481018324410146 +:10434000032901018329C1001301010267800000D4 +:1043500067800000930945001304050013850900D8 +:10436000EFC08FC783A7040017C701001307071A00 +:104370000327070083A7C7022326F4026376F7000A +:1043800017C701002324F718139527003305F500FC +:1043900003248101131525008320C10183244101D9 +:1043A000938509003305A9008329C1000329010170 +:1043B000130101026FC00FBA63060502130101FF6A +:1043C00023261100232481002322910097C7010096 +:1043D0009387471503A40700630AA4007370043091 +:1043E0006F0000001305000067800000832744056C +:1043F00063960700737004306F0000008326C402C8 +:10440000032704059387F7FF232AF4046384E60057 +:10441000638E0700130500008320C1000324810080 +:1044200083244100130101016780000093044400CC +:1044300013850400EFC04FBA8327040517C7010096 +:104440001307C70C83260700130750003307F740F4 +:104450002326F402232CE40063F6F60017C70100BC +:104460002326F70A13074001B387E70217C50100A7 +:1044700013050529938504003305F500EFC08FADC2 +:10448000130510006FF05FF97370043097C70100D7 +:104490009387870783A707006380070297C70100F8 +:1044A0009387470803A7070083A7070083274704CC +:1044B000938717002322F7046780000097C7010045 +:1044C0009387870483A70700638C070297C70100BF +:1044D0009387470503A707000327470463020702E2 +:1044E00003A7070083A60700832747049387F7FFE6 +:1044F0002322F70483A74604639407007360043003 +:104500006780000097C701009387C70103A60700D3 +:1045100083A6070003A707009307500083A6C602DF +:1045200003258601B387D740232CF700678000005E +:1045300097C70100938707FF03A70700630A0700D7 +:1045400083A6070003A746051307170023AAE6045E +:1045500003A5070067800000130101FD232021034C +:10456000232611022324810223229102232E3101CA +:10457000130905007370043097C401009384C4F8D4 +:1045800083A7040017C401001304C4F9639E070A3B +:104590008327040083A78705639A07008327040005 +:1045A0001307100023AEE704639A050E83A70400E7 +:1045B000638807008327040083A74704639A0714CE +:1045C0007370043083A704006394070683270400F4 +:1045D00003A5870563080500630209048327040017 +:1045E00023AC07048327040023AE070483A7040039 +:1045F000638807008327040083A7470463920706A4 +:104600008320C10203248102832441020329010281 +:104610008329C101130101036780000003270400FF +:10462000832787059387F7FF232CF7046FF09FFB01 +:104630000327040083270400832747049387170078 +:104640002322F7046FF09FF8032704008327040058 +:1046500083274704938717002322F7046FF05FF343 +:104660000327040083260400832747049387F7FF6A +:104670002322F70483A74604E39407F87360043009 +:104680008320C10203248102832441020329010201 +:104690008329C10113010103678000000325040081 +:1046A0002326B10013054500EFC00F938325C100F9 +:1046B0009307F0FF6380F50897C70100938747E5EC +:1046C00083A907000327040083A70700B389B90063 +:1046D0002322370163ECF90697C70100938747E46B +:1046E00003A507008325040093854500EFC08F894B +:1046F00097C70100938707E083A7070063F6F900D7 +:1047000097C7010023A837DFEFB09FE86FF01FEADB +:104710000327040083260400832747049387F7FFB9 +:104720002322F70483A74604E39C07E87360043060 +:104730006FF01FE98325040017C5010013058507E5 +:1047400093854500EFC00F816FF01FFC97C70100F4 +:104750009387C7DC03A507008325040093854500E4 +:10476000EFC04F826FF05FFA130101FD2320210398 +:10477000232E3101232611022324810223229102B8 +:10478000232C41011389050093090600737004303E +:1047900097C40100938444D783A7040017C4010081 +:1047A000130444D8639C07140327040093072000D4 +:1047B0000327C7056304F702832704001345F5FFA9 +:1047C00003A787053375E50023ACA70483270400FE +:1047D0001307100023AEE704639A060A83A70400B8 +:1047E000638807008327040083A7470463940716A0 +:1047F0007370043083A70400639E07066388090072 +:104800008327040083A7870523A0F900032704005A +:1048100093071000130500000327C705630EF70078 +:10482000832704001349F9FF1305100003A7870528 +:104830003379E90023AC27058327040023AE07045E +:1048400083A70400638807008327040083A7470425 +:104850006392070C8320C102032481028324410256 +:10486000032901028329C101032A810113010103E4 +:104870006780000003270400832704008327470480 +:10488000938717002322F7046FF05FF703250400D6 +:104890002326D10013054500EFB01FF48326C10085 +:1048A0009307F0FF638AF60C97C70100938747C60A +:1048B00003AA07000327040083A70700330ADA00CE +:1048C000232247016368FA0C97C70100938747C505 +:1048D00003A507008325040093854500EFB09FEAF8 +:1048E00097C70100938707C183A707006370FA0A7F +:1048F00097C7010023A047C16F00400903270400A8 +:104900008327040083274704938717002322F70493 +:104910006FF09FE9032704008326040083274704E0 +:104920009387F7FF2322F70483A74604E39407F253 +:10493000736004308320C102032481028324410276 +:10494000032901028329C101032A81011301010303 +:1049500067800000032704008326040083274704A0 +:104960009387F7FF2322F70483A74604E39207E81F +:10497000736004306FF0DFE78325040017C5010082 +:10498000130545E393854500EFB0DFDCEFB05FC072 +:104990006FF0DFE497C70100938747B803A50700CE +:1049A0008325040093854500EFB0DFDD6FF01FFE27 +:1049B000630A050C130101FF232611002324810043 +:1049C00023229100232021017370043097C4010039 +:1049D000938484B383A70400639607086386060064 +:1049E0008327850523A0F600930706009306200081 +:1049F0000326C505232ED5041387050013040500DF +:104A00006382D70A63F4F608930530006388B70021 +:104A1000930540006396B700630CD610232CE40482 +:104A200093071000630CF6081304100083A704001A +:104A3000638C070097C701009387C7AE83A7070061 +:104A400083A7470463980706130504008320C10069 +:104A50000324810083244100032901001301010183 +:104A60006780000097C701009387C7AB03A70700C3 +:104A700083A7070083274704938717002322F7049F +:104A80006FF0DFF5737004306F00000093061000C4 +:104A9000E398D7F88327850533E7B700232CE5048F +:104AA0006FF01FF88327850593871700232CF504E3 +:104AB0006FF01FF7EFD00FA46FF01FF91309440038 +:104AC00013050900EFB05FD117C70100130707A452 +:104AD0008327C402032707006376F70017C7010086 +:104AE0002326F7A213074001B387E70217C5010089 +:104AF000130505C1930509003305F500EFB09FC507 +:104B00008327840263860700737004306F000000FF +:104B100097C70100938707A183A707000327C40253 +:104B200083A7C702E3F2E7F0EFB09FA66FF0DFEFD5 +:104B3000130400006FF09FEF63080508130101FEE6 +:104B4000232C8100232A91002328210123263101CF +:104B50002324410123225101232E11009309070030 +:104B6000138A060093040600138905001304050048 +:104B7000EFB05FB6930A050063060A008327840539 +:104B80002320FA000327C40593072000232EF404F2 +:104B90006380F40463FA9710930630006388D400AE +:104BA000930640006396D400630CF70E232C240573 +:104BB000930710006312F70A8327840263820702B7 +:104BC000737004306F000000737004306F000000D9 +:104BD0008327840593871700232CF4046FF05FFD6F +:104BE00097C701009387C79083A707006390070AC0 +:104BF0009304440013850400EFB01FBE17C70100E3 +:104C00001307C7908327C402032707006376F700C2 +:104C100017C70100232CF78E13074001B387E70263 +:104C200017C501001305C5AD938504003305F500D4 +:104C3000EFB05FB297C701009387C78E83A70700C5 +:104C40000327C40283A7C70263F8E700638609004D +:104C50009307100023A0F9001304100013850A0025 +:104C6000EFB0DFA6130504008320C10103248101F6 +:104C700083244101032901018329C100032A810002 +:104C8000832A41001301010267800000930584011B +:104C900017C50100130585AFEFB0DFAB6FF09FF9CB +:104CA000130400006FF09FFB93071000E392F4F0F1 +:104CB0008327840533E92701232C24056FF05FEF58 +:104CC000630A050E130101FE232C8100232A9100A3 +:104CD0001304050023282101232E1100232631016E +:104CE00093840500EFB01F9F930720008326C4051F +:104CF000232EF404832784051307100013090500ED +:104D000093871700232CF4046396E6088327840214 +:104D100063860700737004306F00000097B70100CE +:104D20009387077D83A70700639A070893094400C8 +:104D300013850900EFB05FAA17B701001307077DBD +:104D40008327C402032707006376F70017B7010023 +:104D5000232EF77A13074001B387E70217C5010036 +:104D60001305059A938509003305F500EFB09F9E62 +:104D700097B701009387077B83A707000327C40227 +:104D800083A7C70263F8E700638604009307100057 +:104D900023A0F400032481018320C1018324410165 +:104DA0008329C10013050900032901011301010230 +:104DB0006FB0DF91737004306F00000093058401C1 +:104DC00017C501001305859CEFB0DF986FF05FFAFF +:104DD00093070500630805067370043017B70100D8 +:104DE0001307877283260700639E060203A6C70582 +:104DF00093062000130500006316D60023AE0704B7 +:104E00001305100083270700638C070097B7010084 +:104E10009387477103A7070003274704631C070212 +:104E20006780000097B601009386C66F03A6060050 +:104E300083A6060083264604938616002322D60402 +:104E40006FF0DFFA97B701009387C76D83A707005C +:104E50006FF09FF803A7070083A607008327470486 +:104E60009387F7FF2322F70483A74604E39A07FA00 +:104E70007360043067800000130101FB232291045A +:104E800023202105232C4103232A510323286103D6 +:104E9000232671032326110423248104232E3103A6 +:104EA00023248103232291032320A10317B90100A6 +:104EB0001309496817BB0100130B0B6797B4010076 +:104EC0009384C46697BA0100938A8A66130A100015 +:104ED000930B90008327090003A7070063060716BA +:104EE00083A7C70083A90700EFD0DFD0EFD01FD280 +:104EF00083270B00130C05006368F50C97B70100BE +:104F000023A4A762130600006376352303A50400DB +:104F1000B3858941EFC05FC1EFE04F81630605169D +:104F200003A504009306000013060000930581000A +:104F3000EFC0CFBFE30005FA83278100E3C207FE7D +:104F40008329010183A7490163860700138549006E +:104F5000EFB09F88EFD09FCB83270B00130C050089 +:104F60006362F50C8327810017B70100232E875B4E +:104F7000E3E8FBFAB317FA0013F7072163100720E1 +:104F800013F7770C6316072A93F70702E38A07F8EB +:104F900013850900EF00504F6FF09FF883A7C700FB +:104FA00003A4C70083AC07009309440013850900DC +:104FB000EFB09F828327440213050400E7800700B7 +:104FC0008327C4016386470D8327090003A70700D1 +:104FD000E31607FC03A70A0097B6010023A686552F +:104FE00097B6010023A6F65497B7010023A4E7540F +:104FF000EFD0DFF36FF0DFF283A7C70003A4C70091 +:1050000003AD0700930C440013850C00EFB0CFFCF8 +:105010008327440213050400E78007008327C401A7 +:10502000638C470B8327090003A70700E31607FCDF +:1050300003A70A0097B6010023ACF64E97B701000C +:1050400023AAE74E6FF01FF2EFD0DFBAEFD01FBCFC +:1050500083270B00130C0500E368F5F683A70A000D +:1050600003A504009309000003A60700B385894146 +:1050700017B70100232A874B13361600EFC0DFAAAB +:10508000EFD0DFEAE31E05E8EFB08FD06FF05FE905 +:105090008327840193850900B387FC0063E2FC0841 +:1050A00083A7040063860702232A0100232C9101B1 +:1050B000232E8100EFF08F9D03A5040093060000CE +:1050C0001306000093054101EFB0DFA6E31E05EED5 +:1050D000737004306F0000008327840193850C00F7 +:1050E000B307FD006362FD0883A70400638607021F +:1050F000232A0100232CA101232E8100EFF00F9918 +:1051000003A5040093060000130600009305410167 +:10511000EFB05FA2E31805F0737004306F00000079 +:10512000032509002322F40023288400EFB08FE533 +:105130006FF09FE9EFD09FDF8327090083A7C700A7 +:1051400003A4C700930C440013850C00EFB0CFE814 +:105150008327C401638A470583274402130504009B +:10516000E78007006FF0DFDB032509002322F4004E +:1051700023288400EFB00FE16FF0DFEA8327C1003E +:1051800023ACF9006382070AB387870123A2F900E1 +:1051900023A83901938549006362FC0803A50A002E +:1051A000EFB04FDE6FF0DFD7832784012328840020 +:1051B0003387F9002322E400636AEC04330C3C419A +:1051C000636EFC0283A7040063860702232A0100A2 +:1051D000232C3101232E8100EFF04F8B03A5040017 +:1051E000930600001306000093054101EFB09F9461 +:1051F000E31405F6737004306F00000003A50A0085 +:1052000093850C00EFB00FD86FF01FF50325090050 +:1052100093850C00EFB00FD76FF01FF40325090042 +:10522000EFB04FD66FF0DFCF737004306F00000027 +:105230008327C10083A6890123A839013387D700BA +:1052400023A2E9006362EC06B307FC4063EAD706D9 +:1052500083A7490213850900E780070083A7C901D6 +:10526000E39047CD83A689018327C10003A70400EB +:10527000B387D70063060702232A0100232CF1001D +:10528000232E3101EFF08F8003A504009306000068 +:105290001306000093054101EFB0DF89E31205C852 +:1052A000737004306F0000006374FC00E372F7FA5F +:1052B0000325090093854900EFB0CFCC6FF05FC69E +:1052C00003A50A0093854900EFB0CFCB6FF05FC50F +:1052D000130101FF232481002326110023229100C2 +:1052E0002320210117B4010013044424EFF0CF99C7 +:1052F0008327040063840704EFF04F9C8327040096 +:10530000638A070293080000130800009307000057 +:1053100013072000930600001306004097B5010014 +:105320009385451117050000130545B5EFC05F9F34 +:1053300063140506737004306F00000017B9010094 +:105340001309894813050900EFB04FBE97B4010057 +:105350009384C44813850400EFB04FBD13060000CA +:105360009305C0001305400097B7010023A4271D33 +:1053700097B7010023AE971AEFB0CFE717B7010038 +:105380002326A71A6306050297B501009385050A2F +:10539000EFC04FE66FF05FF68320C1000324810069 +:1053A00083244100032901001301010167800000EB +:1053B000737004306F000000638A050A130101FD59 +:1053C000232A5101930A05001305C00223248102F8 +:1053D0002322910223202103232E3101232C41017A +:1053E00023261102232861012326710113090700D6 +:1053F00093890600130A060093840500EF00C0702D +:1054000013040500630C0502EFF00F8897B7010045 +:105410009387C71183A70700638E0704EFF00F8AF5 +:105420001305440023205401232C9400232E44010F +:105430002320340323222403EFB04FB113050400CB +:105440008320C10203248102832441020329010233 +:105450008329C101032A8101832A4101032B010110 +:10546000832BC100130101036780000073700430B7 +:105470006F00000097BB0100938B0B3513850B0069 +:10548000EFB0CFAA17BB0100130B4B3513050B0070 +:10549000EFB0CFA9130600009305C000130540002C +:1054A00097B7010023A8770997B7010023A26709DE +:1054B000EFB04FD417B70100232AA706630A0500EF +:1054C00097B50100938585F6EFC0CFD26FF01FF539 +:1054D000737004306F000000630E0506130101FEB7 +:1054E000232C8100232E1100232A910017B40100E0 +:1054F0001304C403832804001308050013050000E7 +:1055000063800804930407002322B1001387060078 +:105510002324C100232601019306500063C0B60472 +:10552000EFE0DFD693072000630EF50403250400A7 +:10553000930600001306000093054100EFB08FDFD3 +:105540008320C1010324810183244101130101024D +:1055500067800000737004306F0000009305410005 +:10556000930600001306070013850800EFB05FAE36 +:105570008320C1010324810183244101130101021D +:1055800067800000032504009306000013860400D2 +:1055900093054100EFB00FDA6FF09FFA6306050044 +:1055A0000325050067800000737004306F00000061 +:1055B00063160500737004306F000000130101FFD3 +:1055C000232611002324810013040500EFE0DFEB04 +:1055D000032544013334A000EFE05FEE130504001F +:1055E0008320C100032481001301010167800000B2 +:1055F00063160500737004306F000000130101FF93 +:10560000232481001304050023261100EFE0DFE7C7 +:1056100003240402EFE09FEA8320C1001305040085 +:105620000324810013010101678000006316050057 +:10563000737004306F000000130101FF2324810008 +:1056400023229100138405009304050023261100F2 +:10565000EFE09FE323A08402032481008320C100A4 +:1056600083244100130101016FE05FE5130101FF95 +:105670001305C0012324810023261100EF00C04838 +:1056800013040500630805002320050013054500E9 +:10569000EFB0CF89130504008320C10003248100EB +:1056A000130101016780000063020502B70700FFD4 +:1056B000B3F7F50063860700737004306F000000D5 +:1056C000639A0500737004306F000000737004303B +:1056D0006F000000130101FE232C8100232821010B +:1056E000232631012324410123225101232E1100BD +:1056F000232A91009309070013890600930A0600E4 +:1057000013840500130A0500EFE05FB86318050075 +:1057100063860900737004306F000000EFD08FCDF6 +:1057200083240A00B3779400631209046382070498 +:1057300063880A009347F4FFB3F797002320FA0029 +:10574000EFD0CFFE8320C101032481011385040023 +:1057500003290101832441018329C100032A810017 +:10576000832A41001301010267800000E302F4FC78 +:10577000E38809FCB3355001939585016306090060 +:10578000B7070004B3E5F50013860900B3E585000B +:1057900013054A00EFE0CFE1EFD04FF963140500A5 +:1057A000EFA01FDFEFE01FD69317650093090500F8 +:1057B00063DA0700B70400019384F4FFB3F499009F +:1057C0006FF05FF8EFE05FCC83290A00B377340114 +:1057D00063100902638A070063880A009347F4FF95 +:1057E000B3F737012320FA00EFE05FCD6FF09FFCA5 +:1057F000E31CF4FE6FF05FFE630C0500B70700FFCB +:10580000B3F7F500638A0700737004306F0000007F +:10581000737004306F000000130101FF2324810026 +:1058200013040500232611002322910023202101C7 +:1058300013890500EFE05FC5832404009345F9FF59 +:10584000B3F795002320F400EFE05FC78320C10089 +:1058500003248100138504000329010083244100EF +:105860001301010167800000130101FF232481005F +:105870001304050023261100EFA0DFE50324040034 +:10588000EFA0DFE48320C10013050400032481009E +:105890001301010167800000630C0500370700FF5A +:1058A000B3F7E500638A0700737004306F000000EF +:1058B000737004306F0000006F500012B70700FFD4 +:1058C000B377F60063860700737004306F00000042 +:1058D00063160600737004306F000000130101FEB0 +:1058E000232C810023282101232631012324410177 +:1058F000232E1100232A91001389060093890500A5 +:10590000130A050013040600EFE05F98631E050408 +:1059100063060900737004306F000000EFD08FAD94 +:1059200083240A009385090013050A00B3E4990053 +:10593000EFF09FF6B3779400630AF40883240A001B +:10594000EFD0CFDE8320C101032481011385040041 +:1059500003290101832441018329C100032A810015 +:105960001301010267800000EFD0CFA883240A0052 +:105970009385090013050A00B3E43401EFF0DFF169 +:10598000B3F7840063848704E30A09FAB7050005C6 +:1059900013060900B365B40013054A00EFE04FC1D8 +:1059A000EFD0CFD863140500EFA09FBEEFE09FB506 +:1059B000931765009304050063D40702B70700013D +:1059C0009387F7FFB3F4F4006FF0DFF783270A0043 +:1059D0001344F4FFB3F787002320FA006FF05FF65B +:1059E000EFE09FAA83240A00B377940063988700AE +:1059F0009347F4FFB3F797002320FA00EFE01FACC2 +:105A00006FF0DFFB130101FF232481002326110027 +:105A10001304050023229100EFD0CF9D832744007B +:105A200063860702032504019304C40063189500EC +:105A30006F0080030325040163089502B705000287 +:105A4000EFE04FE183274400E39607FE13050400CF +:105A5000EF008023032481008320C10083244100C0 +:105A6000130101016FD08FCC737004306F00000000 +:105A7000630C0500370700FFB3F7E500638A0700F2 +:105A8000737004306F000000737004306F0000000A +:105A90006F409074630C0500B70700FFB3F7F50083 +:105AA000638A0700737004306F0000007370043065 +:105AB0006F000000130101FF2324810023229100C5 +:105AC000232611001304050093840500EFE0DF9BFB +:105AD0000327040093C7F4FFB377F7002320F400F3 +:105AE000032481008320C1008324410013010101AC +:105AF0006FE0DF9C630605000325850167800000D9 +:105B00001305000067800000130101FF23248100BA +:105B10002326110013040500EFD0CF8D97B70100A5 +:105B2000938787A183A70700639C0704B7970100A9 +:105B300017B70100130707CC9387C7FF97B601007B +:105B400023A2E6A017B70100232CF79E17B7010088 +:105B50002324F7CA97B701009387479E17B7010020 +:105B6000232AF7C89307100017B70100232E079CBC +:105B700017B701002324079C17B70100232EF79ABB +:105B800063120402EFD08FBAEF10C0581304000064 +:105B9000130504008320C1000324810013010101C7 +:105BA0006780000013058400937735006398070C25 +:105BB000B79701001307F5FF9387A7FFE3E4E7FC1E +:105BC00097B601009386069883A706006F004001F0 +:105BD00003A70700630A07009386070093070700DF +:105BE00003A74700E366A7FE17B701001307079551 +:105BF000E38AE7F803A70700130600011384870070 +:105C000023A0E60003A74700B306A740637ED602A1 +:105C10003388A7002322D80023A2A70083254800A9 +:105C200097B60100938606926F00800093060700E6 +:105C300003A7060003264700E36AB6FE2320E80018 +:105C400023A0060103A7470097B701009387879F0A +:105C500083A70700B387E74017B701002324F79E07 +:105C6000EFD0CFACE30204F2130504008320C1009F +:105C70000324810013010101678000001375C5FF33 +:105C8000130545006FF0DFF263080506130101FFFD +:105C9000232481002326110013040500EFC09FF583 +:105CA000930584FF0326C4FF17B7010013078789F4 +:105CB0006F008000138707008327070083A6470033 +:105CC000E3EAC6FE232CF4FE2320B70097B70100B9 +:105CD0009387479703A707008327C4FF0324810006 +:105CE0008320C100B387E70017B70100232CF79486 +:105CF000130101016FD08FA36780000097B70100E7 +:105D00009387479403A50700678000006780000021 +:105D10003367B50013773700930705006312070454 +:105D20009308D6FFB30815011307050093860500F5 +:105D30006378150303A806001307470093864600FF +:105D4000232E07FFE36817FF9347F5FFB38717017B +:105D500093F7C7FF93874700B385F500B307F500B6 +:105D60003306C50063F4C70C9386450013884700CB +:105D7000B3B6D70033B8050133E7F50093C6160074 +:105D80001348180013773700B3E6060113371700DE +:105D90003377D700330EF640938617006308070663 +:105DA0001337AE006314070613532E001388050043 +:105DB00093860700130700008328080013071700C5 +:105DC0001308480023A0160193864600E36667FE89 +:105DD0001377CEFFB385E500B387E7006306EE04D3 +:105DE00083C60500138717002380D700637EC70290 +:105DF00083C6150013872700A380D7006376C702E8 +:105E000003C725002381E70067800000B307F64041 +:105E1000B387F5009385150003C7F5FF9386160039 +:105E2000238FE6FEE398F5FE6780000067800000A0 +:105E3000B367C50093F73700B308C5006382070E48 +:105E400063761511B307A04093F737001387370027 +:105E50009306500093F5F50F130815003383A840FF +:105E60001306F6FF6374D700130750006368E60E4D +:105E70006380070E2300B50013071000638EE70050 +:105E8000A300B50013073000130825006396E70050 +:105E9000130835002301B5001396850033E6C500CD +:105EA000139705013367E6003303F34093968501AA +:105EB000B366D70013562300B307F500130700009D +:105EC00023A0D7001307170093874700E36AC7FE94 +:105ED0001377C3FFB307E8006300E3082380B7002C +:105EE00013871700637C1703A380B700138727006D +:105EF000637617032381B700138737006370170396 +:105F0000A381B70013874700637A17012382B70084 +:105F10001387570063741701A382B70067800000DE +:105F200093F5F50F13978500B365B7001397050137 +:105F30003367B700E37415FF9307050093874700A5 +:105F400023AEE7FEE3EC17FF6780000067800000E8 +:105F5000130805006FF05FF46780000093070500E9 +:105F60006FF0DFF78347050013070500638C070018 +:105F70001305150083470500E39C07FE3305E54044 +:105F800067800000130500006780000013051500FE +:105F90008347F5FF9385150003C7F5FF6388070066 +:105FA000E386E7FE3385E740678000009307000043 +:105FB0006FF05FFF930705009385150003C7F5FF9A +:105FC00093871700A38FE7FEE31807FE67800000A2 +:105FD00083460500930700026398F6001305150039 +:105FE00083460500E38CF6FE938756FD93F7D70FA3 +:105FF0006380070493070500930500006388060487 +:106000001305000093871700138606FD131725005C +:1060100083C607003305A700131515003305A60036 +:10602000E39206FE638405003305A040678000000C +:10603000938536FD8346150093B51500930715002B +:10604000E39006FC130500006FF0DFFD1305000070 +:106050006780000083A705002380A70083A70500B1 +:106060009387170023A0F5006780000097A6010022 +:106070009386C64D83A7060017370300130787785A +:1060800013861700B307F7002380A70097A5010028 +:1060900023AEC54A9307A000630AF50093070004E6 +:1060A0006308F6001305000067800000E30C06FE9D +:1060B000370610F0130717008347F7FF2320F6F089 +:1060C00083A706009387F7FF97A5010023A0F54853 +:1060D000E39207FE1305000067800000130101EB47 +:1060E0002322911423202115232A511323248113C1 +:1060F0002326111423248114232E3113232C41131E +:106100002328611323267113232291132320A11323 +:10611000232EB11193040500138905002326C10025 +:10612000130C5002930A9000834709006388870389 +:10613000638C070603A404006F00800063860706D3 +:106140002300F40003A4040013091900130414002D +:1061500023A0840083470900E39287FF03461900C8 +:106160009308190093860800130D00029309F0FFAD +:10617000130AF0FF13080000130550059307D6FD1E +:1061800093F7F70F13891600636EF53A17A701000E +:10619000130747E793972700B387E70083A7070014 +:1061A000B387E700678007008320C11403248114AC +:1061B00083244114032901148329C113032A811361 +:1061C000832A4113032B0113832BC112032C811249 +:1061D000832C4112032D0112832DC11113010115CE +:1061E00067800000930C80002324010093071000B7 +:1061F00063DA071D8327C10003A40400938B770093 +:1062000093F68BFF03AB060083A9460093878600B5 +:106210002326F10013860C009306000013050B00E3 +:1062200093850900EF2000482328A1009307000070 +:1062300063803733930D4101930B100013860C00DC +:106240009306000013050B0093850900EF20400220 +:106250009306000013860C00130B050093890500BC +:10626000EF2040448327810023A0AD0093861B00CC +:10627000938D4D0063863701938B06006FF01FFCF2 +:10628000E37C9BFF63D24603930704002380A701AE +:1062900003A40400130AFAFF9307140023A0F400D8 +:1062A00013840700E3C446FF93962B009307010174 +:1062B000B386D7006F008002938777052300F40030 +:1062C00003A40400938BFBFF93871B0013041400AB +:1062D00023A084009386C6FFE358F0E483A706005A +:1062E000E3ECFAFC938707036FF05FFD83A70400DC +:1062F000930600030327C1002380D70083A704006F +:1063000093064700930C00011386170023A0C400D6 +:1063100013068007A380C70003A404002324010000 +:10632000930707001304140023A0840003AB0700A5 +:10633000930900002326D1006FF0DFED8327C10011 +:1063400083AC0700938B470063880C28635C40256F +:106350009307D002631EFD2083C70C0063880702E9 +:1063600063D8092483A60400938C1C00130AFAFF47 +:106370002380F60003A404001304140023A0840067 +:1063800083C70C00E39E07FC6352400303A4040090 +:10639000930700022300F40003A40400130AFAFF89 +:1063A0001304140023A08400E3160AFE23267101BF +:1063B0006FF09FD72324010093071000930CA000D7 +:1063C000E3CA07E38327C10003A4040093864700C0 +:1063D0006FF0DFF503C61600930609006FF01FDAB1 +:1063E00083A70400930650022380D70003A404006F +:1063F0001304140023A084006FF01FD3930C00013A +:10640000232401006FF09FDE8327C10003C616001E +:106410009306090083A90700938747002326F1000C +:10642000E35E0AD4138A09009309F0FF6FF01FD5C9 +:1064300003C61600130DD002930609006FF01FD497 +:106440009347FAFF93D7F74103C61600337AFA0051 +:10645000930609006FF09FD203C61600130D0003C8 +:10646000930609006FF09FD183C51600930906FDBE +:1064700093060900938705FD13860500E3E2FAFA07 +:1064800093972900B38937019386160093991900D1 +:10649000B389B90083C50600938909FD938705FD7B +:1064A00013860500E3FEFAFC6FF09FF70327C10097 +:1064B00083A7040083260700130747002326E10073 +:1064C0002380D70003A404001304140023A0840035 +:1064D0006FF09FC59307100063D4070F8327C10097 +:1064E0009386770093F686FF938786002326F10034 +:1064F00083A9460083A7060063DE090E83A6040075 +:106500001306D002B307F0402380C60003A40400A2 +:10651000B336F000B30930411304140023A0840003 +:10652000138B0700B389D940930CA00023240100EA +:106530006FF05FCE03C6160013081800930609001B +:106540006FF0DFC383A70400138908006FF09FE991 +:10655000E3729BCF93071000930B0000930610008B +:10656000E3C447D36FF05FD497AC0100938C0CED7C +:106570009385090013850C00EF10D007330AAA4059 +:106580006352400303A404002300A40103A40400F5 +:10659000130AFAFF1304140023A08400E3160AFE72 +:1065A000130A000083C70C00E38207E0E3CC09DA9A +:1065B0009389F9FF1307F0FFE396E9DA6FF0DFDC68 +:1065C0008327C1009386470083A707002326D100B5 +:1065D00093D9F7416FF05FF2635640019307D00201 +:1065E000E314FDF897AC0100938C4CE5930780020F +:1065F0006FF01FD7138B0700930CA000232401001A +:1066000003A404006FF01FC1130101EB232E31130B +:10661000B70900409387F9FF2324811423229114A2 +:10662000232C4113232A511323261114232021152F +:10663000232861132326711323248113232291130A +:106640002320A113232EB111130A05002324B10026 +:1066500017A40100130484EF973A0300938A8A1A5F +:1066600097A40100938484AF2326F1009305500280 +:106670001305A00013080004B70610F083470A00B2 +:10668000638EB7046388070A03270400130A1A00FD +:10669000130617003387EA0097A8010023A8C8EA69 +:1066A0002300F7006380A708E31A06FD17370300ED +:1066B00013074715130717008347F7FF23A0F6F0CA +:1066C000832704009387F7FF17A601002320F6E82D +:1066D000E39207FE83470A00E396B7FA83461A005F +:1066E00013051A0013070500930B0002130BF0FFAC +:1066F000130CF0FF13080000930550059308900059 +:106700009387D6FD93F7F70F130A170063ECF54450 +:1067100093972700B387970083A70700B387970055 +:1067200067800700173703001307C70DE31406F847 +:106730006FF0DFF48320C1140324811483244114F7 +:10674000032901148329C113032A8113832A4113C6 +:10675000032B0113832BC112032C8112832C4112B2 +:10676000032D0112832DC111130101156780000053 +:10677000930C8000130D00009307100063DA0721CB +:10678000832781009387770093F787FF83AD070006 +:1067900003AB4700138787002324E10013860C0016 +:1067A0009306000013850D0093050B00EF10906F0A +:1067B0002328A10063086D451309410193091000C6 +:1067C00013860C009306000013850D0093050B0043 +:1067D000EF10102A13860C0093060000930D05009D +:1067E000138B0500EF10106C2320A900938919006A +:1067F00013094900E3166DFDE3F49DFD1306000443 +:10680000B70610F063D489038327040013871700A9 +:10681000B387FA0097A5010023AAE5D22380770168 +:10682000630AC706130CFCFFE3C089FF8327C1007E +:106830001305C10093089000B386F90093962600D3 +:1068400093070101B386D7001308A00013030004C7 +:10685000370610F0E38CA6E083A70600930500033B +:1068600063F4F8009305700503270400B387B700AD +:10687000930517003387EA0017AE01002328BECC2A +:106880002300F70063860737638C65329386C6FF63 +:106890006FF05FFC173703001307C7F613071700E5 +:1068A0008347F7FF23A0F6F0832704009387F7FFC1 +:1068B00097A5010023ACF5C8E39207FE130CFCFF7B +:1068C0006FF09FF683270400130600041387170058 +:1068D00097A5010023ACE5C6B386FA009305000333 +:1068E0002380B6006308C7509387270097A601004E +:1068F00023AEF6C43387EA00930680072300D7004F +:1069000017370300130707F0B70610F06392C702AA +:10691000130717008347F7FF23A0F6F0832704002F +:106920009387F7FF17A601002322F6C2E39207FE22 +:1069300083278100930C0001130D0000938747000B +:1069400003278100130B0000832D07002324F1008F +:106950006FF0DFE48327810083AC070013894700D1 +:10696000638E0C4C6358802B9307D0026394FB3EDC +:1069700003C70C00930507006314072A6F00002F5C +:1069800093071000930CA000130D0000E3CA07DF6B +:1069900083278100938747006FF09FFA8327810048 +:1069A0008346170013070A0003AB070093874700CD +:1069B0002324F100E3560CD4130C0B00130BF0FF4F +:1069C0006FF01FD483461700930BD00213070A0001 +:1069D0006FF01FD39347FCFF93D7F741834617000F +:1069E000337CFC0013070A006FF09FD18346170029 +:1069F000930B000313070A006FF09FD003461700A4 +:106A0000138B06FD13070A00930706FD9306060085 +:106A1000E3E2F8FA93172B00B38767011307170017 +:106A200093971700B387C70003460700138B07FD32 +:106A3000930706FD93060600E3FEF8FC6FF09FF750 +:106A400083258100832704001306A00003A7050007 +:106A500093861700B387FA0017A501002328D5AE47 +:106A60002380E700138945006300C73693070004BD +:106A70006384F62A232421016FF05FBF930710007F +:106A800063DE07358327810013877700137787FF3D +:106A9000930787002324F100032B47008327070077 +:106AA00063560B3E032704001306000493061700E9 +:106AB00097A5010023ACD5A83387EA009305D0023F +:106AC0002300B700638CC638B307F0403337F000BB +:106AD000330B6041938D0700330BEB40930CA00008 +:106AE000130D00006FF09FCB8346170013081800AA +:106AF00013070A006FF0DFC08346170013070A0070 +:106B00006FF01FC08327040093060004138717004B +:106B100017A60100232CE6A2B387FA001306500241 +:106B20002380C700E314D7B417370300130787CDBA +:106B3000B70610F0130717008347F7FF23A0F6F0FE +:106B4000832704009387F7FF17A601002320F6A0F0 +:106B5000E39207FE6FF09FB1930C0001130D00004C +:106B60006FF09FC18327040093060004130A0500F9 +:106B70001387170017A60100232AE69CB387FA00A3 +:106B8000130650022380C700E312D7AE1737030065 +:106B9000130747C7B70610F0130717008347F7FF1F +:106BA00023A0F6F0832704009387F7FF17A60100C0 +:106BB000232EF698E39207FE130A05006FF01FAB31 +:106BC00017370300130707C4130717008347F7FF9E +:106BD0002320F6F0832704009387F7FF97A5010091 +:106BE00023A6F596E39207FE9386C6FF6FF09FC635 +:106BF00017370300130707C1E39805FC9386C6FF08 +:106C00006FF05FC5E3FA9DBB930910006FF01FBFE3 +:106C1000130C000003C70C0093050700E30C07E406 +:106C20009308F0FF1305A00013080004B70610F046 +:106C3000635E0B0C8327040013861700B387FA00EA +:106C400017A301002324C3902380E7006382A508D3 +:106C50006308060B938C1C0003C70C00130CFCFF8D +:106C600093050700E31607FCE35680E18327040041 +:106C70009305000213060004B70610F06F00C00071 +:106C8000130CFCFFE3080CDE13871700B387FA0030 +:106C90002380B70017A50100232AE58A9307070080 +:106CA000E310C7FE173703001307C7B51307170014 +:106CB0008347F7FF23A0F6F0832704009387F7FFAD +:106CC00017A501002324F588E39207FE6FF05FFB10 +:106CD00017370300130707B3E30E06F61307170071 +:106CE0008347F7FF23A0F6F0832704009387F7FF7D +:106CF00017A60100232CF684E39207FE6FF09FF5A0 +:106D000017370300130707B06FF05FFD130BFBFF8E +:106D1000E3121BF36FF05FF517370300130787AE1D +:106D2000B70610F0130717008347F7FF23A0F6F00C +:106D3000832704009387F7FF17A601002328F68016 +:106D4000E39207FE232421016FF05F92979C0100DC +:106D5000938CCC6E93050B0013850C00EF1080090B +:106D6000330CAC40E35880EB832704001306000487 +:106D7000B70610F06F00C000130CFCFFE30A0CE82C +:106D800013871700B387FA002380770197950100D6 +:106D900023AEE57A93070700E310C7FE1737030019 +:106DA000130747A6130717008347F7FF23A0F6F042 +:106DB000832704009387F7FF9795010023A8F578B0 +:106DC000E39207FE6FF05FFB17370300130787A3FB +:106DD000E39806F4232421016FF05F898327810063 +:106DE0001387470083A707002324E10013DBF74143 +:106DF0006FF01FCB173703001307C7A0B70610F0BB +:106E0000130717008347F7FF23A0F6F0832704003A +:106E10009387F7FF17960100232AF672E39207FE85 +:106E200093071000179701002322F772930780073A +:106E3000173703002308F79C6FF09FAF635680015C +:106E40009307D002E394FBF0979C0100938C0C5FB6 +:106E500013078002930580026FF09FDC97360300D2 +:106E60009386469A370610F09386160003C7F6FFFE +:106E70002320E6F0032704001307F7FF979501008E +:106E800023A6E56CE31207FE6FF01FC4938D070085 +:106E9000930CA000130D00006FF05F90B70710F087 +:106EA00023A0A7F26F000000130101FC13034102AD +:106EB0002322B10293050300232E11002324C102D3 +:106EC0002326D1022328E102232AF102232C0103E5 +:106ED000232E110323266100EFF00FF38320C1015D +:106EE000130500001301010467800000130101FB7A +:106EF00013038103232481022326A100232CC10232 +:106F000013040500130603001305C1002326110214 +:106F10002322F104232ED1022320E104232401059E +:106F200023261105232E6100EFF04F9B8327C1001C +:106F3000238007000325C1008320C102330585405B +:106F4000032481021301010567800000B70710F0D8 +:106F500083A647F403A607F403A747F4E31AD7FE72 +:106F6000B7160000938606FAB306D60033B6C600FD +:106F7000B305E60023A4D7F423A6B7F46780000086 +:106F8000B70710F003A747F403A607F483A647F456 +:106F9000E31AD7FEB7160000938606FAB306D600AA +:106FA00033B6C60023A4D7F4B305E60023A6B7F48E +:106FB0009307000873A0473067800000130101FFAA +:106FC0002324810023261100979701009387875877 +:106FD000179401001304C45703A707008327040074 +:106FE0001306F07C930647069387470697950100A2 +:106FF00023A2D5569795010023ACF554634AD600D9 +:107000008320C10003248100130101016780000077 +:10701000130747899797010023AEE752EF0090547A +:10702000930710006316F50203270400B71700004A +:107030009387F77613050000E3D4E7FCEFF01FE633 +:10704000032481008320C100130101016FB09FB9A7 +:10705000179501001305053FEFF01FE5130520000C +:10706000EFF0DFE3032481008320C100130101015D +:107070006FB05FB7179501001305453E6FF0DFE273 +:10708000179501001305053E6FF01FE2130101FF84 +:10709000232481002322910023261100B71400002D +:1070A000179401001304843C938784381300000074 +:1070B0009387F7FFE39C07FE13050400EFF0DFDE84 +:1070C0006FF09FFE130500006F00103C130101FFDD +:1070D00023261100EF00104913071000930700004A +:1070E000631AE5008320C100138507001301010125 +:1070F00067800000179501001305C534EFF0DFDA53 +:107100008320C100930720001385070013010101AC +:1071100067800000737004306F000000678000001B +:10712000737004306F0000009797010093878743C6 +:1071300003A7070083A74700130101F92324E102F5 +:107140002326F10297970100938747422328610580 +:107150002326710503AB070083AB47009797010017 +:1071600093874741232C4105232A510503AA070091 +:1071700083AA470097970100938747402320210760 +:10718000232E310503A9070083A947002324810585 +:10719000232291052320A105232EB10323261106C6 +:1071A0002324810623229106930D0500930C0000F1 +:1071B000179C0100130C0C3D370D00802324610146 +:1071C0002326710123284101232A5101232C210167 +:1071D000232E3101032581008325C10003260101EF +:1071E00083264101032481018324C101EF10900C07 +:1071F0001306040093860400EF20D0192320A10277 +:107200002322B102032501028325410203268102C4 +:107210008326C102EF20D0751306000093060000FC +:10722000EF209007634205040325010283254102F4 +:10723000032681028326C102EF20907303260C00EF +:1072400083264C00EF2080753325A000631A0500CB +:10725000E3960CF6930710002390FD006FF01FF6E5 +:10726000930C10006FF09FF5832701020328410261 +:107270000326810213870700B3470D018326C1024D +:1072800013050700938507006FF01FFB130101F939 +:10729000979701009387872F2328610503AB070089 +:1072A0002326710583AB4700979701009387872EAC +:1072B000232C410503AA070023246101232A510539 +:1072C00083AA4700979701009387472D23267101D2 +:1072D0002320210703A9070023284101232E31057C +:1072E00083A94700232A5101232C2101232E310198 +:1072F000032781008327C100032601018326410162 +:107300002324810623229106032481018324C101C1 +:10731000232EB10393850700930D05001305070085 +:107320002326110623248105232291052320A1056C +:10733000EF10D0781306040093860400EF20900528 +:107340002324A1022326B102930C0000179C010004 +:10735000130C4C23370D0080232461012326710177 +:1073600023284101232A5101232C2101232E3101FD +:10737000032581008325C1000326010183264101E5 +:10738000032481018324C101EF105073130604000C +:1073900093860400EF2010002320A1022322B102D3 +:1073A0000325010283254102032681028326C102AF +:1073B000EF20105C1306000093060000EF20C06D64 +:1073C000634205040325010283254102032681024D +:1073D0008326C102EF20D05903260C0083264C00DF +:1073E000EF20C05B3325A000631A0500E3960CF67E +:1073F000930710002390FD006FF01FF6930C100010 +:107400006FF09FF5832701020328410203268102C2 +:1074100013870700B3470D018326C1021305070038 +:10742000938507006FF01FFB130101F72326A102CC +:107430001305000523248108232291082320210914 +:10744000232E3107232C4107232A510723286107C4 +:107450002326710723248107232291072320A107D4 +:10746000232EB10523261108EFE00FEA97970100BC +:107470009387471303AB070083AB4700979701003F +:107480009387C71203AA070083AA470097970100B2 +:107490009387471203A9070083A947009797010024 +:1074A0009387C71103A4070083A44700979701009F +:1074B0009387471103A8470083A70700130C050013 +:1074C000232601012324F1009797010093870710D9 +:1074D00003A8470083A70700930C0000232A01019B +:1074E0002328F100979701009387C70E03A8470050 +:1074F00083A70700232E0101232CF1009797010099 +:107500009387C70D03A8470083A707002322010321 +:107510002320F102979701009387C70C03AD070062 +:1075200083AD4700232C0102232E010223200104F6 +:107530002322010423200C0023220C0003258103B5 +:107540008325C1031306000093060000EF10805648 +:10755000232CA102232EB10223246C0123267C01BB +:10756000032581038325C10313060B0093860B00BB +:10757000EF104054232CA102232EB10223284C01EA +:10758000232A5C01032581038325C10313060A0016 +:1075900093860A00EF100052232CA102232EB10281 +:1075A000232C2C01232E3C01032581038325C103B9 +:1075B0001306090093860900EF10C04F232CA10287 +:1075C000232EB10223208C0223229C020325810357 +:1075D0008325C1031306040093860400EF10804D39 +:1075E00003268100232CA1028326C100232EB10291 +:1075F0002324CC022326DC02032581038325C10337 +:10760000EF10404B03260101232CA10283264101E8 +:10761000232EB1022328CC02232ADC020325810376 +:107620008325C103EF10004903268101232CA10209 +:107630008326C101232EB102232CCC02232EDC028F +:10764000032581038325C103EF10C04603260102F1 +:10765000232CA10283264102232EB1022320CC0435 +:107660002322DC04032581038325C103EF1080441A +:10767000232CA102232EB1022324AC052326BC0512 +:10768000032581038325C10313060D0093860D0096 +:10769000EF104042232CA102232EB10203250C003F +:1076A00083254C000326010483264104EF1080400B +:1076B0002320A1042322B10403258C008325CC00C0 +:1076C0000326010483264104EF10C03E2320A104B9 +:1076D0002322B10403250C0183254C010326010458 +:1076E00083264104EF10003D2320A1042322B1048E +:1076F00003258C018325CC01032601048326410444 +:10770000EF10403B2320A1042322B10403250C02E7 +:1077100083254C020326010483264104EF1080399F +:107720002320A1042322B10403258C028325CC024B +:107730000326010483264104EF10C0372320A1044F +:107740002322B10403250C0383254C0303260104E3 +:1077500083264104EF1000362320A1042322B10424 +:1077600003258C038325CC030326010483264104CF +:10777000EF1040342320A1042322B10403250C047C +:1077800083254C040326010483264104EF10803234 +:107790002320A1042322B10403258C048325CC04D7 +:1077A0000326010483264104EF10C0302320A104E6 +:1077B0002322B104032581038325C1030326010489 +:1077C00083264104EF20D01A2324A1042326B104E8 +:1077D000032581048325C1041306000093060000DD +:1077E000EF20802B6342050497970100938787D988 +:1077F0000325810403A607008325C10483A647004F +:10780000EF20C0193325A000631C0500E39C0CD0B9 +:107810008327C102130710002390E7006FF09FD069 +:10782000930C10006FF01FD097970100938707DC2F +:107830000325810403A607008325C10483A647000E +:10784000EF2080251355F5016FF01FFC130101F7A0 +:107850002326A10213050005232481082322910871 +:1078600023202109232E3107232C4107232A5107E6 +:1078700023286107232671072324810723229107E8 +:107880002320A107232EB10523261108EFE0CFA75F +:1078900097970100938707D603AB070083AB470098 +:1078A00097970100938787D503AA070083AA47000B +:1078B00097970100938707D503A9070083A947007D +:1078C00097970100938787D403A4070083A44700F8 +:1078D00097970100938707D403A8470083A7070061 +:1078E000130C0500232201012320F10097970100CA +:1078F0009387C7D203A8470083A70700930C000013 +:10790000232601012324F10097970100938787D153 +:1079100003A8470083A70700232A01012328F100B9 +:1079200097970100938787D003A8470083A7070094 +:10793000232E0101232CF10097970100938787CF15 +:1079400003A8470083A70700232201032320F10295 +:1079500097970100938787CE03AD070083AD47005B +:10796000232C0102232E01022320010423220104DF +:1079700023206C0123227C01032581038325C1037D +:1079800013060B0093860B00EF10C012232CA102EC +:10799000232EB10223244C0123265C0103258103FD +:1079A0008325C10313060A0093860A00EF10801096 +:1079B000232CA102232EB10223282C01232A3C01CF +:1079C000032581038325C10313060900938609005B +:1079D000EF10400E232CA102232EB102232C8C0089 +:1079E000232E9C00032581038325C1031306040075 +:1079F00093860400EF10000C03260100232CA10243 +:107A000083264100232EB1022320CC022322DC0254 +:107A1000032581038325C103EF10C00903268100DC +:107A2000232CA1028326C100232EB1022324CC02E1 +:107A30002326DC02032581038325C103EF10800781 +:107A400003260101232CA10283264101232EB1022A +:107A50002328CC02232ADC02032581038325C103CA +:107A6000EF10400503268101232CA1028326C101CA +:107A7000232EB102232CCC02232EDC02032581030A +:107A80008325C103EF10000303260102232CA1026A +:107A900083264102232EB1022320CC042322DC04BE +:107AA000032581038325C103EF10C000232CA1020D +:107AB000232EB1022324AC052326BC050325810314 +:107AC0008325C10313060D0093860D00EF00907E01 +:107AD000232CA102232EB10203250C0083254C0088 +:107AE0000326010483264104EF00D07C2320A10457 +:107AF0002322B10403258C008325CC000326010436 +:107B000083264104EF00107B2320A1042322B1042B +:107B100003250C0183254C0103260104832641041F +:107B2000EF0050792320A1042322B10403258C0106 +:107B30008325CC010326010483264104EF009077BE +:107B40002320A1042322B10403250C0283254C0227 +:107B50000326010483264104EF00D0752320A104ED +:107B60002322B10403258C028325CC0203260104C1 +:107B700083264104EF0010742320A1042322B104C2 +:107B800003250C0383254C030326010483264104AB +:107B9000EF0050722320A1042322B10403258C039B +:107BA0008325CC030326010483264104EF00907053 +:107BB0002320A1042322B10403250C0483254C04B3 +:107BC0000326010483264104EF00D06E2320A10484 +:107BD0002322B10403258C048325CC04032601044D +:107BE00083264104EF00106D2320A1042322B10459 +:107BF000032581038325C103032601048326410451 +:107C0000EF2000572324A1042326B1040325810477 +:107C10008325C1041306000093060000EF10D0670F +:107C200063420504979701009387C7950325810454 +:107C300003A607008325C10483A64700EF10105652 +:107C40003325A000631C0500E39C0CD08327C102F0 +:107C5000130710002390E7006FF09FD0930C1000E3 +:107C60006FF01FD0979701009387479803258104F1 +:107C700003A607008325C10483A64700EF10D06147 +:107C80001355F5016FF01FFC130101FF2324810040 +:107C900013070500130405009308000013080000F3 +:107CA00093070000979601009386068B1306004009 +:107CB000978501009385C57C17F5FFFF13050547E0 +:107CC00023261100EFA0CF851307040093080000BE +:107CD0001308000093070000979601009386E6873B +:107CE00013060040978501009385057A17F5FFFF7D +:107CF0001305055AEFA0CF82130704009308000074 +:107D000013080000930700009796010093860685EC +:107D100013060040978501009385857717050000BD +:107D2000130505B3EF90DFFF130704000324810060 +:107D30008320C1009308000013080000930700008F +:107D4000979601009386A68113060040978501004F +:107D50009385857417F5FFFF1305456D1301010128 +:107D60006F901FFC9786010083D6067F13071000D3 +:107D7000978701009387477E130500006398E6000C +:107D800017870100231A077C1305100003D727006B +:107D9000930610006386E60203D74700930610009F +:107DA000130500006388E60283D7670013071000FD +:107DB00013050000630AF702130500006780000046 +:107DC00017870100231B077803D74700930610008D +:107DD000E39CE6FC178701002312077883D767002E +:107DE00013071000E31AF7FC978701002399077621 +:107DF00067800000B305B500930705006386B700F0 +:107E000003C70700631607003385A740678000009B +:107E1000938717006FF09FFE9308000063DC050056 +:107E20003305A040B337A000B305B040B385F5409B +:107E30009308F0FF63DA06003306C040B337C00092 +:107E4000B306D040B386F64013080600138306003D +:107E500093070500138705006398062497860100A1 +:107E60009386C64C63F8C50E370E0100637AC60DC3 +:107E7000130EF00F6374CE0013038000335E6600B0 +:107E8000B386C60103CE0600330E6E001303000254 +:107E90003303C341630C0300B3956500335EC50132 +:107EA000331866003367BE00B3176500135E080120 +:107EB000B355C703131508011355050193D60701E0 +:107EC0003376C703B305B50213160601B366D600B1 +:107ED00063FAB600B386060163E6060163F4B600F2 +:107EE000B3860601B386B64033F7C60393970701FE +:107EF00093D70701B3D6C603B306D50213150701FE +:107F0000B367F50063FAD700B387070163E607019B +:107F100063F4D700B3870701B387D74033D5670031 +:107F200093050000638C0800B307A0403337F000CE +:107F3000B305B04013850700B385E54067800000B6 +:107F4000370E000113030001E36AC6F31303800137 +:107F50006FF0DFF263160600130810003358680252 +:107F6000370701006372E80A1307F00F6374070113 +:107F70001303800033576800B386E60003C606008B +:107F800033066600130300023303C340631803087B +:107F9000B3850541935608011315080113550501D2 +:107FA00013D6070133F7D502B3D5D502131707014E +:107FB0003367C700B305B502637AB700330707011B +:107FC000636607016374B70033070701B305B74061 +:107FD00033F7D5029397070193D70701B3D5D5029D +:107FE000B305B50213150701B367F50063FAB700CF +:107FF000B387070163E6070163F4B700B38707019E +:10800000B387B7406FF09FF13707000113030001FA +:10801000E362E8F6130380016FF0DFF533186800C0 +:1080200033DEC500935E08013356C500B317650003 +:108030003355DE03B39565003366B600931508012A +:1080400093D50501935606013377DE033385A502E8 +:10805000131707013367D700637AA70033070701B7 +:10806000636607016374A70033070701B306A740DF +:1080700033F7D6031316060113560601B3D6D603FB +:1080800013170701B386D502B365C70063FAD5009D +:10809000B385050163E6050163F4D500B3850501E9 +:1080A000B385D5406FF01FEFE3EED5E6370801004A +:1080B00063FC0605930EF00F33B8DE00131838008A +:1080C00033DE060117830100130343263303C30184 +:1080D000834E0300130E0002B38E0E01330EDE41F9 +:1080E000631E0E0263E4B600636AC500B307C540B1 +:1080F000B385D5403335F5003387A5401385070098 +:10810000930507006FF01FE2370300011308000119 +:10811000E3E866FA130880016FF09FFA3357D6013F +:10812000B396C601B366D700B357D50133D7D5018F +:10813000B395C501B3E7B70093D506013373B70212 +:10814000139F0601135F0F0113D807013316C601F1 +:108150003315C5013357B702131303013368030105 +:10816000B30FEF0213030700637EF8013308D80052 +:108170001303F7FF6368D8006376F8011303E7FF82 +:108180003308D8003308F841B37FB8023358B80237 +:10819000939F0F01B3050F03139F0701135F0F0197 +:1081A00033EFEF0113070800637EBF00330FDF00DA +:1081B0001307F8FF6368DF006376BF001307E8FF6B +:1081C000330FDF0013130301B70F01003363E30024 +:1081D0009387FFFF13580601330FBF40B375F300B9 +:1081E00013530301B377F6003387F502B307F302A5 +:1081F000B385050333030303B385F5001358070163 +:108200003308B8006374F8003303F301B7070100C3 +:108210009387F7FF935508013378F8001318080186 +:108220003377F700B38565003307E8006366BF0066 +:10823000631EBF00637CE5003306C7403337C700C9 +:10824000B385D540B385E540130706003307E54005 +:108250003335E500B305BF40B385A540B397D501DD +:108260003357C70133E5E700B3D5C5016FF09FCBA6 +:108270009387050013080600138706001303050003 +:1082800063960628978801009388480A63F6C50E0E +:10829000370701006378E60C1307F00F3337C70088 +:1082A00013173700B356E600B388D80083C608001A +:1082B0003387E60093060002B386E640638C06002F +:1082C000B397D7003357E5003318D600B365F700EE +:1082D0003313D50013550801B3D7A50213160801AF +:1082E000135606019356030133F7A502B305F602B0 +:1082F00013170701B366D7001387070063FEB600A4 +:10830000B38606011387F7FF63E8060163F6B6003C +:108310001387E7FFB3860601B386B640B3F7A6021C +:108320001313030113530301B3D6A6029397070156 +:1083300033E36700B305D60213850600637CB30000 +:10834000330368001385F6FF636603016374B300AB +:108350001385E6FF131707013367A7009305000095 +:108360006F00400EB706000113070001E36CD6F260 +:10837000130780016FF01FF36316060093061000C9 +:1083800033D8C602B70601006372D80C9306F00F0B +:1083900063F4060113078000B356E800B388D800E1 +:1083A00083C60800B386E600130700023307D740F0 +:1083B0006318070AB3870741930510001356080195 +:1083C0009318080193D808019356030133F7C702A5 +:1083D000B3D7C70213170701B366D7003385F80276 +:1083E0001387070063FEA600B38606011387F7FF15 +:1083F00063E8060163F6A6001387E7FFB38606016C +:10840000B386A640B3F7C602131303011353030147 +:10841000B3D6C6029397070133E36700B388D80247 +:1084200013850600637C1301330368001385F6FF90 +:1084300063660301637413011385E6FF13170701D5 +:108440003367A7001305070067800000B706000127 +:1084500013070001E362D8F4130780016FF0DFF324 +:108460003318E800B3D5D7003313E500B356D50071 +:1084700013550801B397E70033F7A502B3E8F600F8 +:108480009317080193D7070113D60801B3D5A502A6 +:10849000131707013367C700B386B70213860500B9 +:1084A000637ED700330707011386F5FF6368070172 +:1084B0006376D7001386E5FF33070701B306D7407D +:1084C00033F7A6029398080193D80801B3D6A60201 +:1084D00013170701B385D702B36717011387060087 +:1084E00063FEB700B38707011387F6FF63E8070150 +:1084F00063F6B7001387E6FFB3870701B387B7407A +:1085000093150601B3E5E5006FF05FEB63E6D51860 +:108510003707010063F4E6041308F00F3337D8007F +:108520001317370033D8E60097850100938505E0DF +:10853000B385050103C80500930500023308E80070 +:10854000B38505416396050213071000E3ECF6EED0 +:108550003335C500134715006FF0DFEEB705000196 +:1085600013070001E3E0B6FC130780016FF09FFBE7 +:1085700033570601B396B600B366D70093DE060103 +:1085800033D70701B378D703B397B7003358050142 +:108590003363F8009397060193D70701135803013B +:1085A0003316B6003357D7039398080133E8080110 +:1085B000338FE702130E0700637EE8013308D8000B +:1085C000130EF7FF6368D8006376E801130EE7FF28 +:1085D0003308D8003308E841B378D8033358D803B8 +:1085E00093980801B38E07039317030193D70701EC +:1085F000B3E7F8001307080063FED701B387D7007D +:108600001307F8FF63E8D70063F6D7011307E8FF05 +:10861000B387D700131E0E01B387D741B70E0100F1 +:108620003367EE001388FEFF337307019358070189 +:108630003378060113560601330E0303338808030B +:1086400093560E013303C30233030301B38666005E +:10865000B388C80263F40601B388D80113D60601B3 +:10866000B308160163E61703E39A17CFB7070100B3 +:108670009387F7FFB3F6F60093960601337EFE006C +:108680003315B500B386C60193050000E37CD5DA47 +:108690001307F7FF6FF09FCC93050000130700004E +:1086A0006FF05FDA93080600138706009307050052 +:1086B00013880500639C062297860100938606C7EF +:1086C00063FCC50C37030100637E660A1303F00FD9 +:1086D0006374C300130780003353E600B38666005B +:1086E00003CE060013030002330EEE003303C34132 +:1086F000630C0300B3956500335EC501B3186600D3 +:108700003368BE00B317650013D608013377C8027B +:10871000139508011355050193D607013358C80274 +:1087200013170701B366D7003308050363FA060180 +:10873000B386160163E6160163F40601B3861601DB +:10874000B386064133F7C6029397070193D7070113 +:10875000B3D6C602B306D50213150701B367F500F9 +:1087600063FAD700B387170163E6170163F4D700F4 +:10877000B3871701B387D74033D56700930500004F +:10878000678000003703000113070001E36666F409 +:10879000130780016FF05FF46316060013061000E4 +:1087A000B35816033706010063F2C80A1306F00F28 +:1087B000637416011307800033D6E800B386C60041 +:1087C00003CE060013030002330EEE003303C34151 +:1087D00063180308B385154113D7080113950801E1 +:1087E0001355050113D60701B3F6E502B3D5E5022B +:1087F00093960601B3E6C600B305B50263FAB60068 +:10880000B386160163E6160163F4B600B38616015B +:10881000B385B640B3F6E5029397070193D70701F6 +:10882000B3D5E502B305B50213950601B367F500AC +:1088300063FAB700B387170163E6170163F4B70063 +:10884000B3871701B387B7406FF01FF337060001F6 +:1088500013070001E3E2C8F6130780016FF0DFF5AC +:10886000B398680033D7C501B3176500335EC501FF +:1088700013D50801B376A702B3956500336EBE0029 +:108880009395080193D5050113560E013357A7029E +:1088900093960601B3E6C6003387E50263FAE60065 +:1088A000B386160163E6160163F4E600B38616018B +:1088B0003386E640B376A602131E0E01135E0E0148 +:1088C0003356A602939606013386C502B3E5C60168 +:1088D00063FAC500B385150163E6150163F4C500AD +:1088E000B3851501B385C5406FF01FEFE3EAD5E806 +:1088F0003707010063FCE604930EF00F33B7DE0088 +:108900001317370033D3E60097880100938808A235 +:10891000B388680083CE0800130E0002B38EEE0009 +:10892000330EDE41631E0E0263E4B600636AC500C7 +:10893000B307C540B385D5403335F5003388A5402E +:1089400013850700930508006FF09FE3B708000147 +:1089500013070001E3E816FB130780016FF09FFA8D +:108960003357D601B396C601B366D700B357D501C6 +:1089700033D7D501B395C501B3E7B70093D5060149 +:108980003373B702139F0601135F0F0193D80701DA +:108990003316C6013315C5013357B702131303014C +:1089A000B36813013308EF021303070063FE0801E5 +:1089B000B388D8001303F7FF63E8D80063F6080113 +:1089C0001303E7FFB388D800B3880841B3FFB802A8 +:1089D000B3D8B802939F0F01B3051F03139F07017C +:1089E000135F0F0133EFEF0113870800637EBF00B1 +:1089F000330FDF001387F8FF6368DF006376BF0083 +:108A00001387E8FF330FDF0013130301B7080100DA +:108A10003363E3009387F8FF13580601330FBF4019 +:108A2000B375F30013530301B377F6003387F502F0 +:108A3000B307F302B385050333030303B385F500DE +:108A4000135807013308B8006374F80033031301A7 +:108A5000B70701009387F7FF935508013378F800B3 +:108A6000131808013377F700B38565003307E80072 +:108A70006366BF00631EBF00637CE5003306C7402A +:108A80003337C700B385D540B385E54013070600EB +:108A90003307E5403335E500B305BF40B385A54056 +:108AA000B397D5013357C70133E5E700B3D5C50107 +:108AB0006FF01FCD370810001308F8FF130101FEF7 +:108AC000B378B80013D745019357D5012328210166 +:108AD0001379F77F139738003378D800B3E7E700AE +:108AE00013D7460113183800232A91001377F77F14 +:108AF00093D8F601232E11009356D601232C810022 +:108B00002326310193D4F50133E306011315350013 +:108B1000131636003308E9406394143B6354001F76 +:108B2000631207143367C3006314070C9306F07FC6 +:108B300013070800631CD830B3E6A700639806301B +:108B400093070000130500009396870063DE06007C +:108B5000130717009306F07F630CD774B70680FFE6 +:108B60009386F6FFB3F7D7009396D70113553500D8 +:108B700033E5A6009306F07F93D73700631ED70036 +:108B80003365F5009307000063080500B707080088 +:108B90001305000093040000B70610009386F6FF4B +:108BA000B3F7D700B70610801377F77F9386F6FFE9 +:108BB00013174701B3F7D700B3E7E7003707008083 +:108BC0001347F7FF8320C101032481019395F4012A +:108BD000B3F7E70033E7B70083244101032901011C +:108BE0008329C1009305070013010102678000007B +:108BF0009305F8FF639C05043306C5003335A600D2 +:108C0000B3876700B387A70013071000130506009A +:108C10009396870063DC0622130717009306F07F04 +:108C2000E300D7F2B70680FF9386F6FFB3F6D700CE +:108C300093551500137515009397F60133E5A500BC +:108C400033E5A70093D716006F0040201307F07F8D +:108C50006314E80233E7A700630007621307F07F9D +:108C60006F00C01E1307F07FE306E9FE37078000A0 +:108C70003363E3009305080013078003634EB706D0 +:108C80001307F0016342B704130700023307B7402C +:108C9000B356B6003318E3003316E6003368D80045 +:108CA0003336C0003368C800B355B3003308A8009A +:108CB000B385F500B337A800B387F50013050800A6 +:108CC000130709006FF0DFF4130700023358B300F5 +:108CD000930600006388E50093060004B385B64060 +:108CE000B316B30033E6C6003336C0003368C8009D +:108CF000930500006FF09FFB3368C3003338000119 +:108D00006FF01FFF630A080E631E0908B3E6A70091 +:108D1000639206029307F07F6318F7003365C30080 +:108D200093070000E30205E2930703001305060022 +:108D30006F00C0119306F0FF631CD8003305C50017 +:108D4000B38767003336C500B387C7006FF05FECA9 +:108D50009306F07F1348F8FFE302D7FC93068003E5 +:108D600063C606099306F00163CA0605930500026F +:108D7000B3850541B3580501B396B7003315B50067 +:108D8000B3E616013335A00033E5A60033D807015A +:108D90003305C50033086800B337C500B307F800D2 +:108DA0006FF01FE79306F07FE30AD7F6B70680005F +:108DB00033080041B3E7D7006FF05FFA9308000271 +:108DC000B3D607019305000063081801930500045A +:108DD00033880541B395070133E5A5003335A0007D +:108DE00033E5A600130800006FF09FFA33E5A700F3 +:108DF0003335A0006FF01FFF130719009375F77F3D +:108E00009306100063C8B60833E7A7006314090689 +:108E100063080744B366C3001307000063880602B3 +:108E20003306C5003335A600B3876700B387A700B4 +:108E3000939687001305060063DA0600370780FF64 +:108E40001307F7FFB3F7E7001307100093767500D9 +:108E5000E38C06CE9376F50013064000E386C6CE7B +:108E60009306450033B5A600B387A7001385060017 +:108E70006FF09FCD630C073E3368C300E30008DE4C +:108E8000B7074000130500001307F07F93040000AC +:108E90006FF09FCB9306F07FE304D7CA3306C5007B +:108EA00033886700B337A6003308F8001315F801BC +:108EB000135616003365C500935718006FF01FF95D +:108EC000635E000F6312070A3367C300E30007C63F +:108ED0009306F8FF639E06023306C5403335C5008E +:108EE000B3876740B387A740130710001305060038 +:108EF00093968700E3DC06F4370480001304F4FF44 +:108F000033F4870093090500130907006F00002759 +:108F10001307F07FE300E8D413078003634AD70800 +:108F20001307F001634ED704130700023307D7403D +:108F3000B355D6003318E3003316E6003368B800A3 +:108F40003336C0003368C800B356D3003308054138 +:108F5000B386D740B3370501B387F6401305080041 +:108F6000130709006FF0DFF81307F07FE304E9CE81 +:108F7000370780003363E300930608006FF0DFF9E2 +:108F8000130700023358D300130400006388E6007F +:108F900013040004B306D4403314D3003366C40072 +:108FA0003336C0003368C800930600006FF01FFA24 +:108FB0003368C300333800016FF01FFF630A080EE7 +:108FC000631E0908B3E6A700639006029307F07FCB +:108FD0006316F7003365C3006308052A930703008F +:108FE000130506006F00C00F9306F0FF631ED80044 +:108FF0003305A640B307F3403336A600B387C74016 +:10900000938408006FF0DFEE9306F07F1348F8FFBB +:10901000E302D7FC9306800363C606099306F001BA +:1090200063CA060593050002B3850541335E050159 +:10903000B396B7003315B500B3E6C6013335A000CB +:1090400033E5A60033D807013305A64033080341B2 +:10905000B337A600B307F8406FF09FFA9306F07F8E +:10906000E30AD7F6B706800033080041B3E7D7001C +:109070006FF05FFA130E0002B3D6070193050000EC +:109080006308C8019305000433880541B3950701BF +:1090900033E5A5003335A00033E5A6001308000032 +:1090A0006FF09FFA33E5A7003335A0006FF01FFF84 +:1090B000130719001377F77F9306100063CAE608B9 +:1090C000B3E6A7003367C30063140906639E060076 +:1090D0006302071C93070300130506001307000033 +:1090E000938408006FF09FD663000704B306C54061 +:1090F000B335D500338767403307B74093158700F2 +:1091000063DC05003305A640B307F3403336A60001 +:10911000B387C7406FF09FFC33E5E600630A050C98 +:109120009307070013850600130700006FF01FD296 +:10913000639C0600630807169307030013050600E7 +:10914000938408006FF09FB1E30A07B06FF05FD31C +:10915000B309C540338467403338350133040441D3 +:109160001317840063520708B309A6403304F34081 +:10917000B33736013304F44093840800630E0406C9 +:1091800013050400EF109000130785FF9307F0010B +:1091900063CCE70693070002B387E7403314E4008B +:1091A000B3D7F90033E487003395E9006340270B18 +:1091B00033072741930717009306F00163CEF604A7 +:1091C000130700023307F7403356F500B316E400E7 +:1091D0003315E500B3E6C6003335A00033E5A6003D +:1091E000B357F4006FF05FF433E58900E31805F836 +:1091F000930700006F00800A13850900EF100079C3 +:10920000130505026FF05FF8130485FD33948900A0 +:10921000130500006FF09FF9130717FE13060002F5 +:109220003357E400930600006388C70093060004E8 +:10923000B386F640B316D4003365D5003335A000AD +:109240003365A700930700006FF01FEEB70780FF9C +:109250009387F7FF3307E940B377F4006FF01FBF40 +:1092600093070300130506006FF01FEC930703003C +:10927000130506006FF09F9E930700001305000082 +:109280001307F07F6FF05F8C930700009384080052 +:109290006FF09F8B93070000130500001307000079 +:1092A0006FF0DFBE13050000B70740006FF0DFBDB1 +:1092B00093070000130500006FF01F8B130101FDE1 +:1092C0002324810223229102232861011304050033 +:1092D000130B0500B704100013D54501232021030B +:1092E000232E3101232671019384F4FF23261102DA +:1092F000232C4101232A51011375F57F930B06009E +:1093000093890600B3F4B40013D9F5016306050A86 +:109310009307F07F6306F51093943400B70680003E +:10932000B3E4D400935ADB01B3EA9A0013143B0070 +:10933000130A15C0130B000013D54901B704100020 +:109340009384F4FF1375F57FB3F43401938F0B000E +:1093500093D9F901630205109307F07F6302F516B4 +:10936000B707800093943400B3E4F40093D7DB0193 +:10937000B3E79700939F3B00130515C01307000048 +:1093800093162B00B3E6E6009386F6FF9305E00004 +:10939000334639013305AA4063E0D51697750100BD +:1093A000938545F193962600B386B60083A6060002 +:1093B000B386B60067800600B3EA6401638E0A06CE +:1093C0006380040413850400EF10405C930755FF8D +:1093D0001307C001634EF702930AD001130485FFFF +:1093E000B38AFA40B3948400B35A5B01B3EA9A009B +:1093F00033148B00130AD0C0330AAA406FF09FF3D6 +:1094000013050B00EF108058130505026FF01FFCC9 +:10941000930485FDB31A9B00130400006FF09FFDB9 +:10942000B3EA640163820A02938A0400130AF07F9C +:10943000130B30006FF05FF013040000130A0000FC +:10944000130B10006FF05FEF13040000130AF07F9E +:10945000130B20006FF05FEEB3E77401638E070615 +:109460006380040413850400EF104052130755FF76 +:109470009307C00163CEE7029307D001930F85FFE6 +:10948000B387E740B394F401B3D7FB00B3E7970089 +:10949000B39FFB011306D0C03305A6406FF01FEE4B +:1094A00013850B00EF10804E130505026FF01FFCB3 +:1094B000930785FDB397FB00930F00006FF09FFDAE +:1094C000B3E7740163820702938704001305F07FFA +:1094D000130730006FF0DFEA930F00001305000060 +:1094E000130710006FF0DFE9930F00001305F07F02 +:1094F000130720006FF0DFE863E657016390FA3648 +:10950000636EF4359395FA01935614001317F40122 +:1095100093DA1A0033E4D5009397870013D88F01AC +:109520003368F800935E0801B3D5DA03131E08010F +:10953000135E0E019356040193988F00B3F4DA037F +:10954000938F0500B307BE0293940401B3E696001F +:1095500063FEF600B3860601938FF5FF63E806010C +:1095600063F6F600938FE5FFB3860601B386F640F7 +:1095700033D3D6031314040113540401B3F6D603F2 +:1095800093070300B3056E0293960601B366D400F9 +:1095900063FEB600B38606019307F3FF63E8060196 +:1095A00063F6B6009307E3FFB38606013384B64043 +:1095B000939F0F01B7050100B3EFFF001383F5FF81 +:1095C00093D60F01B3F76F0013DF080133F3680080 +:1095D000B3836702B3846602B307FF02B382E60374 +:1095E000B386970093D70301B387D70063F497003E +:1095F000B382B20093D60701B3865600B7020100CA +:109600009382F2FFB3F5570093950501B3F353002E +:10961000B38575006368D40093870F006314D40486 +:109620006372B70433071701B3321701B382020123 +:10963000330454009387FFFF636688006314880235 +:10964000636217036366D400639E8600637CB70081 +:10965000330717019387EFFFB33F1701B38F0F0154 +:109660003304F401B305B7403304D4403337B700B3 +:109670003304E440930FF0FF63048812B352D40321 +:1096800093D605013374D40313870200B30F5E022F +:109690001314040133E48600637EF40133040401EF +:1096A0001387F2FF636804016376F4011387E2FF16 +:1096B000330404013304F441B356D40393950501F4 +:1096C00093D505013374D403330EDE021314040161 +:1096D00033E4850093850600637EC40133040401EE +:1096E0009385F6FF636804016376C4019385E6FF02 +:1096F0003304040113170701B365B700139705017D +:10970000135707013304C44113DE0501B30E67028A +:1097100033036E02330ECF03330FEF0213D70E0164 +:10972000330F6F003307E70163766700B706010068 +:10973000330EDE00135307013303C301370E01005C +:10974000130EFEFFB376C70193960601B3FECE015A +:10975000B386D60163686400938F050063106404C8 +:109760006380060433048800938FF5FF6364040369 +:10977000636664006314640263F0D80213971800F0 +:10978000B338170133880801938FE5FF33040401D0 +:1097900093080700631464006384160193EF1F00AD +:1097A0009306F53F635AD01013F77F00630007025A +:1097B00013F7FF0093054000630AB70093854F003D +:1097C000B3BFF501B387F701938F050013977700B7 +:1097D000635A0700370700FF1307F7FFB3F7E700E7 +:1097E000930605401307E07F634AD7181397D70104 +:1097F00093DF3F003367F70193D73700B7051000B9 +:109800009385F5FFB3F7B700B70510809385F5FF93 +:1098100093F6F67F93964601B3F7B7008320C10213 +:10982000032481021316F601B3E7D700B3E6C7009D +:1098300083244102032901028329C101032A8101F2 +:10984000832A4101032B0101832BC100130507006B +:109850009385060013010103678000001305F5FFDF +:10986000130700006FF05FCB1306090093870A000F +:10987000930F040013070B00930620006300D7101A +:10988000930630006302D70E93061000E31AD7F058 +:1098900093070000130700006F00400913860900BA +:1098A0006FF09FFDB7070800930F0000130600003C +:1098B000130730006FF05FFC93051000B385D540AF +:1098C00013078003E346B7FC1307F0016344B706B0 +:1098D0001305E5413397A700B3D6BF003395AF001A +:1098E0003367D7003335A0003367A700B3D7B7007D +:1098F00093767700638006029376F7009305400025 +:10990000638AB6009306470033B7E600B387E700E3 +:10991000138706009396870063CA06069396D701BD +:109920001357370033E7E60093D73700930600005C +:109930006FF0DFEC130710FE3307D7401308000267 +:1099400033D7E70093060000638605011305E5435E +:10995000B396A70033E5F6013335A0003367A700BF +:10996000930700006FF0DFF8B70708001307000047 +:109970009306F07F130600006FF05FE89307000086 +:10998000130700009306F07F6FF05FE79307000076 +:1099900013070000930610006FF05FE613D7450130 +:1099A000B70710009387F7FF13D846019308050007 +:1099B000930E05001377F77F1305F07F33F3B7009D +:1099C000130F0600B3F7D70093D5F5011378F87F8E +:1099D00093D6F601631AA700336E13011305E0FF57 +:1099E000630C0E0867800000631AA80033E6C70006 +:1099F0001305E0FF630A060867800000631007088C +:109A000033651301133515006316080033E6C700EC +:109A100063000606631A0500638ED5001305100067 +:109A20006396050067800000E39E06FE1305F0FFC5 +:109A300067800000E344E8FE635A07011305F0FF66 +:109A4000E38205FE1305100067800000E3E867FC71 +:109A5000631AF300E364DFFD13050000E3E0EEFFAB +:109A60006FF05FFCE36CF3FC1305000067800000FF +:109A7000E31C05FE6FF09FFAE30AE8F61305000009 +:109A8000E30608F86FF05FF9E31807F83365130190 +:109A9000133515006FF01FF813D74501B7071000F5 +:109AA0009387F7FF13D8460193080500930E05002E +:109AB0001377F77F1305F07F33F3B700130F06001A +:109AC000B3F7D70093D5F5011378F87F93D6F60155 +:109AD000631AA700336E130113052000630C0E08F0 +:109AE00067800000631AA80033E6C7001305200052 +:109AF000630A0608678000006310070833651301D6 +:109B0000133515006316080033E6C7006300060628 +:109B1000631A0500638ED5001305100063960500D7 +:109B200067800000E39E06FE1305F0FF67800000DB +:109B3000E344E8FE635A07011305F0FFE38205FEE4 +:109B40001305100067800000E3E867FC631AF30068 +:109B5000E364DFFD13050000E3E0EEFF6FF05FFC60 +:109B6000E36CF3FC1305000067800000E31C05FEB6 +:109B70006FF09FFAE30AE8F613050000E30608F821 +:109B80006FF05FF9E31807F833651301133515001B +:109B90006FF01FF8130101FD23202103232A510137 +:109BA0003709100093DA4501232E3101232C41019E +:109BB00023286101232671011309F9FF23261102CD +:109BC000232481022322910293FAFA7F130B0500CA +:109BD000930B0600138A06003379B90093D9F50177 +:109BE00063880A0A9307F07F6386FA1037048000BF +:109BF00013193900336989001354D50133642401E2 +:109C000093143500938A1AC0130B000013554A01B0 +:109C1000370910001309F9FF1375F57F33794901EE +:109C200013870B00135AFA01630405109307F07FA2 +:109C30006304F516B7078000131939003369F9007A +:109C400093D7DB01B3E7270113973B00130515C03A +:109C50009306000093152B00B3E5D5003385AA00C9 +:109C60009385F5FF9308E00033C6490113081500FA +:109C700063E0B81617650100130585679395250005 +:109C8000B385A50083A50500B385A5006780050001 +:109C90003364A900630E0406630009041305090078 +:109CA000EF00D04E930755FF1307C001634CF70236 +:109CB0001304D001930485FF3304F4403319990051 +:109CC00033548B0033642401B3149B00930AD0C037 +:109CD000B38AAA406FF05FF3EF00504B1305050203 +:109CE0006FF05FFC130485FD33148B0093040000B8 +:109CF0006FF0DFFD3364A9006304040293040500E0 +:109D000013040900930AF07F130B30006FF01FF06B +:109D100093040000930A0000130B10006FF01FEF74 +:109D200093040000930AF07F130B20006FF01FEEE6 +:109D3000B3677901638E0706630009041305090000 +:109D4000EF00D044930655FF9307C00163CED702BE +:109D50009307D001130785FFB387D7403319E90074 +:109D6000B3D7FB00B3E727013397EB009306D0C0CE +:109D70003385A6406FF0DFED13850B00EF00104137 +:109D8000130505026FF01FFC930785FDB397FB00D9 +:109D9000130700006FF09FFDB3677901638207022C +:109DA000930709001305F07F930630006FF09FEAD8 +:109DB0001307000013050000930610006FF09FE9E1 +:109DC000130700001305F07F930620006FF09FE853 +:109DD000B70F0100138FFFFF93D604011353070140 +:109DE000B3F4E4013377E701B3089302B3059702B4 +:109DF000B382E602338E580093D80501B388C801B8 +:109E0000B38E660263F45800B38EFE0113D90801C5 +:109E1000B3F8E80193DF0701B3F5E501B3F3E70118 +:109E200093980801B388B800B3877602B38593028C +:109E3000B3849F02338EF40093D40501B384C4012C +:109E4000B386F60363F6F400B7070100B386F600A5 +:109E500013DF0401B7090100330FDF009386F9FF18 +:109E6000B3F4D400B3F5D5009357040193940401DF +:109E70003374D400B384B400B30687023309990065 +:109E8000338EE702B30583023307F3023383C50140 +:109E900093D50601B385650063F4C5013307370127 +:109EA00013D30501B7090100B302E3001387F9FFDB +:109EB000B3F5E500B3F6E60033838302939505011D +:109EC000B385D5003387770233848F02338EFF0248 +:109ED0003304E40093570301338487006374E40080 +:109EE000330E3E01B70701009387F7FFB376F40006 +:109EF0003373F30093960601B38E2E01B38666008A +:109F0000B3B49E00B386E601B3879600B38EBE005D +:109F1000B3B5BE0033835700B30FB300B3B6E60149 +:109F2000B3B79700B3E7F60013540401333353007B +:109F3000B3B5BF00B3878700B365B300B387B7007D +:109F4000B387C70113D77F0193979700B3E7E70063 +:109F500013979E00336717013337E00093DE7E01CD +:109F600093969F003367D7013367D70093967700A6 +:109F700063DA0610935617001377170033E7E600ED +:109F80009396F7013367D70093D717009305F83FEF +:109F9000635EB00E93767700638006029376F700D7 +:109FA00013054000638AA6009306470033B7E60016 +:109FB000B387E700138706009396770063DA0600FD +:109FC000B70600FF9386F6FFB3F7D7009305084066 +:109FD0009306E07F63CEB616935637001397D701EA +:109FE0003367D70093D73700B70610009386F6FF84 +:109FF000B3F7D70093F6F57FB70510809385F5FF8B +:10A0000093964601B3F7B7008320C102032481026F +:10A010001316F601B3E7D700B3E6C7008324410265 +:10A02000032901028329C101032A8101832A4101F5 +:10A03000032B0101832BC100130507009385060044 +:10A0400013010103678000001386090093070400D1 +:10A050001387040093060B0093052000638AB60E55 +:10A0600093053000638CB60C93051000E390B6F2B4 +:10A0700093070000130700006F00800813060A0012 +:10A080006FF09FFD130805006FF05FF013051000DF +:10A090003305B54093068003E3CCA6FC9306F0019C +:10A0A00063C4A6061308E841B3960701B355A70099 +:10A0B00033170701B3E6B6003337E00033E7E600B5 +:10A0C000B3D7A70093767700638006029376F700F4 +:10A0D00093054000638AB6009306470033B7E60055 +:10A0E000B387E700138706009396870063CA0606C6 +:10A0F0009396D7011357370033E7E60093D737001D +:10A10000930500006FF05FEE930610FEB386B64035 +:10A1100093080002B3D6D70093050000630615012B +:10A120001308E843B395070133E7E5003337E00050 +:10A1300033E7E600930700006FF0DFF8B707080089 +:10A14000130700009305F07F130600006FF0DFE9AE +:10A1500093070000130700009305F07F6FF0DFE81E +:10A160009307000013070000930510006FF0DFE76E +:10A17000370810001308F8FF130101FEB378B80088 +:10A1800013D745019357D5013378D80023282101EF +:10A190001379F77F13973800B3E7E70093D8F601F8 +:10A1A00013D74601131838009356D601232A91007D +:10A1B00033E30601232E1100232C810023263101D5 +:10A1C0001377F77F9306F07F93D4F50113153500CD +:10A1D000131636006316D700B366C30063940600F7 +:10A1E00093C818003308E9406394983A6354001FF9 +:10A1F000631207143367C3006314070C9306F07FE0 +:10A2000013070800631CD830B3E6A7006398063034 +:10A2100093070000130500009396870063DE060095 +:10A22000130717009306F07F630CD774B70680FFFF +:10A230009386F6FFB3F7D7009396D70113553500F1 +:10A2400033E5A6009306F07F93D73700631ED7004F +:10A250003365F5009307000063080500B7070800A1 +:10A260001305000093040000B70610009386F6FF64 +:10A27000B3F7D700B70610801377F77F9386F6FF02 +:10A2800013174701B3F7D700B3E7E700370700809C +:10A290001347F7FF8320C101032481019395F40143 +:10A2A000B3F7E70033E7B700832441010329010135 +:10A2B0008329C10093050700130101026780000094 +:10A2C0009305F8FF639C05043306C5003335A600EB +:10A2D000B3876700B387A7001307100013050600B4 +:10A2E0009396870063DC0622130717009306F07F1E +:10A2F000E300D7F2B70680FF9386F6FFB3F6D700E8 +:10A3000093551500137515009397F60133E5A500D5 +:10A3100033E5A70093D716006F0040201307F07FA6 +:10A320006314E80233E7A700630007621307F07FB6 +:10A330006F00C01E1307F07FE306E9FE37078000B9 +:10A340003363E3009305080013078003634EB706E9 +:10A350001307F0016342B704130700023307B74045 +:10A36000B356B6003318E3003316E6003368D8005E +:10A370003336C0003368C800B355B3003308A800B3 +:10A38000B385F500B337A800B387F50013050800BF +:10A39000130709006FF0DFF4130700023358B3000E +:10A3A000930600006388E50093060004B385B64079 +:10A3B000B316B30033E6C6003336C0003368C800B6 +:10A3C000930500006FF09FFB3368C3003338000132 +:10A3D0006FF01FFF630A080E631E0908B3E6A700AB +:10A3E000639206029307F07F6318F7003365C3009A +:10A3F00093070000E30205E293070300130506003C +:10A400006F00C0119306F0FF631CD8003305C50030 +:10A41000B38767003336C500B387C7006FF05FECC2 +:10A420009306F07F1348F8FFE302D7FC93068003FE +:10A4300063C606099306F00163CA06059305000288 +:10A44000B3850541B3580501B396B7003315B50080 +:10A45000B3E616013335A00033E5A60033D8070173 +:10A460003305C50033086800B337C500B307F800EB +:10A470006FF01FE79306F07FE30AD7F6B706800078 +:10A4800033080041B3E7D7006FF05FFA930800028A +:10A49000B3D6070193050000630818019305000473 +:10A4A00033880541B395070133E5A5003335A00096 +:10A4B00033E5A600130800006FF09FFA33E5A7000C +:10A4C0003335A0006FF01FFF130719009375F77F56 +:10A4D0009306100063C8B60833E7A70063140906A3 +:10A4E00063080744B366C3001307000063880602CD +:10A4F0003306C5003335A600B3876700B387A700CE +:10A50000939687001305060063DA0600370780FF7D +:10A510001307F7FFB3F7E7001307100093767500F2 +:10A52000E38C06CE9376F50013064000E386C6CE94 +:10A530009306450033B5A600B387A7001385060030 +:10A540006FF09FCD630C073E3368C300E30008DE65 +:10A55000B7074000130500001307F07F93040000C5 +:10A560006FF09FCB9306F07FE304D7CA3306C50094 +:10A5700033886700B337A6003308F8001315F801D5 +:10A58000135616003365C500935718006FF01FF976 +:10A59000635E000F6312070A3367C300E30007C658 +:10A5A0009306F8FF639E06023306C5403335C500A7 +:10A5B000B3876740B387A740130710001305060051 +:10A5C00093968700E3DC06F4370480001304F4FF5D +:10A5D00033F4870093090500130907006F00002773 +:10A5E0001307F07FE300E8D413078003634AD7081A +:10A5F0001307F001634ED704130700023307D74057 +:10A60000B355D6003318E3003316E6003368B800BC +:10A610003336C0003368C800B356D3003308054151 +:10A62000B386D740B3370501B387F640130508005A +:10A63000130709006FF0DFF81307F07FE304E9CE9A +:10A64000370780003363E300930608006FF0DFF9FB +:10A65000130700023358D300130400006388E60098 +:10A6600013040004B306D4403314D3003366C4008B +:10A670003336C0003368C800930600006FF01FFA3D +:10A680003368C300333800016FF01FFF630A080E00 +:10A69000631E0908B3E6A700639006029307F07FE4 +:10A6A0006316F7003365C3006308052A93070300A8 +:10A6B000130506006F00C00F9306F0FF631ED8005D +:10A6C0003305A640B307F3403336A600B387C7402F +:10A6D000938408006FF0DFEE9306F07F1348F8FFD5 +:10A6E000E302D7FC9306800363C606099306F001D4 +:10A6F00063CA060593050002B3850541335E050173 +:10A70000B396B7003315B500B3E6C6013335A000E4 +:10A7100033E5A60033D807013305A64033080341CB +:10A72000B337A600B307F8406FF09FFA9306F07FA7 +:10A73000E30AD7F6B706800033080041B3E7D70035 +:10A740006FF05FFA130E0002B3D607019305000005 +:10A750006308C8019305000433880541B3950701D8 +:10A7600033E5A5003335A00033E5A600130800004B +:10A770006FF09FFA33E5A7003335A0006FF01FFF9D +:10A78000130719001377F77F9306100063CAE608D2 +:10A79000B3E6A7003367C30063140906639E06008F +:10A7A0006302071C9307030013050600130700004C +:10A7B000938408006FF09FD663000704B306C5407A +:10A7C000B335D500338767403307B740931587000B +:10A7D00063DC05003305A640B307F3403336A6001B +:10A7E000B387C7406FF09FFC33E5E600630A050CB2 +:10A7F0009307070013850600130700006FF01FD2B0 +:10A80000639C060063080716930703001305060000 +:10A81000938408006FF09FB1E30A07B06FF05FD335 +:10A82000B309C540338467403338350133040441EC +:10A830001317840063520708B309A6403304F3409A +:10A84000B33736013304F44093840800630E0406E2 +:10A8500013050400EF008013130785FF9307F00131 +:10A8600063CCE70693070002B387E7403314E400A4 +:10A87000B3D7F90033E487003395E9006340270B31 +:10A8800033072741930717009306F00163CEF604C0 +:10A89000130700023307F7403356F500B316E40000 +:10A8A0003315E500B3E6C6003335A00033E5A60056 +:10A8B000B357F4006FF05FF433E58900E31805F84F +:10A8C000930700006F00800A13850900EF00000C59 +:10A8D000130505026FF05FF8130485FD33948900BA +:10A8E000130500006FF09FF9130717FE130600020F +:10A8F0003357E400930600006388C7009306000402 +:10A90000B386F640B316D4003365D5003335A000C6 +:10A910003365A700930700006FF01FEEB70780FFB5 +:10A920009387F7FF3307E940B377F4006FF01FBF59 +:10A9300093070300130506006FF01FEC9307030055 +:10A94000130506006FF09F9E93070000130500009B +:10A950001307F07F6FF05F8C93070000938408006B +:10A960006FF09F8B93070000130500001307000092 +:10A970006FF0DFBE13050000B70740006FF0DFBDCA +:10A9800093070000130500006FF01F8BB70701004D +:10A99000637AF5029307F00FB3B7A70093973700D8 +:10A9A000130700023307F740B357F500176501009E +:10A9B0001305C597B307F50003C507003305A74086 +:10A9C000678000003707000193070001E36AE5FC98 +:10A9D000930780016FF0DFFC130101FE232C81003F +:10A9E000232A9100130405009384050023282101E4 +:10A9F000232631012324410123225101232E11005A +:10AA0000EF804F9F8327040003290401B709000149 +:10AA1000B3E59700930AC4002320B4009304000018 +:10AA20009389F9FF370A00020327040063822A058D +:10AA30000326090013050900B3654701B376360103 +:10AA40009317560033F7E6000329490063C6070051 +:10AA5000631607006FF05FFDE398E6FC931776003E +:10AA600063D40700B3E4D400EF90CFDE6FF0DFFBD8 +:10AA700093C4F4FFB3F4E40023209400EF800FCBE1 +:10AA8000032504008320C1010324810183244101A3 +:10AA9000032901018329C100032A8100832A41007F +:10AAA0001301010267800000130101FF130500007C +:10AAB0002326110023248100EFD00F9D17C7FFFF2D +:10AAC0001307075093060000130610009305200398 +:10AAD000176501001305059AEFA01F8E630205029A +:10AAE00013040500EF808F92130605001307000082 +:10AAF000930600009305100013050400EFA0DF9DEE +:10AB0000EF70DFDB8320C100032481001305000008 +:08AB1000130101016780000040 +:02000004800278 +:10000000D463FEFF4465FEFFE063FEFF4465FEFF30 +:100010004465FEFF4465FEFF4465FEFF0864FEFF85 +:100020004465FEFF4465FEFF3064FEFF4064FEFF52 +:100030004465FEFF5864FEFF6864FEFF6864FEFFCF +:100040006864FEFF6864FEFF6864FEFF6864FEFF8C +:100050006864FEFF6864FEFF6864FEFF4465FEFF9F +:100060004465FEFF4465FEFF4465FEFF4465FEFFF8 +:100070004465FEFF4465FEFF4465FEFF4465FEFFE8 +:100080004465FEFF4465FEFF4465FEFF4465FEFFD8 +:100090004465FEFF4465FEFF4465FEFF4465FEFFC8 +:1000A0004465FEFF4465FEFF4465FEFF4465FEFFB8 +:1000B0004465FEFF4465FEFF4465FEFF4465FEFFA8 +:1000C0004465FEFF4465FEFF4465FEFF4465FEFF98 +:1000D0004465FEFF4465FEFF4465FEFF4465FEFF88 +:1000E0004465FEFF4465FEFF4465FEFF4465FEFF78 +:1000F0004465FEFF4465FEFF4465FEFF4465FEFF68 +:10010000AC64FEFFD464FEFF4465FEFF4465FEFF61 +:100110004465FEFF4465FEFF4465FEFF4465FEFF47 +:100120004465FEFF3465FEFF4465FEFF4465FEFF47 +:10013000E461FEFFEC62FEFF4465FEFF4465FEFFE6 +:100140003C63FEFF4465FEFFB463FEFF4465FEFFB3 +:100150004465FEFFFC63FEFFA069FEFF0C6AFEFF24 +:10016000AC69FEFF0C6AFEFF0C6AFEFF0C6AFEFF24 +:100170000C6AFEFF4468FEFF0C6AFEFF0C6AFEFF7D +:100180006C68FEFF7C68FEFF0C6AFEFF9468FEFF51 +:10019000A468FEFFA468FEFFA468FEFFA468FEFF3B +:1001A000A468FEFFA468FEFFA468FEFFA468FEFF2B +:1001B000A468FEFF0C6AFEFF0C6AFEFF0C6AFEFFDD +:1001C0000C6AFEFF0C6AFEFF0C6AFEFF0C6AFEFF63 +:1001D0000C6AFEFF0C6AFEFF0C6AFEFF0C6AFEFF53 +:1001E0000C6AFEFF0C6AFEFF0C6AFEFF0C6AFEFF43 +:1001F0000C6AFEFF0C6AFEFF0C6AFEFF0C6AFEFF33 +:100200000C6AFEFF0C6AFEFF0C6AFEFF0C6AFEFF22 +:100210000C6AFEFF0C6AFEFF0C6AFEFF0C6AFEFF12 +:100220000C6AFEFF0C6AFEFF0C6AFEFF0C6AFEFF02 +:100230000C6AFEFF0C6AFEFF0C6AFEFF0C6AFEFFF2 +:100240000C6AFEFF0C6AFEFF0C6AFEFF0C6AFEFFE2 +:100250000C6AFEFF0C6AFEFFE868FEFF2469FEFFE1 +:100260000C6AFEFF0C6AFEFF0C6AFEFF0C6AFEFFC2 +:100270000C6AFEFF0C6AFEFF0C6AFEFF9069FEFF2F +:100280000C6AFEFF0C6AFEFF1866FEFF6C67FEFF3D +:100290000C6AFEFF0C6AFEFFFC67FEFF0C6AFEFFA5 +:1002A0002868FEFF0C6AFEFF0C6AFEFF006AFEFF74 +:1002B000CC96FEFFE095FEFFEC95FEFFE095FEFF7D +:1002C000B896FEFFE095FEFFEC95FEFFCC96FEFF94 +:1002D000CC96FEFFB896FEFFEC95FEFFB895FEFFAC +:1002E000B895FEFFB895FEFFF495FEFF6C9DFEFFEE +:1002F0006C9DFEFF909DFEFF609DFEFF609DFEFFDA +:10030000509EFEFF909DFEFF609DFEFF509EFEFFF3 +:10031000609DFEFF909DFEFF5C9DFEFF5C9DFEFFCD +:100320005C9DFEFF509EFEFF0001020203030303DB +:100330000404040404040404050505050505050575 +:100340000505050505050505060606060606060655 +:10035000060606060606060606060606060606063D +:100360000606060606060606070707070707070725 +:10037000070707070707070707070707070707070D +:1003800007070707070707070707070707070707FD +:1003900007070707070707070707070707070707ED +:1003A00007070707070707070808080808080808D5 +:1003B00008080808080808080808080808080808BD +:1003C00008080808080808080808080808080808AD +:1003D000080808080808080808080808080808089D +:1003E000080808080808080808080808080808088D +:1003F000080808080808080808080808080808087D +:10040000080808080808080808080808080808086C +:10041000080808080808080808080808080808085C +:100420000808080808080808546D72510000000008 +:10043000546D722053766300286E756C6C29000031 +:100440004572726F7220696E20666C6F70732074D3 +:1004500061736B73200D0A006D69616F750A00008E +:100460006D69616F75320A0068756E6772790A008E +:10047000436865636B54696D657200004D61746813 +:10048000310000004D617468320000004D617468F5 +:0C049000330000004D617468340000006F +:10049C001000000000000000017A5200017C0101F4 +:1004AC001B0D020010000000180000006079FEFF18 +:1004BC005804000000000000100000002C00000098 +:1004CC00A47DFEFF340400000000000010000000BA +:1004DC0040000000C481FEFF10040000000000007A +:100560006AE67F50274C41C15305A3923ADD5E40B5 +:1005700082E2C7985B53A240B29DEFA7C6B18CC080 +:10058000FCA9F1D24D62503FAE47E17A145678C0D3 +:10059000CDCCCCCC8CBCDF4039D6C56D340000C08E +:1005A000E5D022DBF93E2840E5D022DBF93E384099 +:1005B000AC1C5A643B2F4240E5D022DBF93E484058 +:1005C0001E85EB51B84E4E40AC1C5A643B2F524036 +:1005D000C876BE9F1A375540E5D022DBF93E584019 +:1005E000022B8716D9465B40FCA9F1D24D6250BF61 +:1005F00000000000000016400000000000001A404B +:100600000000000000001E4000000000000021402B +:100610000000000000002340000000000000254012 +:1006200000000000000027400000000000002940FA +:100630000000000000002B400000000000002D40E2 +:08064000FC8F01000400000022 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/flop_rv32imac_O3.hex b/VexRiscv/src/test/resources/freertos/flop_rv32imac_O3.hex new file mode 100644 index 0000000..378151e --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/flop_rv32imac_O3.hex @@ -0,0 +1,2068 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900061CD170502001305E55E97950300938572 +:1000A000257E0146112217050200130565449705B8 +:1000B00002009385A54A0146C52817A5030013052C +:1000C000657C97B503009385A57B37B6ABAB13066C +:1000D000B6BAD92817B103001301C17AEF70301FE7 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2022F23A02200F32210348F +:1002400096DEEF00002B170102000321A12D0241D1 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E23D23A0120023A295 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40702E17010200032111 +:10033000611F0241F65273901234B722000093827B +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A22F83A09C +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2020D23A0220086DEEF2075 +:10046000E035170102000321E10B0241F6527390BF +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF40900EEF10D01301E547 +:10050000B24041018280B24041016F20202B93070D +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30B02777 +:1005B0008280411122C406C62A8426C2EF20301947 +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF20405F6DD5EF20207F3C44FD172E +:1005F0003CC43C44E344F0FEFD573CC4EF2070177C +:10060000EF20F0147C40635BF0021C4885CB930420 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF20005B6DD5EF20E07A4E +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20B01229C54111A9 +:1006500022C426C22A8406C6AE84EF20500F34403E +:100660005C5C1840232C0402B387F6027D5670C0F0 +:1006700018C430C4B386D740BA9736975CC058C404 +:1006800091EC1C489DE3EF20D00EB24022449244EE +:100690000545410182807370043001A013050401F7 +:1006A000BD3513054402A535F9BF13050401EF203C +:1006B00080528547E319F5FC913BF1B701E5737072 +:1006C000043001A0011126CA4AC84EC606CE22CC6B +:1006D000B2892E89AA84A5C53305B502130555052F +:1006E000EF30207C2A8435C1930745051CC144DCCA +:1006F00023202405EF20B00534405C5C18407D5673 +:10070000B387F602232C040270C018C430C413054A +:100710000401B386D740BA9736975CC058C4C53B2E +:1007200013054402ED33EF20D0042308340522855D +:10073000F2406244D2444249B249056182801305C5 +:100740004005EF3000762A8401E57370043001A083 +:1007500000C071BF01E57370043001A06375B5007E +:100760007370043001A0411122C409462E848145D2 +:1007700006C6A93711C500DDB2402244410182807E +:100780007370043001A05D7186C6A2C4A6C2CAC03F +:100790004EDE52DC56DA5AD85ED632C6630A051CE3 +:1007A0006382051C89476399F600585D8547630598 +:1007B000F7007370043001A03689AE842A84EF20DC +:1007C000406411E5B24781C77370043001A0EF2087 +:1007D0000078185C5C5C014B894BFD59130A0401DD +:1007E000930A44026365F70C63077919B247638182 +:1007F000072463150B002808EF200051EF206077D5 +:10080000EF10205AEF20A0747C406394370123221C +:1008100004043C446394370123240404EF206075EE +:100820006C002808EF206050631E051EEF20207228 +:10083000185C5C5C630CF70AEF20A073EF2020715A +:100840003C44634FF00039A85685EF20C03819C1E9 +:10085000EF20A0583C44FD173CC43C446354F000D6 +:100860005C50FDF323243405EF20A070EF20206EB0 +:100870007C40634FF00039A85285EF20C03519C184 +:10088000EF20A0557C40FD177CC07C406354F000F5 +:100890001C48FDF323223405EF20A06DEF10607596 +:1008A000054BEF20C06A185C5C5CE37FF7F23040D8 +:1008B0006305060C631F09120844A685EF30A07675 +:1008C0001C4434405840B6971CC463EAE70A1C5CD9 +:1008D00014405850850714C41CDC5DC7130544023E +:1008E000EF20602F85476311F50AC9A8EF206068E3 +:1008F000B2455285EF20E00FEF2060653C44634F26 +:10090000F00039A85685EF20002D19C1EF20E04CEA +:100910003C44FD173CC43C446354F0005C50FDF380 +:1009200023243405EF20E064EF2060627C40634FB5 +:10093000F00039A85285EF20002A19C1EF20E049C4 +:100940007C40FD177CC07C406354F0001C48FDF3E4 +:1009500023223405EF20E061EF10A06931F17D34EE +:10096000054B81B73C41E38F07E27370043001A06F +:100970007370043001A0304021E61C4085C71C5C28 +:10098000585085071CDC39FBEF20A05E0545B640BA +:10099000264496440649F259625AD25A425BB25BE7 +:1009A000616182804840EF20E0511C5C5850232256 +:1009B000040085071CDCE31307F279D5813CE9B715 +:1009C0004844A685EF3020663C4058441440B307A5 +:1009D000F0403E9758C4637CD7025840BA975CC435 +:1009E00009475C506306E902185C050718DCC9DF9B +:1009F000F5B54844A685EF3000633C4058441440A8 +:100A0000B307F0403E9758C4E37CD7FCF9B75C507D +:100A1000185C79DB185C7D1718DC185C050718DC9E +:100A2000A5D76DBD22857136EF10A05C014585B755 +:100A3000EF2020540145A1BF411126C2AA8413050D +:100A4000400522C406C6EF30C0452A8415CD232CAC +:100A50000502FD577CC10547410558DC3CC42322F3 +:100A60000400232004002324040023260400232060 +:100A7000040423089404613C13054402493C814664 +:100A800001468145228501332285B24022449244A9 +:100A9000410182800DC5411122C426C206C64AC04A +:100AA000032945002A84EF2000358144630CA90006 +:100AB000B2402244268502499244410182807370EB +:100AC000043001A05C448544FD175CC4F5F3814605 +:100AD0000146814522854539E1BF31CDA1C90111CA +:100AE00022CC26CA4AC852C406CE4EC68947B6840E +:100AF00032892E8A2A846399F600585D8547630FF0 +:100B0000F7047370043001A0C932185C5C5CAA89D8 +:100B10006366F70281444E857532F2406244268551 +:100B20004249D244B249224A056182803C41C5DB38 +:100B30007370043001A07370043001A0304041E2B2 +:100B40001C40D5CB1C5C7D5785071CDC3C4463876F +:100B5000E7083C44854485073CC475BFBD32185C3A +:100B60005C5CAA89636DF702304079DA4844D2852B +:100B7000EF30604B3C4058441440B307F0403E9780 +:100B800058C46375D7005840BA975CC48947E39B43 +:100B9000F4FA1C5CC5DB1C5CFD171CDC65B730403F +:100BA00045D24844D285EF3000483C405844144078 +:100BB000B307F0403E9758C4E367D7FCD9BFDDF4D4 +:100BC0000844D285EF3020461C4434405840B69744 +:100BD0001CC4E3E9E7F61C401CC4ADB75C50854477 +:100BE0009DDB13054402EF10107F15D5E30509F2D4 +:100BF000232099000DB74840EF20C02C2322040089 +:100C000091B721CD3C4181C77370043001A01C41D4 +:100C1000A1CB011122CC26CA2A844AC84EC606CED0 +:100C20002E896530185C5C5CAA898144637DF7007D +:100C30001C5C7D5785071CDC3C446388E7023C4410 +:100C4000854485073CC44E85B538F240624426850C +:100C50004249D244B249056182807370043001A0D8 +:100C60005C41C5DB7370043001A05C5099E385449E +:100C7000D9BF13054402EF10107675D9E30909FEB8 +:100C800085472320F900E5B7397106DE22DC26DA34 +:100C90004AD84ED652D456D232C66302051C638A55 +:100CA000051AB68AAE892A84EF20A01511E5B2474D +:100CB00081C77370043001A0EF2060291C5C63922F +:100CC0000714B247638E070A2808EF20E003FD549B +:100CD00013094402130A0401EF20A029EF10600C4D +:100CE000EF20E0267C4063949700232204043C44D8 +:100CF0006394970023240404EF20A0276C002808A5 +:100D0000EF20A00263130518EF2060241C5CADCF18 +:100D1000EF202026EF20A0233C44634FF00039A8A9 +:100D20004A85EF10506B19C1EF20200B3C44FD1792 +:100D30003CC43C446354F0005C50FDF324C4EF20F9 +:100D40004023EF20C0207C40634FF00039A852853B +:100D5000EF10706819C1EF2040087C40FD177CC07F +:100D60007C406354F0001C48FDF364C0EF20602019 +:100D7000EF102028EF20A01D1C5CC1E7B247A9FF9F +:100D8000EF20201F014555A0EF20A01E1C40DDC70D +:100D9000B2454A85EF10F045EF20601B3C44634F9D +:100DA000F00039A84A85EF10106319C1EF20E00266 +:100DB0003C44FD173CC43C446354F0005C50FDF3DC +:100DC00024C4EF20001BEF2080187C40634FF0000C +:100DD00039A85285EF10306019C1EF2000007C4027 +:100DE000FD177CC07C406354F0001C48FDF364C0D8 +:100DF000EF202018EF10E01F31C9EF2040151C5CD8 +:100E0000B5DFCE8522854444EFF0CFF8639C0A0419 +:100E10001C5C1840FD171CDC01E7EF20001A48C0DD +:100E20001C48A1EFEF20E0140545F2506254D25463 +:100E30004259B259225A925A21618280EF202011E0 +:100E40004840EF10107EEF20C01299B7EFF00FDC92 +:100E50006DB73C41E38707E47370043001A0737001 +:100E6000043001A05C5044C4D5DF13054402EF10E8 +:100E700090564DD9EFF08FD975B713050401EF10D7 +:100E800090558547E310F5FAF5B72285EFF06FF23C +:100E9000EF102016014551BF1DC9411122C44AC09F +:100EA00006C626C244412E892A84EF10D07463837B +:100EB000A40281464A8681452285F933854763002D +:100EC000F502B2402244924402494101828073708B +:100ED000043001A05C44054585075CC4DDB75C4473 +:100EE00085075CC4F9BF15CDADC9011122CC26CA56 +:100EF0004AC84EC652C42A8406CE3289AE89EFF063 +:100F0000CFDC1C5C2A8A814485E35285EFF08FDBBD +:100F1000F240624426854249D244B249224A0561E0 +:100F200082807370043001A0304001CE4C445C409C +:100F3000B2954CC463E4F5000C404CC44E85EF30D0 +:100F4000800E1C5C7D57FD171CDC7C40638CE70029 +:100F50007C40854485077CC04DBF3C41D9D7737028 +:100F6000043001A01C4899E3854445B713050401EA +:100F7000EF10704675D9E30909FE85472320F90073 +:100F8000E5B721C93C41A1CDA1CB011122CC26CA94 +:100F90004AC852C42A8406CE4EC62E8AEFF0EFD23B +:100FA0001C5C2A89814491CB8329C400D285228587 +:100FB000EFF04FDE8544232634014A85EFF08FD0D1 +:100FC000F240624426854249D244B249224A056130 +:100FD00082807370043001A07370043001A0EDDFD3 +:100FE0007370043001A001E57370043001A0411159 +:100FF00022C42A8406C6EF109075005CEF1070774B +:10100000B240228522444101828001E573700430A0 +:1010100001A0411106C622C42A84EF1050731C5C43 +:10102000405C1D8CEF10F0742285B24022444101D7 +:10103000828019C1085D82807370043001A01DCDCB +:101040009797030093870780D843630CE502D8473E +:10105000630CE504D84B6307E504D84F6302E5044D +:10106000D853630DE502D8576308E502D85B6303E4 +:10107000E502D85F630EE5006F20D0747370043012 +:1010800001A001470E07BA9723A007006F209073B5 +:101090001D47CDBF1947FDB71547EDB71147DDB760 +:1010A0000D47CDB70947F9BF0547E9BF68458280BD +:1010B0006CC5828003450505828009C5085D13352E +:1010C000150082807370043001A019C51C5D485D55 +:1010D0001D8D1335150082807370043001A0978731 +:1010E000030093872776984305C3984705C7984B15 +:1010F00005C7984F05C7985305C7985705C7985B0C +:1011000015C7985F15C3828001470E07BA978CC335 +:10111000C8C382800547D5BF0947C5BF0D47F5B78E +:101120001147E5B71547D5B71D47C5B71947F1BFF3 +:101130009787030093870771D8436308E502D84770 +:10114000630BE502D84B630AE502D84F6309E50259 +:10115000D8536308E502D8576307E502D85B6308F4 +:10116000E502D85F6303A702828001470E07BA97A2 +:1011700023A0070082800547D5BF0947C5BF0D479B +:10118000F5B71147E5B71547D5B71D47C5B7194797 +:10119000F1BF411122C426C24AC02A8406C6AE84C9 +:1011A0003289EF10D05A7840FD576314F70023229C +:1011B00004043844FD576314F70023240404EF109B +:1011C000505B1C5C99E74A86A68513054402EF1024 +:1011D0005015EF10D0573C44635BF0025C5085CB58 +:1011E0009304440209A83C44FD173CC43C446350AA +:1011F000F0025C5089CF2685EF10F01D6DD5EF1001 +:10120000D03D3C44FD173CC43C44E344F0FEFD5754 +:101210003CC4EF101056EF1090537C40635BF0021B +:101220001C4885CB9304040109A87C40FD177CC0B1 +:101230007C406350F0021C4889CF2685EF10B0191E +:101240006DD5EF1090397C40FD177CC07C40E344A5 +:10125000F0FEFD577CC02244B24092440249410155 +:101260006F10305197F701009387C72B944317F7FE +:1012700001001307E7291843C8C2636EE502411154 +:1012800022C406C617F70100130787292A840843DA +:101290008C439105EFF0CFAA97F70100938787253C +:1012A0009C436376F40097F7010023A58724B2409E +:1012B00022444101828017F70100130727260843C3 +:1012C0008C4391056FF0CFA797F70100938787258F +:1012D000984394437C43FD177CC3FC4299E37360BD +:1012E000043082801DC179714ECE56CA06D6BE8AA0 +:1012F00022D426D24AD052CC5AC85EC69147BA8967 +:1013000063F8E7007370043001A07370043001A02B +:101310002A8BAE843289B68B428A63080822130571 +:101320000006EF2010382A84630C05200A092328C0 +:1013300045034A869305500A5285EF20D05C03C7C7 +:1013400004001C587119230AE40203C704003E99E3 +:101350001379C9FF79C783C71400A30AF40283C7AE +:101360001400E1C383C72400230BF40283C72400C5 +:10137000CDCB83C73400A30BF40283C73400D5C39D +:1013800083C74400230CF40283C74400D9CB83C72E +:101390005400A30CF40283C75400C1C783C7640080 +:1013A000230DF40283C76400ADCF83C77400A30D7F +:1013B000F40283C77400B5C783C78400230EF40208 +:1013C00083C78400B9CF83C79400A30EF40283C7F8 +:1013D0009400A1CB83C7A400230FF40283C7A40009 +:1013E000A9C383C7B400A30FF40283C7B40095CB8D +:1013F00083C7C4002300F40483C7C4009DC383C70C +:10140000D400A300F40483C7D40081CF83C7E400D1 +:101410002301F40483C7E40089C783C7F400A30150 +:10142000F404130A44005285A30104042326340360 +:1014300023283405232A0404EFF0AF8E130584011A +:10144000EFF02F8E9547B3873741232C040400C853 +:101450001CCC40D023220404232E04045E86DA85AB +:101460004A85EFF02F8708C063840A0023A08A0012 +:101470007370043017F90100130909098327090063 +:1014800097F401009384040A91C798409C407C43E0 +:1014900085077CC317F701001307C7071C4385079F +:1014A00097F6010023A8F6069C40CDCB83270900C0 +:1014B00089EB9C40DC5763E6F90097F7010023A312 +:1014C000870697F7010093872703984397F6010053 +:1014D0009386C6035C549442050717F60100232D3A +:1014E000E60038C463F6F60017F701002320F70280 +:1014F0005147B387E70217F5010013056520D28530 +:101500003E95EFF06F828327090089C79C40FC431A +:101510006395070E83270900054499C79C40DC5753 +:1015200063F43701EFE09FEE2285B25022549254CB +:101530000259F249624AD24A424BB24B456182801B +:101540005285EF2030287D54C5B713152600EF20B3 +:1015500050152A8AE31505DC7D54F9B797F7010089 +:1015600023A287FC18438547E31DF7F417F5010014 +:1015700013050519EFE0BFF917F5010013058519EB +:10158000EFE0FFF817F501001305051AEFE03FF84B +:1015900017F501001305851AEFE07FF717F5010035 +:1015A0001305051BEFE0BFF617FB0100130B8B1BA8 +:1015B0005A85EFE0DFF597FA0100938AEA1B56851A +:1015C000EFE0FFF417F501001305451CEFE03FF4D1 +:1015D00017F501001305C51CEFE07FF317F50100B7 +:1015E0001305451DEFE0BFF297F7010023AA67F34B +:1015F00097F7010023A457F3E9B5984094407C4342 +:10160000FD177CC3FC42E39707F07360043019B701 +:10161000411122C406C626C24AC02A84737004300F +:1016200097F40100938444EE9C40F9E311E497F7AA +:101630000100938727EF8043130944004A85EFE0B8 +:101640003FF31C5489C713058401EFE07FF2CA857C +:1016500017F501001305C514EFE01FED97F7010022 +:10166000938787EB984397F701009387E7E89C43BC +:10167000050797F6010023A1E6EA9840850797F64B +:10168000010023ABF6E601CB97F70100938787E9CA +:101690009843784349EF9C40B1C797F7010093877F +:1016A00067E89843630F870873700430984039EBFC +:1016B00017F701001307C7E614439442A5E27D57CC +:1016C00097F6010023A8E6E2984009CF98437843B3 +:1016D00011CB984394437C43FD177CC3FC4299E3B0 +:1016E00073600430B2402244924402494101828036 +:1016F00097F70100938707E398439C437C43850752 +:101700007CC32DB794439843F8420507F8C217F7F6 +:1017100001001307E7E014439442D5D21843584719 +:101720005847584397F6010023A6E6DC71BF98435B +:1017300094437C43FD177CC3FC42B1FF73600430CB +:1017400099BF97F701009387A7DA9C4381C773700D +:10175000043001A02244B2409244024941016FE0AA +:10176000FFCA41C517F701001307C7DB14438147C0 +:10177000638BA606411106C622C426C273700430CC +:1017800097F60100938646D89C4291C710431C43AC +:101790007C4285077CC29C42AA84404981C71C4385 +:1017A000FC43B9E797F70100938787D79C43638A87 +:1017B000870497F70100938767D69C436383870468 +:1017C00097F70100938707FF6308F40297F701007A +:1017D000938707FD6300F4028547B2402244924498 +:1017E0003E85410182803E8582807370043001A075 +:1017F000E13C4DBF9147D5B79C5493B7170089077B +:10180000E9BF8947D9BF7370043097F70100938708 +:10181000A7CF984309EF15C59C43485581CB97F74F +:101820000100938727D09843784315E3828017F708 +:101830000100130727CF14431843F8420507F8C2E5 +:1018400061FD17F701001307E7CD0843F1B798438F +:1018500094437C43FD177CC3FC42E9FB7360043076 +:101860008280411122C42A8406C6EFE01FC611E41B +:1018700097F70100938707CB80434054EFE09FC464 +:10188000B2402285224441018280914763F5B7002E +:101890007370043001A0011122CC06CE26CA4AC8BA +:1018A0004EC652C42A847370043017F9010013091C +:1018B000A9C583270900D5EF79C43C486389F50899 +:1018C00017F70100130707C663FCB7001443638CC6 +:1018D000860C18434457B3B4950093C4140029A050 +:1018E0000443818C93B4140058546384E70A1C4C5D +:1018F0002CC863C607009547B385B7400CCC931737 +:101900002700BA97584897F90100938969DF8A0739 +:10191000CE97631BF702130A44005285EFE05FC5C0 +:1019200017F70100130787BE5C5418436376F7006E +:1019300017F70100232CF7BC5147B387E702D28584 +:101940003385F900EFE05FBE99C0EFE03FAC83273D +:10195000090081CB97F701009387C7BC9C43FC43E8 +:101960009DEBF2406244D2444249B249224A0561A9 +:10197000828097F701009387E7BA98439C437C43A2 +:1019800085077CC31DF897F701009387A7B98043AB +:101990002DB74CD4A9BF6244F240D2444249B24967 +:1019A000224A056115B2814481B725C1011126CAB9 +:1019B00097F40100938404B79C4006CE22CC4AC819 +:1019C0004EC6638DA7027370043017F90100130926 +:1019D000A9B38327090091C798409C407C438507A1 +:1019E0007CC3584997F701009387C7DC6302F7026D +:1019F0008327090081C79C40FC43A5EBF240624469 +:101A0000D2444249B249056182807370043001A01A +:101A10001C5517F70100130767D7E38BE7FCE9FBB9 +:101A2000930945002A844E85EFE09FB417F7010023 +:101A30001307C7AD5C5418436376F70017F701002E +:101A40002326F7AC5147B387E70217F501001305CA +:101A500025CBCE853E95EFE03FAD9C405854DC57FA +:101A6000E368F7F8EFE09F9A61B76244F240D2442E +:101A70004249B249056189B815CD011122CC26CA67 +:101A80004AC82A8406CE4EC6EFE03FA4584897F7CE +:101A90000100938727D22A8981446300F7024A858F +:101AA000EFE05FA2F240624426854249D244B24947 +:101AB000056182807370043001A01C5417F5010089 +:101AC0001305C5CCE38DA7FCF9FB97F701009387BD +:101AD00027A29C43A9EB97F701009387A7A49C43F7 +:101AE000445493094400DC574E85B3B4F400EFE04E +:101AF0003FA817F70100130767A15C54184393C46C +:101B000014006376F70017F701002321F7A051476F +:101B1000B387E70217F50100130585BECE853E9514 +:101B2000EFE09FA0ADBF93058401EFE0FF9F85BF6D +:101B30000111056506CE22CC26CA4AC84EC652C43B +:101B400056C2EF200036630E051CAA84130500065A +:101B5000EF2020352A84630A051E05669305500A86 +:101B600004D92685EF20205A04588567F117BE94C2 +:101B7000B7474C4593879744930944005CD84E85FA +:101B8000230C0402A30104042326040223280404D2 +:101B9000232A0404EFE0FF9813058401EFE07F9807 +:101BA0009547232C0404F19800C81CCC40D0232274 +:101BB0000404232E04040146971500009385E5B123 +:101BC0002685EFE03F9108C07370043017F90100DB +:101BD000130989938327090097F40100938484945F +:101BE00091C798409C407C4385077CC317F7010050 +:101BF000130747921C43850797F6010023ACF69024 +:101C00009C40C9C38327090081EB9C40DC5789E7CE +:101C100097F7010023A8879097F701009387C78D56 +:101C20009C4397F601009386668E5854944285072C +:101C300017F601002322F68C3CC463F6E60097F702 +:101C4000010023A5E78C93172700BA978A0717F599 +:101C500001001305E5AA3E95CE85EFE0FF8C8327B2 +:101C6000090081C79C40FC43F1E78327090091C329 +:101C70009C40EF10704985476304F50E5DE1737079 +:101C8000043001A097F7010023AE878818438547E9 +:101C9000E314F7F817F50100130585A6EFE03F8779 +:101CA00017F50100130505A7EFE07F8617F5010082 +:101CB000130585A7EFE0BF8517F50100130505A8FB +:101CC000EFE0FF8417F50100130585A8EFE03F84DE +:101CD00097FA0100938A0AA95685EFE05F8317FA05 +:101CE0000100130A6AA95285EFE07F8217F501000F +:101CF0001305C5A9EFE0BF8117F50100130545AA3B +:101D0000EFE0FF8017F501001305C5AAEFE03F8063 +:101D100097F7010023A6578197F7010023A0478179 +:101D2000E5BDF2406244D2444249B249224A924A55 +:101D300005618280984094407C43FD177CC3FC423F +:101D4000E39507F2736004300DB76244F2404249F4 +:101D5000B249224A924A2685D24405616F208026E4 +:101D600073700430FD5717E701002325F7786244AC +:101D700097E7010023AAA778F240D2444249B2492A +:101D8000224A924A97E7010023A4077805616FE091 +:101D90004FD17370043097E7010023A707766FE0F7 +:101DA0008FDE17E701001307A7741C4385071CC3C8 +:101DB00082807370043097E701009387E77498433B +:101DC00005E317E701001307A77408439C4381CB81 +:101DD00097E70100938707759843784301EF828066 +:101DE00017E701001307077414431843F842050767 +:101DF000F8C2C1BF984394437C43FD177CC3FC42A7 +:101E0000F9FF736004308280411106C622C4EFE0FE +:101E1000CFEB97E701009387A76F8043EFE08FEA4E +:101E2000B240228522444101828097E701009387D6 +:101E3000676E88438280797106D622D426D24AD032 +:101E40004ECE52CC56CA5AC85EC697E70100938759 +:101E5000276A9C43B1EF17E701001307676B1C4328 +:101E6000850797E6010023A5F66A832A0700638F9A +:101E70000A0697E701009387E7679C43814463F173 +:101E8000FA0897F901009389A98717EA0100130A54 +:101E90006A6983270A008546D85793172700BA979F +:101EA0008A07BE9983A7090063FFF600854421A82D +:101EB00097E70100938707659C438144850717E7EF +:101EC00001002321F76497E70100938767639C4330 +:101ED00091C38544B2502254268502599254F24946 +:101EE000624AD24A424BB24B4561828017E40100FC +:101EF000130404631C409C43DDC37370043001A0D1 +:101F000017E401001304C46197E901009389497F34 +:101F100017EA0100130A0A6117EB0100130B0B5FAC +:101F20001C409C43B5C31C40DC4703A9C700832762 +:101F30004900930B49005E8563EEFA04EFE04FE33E +:101F4000832789021305890199C3EFE06FE2832794 +:101F5000C90203270B00DE85139527003E950A056D +:101F60004E956376F70017E701002321F75AEFE05B +:101F7000AFDB83270A000327C902DC57E362F7FAC5 +:101F80001C4085449C43C5F3FD5717E701002323FC +:101F9000F75601B717E70100232EF754DDBD17E709 +:101FA00001001307A7571C40184397E6010023A917 +:101FB000E65617E701002323F75697E701009387BA +:101FC000E7539C43850717E701002329F7521C407C +:101FD0009C4399E7FD5717E70100232DF75051BDAA +:101FE0001C40DC47DC47DC4317E701002324F750A3 +:101FF00049B597E701009387A74F984301E77370AE +:10200000043001A0011106CE22CC26CA4AC84EC611 +:1020100052C456C25AC07370043097E401009384CE +:10202000A44E984061EB98437D1797E6010023A1E9 +:10203000E64C9C43D9EB97E701009387A74D9C435F +:10204000C9C797EA0100938AAA6B17EA0100130A2D +:10205000EA4B17E901001309E94C854919A83385B2 +:10206000EA00EFE06FCC832709005854DC5763790E +:10207000F70483A7CA08C1CF83A78A09C0471305FD +:102080008401EFE0EFCE130B44005A85EFE04FCE12 +:102090005C5483260A00DA85139527003E950A05CD +:1020A0002A875695E3FDF6FA17E701002320F74645 +:1020B000EFE08FC7832709005854DC57E36BF7FA2A +:1020C00097E7010023AE37436DB701449C4081CBB5 +:1020D00097E70100938707459C43FC4395E72285DA +:1020E000F2406244D2444249B249224A924A024BE7 +:1020F0000561828017E701001307C74214431843A4 +:10210000F8420507F8C205B7EFF00F9CC9BF17E406 +:1021100001001304243F1C4095C31C40054999CF7E +:10212000193B09C597E7010023AC273D1C40FD176B +:1021300017E701002328F73C1C40FDF397E7010057 +:102140009387073C98438547E311F7F8EFE00FAC1E +:102150000544ADBF11CD81E57370043001A017E7D0 +:1021600001001307E7381C4399C77370043001A0BE +:102170007370043001A0411122C406C614431841F3 +:10218000850617E601002325D63697E601009386DB +:10219000263894423304B70000C163F7E602637F38 +:1021A000E40063FD860097E701009387A737884323 +:1021B0001105EFE0EFBB2285EFF0CF8A1D3D01C98D +:1021C000B240224441018280E36FE4FCD9BF224443 +:1021D000B24041016FE08FA311C917E70100130757 +:1021E00027311C4391C77370043001A06FE00FA228 +:1021F000411106C622C41843AA87050797E60100C5 +:1022000023A8E62E97E601009386C63117E7010062 +:1022100013070730184388423304F7001105EFE035 +:102220002FB52285EFF00F84E93311E52244B24047 +:1022300041016FE0AF9DB2402244410182804111D3 +:1022400022C406C697E701009387872A9C4301446E +:10225000850717E70100232DF72897E701009387EB +:10226000672B9C4363E3F50C17EE0100130ECE4E73 +:1022700097E201009382024801440147854F13030E +:10228000500A21A0311E6387C20D83278EFFBA85B5 +:10229000F5DB8327CEFFDC43232EFEFE6382C71BC4 +:1022A00003AFC700DC432A97814E232EFEFE6385D1 +:1022B000C70783A8C70093874803232017015CC37F +:1022C00083A788042326F7011CC783A7C8021CCB59 +:1022D00083A70805232C07005CCB03A808038347CA +:1022E0000800639A6704C287850783C60700B38521 +:1022F0000741E38B66FE8981C205C181231EB700B9 +:10230000850E1307070263001F058327CEFFDC43FA +:10231000232EFEFEE39FC7F983274E00232EFEFEE9 +:1023200049BF1A9419C223200600E1312285B24028 +:102330002244410182808145231EB700850E130788 +:102340000702E3141FFD769413175400311EBA855B +:10235000E39DC2F397E701009387871C03AE070054 +:1023600083270E00FDE397E701009387271B03AE49 +:10237000070083270E006398071617EE0100130E5F +:102380002E3883270E0A639D071E83274E0BD9DB49 +:1023900083278E0B97EE0100938E4E42DC4317E7A6 +:1023A0000100232BF7406381D73383AFC700DC43A1 +:1023B0003307B500014397E6010023AFF63E0D4F0A +:1023C00089429308500A6386D707C8479307450395 +:1023D00008C35CC33C452326E7011CC75C551CCBE6 +:1023E0001C5599C3232657003C49232C07005CCB7E +:1023F00003280503834708006395172BC2878507C9 +:1024000083C60700B3850741E38B16FF8981C205A8 +:10241000C181231EB700050313070702E383AFF052 +:1024200083278E0BDC4397E6010023A7F638E39E53 +:10243000D7F983270E0C97E6010023AFF63671B764 +:1024400083274E00232EFEFEA1BD83274E00130FCF +:102450008E00D4432322DE00638CE625B307E5001B +:10246000D84283A2C600814E2322EE00894F130377 +:10247000500A6305EF068328C7001387480323A08B +:102480001701D8C303A7880423A6F70198C703A799 +:10249000C80298CB03A7080523AC0700D8CB03A834 +:1024A0000803034708006318671C42870507834633 +:1024B0000700B3050741E38B66FE8981C205C18130 +:1024C000239EB700850E93870702638E121B832617 +:1024D0004E00D8422322EE00E31FEFF80327CE0080 +:1024E0002322EE0049BF83274E00130F8E00DC43EA +:1024F0002322FE006389E71B83A2C700DC43AA9561 +:10250000814E2322FE00894F1303500A6305FF0604 +:1025100083A8C7009387480323A01501DCC183A7C4 +:10252000880423A6F5019CC583A7C8029CC983A77C +:10253000080523AC0500DCC903A80803834708008D +:1025400063976710C287850783C6070033870741F3 +:10255000E38B66FE098342074183239EE500850ED7 +:1025600093850502638D120F83274E00DC432322DF +:10257000FE00E31FFFF88327CE002322FE0049BFA1 +:1025800083274E0A17EF0100130F0F22DC4317E7D2 +:1025900001002329F7206382E71383A2C700DC43ED +:1025A000AA95814E17E70100232EF71E914F1303C2 +:1025B000500A6387E70783A8C7009387480323A0CF +:1025C0001501DCC183A7880423A6F5019CC583A758 +:1025D000C8029CC983A7080523AC0500DCC903A871 +:1025E00008038347080063946704C287850783C68E +:1025F000070033870741E38B66FE09834207418367 +:10260000239EE500850E93850502638A12038327C6 +:102610004E0ADC4317E701002326F718E39DE7F98C +:102620008327CE0A17E70100232EF71669B7014763 +:10263000239EE500850E93850502E39A12FD83270C +:102640004E0B769493155400E38E07CC91B301475B +:10265000239EE500850E93850502E39712F117EEA0 +:102660000100130EEE0983270E0A76949315540089 +:10267000E38D07D031B78145239EB700850E938740 +:102680000702E39612E597E70100938727E903AE77 +:10269000070076949315540083270E00E38F07CC30 +:1026A00099B58145BDB38327CE002322FE00A9B58D +:1026B0008326CE002322DE0055B38327CE0A17E7F8 +:1026C00001002321F70ED1BD83270E0C17E701006F +:1026D0002324F70ED9B9797126D24AD04ECE52CCE6 +:1026E00056CA5AC85EC606D622D497E90100938915 +:1026F00029E017E901001309A90017EA0100130AEC +:10270000AAE097EA0100938AEAE197EB0100938B34 +:102710006BE097E40100938424E0054B21A883A794 +:1027200009000324090A850717E701002322F7DCC3 +:10273000C93011E89C40E5F783270900E37CFBFEE4 +:10274000EFD0DFCCC5BF7370043083270A00B9E334 +:102750008327C90AC04713054400EFD07FE183A750 +:102760000B00FD1717E701002326F7DA9C40FD1741 +:1027700017E701002322F7DA83270A0089C783A716 +:102780000A00FC4385E30858EF10D0032285EF10C0 +:1027900070034DB703A70A0083A70A007C4385078F +:1027A0007CC37DB703A70A0083A60A007C43FD17FC +:1027B0007CC3FC42E9FB73600430F1B797E701008A +:1027C000938707D39C43DDE3411106C697E70100D9 +:1027D00023A807D217E701001307C7D41C43B7A6E5 +:1027E000A5A59386565A9C5B8C43639BD500D0432A +:1027F0006318B60094476395C600DC476388D7002A +:1028000008430C4393854503EF20A07397E601002E +:102810009386C6CF904217E70100130767EE11A811 +:102820009C42B9CB9C42FD1717E601002320F6CE4F +:10283000904293172600B2978A07BA979C43EDD32C +:10284000904293172600B2978A07B305F700D44148 +:10285000A107BA97D442D4C16383F602DC46B240E2 +:1028600017E701002320F7CC41018280854717E755 +:1028700001002327F7C882807370043001A0D1477C +:102880003306F602D442329754C3C9BF01114AC875 +:1028900006CE22CC26CA4EC62A897370043097E42D +:1028A0000100938464C69C4017E40100130484C7AC +:1028B000D9E76314090003290400930949004E85F0 +:1028C000EFD01FCB8327890289C713058901EFD079 +:1028D0003FCACE8517E501001305C5EDEFD0DFC473 +:1028E0009C4081C71C40FC43ADE31C40638B270721 +:1028F0009C409DCF737004309C40C1EB97E7010072 +:10290000938707C29843184325EBFD5717E701004B +:102910002322F7BE9C4089CF1C40FC4391CB18403A +:1029200014407C43FD177CC3FC4299E37360043080 +:10293000F2406244D2444249B24905618280184063 +:102940001C407C4385077CC3ADB7184014407C43D2 +:10295000FD177CC3FC42D1FB736004301C40E3993B +:1029600027F99C40A1C397E70100938767B89C4370 +:10297000ADCB7370043001A09C43DC47DC47DC43E3 +:1029800017E701002328F7B671B718401C407C43B5 +:1029900085077CC397E70100938787B8984318435E +:1029A0002DD7D9BF97E701009387C7B617E7010076 +:1029B000130707D59C430327470B631DF700F2401D +:1029C000624497E7010023AF07B4D2444249B249B9 +:1029D000056182806244F240D2444249B2490561B5 +:1029E000F1BB6244F240D2444249B24905616FD022 +:1029F000FFA13DC9411126C297E40100938484B22E +:102A00004AC02E898C4006C622C4E105EFD05FB3D0 +:102A100088401105EFD0DFB5FD57630AF90497E749 +:102A200001009387E7AE804398409C434A9440C39B +:102A3000636CF40497E70100938787AE88438C406A +:102A40009105EFD0FFAF97E701009387A7AA9C43BA +:102A50006376F40097E7010023AE87A8B2402244D2 +:102A600092440249410182807370043001A08C407D +:102A70002244B2409244024917E50100130585D370 +:102A8000910541016FD05FAA97E70100938707A9DD +:102A9000884322448C40B24092440249910541014E +:102AA0006FD01FAA11C997E70100938767A49C43C1 +:102AB00099E77370043001A07370043001A04111D4 +:102AC00026C297E401009384E4A59840AE878C4029 +:102AD000B7060080D58F06C622C41CCFE10532841C +:102AE000EFD09FA488401105EFD09FA8FD57630E3B +:102AF000F40097E701009387A7A18843B24092446E +:102B00002295224441016FE0EFF58C402244B2400F +:102B1000924417E501001305E5C9910541016FD005 +:102B2000BFA025CD411126C297E401009384849F64 +:102B30004AC02E898C4006C622C4E1053284EFD0FB +:102B4000BF9E88401105EFD0BFA28547630AF404F9 +:102B500097E701009387C79B804398409C434A9422 +:102B600040C3636CF40497E701009387679B884335 +:102B70008C409105EFD0DF9C97E701009387879702 +:102B80009C436376F40097E7010023A58796B24043 +:102B9000224492440249410182807370043001A0B2 +:102BA0008C402244B2409244024917E501001305CB +:102BB00065C0910541016FD03F9797E7010093876A +:102BC000E795884322448C40B240924402499105E3 +:102BD00041016FD0FF96411106C622C426C25C4552 +:102BE000C04735CC930484012685EFD07F9897E7C2 +:102BF00001009387E78F9C43B5E793044400268543 +:102C0000EFD01F9797E701009387479058549C4354 +:102C100063F6E70097E7010023AAE78E93172700E2 +:102C2000BA978A0717E50100130585ADA6853E957D +:102C3000EFD09F8F97E701009387C78E9C4358542E +:102C40000145DC5763F8E700854717E701002329B2 +:102C5000F78A0545B2402244924441018280737054 +:102C6000043001A0A68517E50100130525B2EFD0B9 +:102C7000BF8BC9B797E70100938787879C4381E79C +:102C80007370043001A0411106C622C426C2B707E2 +:102C900000804045CD8F1CC12DC4EFD07F8D9304A3 +:102CA00044002685EFD0DF8C97E7010093870786E5 +:102CB00058549C4363F6E70097E7010023A8E78494 +:102CC00093172700BA978A0717E50100130545A354 +:102CD0003E95A685EFD05F8597E7010093878784AF +:102CE0009C4358540145DC5763F8E700854717E7D4 +:102CF00001002327F7800545B24022449244410158 +:102D000082807370043001A011CD97D701009387A2 +:102D1000E77E984397D701009387877F9C4318C12C +:102D20005CC182807370043001A055C1D1CD4111C6 +:102D300006C622C42A877370043097D8010093888E +:102D4000A87C83A7080091CB97D701009387877D44 +:102D500094439C43FC428507FCC217D30100130334 +:102D6000237B9C4103260300FD560144638FD70259 +:102D700017DE0100130E8E7803250E0003280700CE +:102D800054436305A80005446371D6023308D64056 +:102D90000544637CF80003250E00918F0326030091 +:102DA000B6979CC108C350C3014483A7080081CBD8 +:102DB00097D70100938707779C43FC4381EF2285D7 +:102DC000B2402244410182807370043001A07370CC +:102DD000043001A0EFE04FCFDDB7854717D70100E2 +:102DE0002320F772828019C1684582800145828064 +:102DF00011C16CC5828097D701009387A772884361 +:102E0000828097D70100938727709C43054589CB23 +:102E100097D701009387C76D8843133515000605C2 +:102E2000828031CD011126CA97D401009384846F2A +:102E30009C4006CE22CC4AC84EC65855DC57637912 +:102E4000F7021C4D63C707009C40D4579547958FE8 +:102E50001CCD93172700BA97584917E901001309A9 +:102E6000298A8A07CA97630DF7009C40DC575CD516 +:102E7000F2406244D2444249B24905618280828074 +:102E8000930945002A844E85EFD08FEE9C4017D7DA +:102E900001001307A7671843DC575CD46376F7007B +:102EA00017D701002324F766139527003E95624447 +:102EB0000A05F240D244CE854A95B249424905619D +:102EC0006FD08FE619CD411106C622C426C297D70E +:102ED00001009387276580436307A4007370043063 +:102EE00001A0014582807C4881E77370043001A015 +:102EF00054543848FD177CC86383E60099C70145E0 +:102F0000B2402244924441018280930444002685C9 +:102F1000EFD00FE63C4817D701001307275F144393 +:102F200015471D8F5CD418CC63F6F60017D7010047 +:102F3000232EF75C5147B387E70217D5010013052D +:102F4000257CA6853E95EFD02FDE054555BF7370D5 +:102F5000043097D701009387275B9C4391CB97D789 +:102F600001009387275C98439C437C4385077CC37F +:102F7000828097D70100938727599C438DC397D7A9 +:102F800001009387275A9843784311CB9843944381 +:102F90007C43FD177CC3FC4299E37360043082805C +:102FA00097D70100938707589043944398439547D8 +:102FB000D456084E958F1CCF828097D701009387F7 +:102FC0006756984309C79443F84A0507F8CA8843E7 +:102FD000828079714AD006D622D426D24ECE2A8952 +:102FE0007370043097D40100938404529C4017D42A +:102FF000010013042453BDE31C40BC4F89E71C406F +:103000000547F8CFD1E19C4081C71C40FC43F1EB60 +:10301000737004309C408DEF1C40A84F11C56304B1 +:1030200009021C4023AC07041C4023AE07049C404B +:1030300081C71C40FC438DEBB2502254925402597C +:10304000F2494561828018403C4FFD173CCFE9BFF3 +:1030500018401C407C4385077CC37DBF18401C4042 +:103060007C4385077CC349BF184014407C43FD174F +:103070007CC3FC42F1F373600430B250225492548A +:103080000259F2494561828008402EC61105EFD0F1 +:103090002FCEB245FD576380F50697D70100938781 +:1030A000274783A9070018409C43AE992322370184 +:1030B00063ECF90497D701009387874688430C4057 +:1030C0009105EFD0EFC797D701009387A7429C43A4 +:1030D00063F6F90097D7010023AE3741EFD00FB365 +:1030E0001DB7184014407C43FD177CC3FC428DF390 +:1030F0007360043031BF0C4017D501001305856B98 +:103100009105EFD06FC2D9BF97D7010093870741D0 +:1031100088430C409105EFD0AFC2C9B779714AD04E +:103120004ECE06D622D426D252CC2E89B2897370C6 +:10313000043097D401009384243D9C4017D40100AF +:103140001304443EF9EF18408947784F630CF700A9 +:103150001C401345F5FFB84F798DA8CF1C4005479B +:10316000F8CFADE69C4081C71C40FC43F5E373708B +:1031700004309C40B1E7638609001C40BC4F23A08B +:10318000F900184085470145784F630BF7001C4054 +:103190001349F9FF0545B84F3379E90023AC2705FA +:1031A0001C4023AE07049C4081C71C40FC43C1E384 +:1031B000B250225492540259F249624A45618280C7 +:1031C00018401C407C4385077CC375B7084036C651 +:1031D0001105EFD0EFB9B246FD576385F60897D7D2 +:1031E00001009387E73203AA070018409C43369AF0 +:1031F000232247016363FA0897D701009387473278 +:1032000088430C409105EFD0AFB397D70100938767 +:10321000672E9C436370FA0697D7010023AC472DB5 +:1032200091A818401C407C4385077CC329BF1840E7 +:1032300014407C43FD177CC3FC42BDFB736004302B +:10324000B250225492540259F249624A4561828036 +:10325000184014407C43FD177CC3FC4289FB73601B +:10326000043031B70C4017D501001305A554910562 +:10327000EFD08FABEFD08F99F5B597D7010093873B +:10328000E72988430C409105EFD08FABE5B751C1DA +:10329000411106C622C426C24AC07370043097D4B6 +:1032A0000100938464269C40B9EB99C23C4D9CC2BA +:1032B000B2878946704D74CD2E872A846386D706DF +:1032C00063FCF6048D456387B70091456395B700AD +:1032D0006300D60C38CC8547630FF60405449C4048 +:1032E00081CB97D701009387E7239C43FC43A9E355 +:1032F0002285B2402244924402494101828097D7FC +:1033000001009387272298439C437C4385077CC315 +:1033100069BF7370043001A08546E39ED7FA3C4D27 +:1033200033E7B70038CD45BF3C4D85073CCD65B789 +:10333000EFD09FF975BF130944004A85EFD04FA322 +:1033400017D701001307871C5C5418436376F700F6 +:1033500017D70100232CF71A5147B387E70217D577 +:1033600001001305E539CA853E95EFD0EF9B1C544B +:1033700081C77370043001A097D701009387871A23 +:103380009C435854DC57E3FBE7F4EFD02F88B9B7E0 +:103390000144B1B739CD011122CC26CA4AC84EC664 +:1033A00052C456C206CEBA89368AB2842E892A847D +:1033B000EFD0AF91AA8A63050A003C4C2320FA00A3 +:1033C000784C89477CCC6389F40263F4970C8D4672 +:1033D0006387D40091466396D400630AF70A232CCE +:1033E00024058547631EF7061C5499CB737004307F +:1033F00001A07370043001A03C4C85073CCCD5B7CC +:1034000097D701009387C70E9C43ADEB930444000C +:103410002685EFD0EF9517D701001307270F5C54CF +:1034200018436376F70017D701002321F70E5147A1 +:10343000B387E70217D501001305852CA6853E95B5 +:10344000EFD08F8E97D701009387C70D9C435854B8 +:10345000DC5763F7E70063850900854723A0F9007F +:1034600005445685EFD00F862285F2406244D2444F +:103470004249B249224A924A0561828093058401F9 +:1034800017D5010013058530EFD00F8A65BF0144C1 +:10349000C9BF8547E397F4F43C4C33E92701232C5B +:1034A000240581B74DC1011122CC26CA2A844AC8FD +:1034B00006CE4EC6AE84EFD04F818947744C7CCC8B +:1034C0003C4C05472A8985073CCC6395E6061C548D +:1034D00081C77370043001A097D70100938747011B +:1034E0009C43ADE7930944004E85EFD06F8817D712 +:1034F00001001307A7015C5418436376F70017D740 +:1035000001002325F7005147B387E70217D50100D3 +:103510001305051FCE853E95EFD00F8197D701008B +:10352000938747009C435854DC5763F5E70099C0E4 +:1035300085479CC06244F240D244B2494A85424920 +:1035400005616FC03FF87370043001A093058401DA +:1035500017D5010013058523EFC01FFDC1B7AA874A +:1035600029C57370043017D701001307E7F9144316 +:103570009DE2F04F894601456315D60023AE07044E +:1035800005451C4381CB97D701009387A7F9984342 +:10359000784315E3828097D601009386A6F890427F +:1035A00094427442850674C2E9B797D701009387A5 +:1035B00067F79C437DB7984394437C43FD177CC3D6 +:1035C000FC42E9FB7360043082805D71A6C2CAC010 +:1035D00052DC56DA5AD85ED686C6A2C44EDE62D413 +:1035E00066D26AD017D901001309C9F417DB0100AC +:1035F000130B8BF397D40100938444F397DA010003 +:10360000938A0AF3054AA54B83270900984363016F +:103610000712DC4783A90700EFE0AFF8EFE06FF98E +:1036200083270B002A8C6362F50A97D7010023AD2C +:10363000A7EE01466370351B8840B3858941EFD002 +:103640005FB5EFE01F9B630105128840814601468C +:103650002C00EFD06FE34DD9A247E3C807FEC24963 +:1036600083A7490189C713854900EFC07FF0EFE0C8 +:103670004FF483270B002A8C636DF508A24717D7F8 +:103680000100232387EBE3E2FBFCB317FA0013F7F7 +:103690000721631A071613F7770C6318071E93F7B1 +:1036A0000702C5D74E85EF00F01145B7DC47C0478C +:1036B00083AC0700930944004E85EFC07FEB5C505C +:1036C000228582975C4C6384470B832709009843CB +:1036D00071FF03A70A0097D6010023A786E597D6B6 +:1036E000010023A7F6E497D7010023A5E7E4EFE064 +:1036F0005F90A1BFDC47C04703AD0700930C4400B7 +:103700006685EFC0FFE65C50228582975C4C63863D +:10371000470983270900984371FF03A70A0097D63A +:10372000010023A7F6E097D7010023A5E7E0B9B78A +:10373000EFE02FE7EFE0EFE783270B002A8CE3664B +:10374000F5F883A70A00884081499043B3858941F1 +:1037500017D70100232A87DD13361600EFD07FA389 +:10376000EFE03F89E31305EEEFC05FCAF9BD1C4CE3 +:10377000CE85E69763E9FC049C4089CF02CA66CCFB +:1037800022CEEFF00FE88840814601464C08EFC09A +:103790009FFF05FD7370043001A01C4CE685EA977D +:1037A000636CFD049C4089CF02CA6ACC22CEEFF044 +:1037B0004FE58840814601464C08EFC0DFFC31F9F7 +:1037C0007370043001A0032509005CC000C8EFC07D +:1037D0003FD7E5BDEFE0FF8183270900DC47C04705 +:1037E000930C44006685EFC0BFD85C4C638F4703E1 +:1037F0005C502285829791BD032509005CC000C8FA +:10380000EFC01FD439B7B24723ACF900A5CFE29778 +:1038100023A2F90023A8390193854900636FFC04B2 +:1038200003A50A00EFC0DFD10DB51C4C00C83387DB +:10383000F90058C0636DEC02330C3C416363FC0239 +:103840009C4089CF02CA4ECC22CEEFF08FDB88405D +:10385000814601464C08EFC01FF359F973700430DC +:1038600001A003A50A00E685EFC09FCD51B703254F +:103870000900E685EFC0DFCCA5BF03250900EFC036 +:103880003FCCE1B37370043001A0B24783A6890135 +:1038900023A839013387D70023A2E9006364EC042D +:1038A000B307FC4063EBD70483A749024E85829798 +:1038B00083A7C901E39B47D983A68901B2479840F2 +:1038C000B69711CF02CA3ECC4ECEEFF08FD38840D0 +:1038D000814601464C08EFC01FEBE31805D6737014 +:1038E000043001A06374FC00E370F7FC03250900B9 +:1038F00093854900EFC0DFC489BB03A50A00938507 +:103900004900EFC0FFC391B3411122C406C626C2CD +:103910004AC017D40100130464C1EFF04FE31C4008 +:1039200095CBEFF00FE51C409DC3814801488147CE +:10393000094781461306004097D50100938585AF5E +:10394000170500001305A5C8EFD0DF9939E973709A +:10395000043001A017D90100130909E74A85EFC017 +:103960001FBB97D40100938464E72685EFC03FBA5C +:103970000146B145114597D7010023AD27BB97D725 +:10398000010023A797BAEFC07FD317D70100232FD9 +:10399000A7B811CD97D50100938545A9EFD02FF495 +:1039A00049B7B24022449244024941018280737077 +:1039B000043001A0A5C9797156CAAA8A1305C002AC +:1039C00022D426D24AD04ECE52CC06D65AC85EC693 +:1039D0003A89B689328AAE84E9212A8405C9EFF092 +:1039E0000FD797D70100938767B49C439DCFEFF023 +:1039F0004FD8130544002320540104CC232E440146 +:103A00002320340323222403EFC0BFB12285B25008 +:103A1000225492540259F249624AD24A424BB24B62 +:103A2000456182807370043001A097DB0100938BA5 +:103A3000ABD95E85EFC0BFAD17DB0100130B0BDA0E +:103A40005A85EFC0DFAC0146B145114597D701005B +:103A500023A277AF97D7010023AC67ADEFC01FC695 +:103A600017D701002324A7AC01C997D5010093857E +:103A7000E59BEFD0CFE6A5BF7370043001A039C538 +:103A8000011122CC06CE26CA17D40100130404AAC1 +:103A9000832804002A88014563850802BA842EC25F +:103AA000368732C442C6954663C5B602EFF06FB59D +:103AB0008947630BF5020840814601464C00EFC080 +:103AC0009FCCF2406244D24405618280737004301E +:103AD00001A04C0081463A864685EFD00F80F24027 +:103AE0006244D244056182800840814626864C00AB +:103AF000EFC07FC9F9B719C10841828073700430E3 +:103B000001A001E57370043001A0411106C622C472 +:103B10002A84EFF0CFC348483334A000EFF06FC5DC +:103B20002285B24022444101828001E57370043055 +:103B300001A0411122C42A8406C6EFF04FC10050F3 +:103B4000EFF02FC3B240228522444101828001E57B +:103B50007370043001A0411122C426C22E84AA84AD +:103B600006C6EFF0CFBE80D02244B240924441015D +:103B70006FF02FC04111714522C406C61D262A844C +:103B800011C5232005001105EFC07F982285B240A2 +:103B900022444101828001CDB70700FFED8F81C72C +:103BA0007370043001A099E57370043001A0737044 +:103BB000043001A0011122CC4AC84EC652C456C2DC +:103BC00006CE26CABA893689B28A2E842A8AEFF0AE +:103BD0004FA311E5638509007370043001A0EFE085 +:103BE0004F9C83240A00B37794006316090295C79B +:103BF00063870A009347F4FFE58F2320FA00EFE084 +:103C00004FBFF240624426854249D244B249224A1B +:103C1000924A05618280E30DF4FCE38209FEB3352C +:103C20005001E20563050900B7070004DD8D4E86EB +:103C3000C18D13054A00EFE0FFE6EFE08FBB19E10D +:103C4000EFC0CFFCEFF0CFB593176500AA8963D81A +:103C50000700B7040001FD14B3F499005DB7EFF05D +:103C60000FAF83290A00B3773401631E090089CBA3 +:103C700063880A009347F4FFB3F737012320FA0063 +:103C8000EFF02FAFF9B7E31DF4FEDDB701C9B707B9 +:103C900000FFED8F99C77370043001A0737004307A +:103CA00001A0411122C42A8406C626C24AC02E8918 +:103CB000EFF0EFA904409345F9FFB3F795001CC05E +:103CC000EFF02FABB24022442685024992444101D5 +:103CD0008280411122C42A8406C6EFC00FFF004033 +:103CE000EFC04FFEB240228522444101828009C9C3 +:103CF000370700FFB3F7E50099C77370043001A0E0 +:103D00007370043001A06F30B053B70700FFF18F1C +:103D100081C77370043001A001E67370043001A004 +:103D2000011122CC4AC84EC652C406CE26CA3689D4 +:103D3000AE892A8A3284EFF0CF8C21E16305090035 +:103D40007370043001A0EFE0CF8583240A00CE8594 +:103D50005285B3E49900613FB37794006307F4069A +:103D600083240A00EFE0EFA8F2406244268542492E +:103D7000D244B249224A05618280EFE08F828324D7 +:103D80000A00CE855285B3E434019537B3F7840039 +:103D9000638D8702E30609FCB70500054A86C18DDD +:103DA00013054A00EFE01FD0EFE0AFA419E1EFC028 +:103DB000EFE5EFF0EF9E93176500AA8463DF07003D +:103DC000B7070001FD17FD8C45B783270A00134490 +:103DD000F4FFE18F2320FA0071B7EFF04F978324AF +:103DE0000A00B3779400639787009347F4FFE58F49 +:103DF0002320FA00EFF0EF97E1B7411122C406C685 +:103E00002A8426C2EFD0FFF95C4085C3084893049A +:103E1000C4006316950015A0084863009502B70515 +:103E20000002EFE03FE55C40E5FB2285612A224489 +:103E3000B240924441016FE0CF9B7370043001A007 +:103E400009C9370700FFB3F7E50099C7737004305D +:103E500001A07370043001A06F30903E01C9B70714 +:103E600000FFED8F99C77370043001A073700430A8 +:103E700001A0411122C426C206C62A84AE84EFF0F6 +:103E80000F8D184093C7F4FFF98F1CC02244B24035 +:103E9000924441016FF0EF8D19C1084D82800145B8 +:103EA0008280411122C406C62A84EFD09FEF97C7B3 +:103EB0000100938767689C43A1EBE56717D7010072 +:103EC00013074793F11797C6010023ADE66617C79E +:103ED00001002327F76617D70100232FF79097C714 +:103EE00001009387A76517D701002325F790854721 +:103EF00017C70100232A076417C70100232007649E +:103F000017C70100232AF76219E8EFE08F8EEF1040 +:103F1000C00201442285B24022444101828013053F +:103F2000840093773500C1EFE5671307F5FFE917C4 +:103F3000E3EDE7FC97C601009386C6609C4229A08A +:103F4000984311C7BE86BA87D843E36BA7FE17C74D +:103F500001001307A75EE38AE7FA984341461384FA +:103F6000870098C2D843B306A7406378D602338847 +:103F7000A7002322D800C8C38325480097C60100A4 +:103F80009386465C11A0BA8698425043E36DB6FE14 +:103F90002320E80023A00601D84397C70100938798 +:103FA000676A9C43998F17C70100232DF768EFE0DC +:103FB0004F8431DC2285B2402244410182807199D4 +:103FC00011059DB729C9411122C406C62A84EFD024 +:103FD0005FDD930584FF0326C4FF17C701001307A5 +:103FE000675611A03E871C43D443E3EDC6FE232C45 +:103FF000F4FE0CC397C701009387C76498438327D7 +:10400000C4FF2244B240BA9717C70100232CF762BD +:1040100041016FD01FFE828097C70100938787629E +:104020008843828082803367B5000D8BAA871DE7A5 +:104030009308D6FFAA982A87AE866371150303A852 +:10404000060011079106232E07FFE36A17FF934727 +:10405000F5FFC697F19B9107BE95AA972A9663F341 +:10406000C70A9386450013884700B3B6D70033B814 +:10407000050133E7F50093C61600134818000D8BB1 +:10408000B3E6060113371700758F330EF64093869B +:10409000170029CF1337AE0031EB13532E002E88B3 +:1040A000BE860147832808000507110823A01601D2 +:1040B0009106E36967FE1377CEFFBA95BA9763025C +:1040C000EE0483C60500138717002380D700637AA8 +:1040D000C70283C6150013872700A380D700637229 +:1040E000C70203C725002381E7008280B307F6409B +:1040F000AE97850503C7F5FF8506238FE6FEE39A95 +:10410000F5FE82808280B367C5008D8BB308C50041 +:10411000E9C36371150FB307A0408D8B1387370078 +:10412000954693F5F50F130815003383A8407D16C7 +:104130006373D70015476363E60CD5CF2300B50042 +:104140000547638DE700A300B5000D471308250060 +:104150006396E700130835002301B5001396850028 +:104160004D8E13970501518F3303F34093968501CC +:10417000D98E13562300AA97014794C305079107C8 +:10418000E36DC7FE1377C3FFB307E8006307E306D9 +:104190002380B70013871700637C1703A380B70041 +:1041A00013872700637617032381B700138737002F +:1041B00063701703A381B70013874700637A170161 +:1041C0002382B7001387570063741701A382B700D7 +:1041D000828093F5F50F13978500D98D139705010C +:1041E0004D8FE37715FFAA87910723AEE7FEE3ED36 +:1041F00017FF828082802A8895B78280AA8749BF6C +:10420000834705002A8799C7050583470500EDFF09 +:10421000198D82800145828005058347F5FF85055C +:1042200003C7F5FF91C7E389E7FE3385E740828046 +:104230008147E5BFAA87850503C7F5FF8507A38FDB +:10424000E7FE75FB828083460500930700026397B3 +:10425000F600050583460500E38DF6FE938756FDBF +:1042600093F7D70F85C7AA87814585CE0145850776 +:10427000138606FD1317250083C607003A95060529 +:104280003295F5F699C13305A0408280938536FDBD +:104290008346150093B5150093071500E1FA014513 +:1042A000D5B7014582809C412380A7009C418507AA +:1042B0009CC1828097C60100938646299C4217576D +:1042C00003001307275413861700BA972380A7000B +:1042D00097C5010023ACC526A9476308F5009307DD +:1042E00000046305F6000145828075DE370610F094 +:1042F00005078347F7FF2320F6F09C42FD1797C57B +:10430000010023A5F524EDF7014582804D7123229C +:10431000911423202115232A5113232481132326AA +:10432000111423248114232E3113232C4113232809 +:10433000611323267113232291132320A113232E0B +:10434000B111AA842E8932C6130C5002A54A8347A4 +:10435000090063808703B9CB804011A0A1CB230063 +:10436000F40080400509050480C083470900E397F5 +:1043700087FF0346190093081900C686130D000233 +:10438000FD597D5A0148130550059307D6FD93F753 +:10439000F70F138916006363F52A17C70100130787 +:1043A00067C68A07BA979C43BA9782878320C1144D +:1043B0000324811483244114032901148329C11384 +:1043C000032A8113832A4113032B0113832BC11268 +:1043D000032C8112832C4112032D0112832DC11154 +:1043E00071618280A14C02C4854763D50715B2472D +:1043F0008040938B770093F68BFF03AB060083A975 +:104400004600938786003EC6668681465A85CE85DD +:10441000EF10B0322AC8814763853723930D4101DD +:10442000854B668681465A85CE85EF10207B814676 +:1044300066862A8BAE89EF105030A24723A0AD00CC +:1044400093861B00910D63843701B68BD9BFE37E41 +:104450009BFF63DD4601A2872380A70180407D1A70 +:10446000930714009CC03E84E3C846FF93962B003C +:104470001C08BE9631A8938777052300F40080407E +:10448000FD1B93871B00050480C0F116E351F0EC7F +:104490009C42E3E2FAFE93870703C5B79C4093066C +:1044A000000332472380D7009C4093064700C14C4D +:1044B0001386170090C013068007A380C7008040B2 +:1044C00002C4BA87050480C003AB0700814936C621 +:1044D00025BFB24783AC0700938B470063840C1C55 +:1044E000635F40199307D0026319FD1683C70C0060 +:1044F00085C363DC09189440850C7D1A2380F6007F +:104500008040050480C083C70C00E5F7635D40016F +:104510008040930700022300F40080407D1A0504C8 +:1045200080C0E31A0AFE5EC61DB502C48547A94CC9 +:10453000E3CF07EBB24780409386470071B703C6CD +:104540001600CA8699B59C40930650022380D70076 +:104550008040050480C0E5BBC14C02C471B5B247C0 +:1045600003C61600CA8683A9070091073EC6E35E0C +:104570000AE04E8AFD5911BD03C61600130DD00284 +:10458000CA8621B59347FAFFFD8703C61600337A22 +:10459000FA00CA86DDBB03C61600130D0003CA86E7 +:1045A000EDB383C51600930906FDCA86938705FD02 +:1045B0002E86E3EEFAFA93972900BE9985068609BE +:1045C000AE9983C50600938909FD938705FD2E8664 +:1045D000E3F3FAFE69BF32479C40144311073AC621 +:1045E0002380D7008040050480C095B3854763D3FE +:1045F000070BB24793867700E19A938786003EC601 +:1046000083A946009C4263DC090A94401306D00249 +:10461000B307F0402380C6008040B336F000B309F2 +:104620003041050480C03E8BB389D940A94C02C4F7 +:10463000E1BB03C616000508CA8681BB9C404689BB +:1046400021B7E37D9BDD8547814B8546E3C547E187 +:1046500031BD97CC0100938C6CDECE856685EF1062 +:10466000C01D330AAA40635C400180402300A401BE +:1046700080407D1A050480C0E31A0AFE014A83C700 +:104680000C00E38207EAE3C809E6FD197D57E394CD +:10469000E9E6ADBDB247938647009C4336C693D941 +:1046A000F74195B7635640019307D002E313FDFA33 +:1046B00097CC0100938C8CD8930780021DBD3E8B54 +:1046C000A94C02C4804089B34D71232E3113B70920 +:1046D00000409387F9FF2324811423229114232C73 +:1046E0004113232A51132326111423202115232893 +:1046F0006113232671132324811323229113232072 +:10470000A113232EB1112A8A2EC417C40100130449 +:10471000E4E3975A0300938AEA0E97C40100938456 +:10472000E4A33EC693055002294513080004B706CA +:1047300010F083470A006386B704C1C71840050A12 +:1047400013061700569797C8010023A1C8E023005D +:10475000F7006382A706E31E06FD17570300130741 +:10476000670A05078347F7FF23A0F6F01C40FD17F3 +:1047700017C60100232CF6DCEDF783470A00E39E01 +:10478000B7FA83461A0013051A002A87930B000212 +:104790007D5B7D5C014893055005A5489387D6FD58 +:1047A00093F7F70F130A170063E7F5348A07A69704 +:1047B0009C43A6978287175703001307A70455F257 +:1047C0008DBF8320C1140324811483244114032941 +:1047D00001148329C113032A8113832A4113032B54 +:1047E0000113832BC112032C8112832C4112032D40 +:1047F0000112832DC11171618280A14C014D854749 +:1048000063DB0719A2479D07E19B83AD070003AB5C +:104810004700138787003AC4668681466E85DA852D +:10482000EF10A0712AC863066D351309410185494F +:10483000668681466E85DA85EF10403A6686814647 +:10484000AA8D2E8BEF10606F2320A9008509110916 +:10485000E3106DFFE3FE9DFD13060004B70610F0A4 +:1048600063D189031C4013871700D69797C50100B1 +:1048700023AEE5CC23807701630CC7047D1CE3C322 +:1048800089FFB2476800A548B386F9008A061C086C +:10489000BE96294813030004370610F0E384A6E807 +:1048A0009C429305000363F4F800930570051840DB +:1048B000AE9793051700569717CE01002328BEC860 +:1048C0002300F7006380072B638C6526F116F9B788 +:1048D00017570300130707F305078347F7FF23A0C4 +:1048E000F6F01C40FD1797C5010023A1F5C6EDF7B2 +:1048F0007D1C71B71C40130600041387170097C571 +:10490000010023A5E5C4B386FA00930500032380C4 +:10491000B6006300C73E890797C6010023A8F6C208 +:104920005697930680072300D700175703001307F5 +:1049300067EDB70610F0639EC70005078347F7FFD2 +:1049400023A0F6F01C40FD1717C601002320F6C077 +:10495000EDF7A247C14C014D91072247014B832D32 +:1049600007003EC455BDA24783AC0700138947002A +:10497000638F0C3A635480219307D002639EFB2E11 +:1049800003C70C00BA856310072025AC8547A94CE6 +:10499000014DE3C907E7A2479107C1B7A247834684 +:1049A0001700528703AB070091073EC4E3580CDEA3 +:1049B0005A8C7D5BE5B383461700930BD002528778 +:1049C000F1BB9347FCFFFD8783461700337CFC0057 +:1049D0005287E9B383461700930B000352877DBBD0 +:1049E00003461700138B06FD5287930706FDB28618 +:1049F000E3EEF8FA93172B00DA9705078607B297CC +:104A000003460700138B07FD930706FDB286E3F309 +:104A1000F8FE69BFA2451C402946984193861700BD +:104A2000D69717C501002323D5B22380E700138949 +:104A300045006301C72A930700046387F6204AC430 +:104A4000D5B1854763DF0729A24713877700619BAC +:104A5000930787003EC4032B47001C43635B0B3066 +:104A60001840130600049306170097C5010023AFF2 +:104A7000D5AC56979305D0022300B7006388C62CA7 +:104A8000B307F0403337F000330B6041BE8D330B7A +:104A9000EB40A94C014D49B38346170005085287E6 +:104AA000F5B9834617005287D5B91C409306000418 +:104AB0001387170017C60100232AE6A8D697130606 +:104AC00050022380C700E31FD7C417570300130702 +:104AD00067D3B70610F005078347F7FF23A0F6F06A +:104AE0001C40FD1717C601002322F6A6EDF71DB9DD +:104AF000C14C014D29B31C40930600042A8A138738 +:104B0000170017C601002323E6A4D6971306500208 +:104B10002380C700E318D7C017570300130787CEB9 +:104B2000B70610F005078347F7FF23A0F6F01C40F7 +:104B3000FD1717C60100232BF6A0EDF72A8ADDB674 +:104B400017570300130707CC05078347F7FF2320F8 +:104B5000F6F01C40FD1797C5010023A9F59EEDF75F +:104B6000F1162DBB175703001307C7C9F1FDF11646 +:104B700035B3E3FC9DCB8549C5B1014C03C70C009F +:104B8000BA85E30E07EAFD58294513080004B70665 +:104B900010F063570B0A1C4013861700D69717C3F3 +:104BA00001002325C39A2380E7006384A5066304DC +:104BB0000609850C03C70C007D1CBA8579FBE35000 +:104BC00080E91C409305000213060004B70610F0AC +:104BD00021A07D1CE3050CE613871700D6972380E0 +:104BE000B70017C501002323E596BA87E313C7FE74 +:104BF00017570300130707C105078347F7FF23A0D3 +:104C0000F6F01C40FD1717C501002321F594EDF7C0 +:104C1000C9B7175703001307E7BE41DE05078347EF +:104C2000F7FF23A0F6F01C40FD1717C60100232F45 +:104C3000F690EDF7BDBF175703001307A7BCF9BFE8 +:104C40007D1BE31A1BF5A5BF17570300130787BB8E +:104C5000B70610F005078347F7FF23A0F6F01C40C6 +:104C6000FD1717C601002323F68EEDF74AC45DBC7D +:104C700097BC0100938C8C7CDA856685EF00F03B55 +:104C8000330CAC40E35C80EF1C4013060004B70615 +:104C900010F021A07D1CE3020CEE13871700D697BD +:104CA0002380770197C5010023A2E58ABA87E31321 +:104CB000C7FE175703001307E7B405078347F7FF3D +:104CC00023A0F6F01C40FD1797C5010023A0F5882E +:104CD000EDF7C9B7175703001307C7B2B5FA4AC4AF +:104CE00091B4A247138747009C433AC413DBF741B2 +:104CF000B5B3175703001307E7B0B70610F0050761 +:104D00008347F7FF23A0F6F01C40FD1717C60100EC +:104D1000232EF682EDF7854717C701002328F78277 +:104D20009307800717570300230EF7AC1DB1635696 +:104D300080019307D002E39DFBF297BC0100938CA6 +:104D4000EC6F130780029305800235BD9756030070 +:104D5000938646AB370610F0850603C7F6FF23207F +:104D6000E6F018407D1797B5010023A1E57E6DF7A9 +:104D700001BBBE8DA94C014D45B4B70710F023A06F +:104D8000A7F201A03971130341022ED29A8506CEF3 +:104D900032D436D63AD83EDA42DC46DE1AC62D3256 +:104DA000F2400145216182805D711303810322D4A9 +:104DB0002AC632DC2A841A86680006D6BEC236DECF +:104DC000BAC0C2C4C6C61ACEEFF04FD4B2472380D1 +:104DD00007003245B250018D225461618280B707CD +:104DE00010F083A647F403A607F403A747F4E31AD9 +:104DF000D7FE8566938606FAB29633B6C600B3052B +:104E0000E60023A4D7F423A6B7F48280B70710F0F6 +:104E100003A747F403A607F483A647F4E31AD7FED3 +:104E20008566938606FAB29633B6C60023A4D7F4F5 +:104E3000B305E60023A6B7F49307000873A0473034 +:104E40008280411122C406C697B7010093878770FC +:104E500017B401001304C46F98431C401306F07C80 +:104E6000930647069387470697B5010023A4D56E9E +:104E700097B5010023AEF56C6346D600B2402244DC +:104E8000410182801307478997B7010023A4E76C8B +:104E9000EF0050138547631FF5001840856793871F +:104EA000F7760145E3DCE7FCC93D2244B24041010D +:104EB0006FC03FEE17B501001305C558E135094530 +:104EC0006D3D2244B24041016FC0BFEC17B50100F7 +:104ED0001305C55845BD17B501001305A5586FF05A +:104EE0007FEA411122C426C206C6856417B40100B8 +:104EF0001304C457938784380100FD17F5FF2285FA +:104F0000EFF05FE8C5BF01456F00F000411106C634 +:104F1000EF00500B054781476316E500B2403E8520 +:104F20004101828017B501001305C551EFF09FE5DF +:104F3000B24089473E85410182807370043001A0F0 +:104F400082807370043001A097B701009387876156 +:104F50009843DC4359713AD43ED697B70100938702 +:104F6000E760DAC8DEC603AB070083AB470097B73C +:104F7000010093872760D2CCD6CA03AA070083AA70 +:104F8000470097B701009387675FCAD0CECE03A9C9 +:104F9000070083A94700E2C4E6C2EAC06EDE86D6F7 +:104FA000A2D4A6D2AA8D814C17BC0100130C8C5D33 +:104FB000370D00805AC45EC652C856CA4ACC4ECE7F +:104FC0002245B2454246D2466244F244EF10202CBC +:104FD0002286A686EF1090782AD02ED202559255BE +:104FE0002256B256EF20803D01468146EF10F06B0D +:104FF00063490502025592552256B256EF20003CF5 +:1050000003260C0083264C00EF10105F3325A00010 +:1050100019E5E3910CFA85472390FD0061BF854CAB +:1050200051BF8257125822563E87B3470D01B256E0 +:105030003A85BE85E1B7597197B701009387075547 +:10504000DAC803AB0700DEC683AB470097B70100A1 +:1050500093874754D2CC03AA07005AC4D6CA83AA5E +:10506000470097B70100938767535EC6CAD003A96C +:10507000070052C8CECE83A9470056CA4ACC4ECEAE +:105080002247B2474246D246A2D4A6D26244F24454 +:105090006EDEBE85AA8D3A8586D6E2C4E6C2EAC037 +:1050A000EF1090022286A686EF10506B2AD42ED6DF +:1050B000814C17BC0100130CEC4C370D00805AC416 +:1050C0005EC652C856CA4ACC4ECE2245B24542466A +:1050D000D2466244F244EF10207F2286A686EF106B +:1050E000F0672AD02ED2025592552256B256EF20A2 +:1050F000E02C01468146EF10505B634905020255E2 +:1051000092552256B256EF20602B03260C008326C0 +:105110004C00EF10704E3325A00019E5E3910CFA16 +:1051200085472390FD0061BF854C51BF82571258BF +:1051300022563E87B3470D01B2563A85BE85E1B788 +:1051400075712AD61305000522C526C34AC1CEDED5 +:10515000D2DCD6DADAD8DED6E2D4E6D2EAD0EECEA7 +:1051600006C7EFE01FD497B701009387A74303ABAF +:10517000070083AB470097B701009387274303AA33 +:10518000070083AA470097B701009387A74203A9A6 +:10519000070083A9470097B7010093872742804300 +:1051A000C44397B701009387E74103A847009C4396 +:1051B0002A8C42C63EC497B701009387274103A8B3 +:1051C00047009C43814C42CA3EC897B70100938771 +:1051D000674003A847009C4342CE3ECC97B70100EE +:1051E0009387C73F03A847009C4342D23ED097B75E +:1051F00001009387273F03AD070083AD470002DC22 +:1052000002DE82C082C223200C0023220C006255E1 +:10521000F25501468146EF1080072ADC2EDE23245A +:105220006C0123267C016255F2555A86DE86EF100A +:1052300000062ADC2EDE23284C01232A5C0162555D +:10524000F2555286D686EF1080042ADC2EDE232CFF +:105250002C01232E3C016255F2554A86CE86EF1072 +:1052600000032ADC2EDE23208C0223229C026255BE +:10527000F2552286A686EF10800122462ADCB2462D +:105280002EDE2324CC022326DC026255F255EF10D9 +:10529000000042462ADCD2462EDE2328CC02232AF6 +:1052A000DC026255F255EF00907E62462ADCF2463F +:1052B0002EDE232CCC02232EDC026255F255EF00A9 +:1052C000107D02562ADC92562EDE2320CC042322A7 +:1052D000DC046255F255EF00907B2ADC2EDE23249D +:1052E000AC052326BC056255F2556A86EE86EF00B2 +:1052F000107A2ADC2EDE03250C0083254C0006469E +:105300009646EF00D078AAC0AEC203258C00832554 +:10531000CC0006469646EF009077AAC0AEC20325A1 +:105320000C0183254C0106469646EF005076AAC034 +:10533000AEC203258C018325CC0106469646EF00BC +:105340001075AAC0AEC203250C0283254C02064686 +:105350009646EF00D073AAC0AEC203258C02832507 +:10536000CC0206469646EF009072AAC0AEC2032554 +:105370000C0383254C0306469646EF005071AAC0E5 +:10538000AEC203258C038325CC0306469646EF0068 +:105390001070AAC0AEC203250C0483254C04064637 +:1053A0009646EF00D06EAAC0AEC203258C048325BA +:1053B000CC0406469646EF00906DAAC0AEC2625578 +:1053C000F25506469646EF10707FAAC4AEC6264533 +:1053D000B64501468146EF10502D6348050297B748 +:1053E00001009387271A26459043B645D443EF1012 +:1053F000B0203325A00001E9E3930CE0B257054744 +:105400002390E700EDBB854CDDBB97B70100938788 +:10541000E71D26459043B645D443EF1010297D8102 +:10542000D9BF75712AD61305000522C526C34AC106 +:10543000CEDED2DCD6DADAD8DED6E2D4E6D2EAD0D4 +:10544000EECE06C7EFE0FFA597B701009387871A56 +:1054500003AB070083AB470097B701009387071A98 +:1054600003AA070083AA470097B70100938787190B +:1054700003A9070083A9470097B70100938707197D +:105480008043C44397B701009387C71803A8470018 +:105490009C432A8C42C23EC097B7010093870718ED +:1054A00003A847009C43814C42C63EC497B7010005 +:1054B0009387471703A847009C4342CA3EC897B743 +:1054C00001009387A71603A847009C4342CE3ECC19 +:1054D00097B701009387071603A847009C4342D261 +:1054E0003ED097B701009387671503AD070083ADE2 +:1054F000470002DC02DE82C082C223206C0123222C +:105500007C016255F2555A86DE86EF0050582ADC3F +:105510002EDE23244C0123265C016255F25552866F +:10552000D686EF00D0562ADC2EDE23282C01232A33 +:105530003C016255F2554A86CE86EF0050552ADC72 +:105540002EDE232C8C00232E9C006255F2552286E1 +:10555000A686EF00D05302462ADC92462EDE232098 +:10556000CC022322DC026255F255EF005052224653 +:105570002ADCB2462EDE2324CC022326DC0262552E +:10558000F255EF00D05042462ADCD2462EDE2328C8 +:10559000CC02232ADC026255F255EF00504F6246DE +:1055A0002ADCF2462EDE232CCC02232EDC026255AE +:1055B000F255EF00D04D02562ADC92562EDE232003 +:1055C000CC042322DC046255F255EF00504C2ADC57 +:1055D0002EDE2324AC052326BC056255F2556A86CF +:1055E000EE86EF00D04A2ADC2EDE03250C00832550 +:1055F0004C0006469646EF009049AAC0AEC203256D +:105600008C008325CC0006469646EF005048AAC081 +:10561000AEC203250C0183254C0106469646EF00D9 +:105620001047AAC0AEC203258C018325CC010646D3 +:105630009646EF00D045AAC0AEC203250C028325D2 +:105640004C0206469646EF009044AAC0AEC203251F +:105650008C028325CC0206469646EF005043AAC032 +:10566000AEC203250C0383254C0306469646EF0085 +:105670001042AAC0AEC203258C038325CC03064684 +:105680009646EF00D040AAC0AEC203250C04832585 +:105690004C0406469646EF00903FAAC0AEC20325D2 +:1056A0008C048325CC0406469646EF00503EAAC0E3 +:1056B000AEC26255F25506469646EF103050AAC467 +:1056C000AEC62645B64501468146EF10007E6348CA +:1056D000050297B701009387E7EA26459043B64550 +:1056E000D443EF1060713325A00001E9E3930CE08F +:1056F000B25705472390E700EDBB854CDDBB97B75C +:1057000001009387A7EE26459043B645D443EF109A +:10571000C0797D81D9BF411122C42A872A8481485A +:105720000148814797B60100938606E313060040BF +:1057300097B501009385C5D41705000013050581B1 +:1057400006C6EFB03FBA228781480148814797B625 +:105750000100938686E01306004097B5010093850B +:10576000A5D2170500001305458DEFB0BFB72287FE +:1057700081480148814797B60100938626DE1306CB +:10578000004097B501009385A5D0170500001305CB +:1057900085C9EFB03FB522872244B2408148014815 +:1057A000814797B60100938686DB1306004097B5C4 +:1057B0000100938565CE170500001305A5984101EA +:1057C0006FB05FB297B6010083D606D9054797B789 +:1057D0000100938767D801456397E60017B701007A +:1057E000231C07D6054503D7270085466380E602BC +:1057F00003D74700854601456383E60283D76700E8 +:10580000054701456306F7020145828017B701008D +:10581000231507D403D747008546E391E6FE17B763 +:105820000100231D07D283D767000547E31EF7FC5D +:1058300097B70100239507D28280AA95AA8763852E +:10584000B70003C7070001E73385A74082808507BB +:10585000FDB7814863DA05003305A040B337A000E7 +:10586000B305B0409D8DFD5863D906003306C04096 +:10587000B337C000B306D0409D8E32883683AA87E6 +:105880002E87639F061C97B60100938626AA63F1B4 +:10589000C50C416E6377C60B130EF00F6373CE0019 +:1058A0002143335E6600F29603CE06001A9E130370 +:1058B00000023303C341630C0300B3956500335EFC +:1058C000C501331866003367BE00B3176500135E69 +:1058D0000801B355C70313150801418193D6070189 +:1058E0003376C703B305B5024206D18E63F8B6001E +:1058F000C29663E5060163F3B600C2968D8E33F758 +:10590000C603C207C183B3D6C603B306D5021315B7 +:105910000701C98F63F8D700C29763E5070163F3F6 +:10592000D700C297958F33D567008145638A0800F9 +:10593000B307A0403337F000B305B0403E85998DE2 +:105940008280370E00014143E36DC6F5614391BF8C +:1059500001E605483358680241676373E808130796 +:10596000F00F63730701214333576800BA9603C6EB +:1059700006001A96130300023303C340631903069B +:10598000B38505419356080113150801418113D6CB +:10599000070133F7D502B3D5D5024207518FB305BE +:1059A000B5026378B7004297636507016373B70078 +:1059B0004297B305B74033F7D502C207C183B3D5C9 +:1059C000D502B305B50213150701C98F63F8B700F7 +:1059D000C29763E5070163F3B700C2978D8FA1B744 +:1059E000370700014143E361E8F86143B5BF33186D +:1059F000680033DEC500935E08013356C500B31757 +:105A000065003355DE03B39565004D8E931508018F +:105A1000C181935606013377DE033385A502420721 +:105A2000558F6378A7004297636507016373A700EA +:105A30004297B306A74033F7D60342064182B3D656 +:105A4000D6034207B386D502B365C70063F8D50015 +:105A5000C29563E5050163F3D500C295958D1DB729 +:105A6000E3E6D5EC416863F50605930EF00F33B815 +:105A7000DE000E0833DE060117B301001303038BAB +:105A80007293834E0300130E0002C29E330EDE415A +:105A900063170E0263E4B6006369C500B307C5402F +:105AA000958D3335F5003387A5403E85BA85BDBD5C +:105AB000370300014148E3EF66FA614865BF335799 +:105AC000D601B396C601D98EB357D50133D7D501C8 +:105AD000B395C501CD8F93D506013373B702139FDC +:105AE0000601135F0F0113D807013316C6013315E2 +:105AF000C5013357B702420333680301B30FEF0206 +:105B00003A83637CF80136981303F7FF6367D80084 +:105B10006375F8011303E7FF36983308F841B37F44 +:105B2000B8023358B802C20FB3050F03139F070121 +:105B3000135F0F0133EFEF014287637CBF00369F95 +:105B40001307F8FF6367DF006375BF001307E8FF03 +:105B5000369F4203C16F3363E3009387FFFF1358FF +:105B60000601330FBF40B375F30013530301F18FE8 +:105B70003387F502B307F302B38505033303030349 +:105B8000BE95135807012E986373F8007E93C16782 +:105B9000FD17935508013378F80042087D8F9A95D8 +:105BA00042976366BF00631BBF006379E50033065D +:105BB000C7403337C700958D998D32873307E5404D +:105BC0003335E500B305BF40898DB397D501335711 +:105BD000C70133E5E700B3D5C50189BBAE8732887D +:105BE00036872A836396062097A801009388087455 +:105BF00063FEC50A41676374E60A1307F00F333783 +:105C0000C7000E07B356E600B69883C6080036975D +:105C100093060002998E99CAB397D7003357E500CF +:105C20003318D600B365F7003313D50013550801B8 +:105C3000B3D7A5021316080141829356030133F727 +:105C4000A502B305F6024207D98E3E8763FCB60073 +:105C5000C2961387F7FF63E7060163F5B600138763 +:105C6000E7FFC2968D8EB3F7A602420313530301DA +:105C7000B3D6A602C20733E36700B305D602368562 +:105C8000637BB30042931385F6FF6366030163747D +:105C9000B3001385E6FF4207498F81454DA8B7063B +:105CA00000014147E360D6F66147A9BF01E685469A +:105CB00033D8C602C166637ED8089306F00F63F33B +:105CC00006012147B356E800B69883C60800BA9685 +:105CD00013070002158F49E7B3870741854513561F +:105CE00008019318080193D808019356030133F76C +:105CF000C702B3D7C7024207D98E3385F8023E8761 +:105D000063FCA600C2961387F7FF63E7060163F5FD +:105D1000A6001387E7FFC296898EB3F7C602420337 +:105D200013530301B3D6C602C20733E36700B38837 +:105D3000D8023685637B130142931385F6FF6366B1 +:105D40000301637413011385E6FF4207498F3A8507 +:105D50008280B70600014147E366D8F661479DB7E8 +:105D60003318E800B3D5D7003313E500B356D50098 +:105D700013550801B397E70033F7A502B3E8F6001F +:105D800093170801C18313D60801B3D5A5024207B2 +:105D9000518FB386B7022E86637CD7004297138655 +:105DA000F5FF636707016375D7001386E5FF429728 +:105DB000B306D74033F7A602C20893D80801B3D67A +:105DC000A6024207B385D702B3671701368763FC83 +:105DD000B700C2971387F6FF63E7070163F5B700C3 +:105DE0001387E6FFC2978D8F93150601D98DC5BD28 +:105DF00063E2D514416763FEE6021308F00F333700 +:105E0000D8000E0733D8E60097A501009385055208 +:105E1000C29503C80500930500023A98B385054171 +:105E200085E10547E3E5F6F23335C5001347150074 +:105E300039BFB70500014147E3E6B6FC6147D9B772 +:105E400033570601B396B600D98E93DE060133D7D9 +:105E50000701B378D703B397B7003358050133630D +:105E6000F80093970601C183135803013316B60057 +:105E70003357D703C20833E80801338FE7023A8E5D +:105E8000637CE8013698130EF7FF6367D8006375EB +:105E9000E801130EE7FF36983308E841B378D803DA +:105EA0003358D803C208B38E070393170301C18385 +:105EB000B3E7F800428763FCD701B6971307F8FFF2 +:105EC00063E7D70063F5D7011307E8FFB697420EE3 +:105ED000B387D741C16E3367EE001388FEFF33737B +:105EE000070193580701337806014182330E0303FB +:105EF0003388080393560E013303C30242939A96E4 +:105F0000B388C80263F30601F69813D60601B29867 +:105F100063E01703E39317D9C167FD17FD8EC2062F +:105F2000337EFE003315B500F2968145E371D5E26C +:105F30007D17A5B38145014719BDB2883687AA8769 +:105F40002E886398061C97A601009386263E63F868 +:105F5000C50A4163637E66081303F00F6373C300D1 +:105F600021473353E6009A9603CE0600130300023E +:105F70003A9E3303C341630C0300B3956500335E5F +:105F8000C501B31866003368BE00B317650013D6A9 +:105F900008013377C80213950801418193D60701A0 +:105FA0003358C8024207D98E3308050363F8060147 +:105FB000C69663E5160163F30601C696B3860641ED +:105FC00033F7C602C207C183B3D6C602B306D502F1 +:105FD00013150701C98F63F8D700C69763E517014A +:105FE00063F3D700C697958F33D5670081458280CC +:105FF000370300014147E36666F661479DB701E656 +:106000000546B3581603416663F3C8081306F00F3C +:1060100063731601214733D6E800B29603CE06001B +:10602000130300023A9E3303C34163190306B38589 +:10603000154113D7080113950801418113D60701B3 +:10604000B3F6E502B3D5E502C206D18EB305B502BB +:1060500063F8B600C69663E5160163F3B600C6960C +:10606000B385B640B3F6E502C207C183B3D5E502F6 +:10607000B305B50213950601C98F63F8B700C6973B +:1060800063E5170163F3B700C6978D8FB1BF37067D +:1060900000014147E3E1C8F86147B5BFB398680024 +:1060A00033D7C501B3176500335EC50113D50801A9 +:1060B000B376A702B3956500336EBE0093950801D1 +:1060C000C18113560E013357A702C206D18E338702 +:1060D000E50263F8E600C69663E5160163F3E600A1 +:1060E000C6963386E640B376A602420E135E0E01D4 +:1060F0003356A602C2063386C502B3E5C60163F86D +:10610000C500C69563E5150163F3C500C695918D7D +:106110000DB7E3EED5EC416763F5E604930EF00F9F +:1061200033B7DE000E0733D3E60097A8010093884B +:10613000E81F9A9883CE0800130E0002BA9E330E11 +:10614000DE4163170E0263E4B6006369C500B3075E +:10615000C540958D3335F5003388A5403E85C28511 +:1061600079B5B70800014147E3EF16FB614765BF0A +:106170003357D601B396C601D98EB357D50133D75D +:10618000D501B395C501CD8F93D506013373B70201 +:10619000139F0601135F0F0193D807013316C60141 +:1061A0003315C5013357B7024203B36813013308EF +:1061B000EF023A8363FC0801B6981303F7FF63E725 +:1061C000D80063F508011303E7FFB698B3880841C8 +:1061D000B3FFB802B3D8B802C20FB3051F03139FB1 +:1061E0000701135F0F0133EFEF014687637CBF00A8 +:1061F000369F1387F8FF6367DF006375BF0013875F +:10620000E8FF369F4203C1683363E3009387F8FFDA +:1062100013580601330FBF40B375F3001353030146 +:10622000F18F3387F502B307F302B3850503330318 +:106230000303BE95135807012E986373F800469325 +:10624000C167FD17935508013378F80042087D8F28 +:106250009A9542976366BF00631BBF006379E500B0 +:106260003306C7403337C700958D998D3287330782 +:10627000E5403335E500B305BF40898DB397D501BF +:106280003357C70133E5E700B3D5C5018DB33708F0 +:1062900010007D180111B378B80013D7450193574A +:1062A000D5014AC81379F77F139738003378D8009F +:1062B000D98F13D746010E0826CA1377F77F93D8D4 +:1062C000F60106CE9356D60122CC4EC693D4F501E4 +:1062D00033E306010E050E063308E940639A142DD8 +:1062E000635900176DE73367C30051E79306F07FEA +:1062F00042876311D826B3E6A700639D0624814731 +:1063000001459396870063DB060005079306F07F3F +:106310006309D75AB70680FFFD16F58F9396D7010C +:106320000D81558D9306F07F8D836319D7005D8DA8 +:10633000814709C5B707080001458144B706100029 +:10634000FD16F58FB70610801377F77FFD165207FD +:10635000F58FD98F370700801347F7FFF24062446B +:106360009395F401F98F33E7B700D2444249B2491B +:10637000BA85056182809305F8FF9DED2A96333535 +:10638000A6009A97AA97054732859396870063D30C +:10639000061C05079306F07FE303D7F6B70680FFD8 +:1063A000FD16FD8E9355150005899397F6014D8DC9 +:1063B0005D8D93D7160079AA1307F07F6312E80268 +:1063C00033E7A700630F074C1307F07F61A21307A1 +:1063D000F07FE307E9FE370780003363E300C285FF +:1063E000130780036342B7067D47634BB702130769 +:1063F00000020D8FB356B6003318E3003316E600E3 +:106400003368D8003336C0003368C800B355B300D2 +:106410002A98BE95B337A800AE9742854A87B5B78C +:10642000130700023358B30081466388E5009306E2 +:106430000004B385B640B316B300558E3336C000A2 +:106440003368C8008145E9B73368C30033380001B9 +:10645000D5BF6301080C631E0906B3E6A70089EEE9 +:106460009307F07F6317F7003365C3008147E30AA2 +:1064700005E89A873285F9A8FD566318D800329549 +:106480009A973336C500B29709B79306F07F134841 +:10649000F8FFE30BD7FC9306800363C90607FD46AC +:1064A00063C3060593050002B3850541B358050192 +:1064B000B396B7003315B500B3E616013335A00027 +:1064C000558D33D8070132951A98B337C500C29756 +:1064D0006DBD9306F07FE309D7F8B7068000330857 +:1064E0000041D58F4DBF93080002B3D60701814507 +:1064F000630818019305000433880541B39507012B +:106500004D8D3335A000558D014875BF5D8D3335F8 +:10651000A000DDBF130719009375F77F854663C898 +:10652000B60633E7A7006317090463060736B366A8 +:10653000C300014785C22A963335A6009A97AA97C9 +:1065400093968700328563D70600370780FF7D1753 +:10655000F98F054793767500E38506DA9376F500A3 +:106560001146E380C6DA9306450033B5A600AA9724 +:10657000368541BB630407323368C300E30608E491 +:10658000B707400001451307F07F81449DBB930688 +:10659000F07FE306D7D62A9633886700B337A60084 +:1065A0003E981315F8010582518D935718005DB779 +:1065B0006358000D59E33367C300E30907D293061C +:1065C000F8FF8DE63306C5403335C500B387674015 +:1065D000898F0547328593968700E3DD06F63704F9 +:1065E00080007D147D8CAA893A89FDAA1307F07F6B +:1065F000E308E8DC13078003634FD7067D47634851 +:10660000D70413070002158FB355D6003318E300E3 +:106610003316E6003368B8003336C0003368C8006C +:10662000B356D30033080541B386D740B3370501CD +:10663000B387F64042854A8779BF1307F07FE301AD +:10664000E9D8370780003363E300C28665B71307D4 +:1066500000023358D30001446388E60013040004A9 +:10666000B306D4403314D300418E3336C0003368B0 +:10667000C800814645BF3368C30033380001D5BF29 +:106680006306080C63130908B3E6A70081EE9307BD +:10669000F07F6316F7003365C300630905209A870E +:1066A0003285C1A8FD56631BD8003305A640B30749 +:1066B000F3403336A600918FC68431BF9306F07F36 +:1066C0001348F8FFE309D7FC9306800363CC060761 +:1066D000FD4663C6060593050002B3850541335E9A +:1066E0000501B396B7003315B500B3E6C6013335DF +:1066F000A000558D33D807013305A6403308034168 +:10670000B337A600B307F84045BF9306F07FE30414 +:10671000D7F8B706800033080041D58F75B7130E40 +:106720000002B3D6070181456308C8019305000440 +:1067300033880541B39507014D8D3335A000558D44 +:1067400001485DBF5D8D3335A000DDBF1307190023 +:106750001377F77F854663C5E606B3E6A700336780 +:10676000C3006315090481EA630507149A8732851B +:106770000147C684C5B30DCBB306C540B335D500BC +:10678000338767400D8F9315870063DA0500330563 +:10679000A640B307F3403336A600918FD1BF33E54F +:1067A000E60055C1BA87368501476DB399E66306A1 +:1067B00007109A873285C68401B9E30707C0C9B3B9 +:1067C000B309C5403384674033383501330404418D +:1067D0001317840063560706B309A6403304F34039 +:1067E000B33736011D8CC6842DC02285EF10802161 +:1067F000130785FFFD4763C0E70693070002998FE3 +:106800003314E400B3D7F9005D8C3395E900634D90 +:1068100027073307274193071700FD4663C3F60494 +:10682000130700021D8F3356F500B316E40033152D +:10683000E500D18E3335A000558DB357F400ADB7C8 +:1068400033E5890055F18147BDA04E85EF10801BCF +:106850001305050271BF130485FD339489000145BA +:106860007DB70517130600023357E400814663879E +:10687000C700930600049D8EB316D400558D3335A2 +:10688000A000598D81470DB7B70780FFFD1733076B +:10689000E940E18FC1B19A87328539B79A8732854D +:1068A00025B6814701451307F07FA1BC8147C68407 +:1068B00089BC814701450147C9B90145B707400077 +:1068C000D9B18147014599BC797122D426D25AC8E1 +:1068D0002A842A8BB704100013D545014AD04ECE26 +:1068E0005EC6FD1406D652CC56CA1375F57FB28B20 +:1068F000B689ED8C13D9F50159C19307F07F630B6D +:10690000F50C8E04B7068000D58C935ADB01B3EAF0 +:106910009A0013143B00130A15C0014B13D549010B +:10692000B7041000FD141375F57FB3F43401DE8F46 +:1069300093D9F90171C19307F07F6307F510B70789 +:1069400080008E04DD8C93D7DB01C58F939F3B00C5 +:10695000130515C0014793162B00D98EFD16B945B6 +:10696000334639013305AA4063E1D51097A50100EC +:10697000938545948A06AE969442AE968286B3EA93 +:10698000640163820A069DC82685EF10A00793075D +:1069900055FF7147634AF702F54A130485FFB38A2E +:1069A000FA40B3948400B35A5B01B3EA9A003314FB +:1069B0008B00130AD0C0330AAA4085B75A85EF105E +:1069C000600413050502E1B7930485FDB31A9B002B +:1069D0000144C5B7B3EA6401638B0A00A68A130AAF +:1069E000F07F0D4B25BF0144014A054B05BF014413 +:1069F000130AF07F094B1DB7B3E77401B1CF8DC8FF +:106A00002685EF102000130755FFF14763C8E70202 +:106A1000F547930F85FF998FB394F401B3D7FB002B +:106A2000C58FB39FFB011306D0C03305A6401DB729 +:106A30005E85EF00307D13050502F1B7930785FDF4 +:106A4000B397FB00814FC5B7B3E7740191CBA6871D +:106A50001305F07F0D4701B7814F01450547E5BD9F +:106A6000814F1305F07F0947FDB563E65701639633 +:106A7000FA2A6364F42B9395FA01935614001317C2 +:106A8000F40193DA1A0033E4D500A20713D88F017A +:106A90003368F800935E0801B3D5DA03131E0801CA +:106AA000135E0E019356040193988F00B3F4DA033A +:106AB000AE8FB307BE02C204C58E63FCF600C29659 +:106AC000938FF5FF63E7060163F5F600938FE5FF0B +:106AD000C2969D8E33D3D60342044180B3F6D603CB +:106AE0009A87B3056E02C206C18E63FCB600C296D9 +:106AF0009307F3FF63E7060163F5B6009307E3FF2F +:106B0000C2963384B640C20FC165B3EFFF00138352 +:106B1000F5FF93D60F01B3F76F0013DF080133F3CE +:106B20006800B3836702B3846602B307FF02B382CF +:106B3000E603B386970093D70301B69763F39700F4 +:106B4000AE9293D607019696C162FD12B3F5570037 +:106B5000C205B3F353009E956367D400FE87631E9E +:106B6000D402637CB7024697B3321701C2921694DF +:106B70009387FFFF6366880063118802636F1701C4 +:106B80006366D400639B86006379B700469793875A +:106B9000EFFFB33F1701C29F7E94B305B740158C3A +:106BA0003337B700198CFD5F6306880EB352D403E8 +:106BB00093D605013374D4031687B30F5E024204E3 +:106BC000558C637CF40142941387F2FF63670401E0 +:106BD0006375F4011387E2FF42943304F441B35622 +:106BE000D403C205C1813374D403330EDE024204E0 +:106BF0004D8CB685637CC40142949385F6FF636730 +:106C000004016375C4019385E6FF42944207D98D60 +:106C10001397050141833304C44113DE0501B30E0C +:106C2000670233036E02330ECF03330FEF0213D725 +:106C30000E011A9F7A9763746700C166369E1353DC +:106C400007017293416E7D1EB376C701C206B3FE83 +:106C5000CE01F69663666400AE8F631B64029DCA24 +:106C60004294938FF5FF63610403636664006311CC +:106C7000640263FDD80013971800B33817014698D3 +:106C8000938FE5FF4294BA8863146400638416010D +:106C900093EF1F009306F53F635CD00A13F77F0064 +:106CA00001CF13F7FF0091456308B70093854F00AC +:106CB000B3BFF501FE97AE8F1397770063580700B7 +:106CC000370700FF7D17F98F930605401307E07F14 +:106CD000634BD7101397D70193DF3F003367F7015A +:106CE0008D83B7051000FD15ED8FB7051080FD15DC +:106CF00093F6F67FD206ED8FB25022547E06D58FE2 +:106D0000B3E6C70092540259F249624AD24A424B52 +:106D1000B24B3A85B685456182807D150147B5B392 +:106D20004A86D687A28F5A878946630ED70A8D4630 +:106D30006304D70A8546E31FD7F481470147BDA006 +:106D40004E86DDB7B7070800814F01460D47E9BF02 +:106D50008545958D13078003E341B7FE7D47634961 +:106D6000B7041305E5413397A700B3D6BF003395A9 +:106D7000AF00558F3335A000498FB3D7B700937656 +:106D8000770081CE9376F70091456388B60093062D +:106D9000470033B7E600BA9736879396870063C9F2 +:106DA00006049396D7010D83558F8D83814615BFB9 +:106DB0000557158F1308000233D7E7008146638615 +:106DC00005011305E543B396A70033E5F601333516 +:106DD000A000498F814765B7B707080001479306B0 +:106DE000F07F0146FDBD814701479306F07FD5BD89 +:106DF000814701478546F5B513D74501B707100010 +:106E0000FD1713D84601AA88AA8E1377F77F1305BA +:106E1000F07F33F3B700328FF58FFD811378F87F61 +:106E2000FD826318A700336E1301795563030E06C4 +:106E300082806316A8005D8E795535C2828021EF6D +:106E40003365130113351500631408005D8E21C2EC +:106E500011E56388D500054599E18280FDFE7D55E9 +:106E60008280E34AE8FE635607017D55FDD505455E +:106E70008280E3E267FE6318F300E36EDFFD014505 +:106E8000E3E5EEFFD9BFE362F3FE0145828075FDC5 +:106E9000D9B7E302E8FA0145E30A08FA5DBF55FBFA +:106EA000336513011335150065B713D74501B707CF +:106EB0001000FD1713D84601AA88AA8E1377F77F12 +:106EC0001305F07F33F3B700328FF58FFD81137810 +:106ED000F87FFD826318A700336E13010945630331 +:106EE0000E0682806316A8005D8E094535C2828039 +:106EF00021EF3365130113351500631408005D8E0F +:106F000021C211E56388D500054599E18280FDFE27 +:106F10007D558280E34AE8FE635607017D55FDD525 +:106F200005458280E3E267FE6318F300E36EDFFD50 +:106F30000145E3E5EEFFD9BFE362F3FE0145828040 +:106F400075FDD9B7E302E8FA0145E30A08FA5DBF27 +:106F500055FB336513011335150065B779714AD0B8 +:106F600056CA3709100093DA45014ECE52CC5AC8A2 +:106F70005EC67D1906D622D426D293FAFA7F2A8BD2 +:106F8000B28B368A3379B90093D9F50163880A0840 +:106F90009307F07F638DFA0C370480000E09336984 +:106FA00089001354D5013364240193143500938A66 +:106FB0001AC0014B13554A01370910007D1913758A +:106FC000F57F337949015E87135AFA0179C1930736 +:106FD000F07F630AF510B70780000E093369F900E6 +:106FE00093D7DB01B3E7270113973B00130515C0C7 +:106FF000814693152B00D58D5695FD15B94833C69E +:1070000049011308150063E1B81017950100130535 +:10701000252E8A05AA958C41AA9582853364A900FC +:1070200025C0630B09024A85EF00D01D930755FF69 +:1070300071476348F7027544930485FF1D8C33192B +:10704000990033548B0033642401B3149B00930ADA +:10705000D0C0B38AAA40B1BFEF00D01A1305050211 +:10706000F1B7130485FD33148B008144CDB733642D +:10707000A90019C8AA844A84930AF07F0D4B1DBF4A +:107080008144814A054B3DB78144930AF07F094B07 +:1070900015B7B3677901A5C3630B09024A85EF00F1 +:1070A0007016930655FFF14763C9D702F5471307DA +:1070B00085FF958F3319E900B3D7FB00B3E72701AC +:1070C0003397EB009306D0C03385A64015B75E8595 +:1070D000EF00501313050502E9B7930785FDB39739 +:1070E000FB000147C5B7B367790191CBCA87130588 +:1070F000F07F8D46FDBD014701458546DDBD014759 +:107100001305F07F8946F5B5C16F138FFFFF93D646 +:10711000040113530701B3F4E4013377E701B30823 +:107120009302B3059702B382E602338E580093D8D8 +:107130000501F298B38E660263F35800FE9E13D9E0 +:107140000801B3F8E80193DF0701B3F5E501B3F3F4 +:10715000E701C208AE98B3877602B3859302B38481 +:107160009F02338EF40093D40501F294B386F603A4 +:1071700063F4F400C167BE9613DF0401C169369F52 +:107180009386F9FFF58CF58D93570401C204758C35 +:10719000AE94B30687022699338EE702B3058302C5 +:1071A0003307F3023383C50193D506019A9563F340 +:1071B000C5014E9713D30501C169B302E3001387DC +:1071C000F9FFF98DF98E33838302C205B6953387B3 +:1071D000770233848F02338EFF023A949357030170 +:1071E0003E946373E4004E9EC167FD17B376F400CE +:1071F0003373F300C206CA9E9A96B3B49E00FA9601 +:10720000B3879600AE9EB3B5BE0033835700B30F6D +:10721000B300B3B6E601B3B79700D58F41803333DF +:107220005300B3B5BF00A297B365B300AE97F29712 +:1072300013D77F01A607D98F13979E0033671701D5 +:107240003337E00093DE7E0193969F003367D701CA +:10725000558F9396770063DE060A93561700058BC9 +:10726000558F9396F701558F85839305F83F6354A7 +:10727000B00A9376770081CE9376F7001145638844 +:10728000A6009306470033B7E600BA973687939671 +:10729000770063D80600B70600FFFD16F58F93054B +:1072A00008409306E07F63C2B610935637001397E9 +:1072B000D701558F8D83B7061000FD16F58F93F615 +:1072C000F57FB7051080FD15D206ED8FB250225420 +:1072D0007E06D58FB3E6C70092540259F249624A3E +:1072E000D24A424BB24B3A85B685456182804E8682 +:1072F000A2872687DA8689456389B60A8D45638F1A +:10730000B6088545E393B6F6814701479DA05286AE +:10731000DDB72A8899BF05450D8D93068003E3C527 +:10732000A6FEFD4663C9A6041308E841B39607010B +:10733000B355A70033170701CD8E3337E000558FC3 +:10734000B3D7A7009376770081CE9376F700914567 +:107350006388B6009306470033B7E600BA973687CE +:107360009396870063C806049396D7010D83558FC3 +:107370008D83814589B785568D8E93080002B3D6DB +:10738000D7008145630615011308E843B39507014B +:107390004D8F3337E000558F81476DB7B707080031 +:1073A00001479305F07F014639B7814701479305AF +:1073B000F07F11B7814701478545F5BD37081000BB +:1073C0007D180111B378B80013D745019357D50143 +:1073D0003378D8004AC81379F77F13973800D98FCC +:1073E00093D8F60113D746010E089356D60126CA44 +:1073F00033E3060106CE22CC4EC61377F77F930601 +:10740000F07F93D4F5010E050E066315D700B36621 +:10741000C30099E293C818003308E940639A982C96 +:10742000635900176DE73367C30051E79306F07F98 +:1074300042876311D826B3E6A700639D06248147DF +:1074400001459396870063DB060005079306F07FEE +:107450006307D75AB70680FFFD16F58F9396D701BD +:107460000D81558D9306F07F8D836319D7005D8D57 +:10747000814709C5B707080001458144B7061000D8 +:10748000FD16F58FB70610801377F77FFD165207AC +:10749000F58FD98F370700801347F7FFF24062441A +:1074A0009395F401F98F33E7B700D2444249B249CA +:1074B000BA85056182809305F8FF9DED2A963335E4 +:1074C000A6009A97AA97054732859396870063D3BB +:1074D000061C05079306F07FE303D7F6B70680FF87 +:1074E000FD16FD8E9355150005899397F6014D8D78 +:1074F0005D8D93D7160079AA1307F07F6312E80217 +:1075000033E7A700630D074C1307F07F61A2130751 +:10751000F07FE307E9FE370780003363E300C285AD +:10752000130780036342B7067D47634BB702130717 +:1075300000020D8FB356B6003318E3003316E60091 +:107540003368D8003336C0003368C800B355B30081 +:107550002A98BE95B337A800AE9742854A87B5B73B +:10756000130700023358B30081466388E500930691 +:107570000004B385B640B316B300558E3336C00051 +:107580003368C8008145E9B73368C3003338000168 +:10759000D5BF6301080C631E0906B3E6A70089EE98 +:1075A0009307F07F6317F7003365C3008147E30A51 +:1075B00005E89A873285F9A8FD566318D8003295F8 +:1075C0009A973336C500B29709B79306F07F1348F0 +:1075D000F8FFE30BD7FC9306800363C90607FD465B +:1075E00063C3060593050002B3850541B358050141 +:1075F000B396B7003315B500B3E616013335A000D6 +:10760000558D33D8070132951A98B337C500C29704 +:107610006DBD9306F07FE309D7F8B7068000330805 +:107620000041D58F4DBF93080002B3D607018145B5 +:10763000630818019305000433880541B3950701D9 +:107640004D8D3335A000558D014875BF5D8D3335A7 +:10765000A000DDBF130719009375F77F854663C847 +:10766000B60633E7A7006317090463040736B36659 +:10767000C300014785C22A963335A6009A97AA9778 +:1076800093968700328563D70600370780FF7D1702 +:10769000F98F054793767500E38506DA9376F50052 +:1076A0001146E380C6DA9306450033B5A600AA97D3 +:1076B000368541BB630207323368C300E30608E442 +:1076C000B707400001451307F07F81449DBB930637 +:1076D000F07FE306D7D62A9633886700B337A60033 +:1076E0003E981315F8010582518D935718005DB728 +:1076F0006358000D59E33367C300E30907D29306CB +:10770000F8FF8DE63306C5403335C500B3876740C3 +:10771000898F0547328593968700E3DD06F63704A7 +:1077200080007D147D8CAA893A89FDAA1307F07F19 +:10773000E308E8DC13078003634FD7067D476348FF +:10774000D70413070002158FB355D6003318E30092 +:107750003316E6003368B8003336C0003368C8001B +:10776000B356D30033080541B386D740B33705017C +:10777000B387F64042854A8779BF1307F07FE3015C +:10778000E9D8370780003363E300C28665B7130783 +:1077900000023358D30001446388E6001304000458 +:1077A000B306D4403314D300418E3336C00033685F +:1077B000C800814645BF3368C30033380001D5BFD8 +:1077C0006306080C63130908B3E6A70081EE93076C +:1077D000F07F6316F7003365C300630705209A87BF +:1077E0003285C1A8FD56631BD8003305A640B307F8 +:1077F000F3403336A600918FC68431BF9306F07FE5 +:107800001348F8FFE309D7FC9306800363CC06070F +:10781000FD4663C6060593050002B3850541335E48 +:107820000501B396B7003315B500B3E6C60133358D +:10783000A000558D33D807013305A6403308034116 +:10784000B337A600B307F84045BF9306F07FE304C3 +:10785000D7F8B706800033080041D58F75B7130EEF +:107860000002B3D6070181456308C80193050004EF +:1078700033880541B39507014D8D3335A000558DF3 +:1078800001485DBF5D8D3335A000DDBF13071900D2 +:107890001377F77F854663C5E606B3E6A70033672F +:1078A000C3006315090481EA630307149A873285CC +:1078B0000147C684C5B30DCBB306C540B335D5006B +:1078C000338767400D8F9315870063DA0500330512 +:1078D000A640B307F3403336A600918FD1BF33E5FE +:1078E000E6004DC1BA87368501476DB399E663045A +:1078F00007109A873285C68401B9E30707C0C9B368 +:10790000B309C5403384674033383501330404413B +:107910001317840063550706B309A6403304F340E8 +:10792000B33736011D8CC68425C02285E128130794 +:1079300085FFFD4763CFE70493070002998F331457 +:10794000E400B3D7F9005D8C3395E900634C270759 +:107950003307274193071700FD4663C2F604130758 +:1079600000021D8F3356F500B316E4003315E50011 +:10797000D18E3335A000558DB357F400B5B733E53C +:1079800089005DF18147B5A04E85AD28130505023C +:1079900079BF130485FD33948900014545BF051760 +:1079A000130600023357E40081466387C70093063D +:1079B00000049D8EB316D400558D3335A000598D2B +:1079C00081471DB7B70780FFFD173307E940E18FF7 +:1079D000D1B19A87328509BF9A87328535B68147FA +:1079E00001451307F07FB1BC8147C68499BC81472C +:1079F00001450147D9B90145B7074000E9B18147C1 +:107A00000145A9BCC1676376F5029307F00FB3B7D0 +:107A1000A7008E07130700021D8FB357F5001795B7 +:107A200001001305A590AA9703C507003305A740D9 +:107A3000828037070001C147E36EE5FCE147D9BF0B +:107A4000011122CC26CA2A84AE844AC84EC652C42A +:107A500056C206CEEFA0EFB41C4003290401B709BB +:107A60000001B3E59700930AC4000CC08144FD19DE +:107A7000370A00021840638C2A03032609004A854E +:107A8000B3654701B376360193175600758F032906 +:107A9000490063C4070001E7F1BFE39DE6FC9317CB +:107AA000760063D30700D58CEFB0CF9CE1B793C4C9 +:107AB000F4FFF98C04C0EFA0CFD30840F240624439 +:107AC000D2444249B249224A924A05618280411118 +:107AD000014506C622C4EFD01FC417D7FFFF130706 +:107AE0008736814605469305200317950100130547 +:107AF0006598EFB03FEC19C92A84EFA08FAB2A86B6 +:107B00000147814685452285EFB07FF7EFA04F8280 +:0A7B1000B240224401454101828089 +:02000004800278 +:100000003E45FEFF3C46FEFF4645FEFF3C46FEFFEA +:100010003C46FEFF3C46FEFF3C46FEFF5E45FEFFC3 +:100020003C46FEFF3C46FEFF7845FEFF8445FEFF52 +:100030003C46FEFF9645FEFFA245FEFFA245FEFFA1 +:10004000A245FEFFA245FEFFA245FEFFA245FEFF20 +:10005000A245FEFFA245FEFFA245FEFF3C46FEFF75 +:100060003C46FEFF3C46FEFF3C46FEFF3C46FEFF94 +:100070003C46FEFF3C46FEFF3C46FEFF3C46FEFF84 +:100080003C46FEFF3C46FEFF3C46FEFF3C46FEFF74 +:100090003C46FEFF3C46FEFF3C46FEFF3C46FEFF64 +:1000A0003C46FEFF3C46FEFF3C46FEFF3C46FEFF54 +:1000B0003C46FEFF3C46FEFF3C46FEFF3C46FEFF44 +:1000C0003C46FEFF3C46FEFF3C46FEFF3C46FEFF34 +:1000D0003C46FEFF3C46FEFF3C46FEFF3C46FEFF24 +:1000E0003C46FEFF3C46FEFF3C46FEFF3C46FEFF14 +:1000F0003C46FEFF3C46FEFF3C46FEFF3C46FEFF04 +:10010000D645FEFFEC45FEFF3C46FEFF3C46FEFFAB +:100110003C46FEFF3C46FEFF3C46FEFF3C46FEFFE3 +:100120003C46FEFF3246FEFF3C46FEFF3C46FEFFDD +:10013000E443FEFF9C44FEFF3C46FEFF3C46FEFFC0 +:10014000D244FEFF3C46FEFF2A45FEFF3C46FEFF32 +:100150003C46FEFF5845FEFF4A49FEFF9E49FEFF12 +:100160005249FEFF9E49FEFF9E49FEFF9E49FEFF4B +:100170009E49FEFF4448FEFF9E49FEFF9E49FEFF4A +:100180005E48FEFF6A48FEFF9E49FEFF7C48FEFF78 +:100190008848FEFF8848FEFF8848FEFF8848FEFF2B +:1001A0008848FEFF8848FEFF8848FEFF8848FEFF1B +:1001B0008848FEFF9E49FEFF9E49FEFF9E49FEFFC6 +:1001C0009E49FEFF9E49FEFF9E49FEFF9E49FEFF9F +:1001D0009E49FEFF9E49FEFF9E49FEFF9E49FEFF8F +:1001E0009E49FEFF9E49FEFF9E49FEFF9E49FEFF7F +:1001F0009E49FEFF9E49FEFF9E49FEFF9E49FEFF6F +:100200009E49FEFF9E49FEFF9E49FEFF9E49FEFF5E +:100210009E49FEFF9E49FEFF9E49FEFF9E49FEFF4E +:100220009E49FEFF9E49FEFF9E49FEFF9E49FEFF3E +:100230009E49FEFF9E49FEFF9E49FEFF9E49FEFF2E +:100240009E49FEFF9E49FEFF9E49FEFF9E49FEFF1E +:100250009E49FEFF9E49FEFFBC48FEFFEA48FEFFA6 +:100260009E49FEFF9E49FEFF9E49FEFF9E49FEFFFE +:100270009E49FEFF9E49FEFF9E49FEFF4049FEFF4C +:100280009E49FEFF9E49FEFFA246FEFF9C47FEFFE1 +:100290009E49FEFF9E49FEFF0E48FEFF9E49FEFF5F +:1002A0003448FEFF9E49FEFF9E49FEFF9849FEFF2F +:1002B000366BFEFF8A6AFEFF906AFEFF8A6AFEFFC7 +:1002C000286BFEFF8A6AFEFF906AFEFF366BFEFF18 +:1002D000366BFEFF286BFEFF906AFEFF706AFEFF22 +:1002E000706AFEFF706AFEFF946AFEFF0A70FEFFEE +:1002F0000A70FEFF2270FEFF0470FEFF0470FEFF16 +:10030000B070FEFF2270FEFF0470FEFFB070FEFFB3 +:100310000470FEFF2270FEFF0270FEFF0270FEFFFF +:100320000270FEFFB070FEFF000102020303030330 +:100330000404040404040404050505050505050575 +:100340000505050505050505060606060606060655 +:10035000060606060606060606060606060606063D +:100360000606060606060606070707070707070725 +:10037000070707070707070707070707070707070D +:1003800007070707070707070707070707070707FD +:1003900007070707070707070707070707070707ED +:1003A00007070707070707070808080808080808D5 +:1003B00008080808080808080808080808080808BD +:1003C00008080808080808080808080808080808AD +:1003D000080808080808080808080808080808089D +:1003E000080808080808080808080808080808088D +:1003F000080808080808080808080808080808087D +:10040000080808080808080808080808080808086C +:10041000080808080808080808080808080808085C +:100420000808080808080808546D72510000000008 +:10043000546D722053766300286E756C6C29000031 +:100440004572726F7220696E20666C6F70732074D3 +:1004500061736B73200D0A006D69616F750A00008E +:100460006D69616F75320A0068756E6772790A008E +:10047000436865636B54696D657200004D61746813 +:10048000310000004D617468320000004D617468F5 +:0C049000330000004D617468340000006F +:10049C001000000000000000017A5200017C0101F4 +:1004AC001B0D020010000000180000009A53FEFF04 +:1004BC008A03000000000000100000002C00000067 +:1004CC001057FEFF5E03000000000000100000004B +:1004DC00400000005A5AFEFF5403000000000000C8 +:100560006AE67F50274C41C15305A3923ADD5E40B5 +:1005700082E2C7985B53A240B29DEFA7C6B18CC080 +:10058000FCA9F1D24D62503FAE47E17A145678C0D3 +:10059000CDCCCCCC8CBCDF4039D6C56D340000C08E +:1005A000E5D022DBF93E2840E5D022DBF93E384099 +:1005B000AC1C5A643B2F4240E5D022DBF93E484058 +:1005C0001E85EB51B84E4E40AC1C5A643B2F524036 +:1005D000C876BE9F1A375540E5D022DBF93E584019 +:1005E000022B8716D9465B40FCA9F1D24D6250BF61 +:1005F00000000000000016400000000000001A404B +:100600000000000000001E4000000000000021402B +:100610000000000000002340000000000000254012 +:1006200000000000000027400000000000002940FA +:100630000000000000002B400000000000002D40E2 +:08064000FC8F01000400000022 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/integer_rv32i_O0.hex b/VexRiscv/src/test/resources/freertos/integer_rv32i_O0.hex new file mode 100644 index 0000000..c11909c --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/integer_rv32i_O0.hex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diff --git a/VexRiscv/src/test/resources/freertos/integer_rv32i_O3.hex b/VexRiscv/src/test/resources/freertos/integer_rv32i_O3.hex new file mode 100644 index 0000000..ee39d43 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/integer_rv32i_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/integer_rv32ic_O0.hex b/VexRiscv/src/test/resources/freertos/integer_rv32ic_O0.hex new file mode 100644 index 0000000..7a413b6 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/integer_rv32ic_O0.hex @@ -0,0 +1,1453 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000A1B4170502001305E53697950300938573 +:1000A0002567014611221705020013052532970521 +:1000B0000200938525320146C52817A503001305C4 +:1000C000656597B503009385A56437B6ABAB13069A +:1000D000B6BAD92817B103001301C163EF40407DC0 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2821923A02200F322103425 +:1002400096DEEF0060301701020003212118024101 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E21523A0120023A2BD +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40604D17010200032102 +:10033000E1090241F65273901234B7220000938211 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A20783A0C4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A282F723A0220086DEEF101B +:10046000B04017010200032161F60241F652739079 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F0961730020309707BF +:1004C0000200938767F19843FD576305F700737047 +:1004D000043001A07370043001A041112AC6B24754 +:1004E000739047300100410182804111F327403071 +:1004F0003EC673F04330B2473E85410182804111D0 +:100500002AC62EC432C2B247F1173EC62247B247AE +:1005100098C3B247938787FA3EC61247B24798C33B +:10052000B247A1173EC68E873E87B24798C3B247EF +:10053000D1173EC6170700001307A7F8B24798C3A4 +:10054000B2473E8541018280411106C6EF408023BB +:10055000EF10D014AA8799C3EF1010310100B240F8 +:100560004101828041112AC6B24713878700B247F2 +:10057000D8C3B2477D5798C7B24713878700B247A1 +:10058000D8C7B24713878700B24798CBB24723A09A +:10059000070001004101828041112AC6B24723A809 +:1005A000070001004101828001112AC62EC4B24712 +:1005B000DC433ECEA2477247D8C3F2479847A247D2 +:1005C00098C7F2479C472247D8C3F247224798C7AB +:1005D000A247324798CBB2479C4313871700B247D4 +:1005E00098C301000561828001112AC62EC4A2476A +:1005F0009C433ECC6247FD576316F700B2479C4BC5 +:100600003ECE31A8B247A1073ECE21A0F247DC433F +:100610003ECEF247DC439C436247E379F7FEF24764 +:10062000D843A247D8C3A247DC43224798C7A24772 +:10063000724798C7F2472247D8C3A247324798CBA0 +:10064000B2479C4313871700B24798C30100056166 +:10065000828001112AC6B2479C4B3ECEB247DC4392 +:100660003247184798C7B2479C4732475843D8C3C8 +:10067000F247DC4332476316F700B2479847F24728 +:10068000D8C3B24723A80700F2479C431387F7FF5C +:10069000F24798C3F2479C433E8505618280797199 +:1006A00006D622D42AC62EC4B2473ECEF24781E7F0 +:1006B0007370043001A0EF202057F2478043F247C7 +:1006C000D85FF247BC43BE853A85EF403070AA87B9 +:1006D0003307F400F247D8C3F24723AC0702F247CE +:1006E0009843F24798C7F2478043F247DC5F13878D +:1006F000F7FFF247BC43BE853A85EF40306DAA87CD +:100700003307F400F247D8C7F2477D57F8C3F247E2 +:100710007D57B8C7A24799EFF2479C4B8DC7F24768 +:10072000C1073E85EF1090402A878547631DF7007B +:10073000F13911A8F247C1073E852D35F24793875D +:1007400047023E850535EF20005185473E85B25072 +:10075000225445618280797106D62AC62EC4B2879A +:10076000A303F10002CCB24781E77370043001A00B +:10077000A24799E302CE01A8A2453245EF40106599 +:10078000AA8785073ECEF247938747053E85EF301F +:10079000805C2ACAD24785CFA24789E7D247524711 +:1007A00098C331A0D24713874705D24798C3D24791 +:1007B0003247D8DFD2472247B8C385455245C535B1 +:1007C000D247034771002388E704D2473ECCE24773 +:1007D00081E77370043001A0E2473E85B250456165 +:1007E0008280797106D6AA87A307F1001305400518 +:1007F000EF3060562ACEF247B9CFF24723A2070066 +:10080000F24723A00700F24723A40700F24723A6DC +:100810000700F24723AC0702F2470547D8DFF2474B +:1008200023A00704F2477D57F8C3F2477D57B8C7A6 +:10083000F2470347F1002388E704F247C1073E85EA +:100840001533F247938747023E85293B814601468F +:10085000814572453122F2473E85B2504561828022 +:10086000797106D622D42AC6B2473ECCE24781E748 +:100870007370043001A0E247C043EF20001DAA8737 +:100880006313F402E247DC471387F7FFE247D8C758 +:10089000E247DC4791E78146014681456245C92030 +:1008A00085473ECE11A002CEF2473E85B25022547B +:1008B00045618280797106D622D42AC62EC4B247F9 +:1008C0003ECCE24781E77370043001A0E247C043A9 +:1008D000EF20A017AA87631BF400E247DC471387C9 +:1008E0001700E247D8C785473ECE0DA08146224675 +:1008F00081456245252E2ACE724785476318F70049 +:10090000E247DC4713871700E247D8C7F2473E8526 +:10091000B250225445618280797106D62AC62EC40F +:10092000B24781E77370043001A02247B24763F5F4 +:10093000E7007370043001A0094681453245213D2E +:100940002ACEF24781C7F247224798DFF24781E774 +:100950007370043001A0F2473E85B2504561828039 +:10096000397106DE2AC62EC432C236C002D6B2475C +:100970003ED4A25781E77370043001A0A24781E7FB +:10098000A257BC4399E3854711A0814781E7737063 +:10099000043001A0024789476317F700A257D85FC8 +:1009A00085476314F700854711A0814781E773707D +:1009B000043001A0EF20C00AAA8799E3924799E387 +:1009C000854711A0814781E77370043001A0EF20B3 +:1009D000A025A257985FA257DC5F6366F700024725 +:1009E0008947631DF7020246A245225565232AD294 +:1009F000A257DC5389CFA257938747023E85EF1059 +:100A0000F0122A8785476317F700093421A092570F +:100A100091C3ED3AEF202024854771A8924789E7DA +:100A2000EF206023814741A8B25799E77C083E85B3 +:100A3000EF10702785473ED6EF20E021EF10401AD7 +:100A4000EF20801EA257F843FD576315F700A25709 +:100A500023A20704A257B847FD576315F700A25712 +:100A600023A40704EF20201F58007C08BA853E8588 +:100A7000EF107026AA879DEB2255EF006071AA87C0 +:100A80008DC3A257C1071247BA853E85EF10E070AB +:100A900022551525EF108016AA87E39A07F2BD327A +:100AA0003DB72255092DEF10601515B722552125A8 +:100AB000EF10C01481473E85F25021618280797128 +:100AC00006D62AC62EC432C236C0B2473ECCE24752 +:100AD00081E77370043001A0A24781E7E247BC437D +:100AE00099E3854711A0814781E77370043001A025 +:100AF000024789476317F700E247D85F85476314C9 +:100B0000F700854711A0814781E77370043001A089 +:100B1000E93AAA873ECAE247985FE247DC5F63662C +:100B2000F700024789476315F7040246A24562456C +:100B30009521E247B847FD576313F702E247DC53BC +:100B40008DC7E247938747023E85EF10207EAA8734 +:100B500089CF924799CB9247054798C339A0E2477E +:100B6000BC4713871700E247B8C785473ECE11A0A0 +:100B700002CED2473E859532F2473E85B25045615E +:100B80008280797106D62AC62EC4B2473ECCE2478F +:100B900081E77370043001A0E247BC4381C77370E2 +:100BA000043001A0E2479C4381E7E247DC4399E33C +:100BB000854711A0814781E77370043001A0353269 +:100BC000AA873ECAE247985FE247DC5F6377F70493 +:100BD000E2479C5F13871700E24798DFE247B84778 +:100BE000FD576313F702E247DC538DC7E247938753 +:100BF00047023E85EF108073AA8789CFA24799CB21 +:100C0000A247054798C339A0E247BC47138717009E +:100C1000E247B8C785473ECE11A002CED2473E85F7 +:100C20006D38F2473E85B25045618280397106DEEB +:100C30002AC62EC432C236C002D6B2473ED4A2570C +:100C400081E77370043001A0A24781E7A257BC433B +:100C500099E3854711A0814781E77370043001A0B3 +:100C6000EF101060AA8799E3924799E3854711A096 +:100C7000814781E77370043001A0EF10F07AA2572A +:100C80009C5FB5CBA257DC473ED2A2452255F92E38 +:100C9000824795EFA2579C5F1387F7FFA25798DF13 +:100CA000A2579C4391E7EF2060022A87A257D8C33E +:100CB000A2579C4B8DCFA257C1073E85EF1000670E +:100CC0002A8785476315F702EFF04FF40DA0A2576E +:100CD0001257D8C7A257DC5399CBA2579387470224 +:100CE0003E85EF10A064AA8799C3EFF02FF2EF10B2 +:100CF000907685475DA8924789E7EF10D0758147C8 +:100D00006DA0B25799E77C083E85EF10C079854702 +:100D10003ED6EF105074EF00B06CEF10F070A25799 +:100D2000F843FD576315F700A25723A20704A25703 +:100D3000B847FD576315F700A25723A40704EF1027 +:100D4000907158007C08BA853E85EF10C078AA875C +:100D5000A1EB2255CD2EAA879DCFA2579C4391EBA4 +:100D6000EF10906CA257DC433E85EF107052EF10ED +:100D7000906EA257938747021247BA853E85EF10BF +:100D8000C04122550D2EEF007067AA87E39707EE4A +:100D9000EFF0CFE7DDB52255392EEF003066F1BD1B +:100DA0002255112EEF00906581473E85F25021615A +:100DB0008280797106D62AC62EC432C2B2473ECC92 +:100DC000E24781E77370043001A0A24781E7E24760 +:100DD000BC4399E3854711A0814781E773700430D4 +:100DE00001A0EFF08FF0AA873ECAE2479C5FA1CB3B +:100DF000A2456245A52CE2479C5F1387F7FFE247B7 +:100E000098DFE247F843FD576312F702E2479C4B35 +:100E100085C7E247C1073E85EF104051AA8789CFB9 +:100E2000924799CB9247054798C339A0E247FC43C4 +:100E300013871700E247F8C385473ECE11A002CEC4 +:100E4000D2473E85EFF06FE9F2473E85B2504561EB +:100E50008280797106D62AC62EC4B2473ECCE247BC +:100E600081E77370043001A0A24781E7E247BC43E9 +:100E700099E3854711A0814781E77370043001A091 +:100E8000E247BC4381E77370043001A0EFF0EFE567 +:100E9000AA873ECAE2479C5F89CFE247DC473EC84B +:100EA000A2456245E122E2474247D8C785473ECE88 +:100EB00011A002CED2473E85EFF02FE2F2473E85E9 +:100EC000B25045618280797106D62AC6B24781E761 +:100ED0007370043001A0EF103055B2479C5F3ECED6 +:100EE000EF107057F2473E85B250456182807971AC +:100EF00006D62AC6B2473ECEF24781E77370043069 +:100F000001A0EF107052F247D85FF2479C5FB30721 +:100F1000F7403ECCEF103054E2473E85B250456179 +:100F2000828001112AC6B24781E77370043001A0A4 +:100F3000B2479C5F3ECEF2473E8505618280797163 +:100F400006D62AC6B2473ECEF24781E77370043018 +:100F500001A07245E5247245EF20F0720100B25005 +:100F60004561828041112AC6B247FC473E85410156 +:100F7000828041112AC62EC4B2472247F8C7010019 +:100F80004101828041112AC6B24783C707053E85C9 +:100F900041018280797106D62AC62EC432C202CEA1 +:100FA000B247BC4391EFB2479C43D5E7B247DC431D +:100FB0003E85EF10903B2ACEB24723A2070061A8DE +:100FC000924785EFB2479847B247BC433E86A24559 +:100FD0003A85EF20F079B2479847B247BC433E9735 +:100FE000B24798C7B2479847B247DC436365F706F4 +:100FF000B2479843B24798C7B9A8B247D847B24753 +:10100000BC433E86A2453A85EF209076B247D8474A +:10101000B247BC43B307F0403E97B247D8C7B24788 +:10102000D847B2479C43637BF700B247D843B247E7 +:10103000BC43B307F0403E97B247D8C71247894731 +:10104000631BF700B2479C5F99C7B2479C5F138749 +:10105000F7FFB24798DFB2479C5F13871700B2478C +:1010600098DFF2473E85B25045618280011106CE7D +:101070002AC62EC4B247BC439DCBB247D847B2471D +:10108000BC433E97B247D8C7B247D847B247DC43C4 +:101090006366F700B2479843B247D8C7B247D8470C +:1010A000B247BC433E86BA852245EF20706C0100F2 +:1010B000F24005618280011106CE2AC6EF10D036BB +:1010C00025A0B247DC5395C7B247938747023E85B8 +:1010D000EF10C025AA8799C3EF104049B247BC471B +:1010E0001387F7FFB247B8C7B247BC47E34BF0FCE2 +:1010F00011A00100B2477D57B8C7EF10D035EF10EF +:10110000B0321DA0B2479C4B8DC7B247C1073E8588 +:10111000EF10C021AA8799C3EF104045B247FC43A6 +:101120001387F7FFB247F8C3B247FC43E34CF0FC28 +:1011300011A00100B2477D57F8C3EF10D031010074 +:10114000F24005618280797106D62AC6EF10D02D53 +:10115000B2479C5F81E785473ECE11A002CEEF10DB +:10116000902FF2473E85B2504561828001112AC618 +:10117000B24781E77370043001A0B2479C5F81E7FA +:1011800085473ECE11A002CEF2473E8505618280A2 +:10119000797106D62AC6EF103029B247985FB24758 +:1011A000DC5F6315F70085473ECE11A002CEEF103D +:1011B000902AF2473E85B2504561828001112AC6CD +:1011C000B24781E77370043001A0B247985FB2471D +:1011D000DC5F6315F70085473ECE11A002CEF247D3 +:1011E0003E850561828001112AC62EC402CE81A0EF +:1011F000178703001307074EF2478E07BA979C43E1 +:1012000085E7178703001307E74CF2478E07BA9765 +:10121000224798C3178703001307C74BF2478E076F +:10122000BA973247D8C301A8F24785073ECE724726 +:101230009D47E3FFE7FA01000561828001112AC69C +:1012400002CE0DA8178703001307C748F2478E0781 +:10125000BA97DC433247631CF70017870300130774 +:101260006747F2478E07BA9723A0070001A8F24705 +:1012700085073ECE72479D47E3F6E7FC0100056116 +:101280008280797106D62AC62EC432C2B2473ECEBB +:10129000EF109019F247F843FD576315F700F24736 +:1012A00023A20704F247B847FD576315F700F2473A +:1012B00023A40704EF10301AF2479C5F89EBF24732 +:1012C000938747021246A2453E85EF00907E724505 +:1012D000DD330100B25045618280397106DE2ACECD +:1012E0002ECC36C83AC63EC442C246C0B287231B83 +:1012F000F100F24781E77370043001A03247A5473F +:1013000063F5E7007370043001A0835761019245D3 +:101310003E85EF1080512AD4A257638F0712A2573F +:10132000985B83566101B7070040FD17B6978A079F +:10133000BA973ED29257F19B3ED292578D8B81C77E +:101340007370043001A0835761013E8782463246A4 +:10135000E2452255EF10E0274246F2451255EFF0E4 +:101360000F9A2A87A25798C3A24781C7A24722573C +:1013700098C3EF10700B97F701009387E7239C4306 +:101380001387170097F701009387072398C397F7F0 +:1013900001009387A7039C4395E397F70100938788 +:1013A000E702225798C397F701009387E7209843F5 +:1013B0008547631AF702EF10C02C35A097F701009C +:1013C000938747209C4385E397F7010093870700A5 +:1013D0009C43DC5732476368F70097F70100938717 +:1013E000E7FE225798C397F701009387A71E9C43F7 +:1013F0001387170097F701009387C71D98C397F7C6 +:1014000001009387271D9843A257B8C7A257D85702 +:1014100097F701009387C71A9C4363F9E700A25727 +:10142000D85797F701009387A71998C3A257D857A1 +:10143000BA878A07BA978A0717F701001307470484 +:101440003E97A2579107BE853A85EFF0EF95854705 +:101450003ED6EF10500019A0FD573ED632578547B3 +:101460006313F70297F701009387C7159C4381CF59 +:1014700097F70100938787F59C43DC57324763F465 +:10148000E700EFE0BFF8B2573E85F250216182805D +:10149000797106D62AC6EF102079B24799E797F7F7 +:1014A00001009387A7F29C4311A0B2473ECEF247BA +:1014B00091073E85EFF0EF99F2479C5791C7F247AD +:1014C000E1073E85EFF0EF98F2479107BE8517F5EB +:1014D00001001305A50BEFF02F8D97F701009387FF +:1014E000270C9C431387170097F701009387470B3E +:1014F00098C397F701009387E70D9C431387170064 +:1015000097F701009387070D98C3EF10C07497F702 +:1015100001009387270B9C439DCB97F7010093878E +:10152000E7EA9C437247631EF70097F70100938731 +:10153000E70A9C4381C77370043001A0EFE01FED00 +:1015400039A0EF10606EEF10A04BEF10C0700100DB +:10155000B25045618280797106D62AC62EC402CE69 +:10156000B24781E77370043001A0A24781E773702E +:10157000043001A097F70100938747069C4381C779 +:101580007370043001A0A92D97F70100938707031A +:101590009C433ECCB2479C432247BA973ECAB247CF +:1015A0009C436247637EF700B2479C435247637493 +:1015B000F7025247E24763F0E70285473ECE21A893 +:1015C000B2479C4352476366F7005247E24763F4D1 +:1015D000E70085473ECEB247524798C3F24789CFCE +:1015E00097F70100938787DE9C4391073E85EFF0D4 +:1015F0004F865245EF10001B11252AC8C24799E3B8 +:10160000EFE0DFE00100B25045618280797106D6DB +:101610002AC602CEB247A9C397F70100938707FCF9 +:101620009C4381C77370043001A05D2B97F70100C4 +:101630009387C7F89C433247BA973ECC97F701008F +:101640009387C7D89C4391073E85EFF08F80624512 +:10165000EF10401565232ACEF24799E3EFE01FDB38 +:101660000100B25045618280797106D62AC6B24720 +:101670003ECCE24781E77370043001A097F7010088 +:101680009387C7D49C4362476314F70002CEBDA082 +:10169000EF108059E247DC4B3ECAEF10C05B97F772 +:1016A00001009387E7EC9C435247630AF70097F7E2 +:1016B0000100938727EC9C4352476315F700894745 +:1016C0003ECE2DA8524797F701009387A7ED631BE5 +:1016D000F700E2479C5781E78D473ECE05A089473A +:1016E0003ECE29A8524797F70100938727EA631552 +:1016F000F70091473ECE19A085473ECEF2473E8582 +:10170000B25045618280797106D62AC6EF10C05169 +:10171000B24799E797F70100938747CB9C4311A005 +:10172000B2473ECEF247DC573ECCEF10C052E24704 +:101730003E85B25045618280797106D62AC6EFE0B7 +:10174000DFDAAA873ECEB24799E797F70100938781 +:10175000E7C79C4311A0B2473ECCE247DC573ECAE4 +:10176000F2473E85EFE07FD7D2473E85B2504561D4 +:101770008280797106D62AC62EC402CE2247A5479A +:1017800063F5E7007370043001A02247A54763F4B6 +:10179000E700A5473EC4EF102049B24799E797F705 +:1017A00001009387A7C29C4311A0B2473ECCE247F9 +:1017B000BC4B3ECA5247A247630AF70E2247D247A4 +:1017C00063F6E70297F70100938747C09C4362479F +:1017D0006308F70297F70100938747BF9C43DC57E4 +:1017E0002247636FF70085473ECE19A897F701009F +:1017F0009387C7BD9C4362476314F70085473ECE7D +:10180000E247DC573EC8E247B84BE247DC57631576 +:10181000F700E2472247D8D7E2472247B8CBE24752 +:101820009C4F63C707002947A2471D8FE24798CF07 +:10183000E247D44B4247BA878A07BA978A0717F715 +:1018400001001307E7C3BA976394F600854711A018 +:101850008147B9C7E24791073E85EFE09FDFE24746 +:10186000D85797F701009387A7D59C4363F9E70002 +:10187000E247D85797F70100938787D498C3E24788 +:10188000D857BA878A07BA978A0717F7010013074C +:1018900027BF3E97E2479107BE853A85EFE0DFD04C +:1018A000724785476314F700EFE05FB6EF10A03A88 +:1018B0000100B25045618280797106D62AC6EF10C8 +:1018C000A036B24799E797F70100938727B09C436A +:1018D00011A0B2473ECEF24791073E85EFE07FD799 +:1018E000F2479C5791C7F247E1073E85EFE07FD66C +:1018F000F2479107BE8517F501001305A5CAEFE071 +:10190000BFCAEF10403597F70100938727AC9C437F +:1019100072476319F70497F701009387A7CA9C439E +:1019200089CF97F70100938767CB9C4381C773707A +:10193000043001A0EFE09FAD91A097F701009387DD +:1019400067C6984397F70100938707C79C436319BD +:10195000F70097F70100938767A723A0070039A82E +:10196000212729A897F701009387C7C59C4399C7EA +:10197000EF10802BEF10C008EF10E02D0100B250E7 +:101980004561828001112AC602CEB2473ECCB247E1 +:1019900081E77370043001A0E247D84B97F701004C +:1019A000938747C06314F700854711A0814795C30B +:1019B000E247985797F70100938707BC630BF7003E +:1019C000E2479C5799E3854711A0814799C3854712 +:1019D0003ECEF2473E8505618280797106D62AC6E1 +:1019E000B2473ECEB24781E77370043001A0F247A0 +:1019F000C9C797F701009387679D9C437247630EA1 +:101A0000F706EF1060227245B53F2A8785476314B9 +:101A1000F706F24791073E85EFE0BFC3F247D8577C +:101A200097F701009387C7B99C4363F9E700F24732 +:101A3000D85797F701009387A7B898C3F247D857AC +:101A4000BA878A07BA978A0717F70100130747A3CF +:101A50003E97F2479107BE853A85EFE0FFB4F24723 +:101A6000D85797F70100938767969C43DC576364C8 +:101A7000F700EFE0BF99EF10001E0100B250456182 +:101A80008280797106D62AC602CEB2473ECCB247D2 +:101A900081E77370043001A0EFE03FA5AA873ECA3A +:101AA0006245CD352A8785476314F70897F701000B +:101AB0009387C7B29C43A5E7E247D85797F7010041 +:101AC0009387C7909C43DC576364F70085473ECEFD +:101AD000E24791073E85EFE0DFB7E247D85797F737 +:101AE00001009387E7AD9C4363F9E700E247D857CD +:101AF00097F701009387C7AC98C3E247D857BA87D6 +:101B00008A07BA978A0717F70100130767973E9766 +:101B1000E2479107BE853A85EFE01FA911A8E24789 +:101B2000E107BE8517F50100130505A5EFE0DFA766 +:101B3000D2473E85EFE07F9AF2473E85B25045613D +:101B40008280011106CE81480148814701478146C4 +:101B50001306004097E501009385C54A171500005C +:101B6000130585A5EFF06FF72AC632478547631541 +:101B7000F700EF10C06D2AC6324785476319F70298 +:101B80007370043097F70100938707A57D5798C3BA +:101B900097F70100938707A3054798C397F70100BC +:101BA0009387C7A123A00700EFE0AFEF31A0B247B2 +:101BB00081E77370043001A00100F240056182806A +:101BC000411106C67370043097F701009387879F11 +:101BD00023A00700EFE02FFB0100B240410182800B +:101BE00097F701009387879F9C431387170097F708 +:101BF00001009387A79E98C301008280011106CE41 +:101C000002C697F701009387679D9C4381E7737035 +:101C1000043001A0EF10400197F701009387079C63 +:101C20009C431387F7FF97F701009387279B98C37F +:101C300097F701009387879A9C436390071097F763 +:101C40000100938767979C436389070E59A097F714 +:101C5000010093876792DC47DC473EC4A247E10757 +:101C60003E85EFE01F9FA24791073E85EFE07F9EF4 +:101C7000A247D85797F70100938787949C4363F94D +:101C8000E700A247D85797F701009387679398C357 +:101C9000A247D857BA878A07BA978A0717E7010079 +:101CA0001307077E3E97A2479107BE853A85EFE06E +:101CB000BF8FA247D85797E70100938727719C43AE +:101CC000DC576368F70097F7010093872790054773 +:101CD00098C397F701009387278A9C43ADFB97F73A +:101CE00001009387678E9C438DCF35A0452AAA8734 +:101CF00099C797F701009387678D054798C397F7B2 +:101D000001009387678C9C431387F7FF97F70100C7 +:101D10009387878B98C397F701009387E78A9C4343 +:101D2000F1F797F701009387678A98438547631611 +:101D3000F70085473EC6EFE06FEDEF00D071B24788 +:101D40003E85F24005618280011106CEEF00D06D24 +:101D500097F70100938787869C433EC6EF00B06FDC +:101D6000B2473E85F24005618280011106CEEFE068 +:101D7000CFF7AA873EC697F70100938727849C4335 +:101D80003EC4B2473E85EFE04FF5A2473E85F240A4 +:101D90000561828097F70100938707829C433E8507 +:101DA0008280797106D62AC62EC432C202CEA947D5 +:101DB0003ECC3D3597F70100938707809C4322472F +:101DC0006368F70CE247FD173ECCF24796073247AF +:101DD000B306F7006247BA878A07BA978A0717E7F8 +:101DE00001001307E769BA970546BE853685EF00FF +:101DF000902A2A87F247BA973ECEE247E1F7F247A8 +:101E0000960732473E9797E70100938767769C4392 +:101E10000946BE853A85EF0010282A87F247BA970F +:101E20003ECEF247960732473E9797E701009387E9 +:101E300067749C430946BE853A85EF00D0252A8702 +:101E4000F247BA973ECEF24796073247BA97114605 +:101E500097E50100938585733E85EF00D0232A879F +:101E6000F247BA973ECEF24796073247BA970D46E9 +:101E700097E50100938505733E85EF00D0212A8701 +:101E8000F247BA973ECE924781C7924723A00700F8 +:101E9000B533F2473E85B25045618280797106D6EE +:101EA00002CE97E70100938767739C4363950718F9 +:101EB00097E70100938787709C431387170097E784 +:101EC00001009387A76F98C397E701009387076F77 +:101ED0009C433ECCE247B9EF97E7010093874769FF +:101EE0009C439C4381C77370043001A097E70100B5 +:101EF000938707689C433ECA97E7010093878767EB +:101F0000984397E701009387A76698C397E7010076 +:101F100093874766524798C397E701009387476BBB +:101F20009C431387170097E701009387676A98C35C +:101F3000EF00102D97E701009387076A9C436247E3 +:101F40006365F70C97E70100938787629C439C4386 +:101F500099E3854711A0814781CB97E701009387DB +:101F6000A7677D5798C355A097E7010093874760FF +:101F70009C43DC47DC473EC8C247DC433EC6624761 +:101F8000B2476379F70097E701009387E764324728 +:101F900098C3A5A8C24791073E85EFE08FEBC247E3 +:101FA0009C5791C7C247E1073E85EFE08FEAC247E1 +:101FB000D85797E701009387A7609C4363F9E70030 +:101FC000C247D85797E701009387875F98C3C247F6 +:101FD000D857BA878A07BA978A0717E70100130705 +:101FE000274A3E97C2479107BE853A85EFE0CFDB8F +:101FF000C247D85797E701009387473D9C43DC577A +:10200000E362F7F485473ECE35BF97E7010093873B +:10201000E73B9C43D85797E6010093866646BA870C +:102020008A07BA978A07B6979843854763F1E7020C +:1020300085473ECE29A897E701009387E7589C4340 +:102040001387170097E701009387075898C397E70E +:1020500001009387A7579C4399C385473ECEF2471B +:102060003E85B25045618280011106CE97E701009E +:102070009387C7569C4381CB97E701009387075509 +:10208000054798C339A297E701009387275423A0F7 +:10209000070097E70100938767339C439C5B3EC62C +:1020A000B7A7A5A59387575A3EC4B2479C4322477A +:1020B0006314F702B24791079C432247631EF7005F +:1020C000B247A1079C4322476318F700B247B10704 +:1020D0009C4322476308F70497E701009387072F83 +:1020E000984397E701009387672E9C4393874703A4 +:1020F000BE853A85EF20B00A35A097E701009387A7 +:10210000274C9C4381E77370043001A097E70100DE +:102110009387074B9C431387F7FF97E7010093874B +:10212000274A98C397E7010093878749984397E622 +:1021300001009386E634BA878A07BA978A07B6976A +:102140009C43C5DF97E70100938787479843BA8789 +:102150008A07BA978A0717E7010013076732BA9709 +:102160003EC29247DC43D8439247D8C39247D843F4 +:102170009247A1076317F7009247DC43D843924781 +:10218000D8C39247DC43D84797E7010093870724D9 +:1021900098C30100F24005618280797106D62AC693 +:1021A0002EC4B24781E77370043001A097E70100A5 +:1021B0009387C7219C43E107BE853245EFE0CFC23C +:1021C00097E70100938787209C4391073E85EFE0C6 +:1021D0004FC82247FD576310F70297E70100938726 +:1021E000E71E9C439107BE8517E501001305853B5B +:1021F000EFE08FBB19A897E701009387273C9C432A +:102200002247BA973ECE7245712B0100B25045610C +:102210008280797106D62AC62EC432C2B24781E7BF +:102220007370043001A097E701009387273B9C431C +:1022300081E77370043001A097E7010093870719C5 +:102240009C43A24637070080558F98CF97E701003F +:102250009387C7179C43E107BE853245EFE0CFB4B3 +:1022600097E70100938787169C4391073E85EFE02F +:102270004FBE1247FD576310F70297E7010093879F +:10228000E7149C439107BE8517E5010013058531CE +:10229000EFE08FB119A897E70100938727329C439D +:1022A0001247BA973ECE7245F5290100B2504561FA +:1022B0008280797106D62AC62EC432C2B24781E71F +:1022C0007370043001A097E70100938727109C43A7 +:1022D000E107BE853245EFE02FAD97E70100938718 +:1022E000E70E9C4391073E85EFE0AFB61247854766 +:1022F0006310F70297E701009387470D9C4391070E +:10230000BE8517E501001305E529EFE0EFA919A83F +:1023100097E701009387872A9C432247BA973ECECE +:10232000724549210100B25045618280797106D61B +:102330002AC6B247DC47DC473ECCE24781E77370F0 +:10234000043001A0E247E1073E85EFE08FB097E758 +:1023500001009387A7289C43A1EBE24791073E85A4 +:10236000EFE02FAFE247D85797E701009387472563 +:102370009C4363F9E700E247D85797E7010093874A +:10238000272498C3E247D857BA878A07BA978A079B +:1023900017E701001307C70E3E97E2479107BE8576 +:1023A0003A85EFE06FA011A8E247E107BE8517E587 +:1023B00001001305651CEFE02F9FE247D85797E710 +:1023C00001009387A7009C43DC5763FBE700854728 +:1023D0003ECE97E701009387671F054798C311A07A +:1023E00002CEF2473E85B25045618280797106D6B1 +:1023F0002AC62EC497E701009387471E9C4381E7B6 +:102400007370043001A02247B70700805D8FB24788 +:1024100098C3B247DC473ECCE24781E77370043093 +:1024200001A03245EFE0EFA2E24791073E85EFE0E1 +:102430004FA2E247D85797E70100938767189C435C +:1024400063F9E700E247D85797E7010093874717FA +:1024500098C3E247D857BA878A07BA978A0717E717 +:1024600001001307E7013E97E2479107BE853A85D1 +:10247000EFE08F93E247D85797E70100938707F57E +:102480009C43DC5763FBE70085473ECE97E701009E +:102490009387C713054798C311A002CEF2473E8524 +:1024A000B2504561828041112AC6B24781E77370FC +:1024B000043001A097E70100938787119843B24742 +:1024C00098C397E701009387670F9843B247D8C333 +:1024D000010041018280797106D62AC62EC4B24716 +:1024E00081E77370043001A0A24781E77370043064 +:1024F00001A01D2F97E701009387470C9C433ECC1A +:10250000A2479843FD576314F70002CE91A8B24743 +:10251000984397E701009387A70B9C43630AF70052 +:10252000B247DC4362476365F70085473ECE0DA89E +:10253000B247DC4362471D8FA2479C436370F7029A +:10254000A2479843B247D443E247B387F6403E9749 +:10255000A24798C33245813F02CE19A085473ECE9F +:10256000DD2DF2473E85B2504561828097E701003C +:102570009387C705054798C30100828001112AC6C9 +:10258000B24799C7B2473ECCE247FC473ECE11A0C6 +:1025900002CEF2473E850561828001112AC62EC413 +:1025A000B24791C7B2473ECEF2472247F8C7010073 +:1025B00005618280011106CE2AC6852297E70100B7 +:1025C000938707EC98438547E3F9E7FEEFD01FE4D4 +:1025D000EDB7397106DE2ACE2ECC32CA36C8BA879C +:1025E0002317F10002D625A06247B257BA9703C756 +:1025F0000700F246B257B697238AE7026247B257FE +:10260000BA9783C7070089CBB25785073ED63257A2 +:10261000BD47E3FBE7FC11A00100F247A3810704DB +:102620005247A54763F4E700A5473ECAF247524721 +:10263000D8D7F2475247B8CBF24723AA0704F2474C +:1026400091073E85EFD05FF5F247E1073E85EFD079 +:10265000BFF4F247724798CB2947D2471D8FF24704 +:1026600098CFF2477247D8D3F24723A20704F24724 +:1026700023AC0704F24723AE07040100F2502161A6 +:102680008280011106CE02C60DA03247BA878A07A2 +:10269000BA978A0717E70100130787DEBA973E85C6 +:1026A000EFD05FECB24785073EC63247A547E3FE51 +:1026B000E7FC17E50100130525E9EFD0BFEA17E5B0 +:1026C00001001305A5E9EFD0FFE917E501001305A7 +:1026D000A5EAEFD03FE917E50100130525EBEFD0A0 +:1026E0007FE817E501001305E5EBEFD0BFE797E7BB +:1026F00001009387E7E717E701001307E7E498C3B2 +:1027000097E70100938707E717E70100130707E53D +:1027100098C30100F24005618280011106CE8DA8A8 +:10272000EFF00FCC97E70100938747E69C4393B700 +:10273000170093F7F70F3EC6EFF04FCCB247A9EB67 +:10274000E52197E70100938767E4DC47DC473EC457 +:10275000A24791073E85EFD0DFEF97E7010093870F +:10276000A7E59C431387F7FF97E701009387C7E42A +:1027700098C397E701009387A7E29C431387F7FF6D +:1027800097E701009387C7E198C3F1212245912C77 +:1027900097E701009387C7E09C43D9F30100F2401B +:1027A00005618280011106CE2AC697E70100938752 +:1027B000E7C19C433247D8C397E70100938707E0FE +:1027C0009C4332476372F70297E70100938787DAE9 +:1027D000984397E70100938767BF9C439107BE85A5 +:1027E0003A85EFD07FE035A897E70100938747D877 +:1027F000984397E70100938767BD9C439107BE8587 +:102800003A85EFD07FDE97E701009387E7DC9C43B2 +:1028100032476378F70097E701009387E7DB324799 +:1028200098C30100F24005618280797106D6AA87BB +:102830002EC42317F100A24789EB8357E1008A07D2 +:102840003E85EF104051AA8711A0A2473ECCE24737 +:1028500085C313050006EF1000502ACEF24789C742 +:10286000F247624798DB31A06245EF10C06111A0CA +:1028700002CEF24781CFF247985B8357E1008A0787 +:102880003E869305500A3A85EF104075F2473E8523 +:10289000B25045618280397106DE22DC2AC62EC420 +:1028A00032C202D6A2479C43638D070EA2473ED494 +:1028B000A257DC43D843A257D8C3A257D843A25744 +:1028C000A1076317F700A257DC43D843A257D8C328 +:1028D000A257DC43DC473ED2A2473ED08257DC43BE +:1028E000D8438257D8C38257D8438257A10763176A +:1028F000F7008257DC43D8438257D8C38257DC4362 +:10290000DC473ECEB25796073247BA97724798C314 +:10291000B25796073247BA97724713074703D8C38F +:10292000B25796073247BA977247384798C7B25797 +:1029300096073247BA971247D8C7B2579607324719 +:10294000BA977247585798CB12478D47631BF700C9 +:10295000F2479C5799C7B25796073247BA9709472B +:10296000D8C7B25796073247BA977247384BD8CB79 +:10297000B25796073247BA9723AC0700F247945BE9 +:10298000B257960732473304F70036850D20AA87E1 +:10299000231EF400B25785073ED672479257E31DB7 +:1029A000F7F2B2573E85F2506254216182800111E4 +:1029B0002AC602CE39A0B24785073EC6F247850730 +:1029C0003ECEB24703C707009307500AE305F7FE60 +:1029D000F24789833ECEF247C207C1833E85056137 +:1029E0008280011106CE2AC6B2479C5B3E85EF105D +:1029F00080493245EF1020490100F2400561828094 +:102A0000411197E701009387A7B69C439C4399E344 +:102A1000854711A0814781CB97E701009387C7BB0A +:102A20007D5798C305A097E70100938767B49C433F +:102A3000DC47DC473EC6B247D84397E7010093879F +:102A4000A7B998C3010041018280411197E70100B5 +:102A50009387C7979C433EC6B2473E85410182801B +:102A6000411197E701009387E7B59C4381E78547CC +:102A70003EC619A897E70100938747B69C4381E7B4 +:102A800089473EC611A002C6B2473E8541018280F9 +:102A9000797106D62AC6B2473ECEB247F9C3F2478D +:102AA000D85797E70100938767929C43DC57637A76 +:102AB000F70AF2479C4F63CC070097E70100938722 +:102AC000E7909C43DC5729471D8FF24798CFF24788 +:102AD000D44BF247D857BA878A07BA978A0717E7BD +:102AE00001001307E799BA976394F600854711A090 +:102AF0008147A5C3F24791073E85EFD09FB597E781 +:102B000001009387A78C9C43D857F247D8D7F24748 +:102B1000D85797E701009387A7AA9C4363F9E7007A +:102B2000F247D85797E70100938787A998C3F247E0 +:102B3000D857BA878A07BA978A0717E70100130799 +:102B400027943E97F2479107BE853A85EFD0DFA5DF +:102B500009A897E70100938767879C43D857F247F6 +:102B6000D8D70100B25045618280797106D62AC655 +:102B7000B2473ECC02CEB247DDC397E70100938750 +:102B8000E7849C4362476305F7007370043001A03B +:102B9000E247FC4B81E77370043001A0E247FC4B35 +:102BA0001387F7FFE247F8CBE247D857E247BC4B21 +:102BB0006307F706E247FC4BBDE3E24791073E851A +:102BC000EFD03FA9E247B84BE247D8D7E247DC57FE +:102BD00029471D8FE24798CFE247D85797E7010072 +:102BE0009387079E9C4363F9E700E247D85797E72E +:102BF00001009387E79C98C3E247D857BA878A07B2 +:102C0000BA978A0717E70100130787873E97E247BD +:102C10009107BE853A85EFD03F9985473ECEF24772 +:102C20003E85B250456182807370043097E70100A1 +:102C3000938747999C4391CF97D701009387077952 +:102C40009C43F8430507F8C397D70100938707789B +:102C50009C430100828097E701009387A7969C43DD +:102C60008DCB97D70100938767769C43FC4395C330 +:102C700097D70100938787759C43F8437D17F8C366 +:102C800097D70100938787749C43FC4399E3736053 +:102C9000043001008280411197D7010093870773A8 +:102CA0009C439C4F3EC697D70100938727729C4355 +:102CB000D85797D70100938767719C43A9463387FC +:102CC000E64098CFB2473E854101828097D7010008 +:102CD0009387C76F9C4389CB97D701009387076F72 +:102CE0009C43F84B0507F8CB97D701009387076EF5 +:102CF0009C433E858280797106D62AC62EC42D3724 +:102D000097D701009387876C9C43BC4FADE397D75F +:102D100001009387A76B9C430547F8CFA247A1CB3F +:102D200097D701009387876A9C4391073E85EFD030 +:102D30005F922247FD576310F70297D701009387F0 +:102D4000E7689C439107BE8517E50100130585855B +:102D5000EFD09F8519A897E70100938727869C43AA +:102D60002247BA973ECE7245353CEFD02FEAE53583 +:102D7000653D97D70100938767659C43BC4F3ECC68 +:102D8000E2479DC3B24789CB97D701009387076479 +:102D90009C4323AC070409A897D7010093870763D6 +:102DA0009C43B84F7D17B8CF97D701009387076230 +:102DB0009C4323AE07044535E2473E85B25045614A +:102DC0008280797106D62AC62EC432C236C0A93D89 +:102DD00097D701009387875F9C43F84F8947630D1E +:102DE000F70697D701009387675E9C43B44F32473D +:102DF0001347F7FF758FB8CF97D701009387075D0B +:102E00009C430547F8CF8247A1CB97D70100938712 +:102E1000E75B9C4391073E85EFD0BF830247FD5798 +:102E20006310F70297D701009387475A9C43910795 +:102E3000BE8517D501001305E576EFD0EFF619A88A +:102E400097D70100938787779C430247BA973ECC78 +:102E50006245893AEFD08FDBFD3BF933924789CB4E +:102E600097D70100938787569C43B84F924798C3E2 +:102E700097D70100938787559C43F84F8547631484 +:102E8000F70002CE31A897D70100938727549C43BF +:102E9000B44F22471347F7FF758FB8CF85473ECE13 +:102EA00097D70100938787529C4323AE070465336D +:102EB000F2473E85B25045618280797106D62AC6B6 +:102EC0002EC432C236C085473ECEB24781E773700A +:102ED000043001A0B2473ECC813B824789C7E2471C +:102EE000B84F824798C3E247FC4F3ECAE2470947C2 +:102EF000F8CF1247914763EEE704924713972700F4 +:102F000097D7010093878710BA97984397D7010006 +:102F10009387C70FBA978287E247B84FA2475D8F62 +:102F2000E247B8CF3DA0E247BC4F13871700E24706 +:102F3000B8CF05A0E2472247B8CF21A8524789471A +:102F40006306F700E2472247B8CF21A002CE11A0C6 +:102F5000010052478547631AF706E24791073E850D +:102F6000EFD02FEFE247D85797D7010093874765F7 +:102F70009C4363F9E700E247D85797D7010093874E +:102F8000276498C3E247D857BA878A07BA978A074F +:102F900017D701001307C74E3E97E2479107BE853A +:102FA0003A85EFD06FE0E2479C5781C773700430D9 +:102FB00001A0E247D85797D70100938727419C4348 +:102FC000DC5763F4E700EFD06FC47131F2473E8500 +:102FD000B25045618280397106DE2ACE2ECC32CACB +:102FE00036C83AC685473ED6F24781E7737004304B +:102FF00001A0F2473ED4EFD04FCFAA873ED2C247BE +:1030000089C7A257B84FC24798C3A257FC4F3ED0BA +:10301000A2570947F8CF5247914763EEE704D247DA +:103020001397270097D70100938787FFBA97984394 +:1030300097D701009387C7FEBA978287A257B84FE8 +:10304000E2475D8FA257B8CF3DA0A257BC4F138770 +:103050001700A257B8CF05A0A2576247B8CF21A842 +:10306000025789476306F700A2576247B8CF21A0ED +:1030700002D611A0010002578547631DF708A25729 +:103080009C5781C77370043001A097D701009387C4 +:10309000E7549C43A1EBA25791073E85EFD06FDB2D +:1030A000A257D85797D70100938787519C4363F95C +:1030B000E700A257D85797D701009387675098C366 +:1030C000A257D857BA878A07BA978A0717D7010035 +:1030D0001307073B3E97A2579107BE853A85EFD06D +:1030E000AFCC11A8A257E107BE8517D50100130583 +:1030F000A548EFD06FCBA257D85797D70100938739 +:10310000E72C9C43DC5763F7E700B24781C7B2471F +:10311000054798C392573E85EFD02FBCB2573E85E6 +:10312000F25021618280797106D62AC62EC4B24738 +:1031300081E77370043001A0B2473ECEEFD0EFBA02 +:10314000AA873ECCF247FC4F3ECAF2470947F8CF68 +:10315000F247BC4F13871700F247B8CF5247854755 +:10316000631DF708F2479C5781C77370043001A0B4 +:1031700097D70100938787469C43A1EBF2479107BD +:103180003E85EFD00FCDF247D85797D701009387F0 +:1031900027439C4363F9E700F247D85797D70100CC +:1031A0009387074298C3F247D857BA878A07BA97D6 +:1031B0008A0717D701001307A72C3E97F2479107FC +:1031C000BE853A85EFD04FBE11A8F247E107BE8514 +:1031D00017D501001305453AEFD00FBDF247D85778 +:1031E00097D701009387871E9C43DC5763F7E7005E +:1031F000A24781C7A247054798C3E2473E85EFD063 +:10320000CFAD0100B25045618280797106D62AC6E1 +:10321000B2473ECCE24799E797D701009387071B57 +:103220009C4311A0E2473ECC0134E247F84F894766 +:103230006318F700E24723AE070485473ECE11A08E +:1032400002CE113CF2473E85B250456182800111A9 +:1032500006CE02C61D2B97D701009387673B9C4380 +:103260009DC38148014881470947814613060040B4 +:1032700097D50100938505DC170500001305051B94 +:10328000EFE0AF852AC6B24781E77370043001A032 +:10329000B2473E85F24005618280397106DE2ACE52 +:1032A0002ECC32CA36C83AC6E24799E302D62DA8D8 +:1032B0001305C002EF00302A2AD6B25795C7F12174 +:1032C000B257724798C3B257624798CFB257524726 +:1032D000D8CFB257424798D3B2573247D8D3B25714 +:1032E00091073E85EFD04FABE24781E77370043022 +:1032F00001A0B2573E85F25021618280397106DE0D +:103300002ACE2ECC32CA36C83AC602D6F24781E758 +:103310007370043001A097D701009387672F9C43F7 +:10332000B5CBE2473ED0D2473ED2F2473ED46247C9 +:10333000954763C4E704EFF0AFF22A87894763102B +:10334000F70297D701009387A72C9C43181081465A +:103350003246BA853E85EFD0AFE02AD625A897D76A +:1033600001009387E72A9C43181081460146BA85DD +:103370003E85EFD0EFDE2AD631A897D7010093879C +:1033800027299C43181081464246BA853E85EFD0D6 +:103390000FF32AD6B2573E85F25021618280011187 +:1033A0002AC6B2473ECEB24781E77370043001A00F +:1033B000F2479C433E8505618280797106D62AC614 +:1033C0002EC497D70100938727249C43DC47DC4712 +:1033D0003ECEF24791073E85EFD0AFA7F247D84FD8 +:1033E0008547631BF702F247984FB247BA97B24638 +:1033F0002246BE857245B9222A878547631EF7009B +:1034000001478146324681457245CD3D2ACCE2478F +:1034100081E77370043001A0F247DC537245829754 +:103420000100B25045618280797106D62AC63C08F7 +:103430003E8541282ACEE247BE8572451920692281 +:10344000FDB7797106D62AC62EC4EFE06FF93C08A5 +:103450003E8545282ACEE247B9EFA24799EB32478D +:10346000F24763E8E700EFE06FF9F2453245B13724 +:10347000A9A0A24781CF97D70100938727199C4322 +:103480009C4393B7170093F7F70F3EC497D70100FB +:103490009387071894433247F247B307F740224611 +:1034A000BE853685EFD0FFDDEFE04FF5AA8791E7C7 +:1034B000EFC0DFF519A0EFE06FF40100B2504561F5 +:1034C000828001112AC697D701009387E7139C4396 +:1034D0009C4393B7170093F7F70F3E87B24798C303 +:1034E000B2479C4391EB97D701009387E7119C4328 +:1034F000DC479C433ECE11A002CEF2473E850561DB +:103500008280797106D62AC6EFE01F842ACE97D72B +:103510000100938727109C4372476377F700692A5D +:10352000B247054798C321A0B24723A0070097D709 +:1035300001009387270E724798C3F2473E85B25029 +:1035400045618280797106D62AC62EC432C236C041 +:1035500002CEB2472247D8C3B247324798CB224760 +:10356000924763E8E702124782471D8FB2479C4F9C +:103570006365F70085473ECE99A097D701009387F2 +:10358000E7089843B2479107BE853A85EFD0CF85CB +:103590003DA0124782476379F7002247824763655F +:1035A000F70085473ECE21A897D701009387C7052E +:1035B0009843B2479107BE853A85EFD0EF82F24734 +:1035C0003E85B25045618280797106D6D9A0A24766 +:1035D00063C0070CC2473ECEF247DC4B91C7F247AF +:1035E00091073E85EFD0EF865C003E85193F2ACCDF +:1035F000A2472547636FF7081397270097D7010065 +:103600009387C7A3BA97984397D70100938707A3D7 +:10361000BA9782873247F2479C4FBA973247BA86A9 +:103620006246BE857245393F2A8785476313F70690 +:10363000F247DC5372458297F247D84F8547631AA9 +:10364000F7043247F2479C4FBA97014781463E86BE +:103650008145724565312ACAD24785EF73700430BF +:1036600001A03247F24798CFF2479C4F81E7737031 +:10367000043001A0F247984FE247BA97E24662460B +:10368000BE857245C13531A07245EF00C07F11A0E3 +:10369000010097D701009387A7F79C433800814624 +:1036A0000146BA853E85EFD06FD8AA87E39107F22D +:1036B0000100B25045618280797106D671A097D71A +:1036C0000100938767F49C43DC479C433ECC97D72B +:1036D0000100938767F39C43DC47DC473ECAD2472F +:1036E00091073E85EFC0FFF6D247DC5352458297E3 +:1036F000D247D84F85476319F704D2479C4F62479A +:10370000BA973EC84247E24763F4E702D2474247CE +:10371000D8C3D247524798CB97D701009387C7EEBB +:103720009843D2479107BE853A85EFC0FFEB29A8A1 +:1037300001478146624681455245C9362AC6B2478D +:1037400081E77370043001A097D701009387C7EB1E +:103750009C439C43ADF797D701009387E7EA9C43CE +:103760003ECE97D70100938767EA984397D7010029 +:10377000938787E998C397D70100938727E9724712 +:1037800098C30100B25045618280411106C6EFF036 +:10379000AFC997D701009387A7E79C43C9E717D51F +:1037A00001001305E5E3EFC0FFDB17D501001305AA +:1037B00065E4EFC03FDB97D701009387E7E417D7B5 +:1037C00001001307E7E198C397D70100938707E447 +:1037D00017D70100130707E298C30146B145114509 +:1037E000EFC07FF72A8797D70100938767E298C3D6 +:1037F00097D701009387C7E19C4381E7737004303A +:1038000001A097D701009387A7E09C4389CF97D762 +:1038100001009387E7DF9C4397D50100938585845A +:103820003E85EFD05F9CEFF00FC30100B240410135 +:103830008280797106D62AC6B2473ECEB24781E76A +:103840007370043001A0EFF02FBEF247DC4BB337AA +:10385000F00093F7F70F3ECCEFF0EFBFE2473E8565 +:10386000B25045618280797106D62AC6B2473ECEF3 +:10387000B24781E77370043001A0EFF0EFBAF2476E +:103880009C533ECCEFF02FBDE2473E85B2504561E0 +:103890008280797106D62AC62EC4B2473ECEB24780 +:1038A00081E77370043001A0EFF00FB8F2472247B0 +:1038B00098D3EFF04FBA0100B250456182800111F8 +:1038C00006CE714549292AC6B24789CBB24723A003 +:1038D0000700B24791073E85EFC0DFC8B2473E857B +:1038E000F24005618280397106DE2AC62EC432C2DA +:1038F00036C0B2473ED402D21247B70700FFF98F55 +:1039000081C77370043001A0924781E7737004305F +:1039100001A0EFF0EF94AA8799E3824799E38547E6 +:1039200011A0814781E77370043001A0EFE04FAB35 +:10393000A2579C433ED0A245324585240257A24758 +:103940005D8F9247F98F12476310F7020257A24723 +:10395000D98F3ED6A2579843924793C7F7FF7D8FE2 +:10396000A25798C302C025A0824799CFA257938639 +:1039700047001247B7070005D98F0246BE85368536 +:10398000EFE03F8902D621A0A2579C433ED6EFE04C +:10399000EFA62ACE8247B1CBF24799E3EFC01FA72B +:1039A000EFF06FAF2AD63257B7070002F98F85EBD9 +:1039B000EFF08FA7A2579C433ED632579247F98F1C +:1039C0001247631AF700A2579843924793C7F7FF2D +:1039D0007D8FA25798C3EFF00FA885473ED232578C +:1039E000B7070001FD17F98F3ED6B2573E85F2505A +:1039F000216182805D7186C62ACE2ECC32CA36C83D +:103A00003AC6F2473EDA02DC02D8F24781E7737029 +:103A1000043001A06247B70700FFF98F81C77370B8 +:103A2000043001A0E24781E77370043001A0EFF099 +:103A30002F83AA8799E3B24799E3854711A081476D +:103A400081E77370043001A0EFE08F99D2579C4357 +:103A50003ED64246E245325575242AD4A25799CF24 +:103A6000B2573EDE02C6D247A9CBD2579843E247AF +:103A700093C7F7FF7D8FD25798C381A0B24781E7E4 +:103A8000B2573EDE1DA8D24791C76257B707000163 +:103A9000D98F3EDCC24791C76257B7070004D98F60 +:103AA0003EDCD257938647006247E257D98F3246B1 +:103AB000BE853685EFE0EFF502DEEFE02F942AD2E7 +:103AC000B247B9CB925799E3EFC05F94EFF0AF9C48 +:103AD0002ADE7257B7070002F98F8DEBEFF0CF9413 +:103AE000D2579C433EDE4246E2457255212CAA87BE +:103AF00099CBD24789CBD2579843E24793C7F7FF78 +:103B00007D8FD25798C3EFF00F9502D87257B70741 +:103B10000001FD17F98F3EDEF2573E85B640616128 +:103B20008280797106D62AC62EC4B2473ECEB247ED +:103B300081E77370043001A02247B70700FFF98FB7 +:103B400081C77370043001A0EFF00F8EF2479C43E1 +:103B50003ECCF2479843A24793C7F7FF7D8FF247C9 +:103B600098C3EFF04F8FE2473E85B25045618280A7 +:103B7000797106D62AC6B2473ECEEFC01F97AA87F4 +:103B80003ECCF2479C433ECAE2473E85EFC0FF94DD +:103B9000D2473E85B250456182805D7186C62AC695 +:103BA0002EC402DCB2473ED802DAB24781E7737016 +:103BB000043001A02247B70700FFF98F81C7737057 +:103BC000043001A0C25791073ED6B257A1073ED498 +:103BD000EFE00F81B257DC473EDEC2579843A24761 +:103BE0005D8FC25798C3BDA8F257DC433ED2F2574F +:103BF0009C433ED002DA0257B70700FFF98F3ECE52 +:103C00000257B7070001FD17F98F3ED07247B7077B +:103C10000004F98F89EBC25798438257F98F89CFF7 +:103C200085473EDA11A8C25798438257F98F025749 +:103C30006314F70085473EDAD2579DC37247B70732 +:103C40000001F98F89C762578257D98F3EDCC2576E +:103C50009843B7070002D98FBE857255EFE00FF980 +:103C600092573EDE7257A257E310F7F8C2579843B7 +:103C7000E25793C7F7FF7D8FC25798C3EFD01FF865 +:103C8000C2579C433E85B64061618280797106D6F9 +:103C90002AC6B2473ECEF24791073ECCEFD05FF442 +:103CA0000DA0E247D847E247A1076315F7007370FC +:103CB000043001A0E247DC47B70500023E85EFE093 +:103CC000EFF2E2479C43F1FF7245752AEFD01FF3F4 +:103CD0000100B25045618280011106CE2AC62EC471 +:103CE000A24532455D3D0100F2400561828001112F +:103CF00006CE2AC62EC4A245324525350100F24023 +:103D00000561828001112AC62EC432C202CE9247BA +:103D100081EB3247A247F98F81CF85473ECE09A874 +:103D20003247A247F98F22476314F70085473ECEFA +:103D3000F2473E850561828001112AC6B2473ECC1A +:103D4000B24799E302CE21A0E2479C4F3ECEF24714 +:103D50003E8505618280397106DE2AC602D2EFD027 +:103D60003FE8976703009387278C9C4381EB55229C +:103D7000976703009387478B054798C3B24789CF5E +:103D8000A1473E87B247BA973EC6B2478D8B89C7D7 +:103D9000B247F19B91073EC6B247F9CB3247E56780 +:103DA000ED1763E7E70C976703009387E7863ED438 +:103DB00097670300938747869C433ED631A0B2574E +:103DC0003ED4B2579C433ED6B257DC43324763F5EC +:103DD000E700B2579C43E5F7325797670300938794 +:103DE00027846307F708A2579C432147BA973ED21E +:103DF000B2579843A25798C3B257D843B247B307B4 +:103E0000F740214706076378F7043257B247BA975D +:103E10003ECEB257D843B2471D8FF247D8C3B257F0 +:103E20003247D8C3F247DC433ECC97570300938711 +:103E3000A77E3ED021A082579C433ED082579C4310 +:103E4000DC436247E3E9E7FE82579843F24798C3B1 +:103E50008257724798C397C7010093876758984362 +:103E6000B257DC431D8F97C701009387675798C3EC +:103E7000EFD0DFD8925799E3EF00D03192573E85CB +:103E8000F25021618280797106D62AC6B2473ECCB3 +:103E9000B247BDC3A147B307F0406247BA973ECCD3 +:103EA000E2473ECAEFD0DFD3D247DC433EC8975744 +:103EB0000300938767763ECE21A0F2479C433ECE17 +:103EC000F2479C43DC434247E3E9E7FEF24798436D +:103ED000D24798C3F247524798C3D247D84397C7AF +:103EE00001009387E74F9C433E9797C70100938754 +:103EF000274F98C3EFD09FD00100B2504561828018 +:103F000097C701009387C74D9C433E85828001007F +:103F10008280411197C7010093874770F19B3EC68D +:103F2000975703009387476F324798C3975703000B +:103F30009387876E23A20700975703009387476EE6 +:103F400065677117D8C3975703009387676D23A0E0 +:103F50000700B2473EC4A24765677117D8C3A2479E +:103F6000175703001307C76B98C3010041018280F4 +:103F700001112AC62EC432C2A2473ECEB2473ECC61 +:103F80003247A247D98F8D8BA1E319A8F2479843F6 +:103F9000E24798C3E24791073ECCF24791073ECEF5 +:103FA0009247F5173247BA976247E361F7FE29A8AF +:103FB0007247930717003ECEE2479386170036CC30 +:103FC000034707002380E70032479247BA976247CA +:103FD000E360F7FEB2473E850561828001112AC683 +:103FE0002EC432C232479247D98F8D8B9DEFA247A4 +:103FF00093F7F70F3ECAD247A2075247D98F3ECA5E +:10400000D247C2075247D98F3ECAB2473ECE39A0E7 +:10401000F247138747003ACE524798C33247924738 +:10402000BA977247E366F7FE1DA0B2473ECC11A8CF +:10403000E247138717003ACC22471377F70F238004 +:10404000E70032479247BA976247E363F7FEB24709 +:104050003E850561828001112AC6B2473ECE21A06D +:10406000F24785073ECEF24783C70700F5FB72474C +:10407000B247B307F7403E850561828001112AC629 +:104080002EC4B247138717003AC683C70700A30F91 +:10409000F100A247138717003AC483C70700230F14 +:1040A000F1008347F10199C70347F1018347E1011B +:1040B000E309F7FC0347F1018347E101B307F74048 +:1040C0003E850561828001112AC62EC4B2473ECECC +:1040D00001002247930717003EC4F247938617005A +:1040E00036CE034707002380E70083C70700F5F3B8 +:1040F000B2473E850561828001112AC602CE02CCFC +:1041000021A0B24785073EC6B24703C70700930701 +:104110000002E308F7FEB24703C707009307D00287 +:104120006309F700B24703C707009307B0026311A2 +:10413000F704B24783C70700938737FD93B717008B +:1041400093F7F70F3ECCB24785073EC615A07247DE +:10415000BA878A07BA9786073ECEB24713871700F9 +:104160003AC683C70700938707FD7247BA973ECECA +:10417000B24783C70700E1FFE24789C7F247B307A9 +:10418000F04011A0F2473E850561828001112AC6E8 +:10419000975703009387074B9C4393861700175745 +:1041A00003001307274A14C332471377F70F9756B4 +:1041B00003009386264DB6972380E7003247A94730 +:1041C000630BF700975703009387C74798439307FC +:1041D00000046315F704975703009387A74A3ECE60 +:1041E00005A8F247138717003ACE03C70700B707A1 +:1041F00010F0938707F098C397570300938787447D +:104200009C431387F7FF975703009387A74398C3EF +:1042100097570300938707439C43E1F781473E8507 +:10422000056182804D7123261114232481142322D9 +:10423000911423202115232E3113232C4113232ADB +:1042400051132ACE2ECC32C836CA3AC63EC442C218 +:1042500023260112B2473E8A814AC24752485286FB +:10426000D6863E85C285EF007066AA872E883E8678 +:10427000C2868327C112138717002326E1123287D3 +:104280008A07141AB69723AEE7EEB2473E848144FC +:10429000D247268763E8E702D24726876396E7007E +:1042A000C247228763E0E702B2473E8981494A86D6 +:1042B000CE864245D245EF00B003AA872E883EC87D +:1042C00042CA49BF010029A0F247E2451245829740 +:1042D000A2471387F7FF3AC40327C112E346F7FE4C +:1042E00025A88327C1128A07181ABA9703A7C7EF10 +:1042F0008327C1128A07141AB69783A6C7EFA5476A +:1043000063F5D7009307700519A093070003BA97C8 +:104310007247E2453E8502978327C1121387F7FF54 +:104320002326E112E34FF0FA01008320C114032495 +:10433000811483244114032901148329C113032AFE +:104340008113832A41137161828041112AC62EC4D0 +:10435000A2460547635ED700B2479C439D07E19B99 +:1043600093868700324714C303A847009C4335A0B7 +:10437000224719CB3247184313064700B24690C272 +:104380001843BA87014811A832471843130647005B +:10439000B24690C21843BA8701483E85C2854101A2 +:1043A000828041112AC62EC4A2460547635ED7000B +:1043B000B2479C439D07E19B93868700324714C315 +:1043C00003A847009C4305A8224701CF3247184362 +:1043D00013064700B24690C21843BA877D873A88D1 +:1043E00019A83247184313064700B24690C2184333 +:1043F000BA877D873A883E85C285410182803971BE +:1044000006DE22DC26DA2AC62EC432C236C011A845 +:1044100063060424924785073EC2B247A24522851F +:104420008297924783C707003E8493075002E311A7 +:10443000F4FE924785073EC292473EC893070002AA +:10444000A30BF100FD573ECEFD573ECC02D0924764 +:10445000138717003AC283C707003E849307D4FD31 +:10446000130750056363F71E1397270097C70100D2 +:10447000938747C0BA97984397C70100938787BF30 +:10448000BA9782879307D002A30BF100C9B79307AD +:104490000003A30BF10065BF02CC6247BA878A070D +:1044A000BA978607A297938707FD3ECC924783C7AA +:1044B00007003E849307F00263D6870293079003B8 +:1044C00063C28702924785073EC2C1BF82471387F6 +:1044D00047003AC09C433ECC39A0F247E3D907F6E7 +:1044E00002CEB5B70100F247E3D307F6E2473ECE6E +:1044F000FD573ECCA9BF825785073ED089BF824772 +:10450000138747003AC09C433247A2453E85029735 +:10451000A9A28247138747003AC0844389E497C41D +:1045200001009384A4B4F2476356F0040347710179 +:104530009307D0026300F704E247BE8526857923FE +:104540002A87F247998F3ECE11A8834771013247DF +:10455000A2453E850297F247FD173ECEF247E3465D +:10456000F0FE09A8B247A245228582978504F2474A +:10457000FD173ECE83C704003E841DC0E247E3C35F +:1045800007FEE247FD173ECCE247E3DD07FC09A842 +:10459000B247A245130500028297F247FD173ECEAF +:1045A000F247E347F0FE55A88A8782553E85D53B02 +:1045B000AA872E883ED442D6A2573258C28763D9E2 +:1045C0000702B247A2451305D0028297A255325680 +:1045D00081470148B386B740368533B5A700330716 +:1045E000C840B307A7403E87B6873A883ED442D634 +:1045F000A9473ED21DA8A9473ED215A0A1473ED249 +:1046000039A885473ED0B247A245130500038297DB +:10461000B247A245130580078297C1473ED28A87D9 +:1046200082553E851D332AD42ED6125783477101F9 +:104630003E88F2472256B256A2453245E53631A8A9 +:10464000B247A2452285829709A8B247A245130521 +:1046500050028297C2473EC20100E1B30100F2500E +:104660006254D2542161828041112AC6B70710F0EA +:10467000938707F2324798C301A05D7106D62AC618 +:104680002EDA32DC36DEBAC0BEC2C2C4C6C69C0850 +:1046900091173ECEF247BE8632468145170500008F +:1046A000130505AFA93B81473E85B2506161828009 +:1046B00001112AC62EC41EC2A2473ECEF2479C4319 +:1046C00032471377F70F2380E700F2479C431387A5 +:1046D0001700F24798C30100056182801D7106DE54 +:1046E0002AC62EC4B2C4B6C6BAC8BECAC2CCC6CECA +:1046F0009C103ED25C084C0817060000130686FB8F +:104700007D779346F7FF0565130505803388A5007F +:104710003378E8001368783B23A007013295698F4E +:1047200013677733D8C333F7D5009315470137871D +:104730000300130737394D8F98C73377D600931688 +:1047400047013707030013077706558FD8C70F10A7 +:104750000000B2473ED69C10A1173ED422575C08F9 +:104760003E857C00BA862246BE855139B2472380F9 +:104770000700B2473E87B257B307F7403E85F25075 +:10478000256182804111370510F0130545F4084179 +:104790002AC6370510F0130505F408412AC4370569 +:1047A00010F0130545F40841B248E39EA8FC3245D9 +:1047B0002A8381431317030081462245AA850146B7 +:1047C000B3E7B6003368C700370710F0130587F466 +:1047D0008565938505FA0146B386B700B688B3B8F8 +:1047E000F8003307C800B387E8003E87B6873A88E9 +:1047F0001CC123220501010041018280411106C62E +:1048000051379307000873A047300100B2404101BF +:104810008280011106CE2AC617C501001305059B2B +:10482000EFF0BFE50100F24005618280011106CE84 +:104830002AC617C501001305E599EFF01FE4010032 +:10484000F24005618280797106D62AC602CE29A07F +:104850000100F24785073ECE724785679387773818 +:10486000E3D8E7FE17C5010013054597EFF0FFE019 +:10487000F1BF411106C601450D2A0100B2404101B8 +:104880008280011106CE02C6ED222A878547630C7D +:10489000F70017C501001305E594EFF01FDEB247DE +:1048A00093E727003EC6B2473E85F240056182800D +:1048B000011106CE02C6753F1707000013076704F3 +:1048C000814605469305400617C50100130545932B +:1048D000EFE0BF9C2AC6B24799CBEFD0EFC6AA87BC +:1048E000014781463E8685453245EFE03FA102C43F +:1048F000EFD02FA581473E85F24005618280797116 +:1049000006D62AC697C70100938787AC9C431387B6 +:10491000470697C701009387A7AB98C397C70100C5 +:10492000938747AB9C431387470697C7010093873C +:1049300067AA98C397C70100938787A998439307ED +:10494000F07C63D3E70497C70100938767A89C4373 +:104950001387078397C70100938787A798C31537E5 +:104960002ACEF24799EB97C701009387A7A69843F1 +:1049700085679387F77663DBE700F2473E85EFF0C4 +:10498000BFCEEFD0EFA321A0010011A00100B250D3 +:10499000456182807370043001A001008280411162 +:1049A0002AC62EC47370043001A0797106D62AC6B7 +:1049B000231F0100A1A08317E10113972700975738 +:1049C0000300938727D0B306F70081480148814749 +:1049D00032471306004097C50100938525831705CC +:1049E000000013050503EFC05F8F8317E101C207C5 +:1049F000C1838507C207C183231FF1000317E101AB +:104A00008D47E3DAE7FA0100B2504561828079719F +:104A100006D62AC6231F0100B2473ECC9307B00733 +:104A20003ECA5247B797030093877744BA973ECA66 +:104A3000D2473E878A07B307F7403ECAD2479D4513 +:104A40003E85EF00F03AAA873ECA52479D7793878A +:104A5000B7716305F7008547231FF1008317E10154 +:104A6000D5FFEFE06F9CE247054798C3EFE0AF9EAC +:104A700075B7411185473EC6231501003DA8831730 +:104A8000A100175703001307E7C38A07BA979C438F +:104A900091E302C68317A10017570300130787C2CB +:104AA0008A07BA9723A007008317A100C207C18312 +:104AB0008507C207C1832315F1000317A1008D47A5 +:104AC000E3DFE7FAB2473E8541018280B305B500D6 +:104AD000930705006386B70003C707006316070046 +:104AE0003385A74067800000938717006FF09FFE13 +:104AF000130101FD23229102232A510123261102D1 +:104B00002324810223202103232E3101232C410160 +:104B1000232861012326710123248101232291018D +:104B20002320A101930A050093840500639E0638A3 +:104B3000130406009309050017B901001309896DD4 +:104B400063F8C512B7070100138B05006378F610F0 +:104B50001307F00F3337C70013173700B357E600BA +:104B60003309F900834609003387E6009306000203 +:104B7000B386E640638C0600B394D40033D7EA00D2 +:104B80003314D600336B9700B399DA00935A0401BB +:104B900093850A0013050B00EF00902A1309050006 +:104BA00093850A00931B040113050B00EF00D0242A +:104BB00093DB0B01930405009305050013850B009F +:104BC000EF00D0201319090193D70901B367F90049 +:104BD000138A040063FEA700B3878700138AF4FFDB +:104BE00063E8870063F6A700138AE4FFB3878700B2 +:104BF000B384A74093850A0013850400EF00502476 +:104C00001309050093850A0013850400EF00D01EE8 +:104C100093990901930405009305050013190901EF +:104C200013850B0093D90901EF00501AB3693901BC +:104C30001386040063FCA900B30934011386F4FF52 +:104C400063E6890063F4A9001386E4FF13140A01E4 +:104C50003364C400130A00006F000013B70700019B +:104C600013070001E36CF6EE130780016FF01FEFEE +:104C7000138A0600631A060093050000130510004E +:104C8000EF00901713040500B7070100637EF412CC +:104C90009307F00F63F48700130A8000B3574401B1 +:104CA0003309F9000347090093060002330747015F +:104CB000B386E64063940612B3848440130A10005E +:104CC000135B040193050B0013850400EF005017DC +:104CD0001309050093050B0013850400931B0401C1 +:104CE000EF00901193DB0B01930405009305050081 +:104CF00013850B00EF00900D1319090193D70901DB +:104D0000B367F900938A040063FEA700B3878700A6 +:104D1000938AF4FF63E8870063F6A700938AE4FFB1 +:104D2000B3878700B384A74093050B001385040065 +:104D3000EF0010111309050093050B001385040003 +:104D4000EF00900B9399090193040500930505006A +:104D50001319090113850B0093D90901EF001007FE +:104D6000B36939011386040063FCA900B309340157 +:104D70001386F4FF63E6890063F4A9001386E4FF59 +:104D800013940A013364C4001305040093050A0058 +:104D90008320C102032481028324410203290102EA +:104DA0008329C101032A8101832A4101032B0101C7 +:104DB000832BC100032C8100832C4100032D0100B3 +:104DC0001301010367800000B7070001130A000107 +:104DD000E366F4EC130A80016FF05FEC3314D40047 +:104DE00033DAE400B399DA0033D7EA00935A0401C6 +:104DF000B394D40093850A0013050A00336B97001F +:104E0000EF0010041309050093850A0013050A003A +:104E1000931B0401EF00407E93DB0B01930405001C +:104E20009305050013850B00EF00407A1319090163 +:104E300013570B013367E900138A0400637EA70050 +:104E400033078700138AF4FF636887006376A7003F +:104E5000138AE4FF33078700B304A74093850A0051 +:104E600013850400EF00C07D1309050093850A0037 +:104E700013850400EF0040789304050093050500B6 +:104E800013850B00EF00807413170B0113570701F4 +:104E900013190901B367E9001387040063FEA70033 +:104EA000B38787001387F4FF63E8870063F6A700E2 +:104EB0001387E4FFB3878700131A0A01B384A7405E +:104EC000336AEA006FF0DFDF63ECD51EB70701003D +:104ED00063F4F6041307F00FB335D700939535004C +:104EE00033D7B60097B701009387C732B387E7007F +:104EF00003C70700130A00023307B700330AEA406A +:104F000063160A0213041000E3E096E833B6CA0001 +:104F1000134416006FF05FE7B70700019305000127 +:104F2000E3E0F6FC930580016FF09FFBB35CE600C5 +:104F3000B3964601B3ECDC0033D4E40093DB0C0100 +:104F4000B397440133D7EA0093850B00130504009F +:104F5000336BF700B3194601EF00806E13090500AB +:104F600093850B0013050400139C0C01EF00C0682F +:104F7000135C0C01930405009305050013050C0058 +:104F8000EF00C0641319090113570B013367E900DF +:104F900013840400637EA700330797011384F4FF92 +:104FA000636897016376A7001384E4FF33079701D2 +:104FB000B304A74093850B0013850400EF004068FD +:104FC0001309050093850B0013850400EF00C062F0 +:104FD000930405009305050013050C00EF00005F26 +:104FE00093170B011319090193D70701B367F90050 +:104FF0001386040063FEA700B38797011386F4FFAE +:1050000063E8970163F6A7001386E4FFB38797016F +:1050100013140401B70B01003364C4001389FBFFB0 +:10502000337D240133F92901B384A7409305090096 +:1050300013050D00EF008059935C040193050900EE +:10504000130B050013850C00EF00405893D909019C +:10505000130C05009385090013850C00EF00005721 +:10506000130905009385090013050D00EF00005694 +:105070003305850193570B013385A70063748501C0 +:105080003309790193570501B387270163E6F402D9 +:10509000E392F4BCB70701009387F7FF3375F5007F +:1050A00013150501337BFB0033964A013305650177 +:1050B000130A0000E37AA6CC1304F4FF6FF09FB943 +:1050C000130A0000130400006FF01FCC130101FB52 +:1050D0002324810423229104232E310323229103CC +:1050E0002326110423202105232C4103232A5103C5 +:1050F0002328610323267103232481032320A10392 +:10510000232EB101930C05009389050013040500BB +:1051100093840500639E062613090600138A060081 +:1051200097BA0100938A0A0F63F4C514B707010008 +:105130006376F6129307F00F63F4C700130A80003A +:10514000B3574601B38AFA0003C70A0013050002E9 +:1051500033074701330AE540630C0A00B395490160 +:1051600033D7EC0033194601B364B70033944C01D4 +:10517000935A090193850A0013850400EF00404CFF +:105180009309050093850A00131B09011385040088 +:10519000EF008046135B0B019305050013050B0020 +:1051A000EF00C0429399090193570401B3E7F90056 +:1051B00063FAA700B387270163E6270163F4A7001A +:1051C000B3872701B384A74093850A0013850400A1 +:1051D000EF0000479309050093850A00138504003A +:1051E000EF00804113140401930505009399090110 +:1051F00013050B0013540401EF00403D33E4890014 +:10520000637AA40033042401636624016374A40058 +:10521000330424013304A4403355440193050000B2 +:105220008320C1040324810483244104032901044D +:105230008329C103032A8103832A4103032B01032A +:10524000832BC102032C8102832C4102032D010216 +:10525000832DC1011301010567800000B70700011C +:10526000130A0001E36EF6EC130A80016FF05FEDA4 +:10527000631A06009305000013051000EF00C03705 +:1052800013090500B7070100637AF90E9307F00FC1 +:1052900063F42701130A8000B3574901B38AFA0067 +:1052A00003C70A0013050002B384294133074701ED +:1052B000330AE540E30E0AEA33194901B3DAE9009B +:1052C000B395490133D7EC0093540901336BB70010 +:1052D00013850A0093850400EF00803693090500CA +:1052E00093850400931B090113850A00EF00C03069 +:1052F00093DB0B019305050013850B00EF00002DD8 +:105300009399090193570B01B3E7F90033944C01CA +:1053100063FAA700B387270163E6270163F4A700B8 +:10532000B3872701B38AA7409385040013850A0039 +:10533000EF000031930905009385040013850A00EE +:10534000EF00802B9305050013850B00EF0000286C +:1053500093150B019399090193D50501B3E5B900A4 +:1053600063FAA500B385250163E6250163F4A50072 +:10537000B3852501B384A5406FF09FDFB707000117 +:10538000130A0001E36AF9F0130A80016FF0DFF0FD +:10539000E3E8D5E8B707010063FCF604930BF00FD0 +:1053A00033B5DB001315350033D7A60097B70100DE +:1053B000938747E6B387E70083CB07009305000296 +:1053C000B38BAB00338B7541631E0B0263E4360174 +:1053D00063EACC003384CC40B386D94033B58C002B +:1053E000B384A64013050400938504006FF05FE3C7 +:1053F000B707000113050001E3E8F6FA1305800181 +:105400006FF09FFAB3966601335D7601336DDD0070 +:1054100033D47901B395690133DC7C0193540D01D8 +:10542000336CBC001305040093850400B31A6601B5 +:10543000EF000021130A0500938504001305040002 +:1054400033996C01931C0D01EF00001B93DC0C01E0 +:10545000130405009305050013850C00EF000017E9 +:10546000131A0A0113570C013367EA00130A0400E8 +:10547000637EA7003307A701130AF4FF6368A7013F +:105480006376A700130AE4FF3307A701B309A74017 +:105490009385040013850900EF00801A93850400AA +:1054A0001304050013850900EF000015930505009E +:1054B0009304050013850C00EF00401193150C01B7 +:1054C0001314040193D50501B365B40013870400D8 +:1054D00063FEA500B385A5011387F4FF63E8A5016A +:1054E00063F6A5001387E4FFB385A501131A0A012B +:1054F000B70C0100336AEA001384FCFFB3778A001B +:1055000033F48A00B384A540138507009305040093 +:105510002326F100135A0A01EF00400B93090500FE +:105520009305040013050A00EF00400A13DC0A018A +:10553000930D050093050C0013050A00EF00000908 +:105540008327C100130A050093050C00138507008B +:10555000EF00C0073305B50113D709013307A700D2 +:105560006374B701330A9A01B70701009387F7FF05 +:10557000935507013377F70013170701B3F7F900C5 +:10558000B3854501B307F70063E6B400639EB4003A +:10559000637CF90033865741B3B7C700B385A54193 +:1055A000B385F54093070600B307F9403339F90096 +:1055B000B385B440B385254133947501B3D76701F2 +:1055C0003365F400B3D565016FF09FC51306050080 +:1055D0001305000093F61500638406003305C5002B +:1055E00093D5150013161600E39605FE678000009C +:1055F0006340050663C6050613860500930505008E +:105600001305F0FF630C060293061000637AB600E0 +:105610006358C0001316160093961600E36AB6FE90 +:105620001305000063E6C500B385C5403365D500AA +:1056300093D6160013561600E39606FE6780000008 +:1056400093820000EFF05FFB138505006780020086 +:105650003305A04063D80500B305B0406FF0DFF913 +:10566000B305B04093820000EFF01FF93305A0406E +:10567000678002009382000063CA0500634C050046 +:10568000EFF09FF71385050067800200B305B04077 +:10569000E35805FE3305A040EFF01FF63305B04098 +:0456A000678002001D +:02000004800278 +:1000000049444C4500000000482FFEFF102FFEFF22 +:100010001E2FFEFF2C2FFEFF342FFEFF5830FEFF59 +:100020002030FEFF2E30FEFF3C30FEFF4430FEFF4E +:10003000546D722053766300DC35FEFFDC35FEFF25 +:10004000DC35FEFF5A36FEFF2A36FEFF5036FEFF35 +:10005000DC35FEFFDC35FEFF5A36FEFF2A36FEFF9A +:10006000546D725100000000286E756C6C29000000 +:10007000DE43FEFFDA45FEFFD045FEFFDA45FEFF18 +:10008000DA45FEFFDA45FEFFDA45FEFF5C44FEFF7F +:10009000DA45FEFFDA45FEFF1444FEFF6A44FEFF28 +:1000A000DA45FEFF1E44FEFF2844FEFF2844FEFF03 +:1000B0002844FEFF2844FEFF2844FEFF2844FEFF9C +:1000C0002844FEFF2844FEFF2844FEFFDA45FEFFD9 +:1000D000DA45FEFFDA45FEFFDA45FEFFDA45FEFFB0 +:1000E000DA45FEFFDA45FEFFDA45FEFFDA45FEFFA0 +:1000F000DA45FEFFDA45FEFFDA45FEFFDA45FEFF90 +:10010000DA45FEFFDA45FEFFDA45FEFFDA45FEFF7F +:10011000DA45FEFFDA45FEFFDA45FEFFDA45FEFF6F +:10012000DA45FEFFDA45FEFFDA45FEFFDA45FEFF5F +:10013000DA45FEFFDA45FEFFDA45FEFFDA45FEFF4F +:10014000DA45FEFFDA45FEFFDA45FEFFDA45FEFF3F +:10015000DA45FEFFDA45FEFFDA45FEFFDA45FEFF2F +:10016000DA45FEFFDA45FEFFDA45FEFFDA45FEFF1F +:100170008E44FEFF3845FEFFDA45FEFFDA45FEFFFE +:10018000DA45FEFFDA45FEFFDA45FEFFDA45FEFFFF +:10019000DA45FEFF8644FEFFDA45FEFFDA45FEFF44 +:1001A0008C45FEFF9245FEFFDA45FEFFDA45FEFF75 +:1001B000A244FEFFDA45FEFF8645FEFFDA45FEFF5C +:1001C000DA45FEFFAA45FEFF6D69616F750A000002 +:1001D0006D69616F75320A0068756E6772790A0021 +:1001E0004572726F7220696E20696E746567657200 +:1001F000207461736B73200D0A000000436865630F +:100200006B54696D65720000496E744D61746800CD +:1002100000010202030303030404040404040404AD +:10022000050505050505050505050505050505057E +:10023000060606060606060606060606060606065E +:10024000060606060606060606060606060606064E +:10025000070707070707070707070707070707072E +:10026000070707070707070707070707070707071E +:10027000070707070707070707070707070707070E +:1002800007070707070707070707070707070707FE +:1002900008080808080808080808080808080808DE +:1002A00008080808080808080808080808080808CE +:1002B00008080808080808080808080808080808BE +:1002C00008080808080808080808080808080808AE +:1002D000080808080808080808080808080808089E +:1002E000080808080808080808080808080808088E +:1002F000080808080808080808080808080808087E +:10030000080808080808080808080808080808086D +:100310001000000000000000017A5200017C010181 +:100320001B0D02004C00000018000000C447FEFF37 +:10033000DC05000000440E307089039507810188B8 +:100340000292049305940696089709980A990B9AC5 +:100350000C0370020AC144C844C944D244D344D4F3 +:1003600044D544D644D744D844D944DA440E004452 +:100370000B0000005000000068000000504DFEFF20 +:100380000005000000440E5074880289039305990B +:100390000B810192049406950796089709980A9A8A +:1003A0000C9B0D0320010AC144C844C944D244D364 +:1003B00044D444D544D644D744D844D944DA44DB61 +:0803C000440E00440B00000094 +:1003D400AAAAAAAA08000000FC8F010009000000D4 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/integer_rv32ic_O3.hex b/VexRiscv/src/test/resources/freertos/integer_rv32ic_O3.hex new file mode 100644 index 0000000..7c6e9fe --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/integer_rv32ic_O3.hex @@ -0,0 +1,1662 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000A1CF170502001305E5529795030093853C +:1000A000257B0146112217050200130565479705B8 +:1000B00002009385254D0146C52817A503001305A9 +:1000C000657997B503009385A57837B6ABAB130672 +:1000D000B6BAD92817B103001301C177EF606014D5 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2023223A02200F32210348C +:1002400096DEEF00002B170102000321A1300241CE +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E23123A0120023A2A1 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40502717010200032138 +:1003300061220241F65273901234B7220000938278 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A22383A0A8 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2021023A0220086DEEF2072 +:10046000602E170102000321E10E0241F652739043 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF407007EF10900A01E5B7 +:10050000B24041018280B24041016F20A023930795 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30B0207E +:1005B0008280411122C406C62A8426C2EF2030124E +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF2040586DD5EF2020783C44FD173C +:1005F0003CC43C44E344F0FEFD573CC4EF20701083 +:10060000EF20F00D7C40635BF0021C4885CB930427 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2000546DD5EF20E0735C +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20B00B29CD0111E8 +:1006500022CC2A8406CE26CA4AC84EC62E89EF204E +:10066000100824404C5C832904002685EF50101D9F +:100670007D57232C0402B3079540CE974E9578C042 +:1006800048C0232434015CC438C4631109021C48E7 +:100690008DE7EF201007F2406244D2444249B2494C +:1006A0000545056182807370043001A013050401C3 +:1006B000B93D13054402A13DE9BF13050401EF2034 +:1006C000804A8547E317F5FC9133E1B701E5737084 +:1006D000043001A0011126CA4AC84EC606CE22CC5B +:1006E000AA842E89B289A5C9EF5050151305550566 +:1006F000EF3020742A8435C5930745051CC144DCBE +:1007000023202405EF20A07D24404C5C0329040015 +:100710002685EF50B012FD56232C0402B3079540F6 +:100720003307A900CA9774C058C05CC434C4130509 +:10073000040123242401E13B13054402C93BEF20BB +:10074000407C230834052285F2406244D244424969 +:10075000B2490561828013054005EF30806D2A841F +:1007600001E57370043001A000C051BF01E5737052 +:10077000043001A06375B5007370043001A041110D +:1007800022C409462E84814506C6893711C500DD7D +:10079000B2402244410182807370043001A05D7137 +:1007A00086C6A2C4A6C2CAC04EDE52DC56DA5AD8E9 +:1007B0005ED632C6630A051C6382051C89476399AD +:1007C000F600585D85476305F7007370043001A09B +:1007D0003689AE842A84EF20C05B11E5B24781C719 +:1007E0007370043001A0EF20806F185C5C5C014BDB +:1007F000894BFD59130A0401930A44026365F70CFF +:1008000063077919B2476381072463150B00280831 +:10081000EF208048EF20E06EEF10604FEF20206C5B +:100820007C4063943701232204043C4463943701E1 +:1008300023240404EF20E06C6C002808EF20E0473C +:10084000631E051EEF20A069185C5C5C630CF70A50 +:10085000EF20206BEF20A0683C44634FF00039A8E4 +:100860005685EF20403019C1EF2020503C44FD1741 +:100870003CC43C446354F0005C50FDF32324340535 +:10088000EF202068EF20A0657C40634FF00039A87E +:100890005285EF20402D19C1EF20204D7C40FD17DF +:1008A0007CC07C406354F0001C48FDF323223405D7 +:1008B000EF202065EF10A06A054BEF204062185C26 +:1008C0005C5CE37FF7F230406305060C631F09129E +:1008D0000844A685EF30206E1C4434405840B6973B +:1008E0001CC463EAE70A1C5C14405850850714C412 +:1008F0001CDC5DC713054402EF20E0268547631129 +:10090000F50AC9A8EF20E05FB2455285EF206007E5 +:10091000EF20E05C3C44634FF00039A85685EF209F +:10092000802419C1EF2060443C44FD173CC43C4482 +:100930006354F0005C50FDF323243405EF20605C29 +:10094000EF20E0597C40634FF00039A85285EF203A +:10095000802119C1EF2060417C40FD177CC07C40A4 +:100960006354F0001C48FDF323223405EF20605946 +:10097000EF10E05E31F1593C054B81B73C41E38F0C +:1009800007E27370043001A07370043001A030409E +:1009900021E61C4085C71C5C585085071CDC39FBD0 +:1009A000EF2020560545B640264496440649F259A4 +:1009B000625AD25A425BB25B616182804840EF204A +:1009C00060491C5C58502322040085071CDCE3139B +:1009D00007F279D5253CE9B74844A685EF30A05DFC +:1009E0003C4058441440B307F0403E9758C4637CE1 +:1009F000D7025840BA975CC409475C506306E902C5 +:100A0000185C050718DCC9DFF5B54844A685EF304A +:100A1000805A3C4058441440B307F0403E9758C4B5 +:100A2000E37CD7FCF9B75C50185C79DB185C7D1768 +:100A300018DC185C050718DCA5D76DBD2285953E2E +:100A4000EF10E051014585B7EF20A04B0145A1BF54 +:100A5000411126C2AA841305400522C406C6EF3000 +:100A6000403D2A8415CD232C0502FD577CC1054746 +:100A7000410558DC3CC42322040023200400232425 +:100A80000400232604002320040423089404413492 +:100A900013054402AD3C8146014681452285013360 +:100AA0002285B24022449244410182800DC5411109 +:100AB00022C426C206C64AC0032945002A84EF2064 +:100AC000802C8144630CA900B2402244268502494F +:100AD0009244410182807370043001A05C448544DB +:100AE000FD175CC4F5F381460146814522854539F1 +:100AF000E1BF31CDA1C9011122CC26CA4AC852C4D6 +:100B000006CE4EC68947B68432892E8A2A846399D6 +:100B1000F600585D8547630FF7047370043001A039 +:100B20006D32185C5C5CAA896366F70281444E856D +:100B3000513AF240624426854249D244B249224A9F +:100B4000056182803C41C5DB7370043001A0737085 +:100B5000043001A0304041E21C40D5CB1C5C7D57E5 +:100B600085071CDC3C446387E7083C4485448507D3 +:100B70003CC475BF993A185C5C5CAA89636DF70246 +:100B8000304079DA4844D285EF30E0423C40584466 +:100B90001440B307F0403E9758C46375D7005840DF +:100BA000BA975CC48947E39BF4FA1C5CC5DB1C5C08 +:100BB000FD171CDC65B7304045D24844D285EF3084 +:100BC000803F3C4058441440B307F0403E9758C41F +:100BD000E367D7FCD9BFDDF40844D285EF30A03DF0 +:100BE0001C4434405840B6971CC4E3E9E7F61C4067 +:100BF0001CC4ADB75C5085449DDB13054402EF1067 +:100C0000907615D5E30509F2232099000DB74840E9 +:100C1000EF2040242322040091B721CD3C4181C71D +:100C20007370043001A01C41A1CB011122CC26CA53 +:100C30002A844AC84EC606CE2E894138185C5C5CB0 +:100C4000AA898144637DF7001C5C7D5785071CDC05 +:100C50003C446388E7023C44854485073CC44E8598 +:100C60009530F240624426854249D244B24905613A +:100C700082807370043001A05C41C5DB7370043066 +:100C800001A05C5099E38544D9BF13054402EF10DD +:100C9000906D75D9E30909FE85472320F900E5B772 +:100CA000397106DE22DC26DA4AD84ED652D456D224 +:100CB00032C66302051C638A051AB68AAE892A8485 +:100CC000EF20200D11E5B24781C77370043001A0F9 +:100CD000EF20E0201C5C63920714B247638E070A82 +:100CE0002808EF10707BFD5413094402130A040115 +:100CF000EF202021EF10A001EF20601E7C406394C4 +:100D00009700232204043C446394970023240404A2 +:100D1000EF20201F6C002808EF10307A63130518AD +:100D2000EF20E01B1C5CADCFEF20A01DEF20201BAF +:100D30003C44634FF00039A84A85EF10D06219C1D6 +:100D4000EF20A0023C44FD173CC43C446354F00037 +:100D50005C50FDF324C4EF20C01AEF2040187C4003 +:100D6000634FF00039A85285EF10F05F19C1EF1002 +:100D7000D07F7C40FD177CC07C406354F0001C4851 +:100D8000FDF364C0EF20E017EF10601DEF20201589 +:100D90001C5CC1E7B247A9FFEF20A016014555A092 +:100DA000EF2020161C40DDC7B2454A85EF10703D8C +:100DB000EF20E0123C44634FF00039A84A85EF1061 +:100DC000905A19C1EF10707A3C44FD173CC43C4462 +:100DD0006354F0005C50FDF324C4EF208012EF2038 +:100DE00000107C40634FF00039A85285EF10B057D7 +:100DF00019C1EF1090777C40FD177CC07C40635494 +:100E0000F0001C48FDF364C0EF20A00FEF10201588 +:100E100031C9EF20C00C1C5CB5DFCE85228544446F +:100E2000EFF04FF7639C0A041C5C1840FD171CDCB4 +:100E300001E7EF20801148C01C48A1EFEF20600CB3 +:100E40000545F2506254D2544259B259225A925A2C +:100E500021618280EF20A0084840EF109075EF20BC +:100E6000400A99B7EFF08FDA6DB73C41E38707E4AA +:100E70007370043001A07370043001A05C5044C44E +:100E8000D5DF13054402EF10104E4DD9EFF00FD807 +:100E900075B713050401EF10104D8547E310F5FAFF +:100EA000F5B72285EFF0EFF0EF10600B014551BF71 +:100EB0001DC9411122C44AC006C626C244412E891A +:100EC0002A84EF10506C6383A40281464A868145D0 +:100ED0002285F93385476300F502B24022449244EB +:100EE0000249410182807370043001A05C440545D1 +:100EF00085075CC4DDB75C4485075CC4F9BF15CDCC +:100F0000ADC9011122CC26CA4AC84EC652C42A8491 +:100F100006CE3289AE89EFF04FDB1C5C2A8A814411 +:100F200085E35285EFF00FDAF240624426854249AC +:100F3000D244B249224A056182807370043001A014 +:100F4000304001CE4C445C40B2954CC463E4F500A3 +:100F50000C404CC44E85EF3000061C5C7D57FD17DD +:100F60001CDC7C40638CE7007C40854485077CC0AA +:100F70004DBF3C41D9D77370043001A01C4899E3A0 +:100F8000854445B713050401EF10F03D75D9E30919 +:100F900009FE85472320F900E5B721C93C41A1CDD1 +:100FA000A1CB011122CC26CA4AC852C42A8406CE3B +:100FB0004EC62E8AEFF06FD11C5C2A89814491CBFA +:100FC0008329C400D2852285EFF0CFDC8544232617 +:100FD00034014A85EFF00FCFF24062442685424942 +:100FE000D244B249224A056182807370043001A064 +:100FF0007370043001A0EDDF7370043001A001E5CF +:101000007370043001A0411122C42A8406C6EF1077 +:10101000106D005CEF10F06EB24022852244410159 +:10102000828001E57370043001A0411106C622C41C +:101030002A84EF10D06A1C5C405C1D8CEF10706C31 +:101040002285B24022444101828019C1085D82801C +:101050007370043001A01DCD978703009387877BB1 +:10106000D843630CE502D847630CE504D84B63070B +:10107000E504D84F6302E504D853630DE502D85761 +:101080006308E502D85B6303E502D85F630EE50001 +:101090006F20506C7370043001A001470E07BA979F +:1010A00023A007006F20106B1D47CDBF1947FDB768 +:1010B0001547EDB71147DDB70D47CDB70947F9BF64 +:1010C0000547E9BF684582806CC5828003450505F8 +:1010D000828009C5085D1335150082807370043065 +:1010E00001A019C51C5D485D1D8D1335150082805A +:1010F0007370043001A0978703009387A77198430A +:1011000005C3984705C7984B05C7984F05C798531F +:1011100005C7985705C7985B15C7985F15C38280A8 +:1011200001470E07BA978CC3C8C382800547D5BF55 +:101130000947C5BF0D47F5B71147E5B71547D5B7FF +:101140001D47C5B71947F1BF978703009387876C81 +:10115000D8436308E502D847630BE502D84B630A1E +:10116000E502D84F6309E502D8536308E502D85772 +:101170006307E502D85B6308E502D85F6303A70253 +:10118000828001470E07BA9723A007008280054797 +:10119000D5BF0947C5BF0D47F5B71147E5B7154797 +:1011A000D5B71D47C5B71947F1BF411122C426C2A3 +:1011B0004AC02A8406C6AE843289EF105052784065 +:1011C000FD576314F700232204043844FD576314C9 +:1011D000F70023240404EF10D0521C5C99E74A86E0 +:1011E000A68513054402EF10D00CEF10504F3C447D +:1011F000635BF0025C5085CB9304440209A83C4435 +:10120000FD173CC43C446350F0025C5089CF2685F6 +:10121000EF1070156DD5EF1050353C44FD173CC4F0 +:101220003C44E344F0FEFD573CC4EF10904DEF10FA +:10123000104B7C40635BF0021C4885CB9304040197 +:1012400009A87C40FD177CC07C406350F0021C481C +:1012500089CF2685EF1030116DD5EF1010317C400D +:10126000FD177CC07C40E344F0FEFD577CC0224467 +:10127000B2409244024941016F10B0481DC17971DA +:101280004ECE52CC06D63E8A22D426D24AD056CA58 +:101290005AC85EC6A547BA8963F8E7007370043080 +:1012A00001A07370043001A02A8BAE843289B68B02 +:1012B000C28A6307082213050006EF2090372A84AC +:1012C000630B05200A09232855034A869305500A13 +:1012D0005685EF20505C03C704001C587119230A7F +:1012E000E40203C704003E991379C9FF79C783C795 +:1012F0001400A30AF40283C71400E1C383C72400C7 +:10130000230BF40283C72400CDCB83C73400A30B87 +:10131000F40283C73400D5C383C74400230CF4020E +:1013200083C74400D9CB83C75400A30CF40283C7FE +:101330005400C1C783C76400230DF40283C764004F +:10134000ADCF83C77400A30DF40283C77400B5C783 +:1013500083C78400230EF40283C78400B9CF83C7F8 +:101360009400A30EF40283C79400A1CB83C7A4000A +:10137000230FF40283C7A400A9C383C7B400A30F3B +:10138000F40283C7B40095CB83C7C4002300F404E0 +:1013900083C7C4009DC383C7D400A300F40483C7DC +:1013A000D40081CF83C7E4002301F40483C7E400A1 +:1013B00089C783C7F400A301F404930A4400568547 +:1013C000A30104042326340323283405232A040418 +:1013D000EFF02F9513058401EFF0AF94A947B38781 +:1013E0003741232C040400C81CCC40D02322040421 +:1013F000232E04045E86DA854A85EFF0AF8D08C09F +:1014000063040A0023208A007370043017F9010076 +:10141000130989128327090097F401009384841328 +:1014200091C798409C407C4385077CC317F7010017 +:10143000130747111C43850797F6010023A4F610F4 +:101440009C40C5CB8327090017FB0100130B4B1FE2 +:1014500089EB9C40DC5763E6F90097F7010023AB6A +:10146000870E97F701009387270C9C4317F701001D +:101470001307C70C48541843850797F6010023A5A6 +:10148000F60A3CC46376A70097F7010023A8A70AD1 +:10149000D145EF40B03AD6855A95EFF0EF888327D3 +:1014A000090081C79C40FC43B5E38327090005443C +:1014B00099C79C40DC5763F43701EFE03FF5228584 +:1014C000B250225492540259F249624AD24A424BD3 +:1014D000B24B456182805685EF20D0277D54C5B739 +:1014E00013152600EF20F014AA8AE31605DC7D54BC +:1014F000F9B797F7010023AF8704184385476301C5 +:10150000F70217FB0100130BAB13A1BF98409440E7 +:101510007C43FD177CC3FC42C9FB7360043071B788 +:10152000014A17FB0100130BAB11A94B5285D145A2 +:10153000EF40D030050A5A95EFE07FFDE3187AFFBF +:1015400097FB0100938B4B1C5E85EFE05FFC17FA65 +:101550000100130AAA1C5285EFE07FFB17F501007A +:101560001305051DEFE0BFFA17F501001305851DF2 +:10157000EFE0FFF917F501001305051EEFE03FF955 +:1015800097F7010023A677FD97F7010023A047FDF9 +:10159000C9BD411122C406C626C24AC02A8473703E +:1015A000043097F40100938424F99C40F9E311E49A +:1015B00097F70100938707FA8043130944004A858F +:1015C000EFE01FFB1C5489C713058401EFE05FFAAD +:1015D000CA8517F501001305E516EFE0FFF497F74C +:1015E0000100938767F6984397F701009387C7F345 +:1015F0009C43050797F6010023A8E6F49840850769 +:1016000097F6010023A2F6F201CB97F7010093872A +:1016100067F49843784349EF9C40B1C797F70100BE +:10162000938747F39843630F87087370043098409B +:1016300039EB17F701001307A7F114439442A5E211 +:101640007D5797F6010023AFE6EC984009CF984309 +:10165000784311CB984394437C43FD177CC3FC42F1 +:1016600099E373600430B24022449244024941013C +:10167000828097F701009387E7ED98439C437C4372 +:1016800085077CC32DB794439843F8420507F8C2F9 +:1016900017F701001307C7EB14439442D5D2184340 +:1016A00058475847584397F6010023ADE6E671BF07 +:1016B000984394437C43FD177CC3FC42B1FF7360A5 +:1016C000043099BF97F70100938787E59C4381C752 +:1016D0007370043001A02244B24092440249410197 +:1016E0006FE0DFD241C517F701001307A7E61443E7 +:1016F0008147638BA606411106C622C426C27370B9 +:10170000043097F60100938626E39C4291C710436C +:101710001C437C4285077CC29C42AA84404981C705 +:101720001C43FC43B9E797F70100938767E29C43AA +:10173000638B870497F70100938747E19C43638499 +:10174000870497F70100938727016309F40297F74D +:101750000100938727FF6301F4028547B2402244CA +:1017600092443E85410182803E85828073700430C0 +:1017700001A0EF40702045BF9147CDB79C5493B76F +:1017800017008907E1BF8947D1BF7370043097F70D +:101790000100938767DA984309EF15C59C434855C4 +:1017A00081CB97F701009387E7DA9843784315E3F5 +:1017B000828017F701001307E7D914431843F84252 +:1017C0000507F8C261FD17F701001307A7D8084302 +:1017D000F1B7984394437C43FD177CC3FC42E9FB7B +:1017E000736004308280411122C42A8406C6EFE06F +:1017F000DFCD11E497F701009387C7D580434054AC +:10180000EFE05FCCB2402285224441018280A547AF +:1018100063F5B7007370043001A0011122CC06CE2D +:1018200026CA4AC84EC652C42A847370043017F9B7 +:101830000100130969D083270900CDEF71C43C482A +:101840006388F50817F701001307C7D063FCB700DA +:101850001443638C860C18434457B3B4950093C467 +:10186000140029A00443818C93B41400585463835A +:10187000E70A1C4C2CC863C60700A947B385B740CC +:101880000CCC93172700BA97584897F90100938911 +:1018900029DB8A07CE97631AF702130A44005285A0 +:1018A000EFE01FCD97F70100938747C948549C4349 +:1018B00063F6A70097F7010023A2A7C8D145EF4020 +:1018C000E077D2854E95EFE03FC699C0EFE01FB4B8 +:1018D0008327090081CB97F701009387A7C79C4313 +:1018E000FC439DEBF2406244D2444249B249224A51 +:1018F0000561828097F701009387C7C598439C4391 +:101900007C4385077CC305FC97F70100938787C458 +:10191000804335B74CD4B1BF6244F240D24442490F +:10192000B249224A05616F403005814481B725C123 +:10193000011126CA97F401009384C4C19C4006CECD +:1019400022CC4AC84EC6638DA7027370043017F9C3 +:101950000100130969BE8327090091C798409C4084 +:101960007C4385077CC3584997F701009387C7DEFE +:101970006302F7028327090081C79C40FC43A5EB63 +:10198000F2406244D2444249B24905618280737098 +:10199000043001A01C5517F70100130767D9E38B2A +:1019A000E7FCE9FB930945002A844E85EFE05FBC24 +:1019B00097F70100938787B848549C4363F6A700C4 +:1019C00097F7010023ACA7B6D145EF40206797F702 +:1019D00001009387E7C63E95CE85EFE0FFB49C40BB +:1019E0005854DC57E368F7F8EFE05FA261B7624450 +:1019F000F240D2444249B24905616F40E07715CDCB +:101A0000011122CC26CA4EC62A8406CE4AC8EFE06F +:101A1000DFAB584897F70100938707D4AA89814420 +:101A20006300F7024E85EFE0FFA9F240624426858D +:101A30004249D244B249056182807370043001A0EA +:101A40001C5417F501001305A5CEE38DA7FCF9FB87 +:101A500097F701009387C7AC9C43A9EB97F7010068 +:101A6000938747AF9C43445413094400DC574A858D +:101A7000B3B4F400EFE0DFAF97F70100938707AC52 +:101A800048549C4393C4140063F6A70097F70100E1 +:101A900023A6A7AAD145EF40605A97F70100938784 +:101AA00027BACA853E95EFE03FA8ADBF93058401F4 +:101AB000EFE09FA785BF0111056506CE22CC26CA9F +:101AC0004AC84EC652C456C25AC0EF208036630E72 +:101AD000051AAA8413050006EF20A0352A84630A9C +:101AE000051C05669305500A04D92685EF20A05AE7 +:101AF00004588567F117BE94B7474C4593879744C0 +:101B0000130A44005CD85285230C0402A301040488 +:101B10002326040223280404232A0404EFE07FA0E0 +:101B200013058401EFE0FF9FA947232C0404F198DB +:101B300000C81CCC40D023220404232E04040146F8 +:101B4000971500009385E5B12685EFE0BF9808C0A2 +:101B50007370043017F901001309099E83270900E7 +:101B600097F401009384049F91C798409C407C4364 +:101B700085077CC317F701001307C79C1C43850723 +:101B800097F6010023A0F69C9C40C9C38327090057 +:101B900097F901009389C9AA81EB9C40DC5789E73A +:101BA00097F7010023A8879A97F701009387C797B3 +:101BB000984397F60100938666985C549442050713 +:101BC00017F601002322E69638C463F6F60017F7ED +:101BD00001002325F796139527003E950A05D28527 +:101BE0004E95EFE07F948327090081C79C40FC431A +:101BF000DDE78327090091C39C40EF10F04985473A +:101C00006305F50C59E17370043001A097F70100EA +:101C100023A287941843854797F90100938949A225 +:101C2000E314F7F8CE8A17FB0100130BEBAD5685D2 +:101C3000D10AEFE0DF8DE31C5BFF17F50100130510 +:101C4000A5ACEFE0DF8C97FA0100938A2AAD5685A8 +:101C5000EFE0FF8B17F50100130585ADEFE03F8B3B +:101C600017F50100130505AEEFE07F8A17F50100B7 +:101C7000130585AEEFE0BF8997F7010023AA678DB2 +:101C800097F7010023A4578D05B7F2406244D24470 +:101C90004249B249224A924A024B056182809840E9 +:101CA00094407C43FD177CC3FC42A1F77360043071 +:101CB00089B76244F2404249B249224A924A024BF1 +:101CC0002685D24405616F20E02873700430FD57EB +:101CD00017F701002328F784624497F7010023AD2A +:101CE000A784F240D2444249B249224A924A024B66 +:101CF00097F7010023A6078405616FE08FDA737000 +:101D0000043097F7010023A907826FE0CFE717F7A8 +:101D100001001307E7801C4385071CC38280737092 +:101D2000043097F7010093872781984305E317F75D +:101D300001001307E78008439C4381CB97F701001C +:101D4000938747819843784301EF828017F701001A +:101D50001307478014431843F8420507F8C2C1BF70 +:101D6000984394437C43FD177CC3FC42F9FF7360A6 +:101D700004308280411106C622C4EFE00FF597E7D8 +:101D800001009387E77B8043EFE0CFF3B2402285E9 +:101D900022444101828097E701009387A77A884314 +:101DA0008280797106D622D426D24AD04ECE52CC29 +:101DB00056CA5AC85EC697E70100938767769C4368 +:101DC000B1EF17E701001307A7771C43850797E6D4 +:101DD000010023A7F676832A0700638F0A0697E798 +:101DE0000100938727749C43814463F1FA0897F9B3 +:101DF00001009389E98417EA0100130AAA75832771 +:101E00000A008546D85793172700BA978A07BE99C4 +:101E100083A7090063FFF600854421A897E7010026 +:101E2000938747719C438144850717E7010023236B +:101E3000F77097E701009387A76F9C4391C3854490 +:101E4000B2502254268502599254F249624AD24A2B +:101E5000424BB24B4561828017E401001304446F8A +:101E60001C409C43DDC37370043001A017E40100E3 +:101E70001304046E97E901009389897C17EA010035 +:101E8000130A4A6D17EB0100130B4B6B1C409C436C +:101E9000B5C31C40DC4703A9C70083274900930B47 +:101EA00049005E8563EEFA04EFE08FEC8327890238 +:101EB0001305890199C3EFE0AFEB8327C90203271C +:101EC0000B00DE85139527003E950A054E95637637 +:101ED000F70017E701002323F766EFE0EFE483271D +:101EE0000A000327C902DC57E362F7FA1C40854465 +:101EF0009C43C5F3FD5717E701002325F76201B79F +:101F000017E701002320F762DDBD17E70100130783 +:101F1000E7631C40184397E6010023ABE66217E72E +:101F200001002325F76297E70100938727609C4310 +:101F3000850717E70100232BF75E1C409C4399E7B8 +:101F4000FD5717E70100232FF75C51BD1C40DC470C +:101F5000DC47DC4317E701002326F75C49B597E728 +:101F600001009387E75B984301E77370043001A099 +:101F7000011106CE22CC26CA4AC84EC652C456C249 +:101F80005AC07370043097E401009384E45A984077 +:101F900061EB98437D1797E6010023A3E6589C4325 +:101FA000D9EB97E701009387E7599C43C9C797EAA4 +:101FB0000100938AEA6817EA0100130A2A5817E910 +:101FC000010013092959854919A83385EA00EFE072 +:101FD000AFD5832709005854DC576379F70483A7EA +:101FE0000A0FC1CF83A7CA0FC04713058401EFE0D2 +:101FF0002FD8130B44005A85EFE08FD75C5483260B +:102000000A00DA85139527003E950A052A8756951A +:10201000E3FDF6FA17E701002322F752EFE0CFD0F5 +:10202000832709005854DC57E36BF7FA97E7010060 +:1020300023A037516DB701449C4081CB97E7010045 +:10204000938747519C43FC4395E72285F2406244C5 +:10205000D2444249B249224A924A024B05618280E7 +:1020600017E701001307074F14431843F842050709 +:10207000F8C205B7EF404010C9BF17E401001304D0 +:10208000644B1C4095C31C40054999CF193B09C5B9 +:1020900097E7010023AE27491C40FD1717E7010011 +:1020A000232AF7481C40FDF397E701009387474830 +:1020B00098438547E311F7F8EFE04FB50544ADBF0E +:1020C00011CD81E57370043001A017E701001307FB +:1020D00027451C4399C77370043001A07370043006 +:1020E00001A0411122C406C614431841850617E613 +:1020F00001002327D64297E6010093866644944266 +:102100003304B70000C163F7E602637FE40063FDB8 +:10211000860097E701009387E74388431105EFE0C6 +:102120002FC52285EF30107F1D3D01C9B2402244EA +:1021300041018280E36FE4FCD9BF2244B2404101F7 +:102140006FE0CFAC11C917E701001307673D1C43CF +:1021500091C77370043001A06FE04FAB411106C608 +:1021600022C41843AA87050797E6010023AAE63A86 +:1021700097E601009386063E17E701001307473CE8 +:10218000184388423304F7001105EFE06FBE228543 +:10219000EF305078E93311E52244B24041016FE05D +:1021A000EFA6B240224441018280411122C406C6FA +:1021B00097E701009387C7369C430144850717E7DB +:1021C0000100232FF73497E701009387A7379C433B +:1021D00063E0F51217EE0100130E4E5297E2010074 +:1021E0009382424501440147854F1303500A21A0C1 +:1021F000311E638DC20B83278EFFBA86F5DB8327E2 +:10220000CEFFDC43232EFEFE638AC70F03AFC70059 +:10221000DC432A97814E232EFEFE6385C70783A8E1 +:10222000C70093874803232017015CC383A7880452 +:102230002326F7011CC783A7C8021CCB83A7080568 +:10224000232C07005CCB03A8080383470800639096 +:102250006704C287850783C60700B3850741E38B00 +:1022600066FE8981C205C181231EB700850E130752 +:10227000070263061F038327CEFFDC43232EFEFEE7 +:10228000E39FC7F983274E00232EFEFE49BF8145F9 +:10229000231EB700850E13070702E31E1FFD769469 +:1022A00013175400311EBA86E397C2F597E7010071 +:1022B0009387072A03AE070083270E00639D070E4E +:1022C00097E701009387872803AE070083270E0056 +:1022D0006392071817EE0100130E8E3683274E10F7 +:1022E0009DE383278E116395072019C223200600E2 +:1022F000BD312285B24022444101828083274E00B5 +:10230000232EFEFE21B783278E1017EF0100130F37 +:10231000EF43DC4317E701002328F7426380E733EC +:1023200083A2C700DC43AA96814E17E70100232D44 +:10233000F740914F1303500A6388E70783A8C7004B +:102340009387480323A01601DCC283A7880423A631 +:10235000F6019CC683A7C8029CCA83A7080523ACC4 +:102360000600DCCA03A80803834708006391672AB4 +:10237000C287850703C70700B3850741E30B67FEE4 +:1023800093D72500C207C183239EF600850E93864E +:1023900006026386122983278E10DC4317E70100AB +:1023A0002324F73AE39CE7F983270E1117E701008E +:1023B000232CF73861B783274E00130F8E00D443C8 +:1023C0002322DE006388E629B307E500D84283A212 +:1023D000C600814E2322EE00894F1303500A630684 +:1023E000EF068328C7001387480323A01701D8C32B +:1023F00003A7880423A6F70198C703A7C80298CBB0 +:1024000003A7080523AC0700D8CB03A8080303479C +:1024100008006318671C4287050783460700B30559 +:102420000741E38B66FE13D7250042074183239EB5 +:10243000E700850E93870702638D121B83264E00EB +:10244000D8422322EE00E31EEFF80327CE0023221A +:10245000EE0041BF83274E00130F8E00DC43232282 +:10246000FE006384E71F83A2C700DC43AA96814E67 +:102470002322FE00894F1303500A6306FF0683A838 +:10248000C7009387480323A01601DCC283A78804F2 +:1024900023A6F6019CC683A7C8029CCA83A7080589 +:1024A00023AC0600DCCA03A8080383470800639630 +:1024B0006710C287850703C70700B3850741E30B91 +:1024C00067FE93D72500C207C183239EF600850EC1 +:1024D00093860602638B120F83274E00DC43232270 +:1024E000FE00E31EFFF88327CE002322FE0041BF3B +:1024F0008327CE1197EE0100938E8E26DC4317E7DB +:102500000100232DF7246384D71383AFC700DC4376 +:102510003307D500014397E6010023A1F6240D4FB0 +:1025200089429308500A6387D707C8479307450332 +:1025300008C35CC33C452326E7011CC75C551CCB84 +:102540001C5599C3232657003C49232C07005CCB1C +:10255000032805038347080063951705C28785078D +:1025600083C60700B3850741E38B16FF93D7250089 +:10257000C207C183231EF700050313070702638AFE +:10258000AF028327CE11DC4397E6010023A8F61C97 +:10259000E39DD7F983274E1297E6010023A0F61C8E +:1025A00069B78147231EF700050313070702E39A63 +:1025B000AFFC1A94E31C06D225BB8147239EF6008C +:1025C000850E93860602E39912F117EE0100130EB1 +:1025D0002E0783274E10769493165400E38307D07A +:1025E0001DB30147239EE700850E93870702E397FB +:1025F00012E597E70100938767F503AE070076942D +:102600009316540083270E00E38607CCA1B58147BB +:10261000239EF600850E93860602E39E12D783273B +:102620008E11769493165400E38107CCD1B583279D +:102630004E1217E701002323F712C1BD83270E11A5 +:1026400017E701002322F710E1B98327CE002322E8 +:10265000FE0011BD8326CE002322DE00B5B37971C2 +:1026600026D24AD04ECE52CC56CA5AC85EC606D6DC +:1026700022D497E901009389A9EA17E90100130917 +:1026800029FC17EA0100130A2AEB97EA0100938A52 +:102690006AEC97EB0100938BEBEA97E401009384DB +:1026A000A4EA054B21A883A7090003244910850744 +:1026B00017E701002326F7E65D3011E89C40E5F7B7 +:1026C00083270900E37CFBFEEFD05FD4C5BF7370A6 +:1026D000043083270A00B9E383270911C047130593 +:1026E0004400EFD0FFE883A70B00FD1717E70100B8 +:1026F000232AF7E49C40FD1717E701002326F7E49F +:1027000083270A0089C783A70A00FC4385E308588A +:10271000EF1050042285EF10F0034DB703A70A0015 +:1027200083A70A007C4385077CC37DB703A70A0003 +:1027300083A60A007C43FD177CC3FC42E9FB73605F +:102740000430F1B797E70100938787DD9C43DDE70D +:10275000411106C622C426C297E7010023AA07DC5E +:1027600017E70100130707DF1C43B7A6A5A593864B +:10277000565A9C5B8C43639BD500D0436318B600CC +:1027800094476395C600DC476388D70008430C4331 +:1027900093854503EF20807317E70100130707DADD +:1027A000144317E401001304A4E911A81C43A9CFA2 +:1027B0001C43FD1797E6010023A2F6D81443939714 +:1027C0002600B6978A07A2979C43EDD30843931738 +:1027D0002500AA978A073307F4005443A107A2975C +:1027E000C44244C36385F402DC44B240224417E788 +:1027F00001002321F7D6924441018280854717E7E3 +:1028000001002327F7D282807370043001A0C440F6 +:10281000D145EF30B002229544C1F9B701114AC841 +:1028200006CE22CC26CA4EC62A897370043097E49D +:102830000100938464D09C4017E40100130484D108 +:10284000D9E76314090003290400930949004E8560 +:10285000EFD01FD28327890289C713058901EFD0E2 +:102860003FD1CE8517E50100130505EFEFD0DFCB93 +:102870009C4081C71C40FC43ADE31C40638B270791 +:102880009C409DCF737004309C40C1EB97E70100E2 +:10289000938707CC9843184325EBFD5717E70100B2 +:1028A0002322F7C89C4089CF1C40FC4391CB1840A1 +:1028B00014407C43FD177CC3FC4299E373600430F1 +:1028C000F2406244D2444249B249056182801840D4 +:1028D0001C407C4385077CC3ADB7184014407C4343 +:1028E000FD177CC3FC42D1FB736004301C40E399AC +:1028F00027F99C40A1C397E70100938767C29C43D7 +:10290000ADCB7370043001A09C43DC47DC47DC4353 +:1029100017E701002328F7C071B718401C407C431B +:1029200085077CC397E70100938787C298431843C4 +:102930002DD7D9BF97E701009387C7C017E70100DC +:10294000130707D09C4303278711631DF700F2404C +:10295000624497E7010023AF07BED2444249B2491F +:10296000056182806244F240D2444249B249056125 +:10297000D1BB6244F240D2444249B24905616FD0B2 +:10298000FFA83DC9411126C297E40100938484BC8D +:102990004AC02E898C4006C622C4E105EFD05FBA3A +:1029A00088401105EFD0DFBCFD57630AF90497E7B3 +:1029B00001009387E7B8804398409C434A9440C302 +:1029C000636CF40497E70100938787B888438C40D1 +:1029D0009105EFD0FFB697E701009387A7B49C431A +:1029E0006376F40097E7010023AE87B2B240224439 +:1029F00092440249410182807370043001A08C40EE +:102A00002244B2409244024917E501001305C5D49F +:102A1000910541016FD05FB197E70100938707B33C +:102A2000884322448C40B2409244024991054101BE +:102A30006FD01FB111C997E70100938767AE9C4320 +:102A400099E77370043001A07370043001A0411144 +:102A500026C297E401009384E4AF9840AE878C408F +:102A6000B7060080D58F06C622C41CCFE10532848C +:102A7000EFD09FAB88401105EFD09FAFFD57630E9D +:102A8000F40097E701009387A7AB8843B2409244D4 +:102A90002295224441016F30E0678C402244B240CD +:102AA000924417E50100130525CB910541016FD034 +:102AB000BFA725CD411126C297E40100938484A9C4 +:102AC0004AC02E898C4006C622C4E1053284EFD06C +:102AD000BFA588401105EFD0BFA98547630AF4045C +:102AE00097E701009387C7A5804398409C434A9489 +:102AF00040C3636CF40497E70100938767A588439C +:102B00008C409105EFD0DFA397E70100938787A161 +:102B10009C436376F40097E7010023A587A0B240A9 +:102B2000224492440249410182807370043001A022 +:102B30008C402244B2409244024917E5010013053B +:102B4000A5C1910541016FD03F9E97E70100938792 +:102B5000E79F884322448C40B24092440249910549 +:102B600041016FD0FF9D411106C622C426C25C45BB +:102B7000C04735CC930484012685EFD07F9F97E72B +:102B800001009387E7999C43B5E7930444002685A9 +:102B9000EFD01F9E97E701009387479A58549C43B4 +:102BA00063F6E70097E7010023AAE7989317270049 +:102BB000BA978A0717E50100130585A8A6853E95F3 +:102BC000EFD09F9697E701009387C7989C4358548E +:102BD0000145DC5763F8E700854717E70100232923 +:102BE000F7940545B24022449244410182807370BB +:102BF000043001A0A68517E50100130565B3EFD0E9 +:102C0000BF92C9B797E70100938787919C4381E7FB +:102C10007370043001A0411106C622C426C2B70752 +:102C200000804045CD8F1CC12DC4EFD07F9493040C +:102C300044002685EFD0DF9397E701009387079044 +:102C400058549C4363F6E70097E7010023A8E78EFA +:102C500093172700BA978A0717E501001305459EC9 +:102C60003E95A685EFD05F8C97E701009387878E0E +:102C70009C4358540145DC5763F8E700854717E744 +:102C800001002327F78A0545B240224492444101BE +:102C900082807370043001A011CD97E70100938703 +:102CA000E788984397E70100938787899C4318C179 +:102CB0005CC182807370043001A055C1D1CD411137 +:102CC00006C622C42A877370043097E801009388EF +:102CD000A88683A7080091CB97E701009387878791 +:102CE00094439C43FC428507FCC217E30100130395 +:102CF00023859C4103260300FD560144638FD702C0 +:102D000017EE0100130E8E8203250E000328070024 +:102D100054436305A80005446371D6023308D640C6 +:102D20000544637CF80003250E00918F0326030001 +:102D3000B6979CC108C350C3014483A7080081CB48 +:102D400097E70100938707819C43FC4381EF22852D +:102D5000B2402244410182807370043001A073703C +:102D6000043001A0EF304041DDB7854717D701009F +:102D70002320F77C828019C16845828001458280CA +:102D800011C16CC5828097D701009387A77C8843C7 +:102D9000828097D701009387277A9C43054589CB8A +:102DA00097D701009387C777884313351500060529 +:102DB000828031CD011126CA97D401009384847991 +:102DC0009C4006CE22CC4AC84EC65855DC57637983 +:102DD000F7021C4D63C707009C40D457A947958F45 +:102DE0001CCD93172700BA97584917E9010013091A +:102DF00029858A07CA97630DF7009C40DC575CD58C +:102E0000F2406244D2444249B249056182808280E4 +:102E1000930945002A844E85EFD08FF59C4017D743 +:102E200001001307A7711843DC575CD46376F700E1 +:102E300017D701002324F770139527003E956244AD +:102E40000A05F240D244CE854A95B249424905610D +:102E50006FD08FED19CD411106C622C426C297D777 +:102E600001009387276F80436307A40073700430C9 +:102E700001A0014582807C4881E77370043001A085 +:102E800054543848FD177CC86383E60099C7014550 +:102E9000B24022449244410182809304440026853A +:102EA000EFD00FED284897D7010093872769984303 +:102EB000A947898F48D41CCC6376A70097D7010017 +:102EC00023AEA766D145EF30601797D701009387EF +:102ED00027773E95A685EFD02FE5054555BF737042 +:102EE000043097D70100938727659C4391CB97D7F0 +:102EF00001009387276698439C437C4385077CC3E6 +:102F0000828097D70100938727639C438DC397D70F +:102F10000100938727649843784311CB98439443E7 +:102F20007C43FD177CC3FC4299E3736004308280CC +:102F300097D7010093870762904394439843A9472A +:102F4000D456084E958F1CCF828097D70100938767 +:102F50006760984309C79443F84A0507F8CA88434D +:102F6000828079714AD006D622D426D24ECE2A89C2 +:102F70007370043097D401009384045C9C4017D490 +:102F800001001304245DBDE31C40BC4F89E71C40D5 +:102F90000547F8CFD1E19C4081C71C40FC43F1EBD1 +:102FA000737004309C408DEF1C40A84F11C5630422 +:102FB00009021C4023AC07041C4023AE07049C40BC +:102FC00081C71C40FC438DEBB250225492540259ED +:102FD000F2494561828018403C4FFD173CCFE9BF64 +:102FE00018401C407C4385077CC37DBF18401C40B3 +:102FF0007C4385077CC349BF184014407C43FD17C0 +:103000007CC3FC42F1F373600430B25022549254FA +:103010000259F2494561828008402EC61105EFD061 +:103020002FD5B245FD576380F50697D701009387EA +:10303000275183A9070018409C43AE9923223701EA +:1030400063ECF90497D701009387875088430C40BD +:103050009105EFD0EFCE97D701009387A74C9C4303 +:1030600063F6F90097D7010023AE374BEFD00FBAC4 +:103070001DB7184014407C43FD177CC3FC428DF300 +:103080007360043031BF0C4017D501001305C56CC7 +:103090009105EFD06FC9D9BF97D701009387074B30 +:1030A00088430C409105EFD0AFC9C9B779714AD0B8 +:1030B0004ECE06D622D426D252CC2E89B289737037 +:1030C000043097D40100938424479C4017D4010016 +:1030D00013044448F9EF18408947784F630CF70010 +:1030E0001C401345F5FFB84F798DA8CF1C4005470C +:1030F000F8CFADE69C4081C71C40FC43F5E37370FC +:1031000004309C40B1E7638609001C40BC4F23A0FB +:10311000F900184085470145784F630BF7001C40C4 +:103120001349F9FF0545B84F3379E90023AC27056A +:103130001C4023AE07049C4081C71C40FC43C1E3F4 +:10314000B250225492540259F249624A4561828037 +:1031500018401C407C4385077CC375B7084036C6C1 +:103160001105EFD0EFC0B246FD576385F60897D73B +:1031700001009387E73C03AA070018409C43369A56 +:10318000232247016363FA0897D701009387473CDE +:1031900088430C409105EFD0AFBA97D701009387D1 +:1031A00067389C436370FA0697D7010023AC473712 +:1031B00091A818401C407C4385077CC329BF184058 +:1031C00014407C43FD177CC3FC42BDFB736004309C +:1031D000B250225492540259F249624A45618280A7 +:1031E000184014407C43FD177CC3FC4289FB73608C +:1031F000043031B70C4017D501001305E555910592 +:10320000EFD08FB2EFD08FA0F5B597D7010093879D +:10321000E73388430C409105EFD08FB2E5B751C139 +:10322000411106C622C426C24AC07370043097D426 +:103230000100938464309C40B9EB99C23C4D9CC220 +:10324000B2878946704D74CD2E872A846386D7064F +:1032500063FCF6048D456387B70091456395B7001D +:103260006300D60C38CC8547630FF60405449C40B8 +:1032700081CB97D701009387E72D9C43FC43A9E3BB +:103280002285B2402244924402494101828097D76C +:1032900001009387272C98439C437C4385077CC37C +:1032A00069BF7370043001A08546E39ED7FA3C4D98 +:1032B00033E7B70038CD45BF3C4D85073CCD65B7FA +:1032C000EF20906B75BF130944004A85EFD04FAAD9 +:1032D00097D701009387872648549C4363F6A7003D +:1032E00097D7010023ACA724D145EF20305597D7BD +:1032F00001009387E7343E95CA85EFD0EFA21C54B6 +:1033000081C77370043001A097D701009387872489 +:103310009C435854DC57E3FBE7F4EFD02F8FB9B749 +:103320000144B1B739CD011122CC26CA4AC84EC6D4 +:1033300052C456C206CEBA89368AB2842E892A84ED +:10334000EFD0AF98AA8A63050A003C4C2320FA000C +:10335000784C89477CCC6389F40263F4970C8D46E2 +:103360006387D40091466396D400630AF70A232C3E +:1033700024058547631EF7061C5499CB73700430EF +:1033800001A07370043001A03C4C85073CCCD5B73C +:1033900097D701009387C7189C43ADEB9304440073 +:1033A0002685EFD0EF9C97D70100938727194854C3 +:1033B0009C4363F6A70097D7010023A1A718D14526 +:1033C000EF20D04797D7010093878727A6853E95A2 +:1033D000EFD08F9597D701009387C7179C43585418 +:1033E000DC5763F7E70063850900854723A0F900F0 +:1033F00005445685EFD00F8D2285F2406244D244B9 +:103400004249B249224A924A056182809305840169 +:1034100017D501001305C531EFD00F9165BF0144E9 +:10342000C9BF8547E397F4F43C4C33E92701232CCB +:10343000240581B74DC1011122CC26CA2A844EC66B +:1034400006CE4AC8AE84EFD04F888947744C7CCCF6 +:103450003C4C0547AA8985073CCC6395E6061C547D +:1034600081C77370043001A097D701009387470B81 +:103470009C43ADE7130944004A85EFD06F8F97D77F +:1034800001009387A70B48549C4363F6A70097D786 +:10349000010023A5A70AD145EF20503A97D7010094 +:1034A0009387071ACA853E95EFD00F8897D70100FA +:1034B0009387470A9C435854DC5763F5E70099C04B +:1034C00085479CC06244F240D24442494E85B2498D +:1034D00005616FC03FFF7370043001A09305840144 +:1034E00017D501001305C524EFD00F84C1B7AA87F3 +:1034F00029C57370043017D701001307E70314437D +:103500009DE2F04F894601456315D60023AE0704BE +:1035100005451C4381CB97D701009387A7039843A8 +:10352000784315E3828097D601009386A6029042E5 +:1035300094427442850674C2E9B797D70100938715 +:1035400067019C437DB7984394437C43FD177CC33C +:10355000FC42E9FB7360043082805D71A6C2CAC080 +:1035600052DC56DA5AD85ED686C6A2C44EDE62D483 +:1035700066D26AD017D901001309C9FE17DB010012 +:10358000130B8BFD97D40100938444FD97DA01005F +:10359000938A0AFD054AA54B8327090098436301D6 +:1035A0000712DC4783A90700EFE06FF6EFE02FF783 +:1035B00083270B002A8C6362F50A97D7010023AD9D +:1035C000A7F801466370351B8840B3858941EFD069 +:1035D000DFBDEFE0DF9863010512884081460146B8 +:1035E0002C00EFD0EFEB4DD9A247E3C807FEC2494C +:1035F00083A7490189C713854900EFC07FF7EFE032 +:103600000FF283270B002A8C636DF508A24717D7AA +:103610000100232387F5E3E2FBFCB317FA0013F75D +:103620000721631A071613F7770C6318071E93F721 +:103630000702C5D74E85EF00F01145B7DC47C047FC +:1036400083AC0700930944004E85EFC07FF25C50C5 +:10365000228582975C4C6384470B8327090098433B +:1036600071FF03A70A0097D6010023A786EF97D61C +:10367000010023A7F6EE97D7010023A5E7EEEFE0C0 +:103680001F8EA1BFDC47C04703AD0700930C440069 +:103690006685EFC0FFED5C50228582975C4C6386A7 +:1036A000470983270900984371FF03A70A0097D6AB +:1036B000010023A7F6EA97D7010023A5E7EAB9B7E7 +:1036C000EFE0EFE4EFE0AFE583270B002A8CE36641 +:1036D000F5F883A70A00884081499043B385894162 +:1036E00017D70100232A87E713361600EFD0FFAB68 +:1036F000EFE0FF86E31305EEEFC05FD1F9BD1C4C90 +:10370000CE85E69763E9FC049C4089CF02CA66CC6B +:1037100022CEEFF00FE88840814601464C08EFD0FA +:103720000F8805FD7370043001A01C4CE685EA97F4 +:10373000636CFD049C4089CF02CA6ACC22CEEFF0B4 +:103740004FE58840814601464C08EFD04F8531F95E +:103750007370043001A0032509005CC000C8EFC0ED +:103760003FDEE5BDEFE0AFFF83270900DC47C04740 +:10377000930C44006685EFC0BFDF5C4C638F47034A +:103780005C502285829791BD032509005CC000C86A +:10379000EFC01FDB39B7B24723ACF900A5CFE297E2 +:1037A00023A2F90023A8390193854900636FFC0423 +:1037B00003A50A00EFC0DFD80DB51C4C00C8338745 +:1037C000F90058C0636DEC02330C3C416363FC02AA +:1037D0009C4089CF02CA4ECC22CEEFF08FDB8840CE +:1037E000814601464C08EFC09FFB59F973700430C5 +:1037F00001A003A50A00E685EFC09FD451B70325B9 +:103800000900E685EFC0DFD3A5BF03250900EFC09F +:103810003FD3E1B37370043001A0B24783A689019E +:1038200023A839013387D70023A2E9006364EC049D +:10383000B307FC4063EBD70483A749024E85829708 +:1038400083A7C901E39B47D983A68901B247984062 +:10385000B69711CF02CA3ECC4ECEEFF08FD3884040 +:10386000814601464C08EFC09FF3E31805D67370FC +:10387000043001A06374FC00E370F7FC0325090029 +:1038800093854900EFC0DFCB89BB03A50A00938570 +:103890004900EFC0FFCA91B3411122C406C626C237 +:1038A0004AC017D40100130464CBEFF04FE31C406F +:1038B00095CBEFF00FE51C409DC38148014881473F +:1038C000094781461306004097D50100938505AF4F +:1038D000170500001305A5C8EFD05F9A39E973708A +:1038E000043001A017D90100130949E84A85EFC047 +:1038F0001FC297D401009384A4E82685EFC03FC17E +:103900000146B145114597D7010023AD27C597D78B +:10391000010023A797C4EFC07FDB17D70100232F37 +:10392000A7C211CD97D501009385C5A8EFD0AFFCF4 +:1039300049B7B240224492440249410182807370E7 +:10394000043001A0A5C9797156CAAA8A1305C0021C +:1039500022D426D24AD04ECE52CC06D65AC85EC603 +:103960003A89B689328AAE84E9212A8405C9EFF002 +:103970000FD797D70100938767BE9C439DCFEFF089 +:103980004FD8130544002320540104CC232E4401B6 +:103990002320340323222403EFC0BFB82285B25072 +:1039A000225492540259F249624AD24A424BB24BD3 +:1039B000456182807370043001A097DB0100938B16 +:1039C000EBDA5E85EFC0BFB417DB0100130B4BDBF6 +:1039D0005A85EFC0DFB30146B145114597D70100C5 +:1039E00023A277B997D7010023AC67B7EFC01FCEEA +:1039F00017D701002324A7B601C997D501009385E5 +:103A0000659BEFD04FEFA5BF7370043001A039C59F +:103A1000011122CC06CE26CA17D40100130404B427 +:103A2000832804002A88014563850802BA842EC2CF +:103A3000368732C442C6954663C5B602EFF06FB50D +:103A40008947630BF5020840814601464C00EFC0F0 +:103A50001FD5F2406244D244056182807370043005 +:103A600001A04C0081463A864685EFD08F88F2400F +:103A70006244D244056182800840814626864C001B +:103A8000EFC0FFD1F9B719C10841828073700430CB +:103A900001A001E57370043001A0411106C622C4E3 +:103AA0002A84EFF0CFC348483334A000EFF06FC54D +:103AB0002285B24022444101828001E573700430C6 +:103AC00001A0411122C42A8406C6EFF04FC1005064 +:103AD000EFF02FC3B240228522444101828001E5EC +:103AE0007370043001A0411122C426C22E84AA841E +:103AF00006C6EFF0CFBE80D02244B24092444101CE +:103B00006FF02FC04111714522C406C61D262A84BC +:103B100011C5232005001105EFC07F9F2285B2400B +:103B200022444101828001CDB70700FFED8F81C79C +:103B30007370043001A099E57370043001A07370B4 +:103B4000043001A0011122CC4AC84EC652C456C24C +:103B500006CE26CABA893689B28A2E842A8AEFF01E +:103B60004FA311E5638509007370043001A0EFE0F5 +:103B70000F9A83240A00B37794006316090295C74D +:103B800063870A009347F4FFE58F2320FA00EFE0F4 +:103B90000FBDF240624426854249D244B249224ACE +:103BA000924A05618280E30DF4FCE38209FEB3359D +:103BB0005001E20563050900B7070004DD8D4E865C +:103BC000C18D13054A00EFE0FFE6EFE04FB919E1C0 +:103BD000EFC0DF83EFF0CFB593176500AA8963D8F4 +:103BE0000700B7040001FD14B3F499005DB7EFF0CE +:103BF0000FAF83290A00B3773401631E090089CB14 +:103C000063880A009347F4FFB3F737012320FA00D3 +:103C1000EFF02FAFF9B7E31DF4FEDDB701C9B70729 +:103C200000FFED8F99C77370043001A073700430EA +:103C300001A0411122C42A8406C626C24AC02E8988 +:103C4000EFF0EFA904409345F9FFB3F795001CC0CE +:103C5000EFF02FABB2402244268502499244410145 +:103C60008280411122C42A8406C6EFC01F8600400C +:103C7000EFC05F85B240228522444101828009C99C +:103C8000370700FFB3F7E50099C77370043001A050 +:103C90007370043001A06F20E04FB70700FFF18F71 +:103CA00081C77370043001A001E67370043001A075 +:103CB000011122CC4AC84EC652C406CE26CA368945 +:103CC000AE892A8A3284EFF0CF8C21E163050900A6 +:103CD0007370043001A0EFE08F8383240A00CE8547 +:103CE0005285B3E49900613FB37794006307F4060B +:103CF00083240A00EFE0AFA6F240624426854249E1 +:103D0000D244B249224A05618280EFE04F80832489 +:103D10000A00CE855285B3E434019537B3F78400A9 +:103D2000638D8702E30609FCB70500054A86C18D4D +:103D300013054A00EFE01FD0EFE06FA219E1EFC0DA +:103D4000EFECEFF0EF9E93176500AA8463DF0700A6 +:103D5000B7070001FD17FD8C45B783270A00134400 +:103D6000F4FFE18F2320FA0071B7EFF04F9783241F +:103D70000A00B3779400639787009347F4FFE58FB9 +:103D80002320FA00EFF0EF97E1B7411122C406C6F5 +:103D90002A8426C2EFD0BFF75C4085C3084893044D +:103DA000C4006316950015A0084863009502B70586 +:103DB0000002EFE03FE55C40E5FB2285612A2244FA +:103DC000B240924441016FE08F997370043001A0BA +:103DD00009C9370700FFB3F7E50099C773700430CE +:103DE00001A07370043001A06F20C03A01C9B70769 +:103DF00000FFED8F99C77370043001A07370043019 +:103E000001A0411122C426C206C62A84AE84EFF066 +:103E10000F8D184093C7F4FFF98F1CC02244B240A5 +:103E2000924441016FF0EF8D19C1084D8280014528 +:103E30008280411122C406C62A84EFD05FED97C765 +:103E40000100938767729C43A1EBE56717D70100D8 +:103E500013078794F11797C6010023ADE67017C7C3 +:103E600001002327F77017D701002329F79297C77E +:103E700001009387A76F17D70100232FF79085477D +:103E800017C70100232A076E17C701002320076EFA +:103E900017C70100232AF76C19E8EFE04F8CEF10E9 +:103EA000600201442285B240224441018280130510 +:103EB000840093773500C1EFE5671307F5FFE91735 +:103EC000E3EDE7FC97C601009386C66A9C4229A0F1 +:103ED000984311C7BE86BA87D843E36BA7FE17C7BE +:103EE00001001307A768E38AE7FA98434146138461 +:103EF000870098C2D843B306A7406378D6023388B8 +:103F0000A7002322D800C8C38325480097C6010014 +:103F10009386466611A0BA8698425043E36DB6FE7A +:103F20002320E80023A00601D84397C70100938708 +:103F3000A7659C43998F17C701002327F764EFE01B +:103F40000F8231DC2285B240224441018280719986 +:103F500011059DB729C9411122C406C62A84EFD094 +:103F60001FDB930584FF0326C4FF17C70100130757 +:103F7000676011A03E871C43D443E3EDC6FE232CAB +:103F8000F4FE0CC397C7010093870760984383270B +:103F9000C4FF2244B240BA9717C701002326F75E38 +:103FA00041016FD0DFFB828097C701009387C75D17 +:103FB0008843828082803367B5000D8BAA871DE716 +:103FC0009308D6FFAA982A87AE866371150303A8C3 +:103FD000060011079106232E07FFE36A17FF934798 +:103FE000F5FFC697F19B9107BE95AA972A9663F3B2 +:103FF000C70A9386450013884700B3B6D70033B885 +:10400000050133E7F50093C61600134818000D8B21 +:10401000B3E6060113371700758F330EF64093860B +:10402000170029CF1337AE0031EB13532E002E8823 +:10403000BE860147832808000507110823A0160142 +:104040009106E36967FE1377CEFFBA95BA976302CC +:10405000EE0483C60500138717002380D700637A18 +:10406000C70283C6150013872700A380D700637299 +:10407000C70203C725002381E7008280B307F6400B +:10408000AE97850503C7F5FF8506238FE6FEE39A05 +:10409000F5FE82808280B367C5008D8BB308C500B2 +:1040A000E9C36371150FB307A0408D8B13873700E9 +:1040B000954693F5F50F130815003383A8407D1638 +:1040C0006373D70015476363E60CD5CF2300B500B3 +:1040D0000547638DE700A300B5000D4713082500D1 +:1040E0006396E700130835002301B5001396850099 +:1040F0004D8E13970501518F3303F340939685013D +:10410000D98E13562300AA97014794C30507910738 +:10411000E36DC7FE1377C3FFB307E8006307E30649 +:104120002380B70013871700637C1703A380B700B1 +:1041300013872700637617032381B700138737009F +:1041400063701703A381B70013874700637A1701D1 +:104150002382B7001387570063741701A382B70047 +:10416000828093F5F50F13978500D98D139705017C +:104170004D8FE37715FFAA87910723AEE7FEE3EDA6 +:1041800017FF828082802A8895B78280AA8749BFDC +:10419000834705002A8799C7050583470500EDFF7A +:1041A000198D82800145828005058347F5FF8505CD +:1041B00003C7F5FF91C7E389E7FE3385E7408280B7 +:1041C0008147E5BFAA87850503C7F5FF8507A38F4C +:1041D000E7FE75FB82808346050093070002639724 +:1041E000F600050583460500E38DF6FE938756FD30 +:1041F00093F7D70F85C7AA87814585CE01458507E7 +:10420000138606FD1317250083C607003A95060599 +:104210003295F5F699C13305A0408280938536FD2D +:104220008346150093B5150093071500E1FA014583 +:10423000D5B7014582809C412380A7009C4185071A +:104240009CC1828097C60100938646339C421757D3 +:1042500003001307275713861700BA972380A70078 +:1042600097C5010023ACC530A9476308F500930743 +:1042700000046305F6000145828075DE370610F004 +:1042800005078347F7FF2320F6F09C42FD1797C5EB +:10429000010023A5F52EEDF7014582804D71232203 +:1042A000911423202115232A51132324811323261B +:1042B000111423248114232E3113232C411323287A +:1042C000611323267113232291132320A113232E7C +:1042D000B111AA842E8932C6130C5002A54A834715 +:1042E000090063808703B9CB804011A0A1CB2300D4 +:1042F000F40080400509050480C083470900E39766 +:1043000087FF0346190093081900C686130D0002A3 +:10431000FD597D5A0148130550059307D6FD93F7C3 +:10432000F70F138916006363F52A17C701001307F7 +:1043300067CD8A07BA979C43BA9782878320C114B6 +:104340000324811483244114032901148329C113F4 +:10435000032A8113832A4113032B0113832BC112D8 +:10436000032C8112832C4112032D0112832DC111C4 +:1043700071618280A14C02C4854763D50715B2479D +:104380008040938B770093F68BFF03AB060083A9E5 +:104390004600938786003EC6668681465A85CE854E +:1043A000EF10C0792AC8814763853723930D4101F7 +:1043B000854B668681465A85CE85EF10601A814608 +:1043C00066862A8BAE89EF106077A24723A0AD00E6 +:1043D00093861B00910D63843701B68BD9BFE37EB2 +:1043E0009BFF63DD4601A2872380A70180407D1AE1 +:1043F000930714009CC03E84E3C846FF93962B00AD +:104400001C08BE9631A8938777052300F4008040EE +:10441000FD1B93871B00050480C0F116E351F0ECEF +:104420009C42E3E2FAFE93870703C5B79C409306DC +:10443000000332472380D7009C4093064700C14CBD +:104440001386170090C013068007A380C700804022 +:1044500002C4BA87050480C003AB0700814936C691 +:1044600025BFB24783AC0700938B470063840C1CC5 +:10447000635F40199307D0026319FD1683C70C00D0 +:1044800085C363DC09189440850C7D1A2380F600EF +:104490008040050480C083C70C00E5F7635D4001E0 +:1044A0008040930700022300F40080407D1A050439 +:1044B00080C0E31A0AFE5EC61DB502C48547A94C3A +:1044C000E3CF07EBB24780409386470071B703C63E +:1044D0001600CA8699B59C40930650022380D700E7 +:1044E0008040050480C0E5BBC14C02C471B5B24731 +:1044F00003C61600CA8683A9070091073EC6E35E7D +:104500000AE04E8AFD5911BD03C61600130DD002F4 +:10451000CA8621B59347FAFFFD8703C61600337A92 +:10452000FA00CA86DDBB03C61600130D0003CA8657 +:10453000EDB383C51600930906FDCA86938705FD72 +:104540002E86E3EEFAFA93972900BE99850686092E +:10455000AE9983C50600938909FD938705FD2E86D4 +:10456000E3F3FAFE69BF32479C40144311073AC691 +:104570002380D7008040050480C095B3854763D36E +:10458000070BB24793867700E19A938786003EC671 +:1045900083A946009C4263DC090A94401306D002BA +:1045A000B307F0402380C6008040B336F000B30963 +:1045B0003041050480C03E8BB389D940A94C02C468 +:1045C000E1BB03C616000508CA8681BB9C4046892C +:1045D00021B7E37D9BDD8547814B8546E3C547E1F8 +:1045E00031BD97CC0100938CECDDCE856685EF0064 +:1045F000701F330AAA40635C400180402300A4017D +:1046000080407D1A050480C0E31A0AFE014A83C770 +:104610000C00E38207EAE3C809E6FD197D57E3943D +:10462000E9E6ADBDB247938647009C4336C693D9B1 +:10463000F74195B7635640019307D002E313FDFAA3 +:1046400097CC0100938C0CD8930780021DBD3E8B44 +:10465000A94C02C4804089B34D71232E3113B70990 +:1046600000409387F9FF2324811423229114232CE3 +:104670004113232A51132326111423202115232803 +:1046800061132326711323248113232291132320E2 +:10469000A113232EB1112A8A2EC417C401001304BA +:1046A000E4ED975A0300938AEA1197C401009384BA +:1046B000E4AA3EC693055002294513080004B70634 +:1046C00010F083470A006386B704C1C71840050A83 +:1046D00013061700569797C8010023A1C8EA2300C4 +:1046E000F7006382A706E31E06FD175703001307B2 +:1046F000670D05078347F7FF23A0F6F01C40FD1761 +:1047000017C60100232CF6E6EDF783470A00E39E67 +:10471000B7FA83461A0013051A002A87930B000282 +:104720007D5B7D5C014893055005A5489387D6FDC8 +:1047300093F7F70F130A170063E7F5348A07A69774 +:104740009C43A6978287175703001307A70755F2C4 +:104750008DBF8320C11403248114832441140329B1 +:1047600001148329C113032A8113832A4113032BC4 +:104770000113832BC112032C8112832C4112032DB0 +:104780000112832DC11171618280A14C014D8547B9 +:1047900063DB0719A2479D07E19B83AD070003ABCD +:1047A0004700138787003AC4668681466E85DA859E +:1047B000EF10C0382AC863066D35130941018549D9 +:1047C000668681466E85DA85EF0090596686814659 +:1047D000AA8D2E8BEF1080362320A90085091109A0 +:1047E000E3106DFFE3FE9DFD13060004B70610F015 +:1047F00063D189031C4013871700D69797C5010022 +:1048000023AEE5D623807701630CC7047D1CE3C388 +:1048100089FFB2476800A548B386F9008A061C08DC +:10482000BE96294813030004370610F0E384A6E877 +:104830009C429305000363F4F8009305700518404B +:10484000AE9793051700569717CE01002328BED2C6 +:104850002300F7006380072B638C6526F116F9B7F8 +:1048600017570300130707F605078347F7FF23A031 +:10487000F6F01C40FD1797C5010023A1F5D0EDF718 +:104880007D1C71B71C40130600041387170097C5E1 +:10489000010023A5E5CEB386FA009305000323802B +:1048A000B600630FC73C890797C6010023A8F6CC62 +:1048B0005697930680072300D70017570300130766 +:1048C00067F0B70610F0639EC70005078347F7FF40 +:1048D00023A0F6F01C40FD1717C601002320F6CADE +:1048E000EDF7A247C14C014D91072247014B832DA3 +:1048F00007003EC455BDA24783AC0700138947009B +:10490000638E0C3A635480219307D002639EFB2E82 +:1049100003C70C00BA856310072025AC8547A94C56 +:10492000014DE3C907E7A2479107C1B7A2478346F4 +:104930001700528703AB070091073EC4E3580CDE13 +:104940005A8C7D5BE5B383461700930BD0025287E8 +:10495000F1BB9347FCFFFD8783461700337CFC00C7 +:104960005287E9B383461700930B000352877DBB40 +:1049700003461700138B06FD5287930706FDB28688 +:10498000E3EEF8FA93172B00DA9705078607B2973C +:1049900003460700138B07FD930706FDB286E3F37A +:1049A000F8FE69BFA2451C4029469841938617002E +:1049B000D69717C501002323D5BC2380E7001389B0 +:1049C00045006300C72A930700046387F6204AC4A2 +:1049D000D5B1854763DE0729A24713877700619B1E +:1049E000930787003EC4032B47001C43635A0B30D8 +:1049F0001840130600049306170097C5010023AF63 +:104A0000D5B656979305D0022300B7006387C62C0E +:104A1000B307F0403337F000330B6041BE8D330BEA +:104A2000EB40A94C014D49B3834617000508528756 +:104A3000F5B9834617005287D5B91C409306000488 +:104A40001387170017C60100232AE6B2D69713066C +:104A500050022380C700E31FD7C417570300130772 +:104A600067D6B70610F005078347F7FF23A0F6F0D7 +:104A70001C40FD1717C601002322F6B0EDF71DB943 +:104A8000C14C014D29B31C40930600042A8A1387A8 +:104A9000170017C601002323E6AED697130650026F +:104AA0002380C700E318D7C017570300130787D127 +:104AB000B70610F005078347F7FF23A0F6F01C4068 +:104AC000FD1717C60100232BF6AAEDF72A8ADDB6DB +:104AD00017570300130707CF05078347F7FF232066 +:104AE000F6F01C40FD1797C5010023A9F5A8EDF7C6 +:104AF000F1162DBB175703001307C7CCF1FDF116B4 +:104B000035B3E3FC9DCB8549C5B1014C03C70C000F +:104B1000BA85E30E07EAFD58294513080004B706D5 +:104B200010F063570B0A1C4013861700D69717C363 +:104B300001002325C3A42380E7006384A506630442 +:104B40000609850C03C70C007D1CBA8579FBE35070 +:104B500080E91C409305000213060004B70610F01C +:104B600021A07D1CE3050CE613871700D697238050 +:104B7000B70017C501002323E5A0BA87E313C7FEDA +:104B800017570300130707C405078347F7FF23A040 +:104B9000F6F01C40FD1717C501002321F59EEDF727 +:104BA000C9B7175703001307E7C141DE050783475D +:104BB000F7FF23A0F6F01C40FD1717C60100232FB6 +:104BC000F69AEDF7BDBF175703001307A7BFF9BF4C +:104BD0007D1BE31A1BF5A5BF17570300130787BEFC +:104BE000B70610F005078347F7FF23A0F6F01C4037 +:104BF000FD1717C601002323F698EDF74AC45DBCE4 +:104C000097BC0100938C0C7CDA856685E12E330C11 +:104C1000AC40E35D80EF1C4013060004B70610F0C3 +:104C200021A07D1CE3030CEE13871700D697238089 +:104C3000770197C5010023A3E594BA87E313C7FE64 +:104C400017570300130707B805078347F7FF23A08B +:104C5000F6F01C40FD1797C5010023A1F592EDF772 +:104C6000C9B7175703001307E7B5BDFA4AC499B48B +:104C7000A247138747009C433AC413DBF741BDB3F7 +:104C800017570300130707B4B70610F0050783474B +:104C9000F7FF23A0F6F01C40FD1717C60100232FD5 +:104CA000F68CEDF7854717C701002329F78C93078A +:104CB0008007175703002307F7B025B1635680011B +:104CC0009307D002E39EFBF297BC0100938C8C6F9C +:104CD00013078002930580023DBD9756030093861B +:104CE00066AE370610F0850603C7F6FF2320E6F010 +:104CF00018407D1797C5010023A2E5886DF709BB11 +:104D0000BE8DA94C014D4DB4B70710F023A0A7F2FA +:104D100001A03971130341022ED29A8506CE32D4F6 +:104D200036D63AD83EDA42DC46DE1AC63532F24092 +:104D30000145216182805D711303810322D42AC65B +:104D400032DC2A841A86680006D6BEC236DEBAC0B5 +:104D5000C2C4C6C61ACEEFF06FD4B2472380070094 +:104D60003245B250018D225461618280B70710F044 +:104D700083A647F403A607F403A747F4E31AD7FE74 +:104D80008566938606FAB29633B6C600B305E6008A +:104D900023A4D7F423A6B7F48280B70710F003A7A3 +:104DA00047F403A607F483A647F4E31AD7FE856603 +:104DB000938606FAB29633B6C60023A4D7F4B30599 +:104DC000E60023A6B7F49307000873A0473082805B +:104DD000411122C406C697B701009387A77A17B47A +:104DE00001001304E47998431C401306F07C9306F9 +:104DF00047069387470697B5010023A5D57897B551 +:104E0000010023AFF5766346D600B240224441014B +:104E100082801307478997B7010023A5E776852A83 +:104E20008547631FF500184085679387F77601452E +:104E3000E3DDE7FCD13D2244B24041016FC03FECCD +:104E400017B5010013058558E9350945753D22441C +:104E5000B24041016FC0BFEA17B501001305C55844 +:104E60006FF03FEB17B50100130585586FF07FEA2F +:104E7000411122C426C206C6856417B4010013047A +:104E8000A457938784380100FD17F5FF2285EFF0C2 +:104E90005FE8C5BF014571A8411106C6CD28054789 +:104EA00081476316E500B2403E854101828017B517 +:104EB00001001305A551EFF0DFE5B24089473E85BB +:104EC000410182807370043001A0828073700430CD +:104ED00001A0797122D426D21D74B79403004AD060 +:104EE0004ECE52CC56CA06D6AA8A81491309B007BB +:104EF000938474441304B471054A4AC6B2479D456D +:104F0000A6973EC6B24713972700998F3EC63245F3 +:104F1000EF1000152AC6B247638487008549F1BFA8 +:104F2000E39D09FCEFD0BFFB23A04A01EFD07FFD3A +:104F3000E9B7011122CC26CA4AC84EC652C406CED1 +:104F40002A8A175403001304E48B975903009389AA +:104F5000698C17B901001309694B97040000938409 +:104F600084F7A286814811040148814752871306BD +:104F70000040CA852685EFC06FB0E39489FEF240F9 +:104F80006244D2444249B249224A0561828017569E +:104F90000300130626871C421757030023240786A5 +:104FA00054421757030023210786184697550300DC +:104FB00023AE05844846B336D000B306D040B3379D +:104FC000F0003337E0003307E040F58F3335A000C1 +:104FD000F98F3305A04017570300232B07827D8DDF +:104FE00082800000B305B500930705006386B70013 +:104FF00003C70700631607003385A74067800000DA +:10500000938717006FF09FFE130101FB2322910489 +:10501000232C4103232291032326110423248104FA +:1050200023202105232E3103232A51032328610342 +:1050300023267103232481032320A103232EB101FE +:10504000930C0500138A05009304000063DE05003D +:105050003305A0403337A000B305B040930C0500E2 +:10506000338AE5409304F0FF63DA06003306C0405C +:10507000B337C000B306D040B386F640930A0600AB +:105080009389060013840C0013090A00639606280E +:1050900017BB0100130B0B226370CA16B707010080 +:1050A0006372F6149307F00F63F4C700930980004E +:1050B000B3573601330BFB0083470B001305000287 +:1050C000B3873701B309F540638C0900B3153A0182 +:1050D000B3D7FC00B31A360133E9B70033943C016F +:1050E00013DB0A0193050B0013050900EF00507C48 +:1050F000130A050093050B00939B0A011305090091 +:10510000EF00907693DB0B019305050013850B00F0 +:10511000EF00D072131A0A0193570401B367FA0023 +:1051200063FAA700B387570163E6570163F4A7004A +:10513000B38757013389A74093050B001305090076 +:10514000EF001077130A050093050B001305090003 +:10515000EF0090711314040193050500131A0A015E +:1051600013850B0013540401EF00506D33648A0063 +:10517000637AA40033045401636654016374A40089 +:10518000330454013304A440335434019305000024 +:10519000638A040033048040B3378000B305B04015 +:1051A000B385F540130504008320C1040324810462 +:1051B00083244104032901048329C103032A8103B1 +:1051C000832A4103032B0103832BC102032C810299 +:1051D000832C4102032D0102832DC101130101051E +:1051E00067800000B707000193090001E362F6EC55 +:1051F000930980016FF0DFEB631A0600930500004E +:1052000013051000EF005066930A0500B707010070 +:1052100063FAFA0E9307F00F63F4570193098000C5 +:10522000B3D73A01330BFB0083470B001305000291 +:1052300033095A41B3873701B309F540E38209EADC +:10524000B39A3A01335BFA00B3153A01B3D7FC00C5 +:1052500093DB0A0133E9B70013050B0093850B00BC +:10526000EF001065130A050093850B00139C0A01DB +:1052700013050B00EF00505F135C0C019305050054 +:1052800013050C00EF00905B131A0A0193570901F4 +:10529000B367FA0033943C0163FAA700B387570160 +:1052A00063E6570163F4A700B3875701338BA74028 +:1052B00093850B0013050B00EF00905F130A0500A8 +:1052C00093850B0013050B00EF00105A93050500A2 +:1052D00013050C00EF00905693160901131A0A01EA +:1052E00093D60601B366DA0063FAA600B3865601C8 +:1052F00063E6560163F4A600B38656013389A640DF +:105300006FF01FDEB707000193090001E3EAFAF02E +:10531000930980016FF0DFF06376DA0093050A00ED +:105320006FF01FE7B707010063FAF604930BF00F65 +:1053300033B5DB001315350033D7A60097B701004E +:10534000938747F7B387E70083CB070093050002F5 +:10535000B38BAB00338B7541631C0B0263E44601D6 +:1053600063EACC003384CC40B306DA4033B98C0016 +:1053700033892641930509006FF09FE1B7070001CB +:1053800013050001E3EAF6FA130580016FF0DFFA76 +:10539000B3966601335D7601336DDD00B35D7A014E +:1053A000B3156A0133DC7C0113540D01336CBC006E +:1053B00013850D0093050400B3196601EF00504FEB +:1053C000930A05009305040013850D0033996C01C1 +:1053D000931C0D01EF00504993DC0C01130A0500EA +:1053E0009305050013850C00EF005045939A0A01C0 +:1053F00013570C0133E7EA00930D0A00637EA70000 +:105400003307A701930DFAFF6368A7016376A7002E +:10541000930DEAFF3307A701330AA7409305040061 +:1054200013050A00EF00D04893050400930A050015 +:1054300013050A00EF00504393050500130405000F +:1054400013850C00EF00903F93150C01939A0A010D +:1054500093D50501B3E5BA001307040063FEA50068 +:10546000B385A5011307F4FF63E8A50163F6A50062 +:105470001307E4FFB385A501939D0D01B70C01004F +:10548000B3EDED001384FCFFB3F78D0033F4890016 +:10549000338AA54013850700930504002326F100F5 +:1054A00093DD0D01EF00903993050400930A050088 +:1054B00013850D00EF00903813DC090193050C00F3 +:1054C0002324A10013850D00EF0050378327C1006E +:1054D00013040C0093050400130C0500138507004A +:1054E000EF00D0358326810013D70A013305D5009C +:1054F0003307A7006374D700330C9C01B707010082 +:105500009387F7FF935507013377F70013170701C8 +:10551000B3F7FA00B3858501B307F7006366BA00F5 +:10552000631EBA00637CF90033863741B3B7C70006 +:10553000B385A541B385F54093070600B307F9404D +:105540003339F900B305BA40B38525413394750169 +:10555000B3D767013364F400B3D565016FF05FC35F +:10556000130101FD23229102232A51012326110256 +:105570002324810223202103232E3101232C4101E6 +:105580002328610123267101232481012322910113 +:105590002320A101930A050093840500639E063829 +:1055A000130406009309050017B90100130989D0F7 +:1055B00063F8C512B7070100138B05006378F61076 +:1055C0001307F00F3337C70013173700B357E60040 +:1055D0003309F900834609003387E6009306000289 +:1055E000B386E640638C0600B394D40033D7EA0058 +:1055F0003314D600336B9700B399DA00935A040141 +:1056000093850A0013050B00EF00902A130905008B +:1056100093850A00931B040113050B00EF00D024AF +:1056200093DB0B01930405009305050013850B0024 +:10563000EF00D0201319090193D70901B367F900CE +:10564000138A040063FEA700B3878700138AF4FF60 +:1056500063E8870063F6A700138AE4FFB387870037 +:10566000B384A74093850A0013850400EF005024FB +:105670001309050093850A0013850400EF00D01E6E +:105680009399090193040500930505001319090175 +:1056900013850B0093D90901EF00501AB369390142 +:1056A0001386040063FCA900B30934011386F4FFD8 +:1056B00063E6890063F4A9001386E4FF13140A016A +:1056C0003364C400130A00006F000013B707000121 +:1056D00013070001E36CF6EE130780016FF01FEF74 +:1056E000138A0600631A06009305000013051000D4 +:1056F000EF00901713040500B7070100637EF41252 +:105700009307F00F63F48700130A8000B357440136 +:105710003309F900034709009306000233074701E4 +:10572000B386E64063940612B3848440130A1000E3 +:10573000135B040193050B0013850400EF00501761 +:105740001309050093050B0013850400931B040146 +:10575000EF00901193DB0B01930405009305050006 +:1057600013850B00EF00900D1319090193D7090160 +:10577000B367F900938A040063FEA700B38787002C +:10578000938AF4FF63E8870063F6A700938AE4FF37 +:10579000B3878700B384A74093050B0013850400EB +:1057A000EF0010111309050093050B001385040089 +:1057B000EF00900B939909019304050093050500F0 +:1057C0001319090113850B0093D90901EF00100784 +:1057D000B36939011386040063FCA900B3093401DD +:1057E0001386F4FF63E6890063F4A9001386E4FFDF +:1057F00013940A013364C4001305040093050A00DE +:105800008320C1020324810283244102032901026F +:105810008329C101032A8101832A4101032B01014C +:10582000832BC100032C8100832C4100032D010038 +:105830001301010367800000B7070001130A00018C +:10584000E366F4EC130A80016FF05FEC3314D400CC +:1058500033DAE400B399DA0033D7EA00935A04014B +:10586000B394D40093850A0013050A00336B9700A4 +:10587000EF0010041309050093850A0013050A00C0 +:10588000931B0401EF00407E93DB0B0193040500A2 +:105890009305050013850B00EF00407A13190901E9 +:1058A00013570B013367E900138A0400637EA700D6 +:1058B00033078700138AF4FF636887006376A700C5 +:1058C000138AE4FF33078700B304A74093850A00D7 +:1058D00013850400EF00C07D1309050093850A00BD +:1058E00013850400EF00407893040500930505003C +:1058F00013850B00EF00807413170B01135707017A +:1059000013190901B367E9001387040063FEA700B8 +:10591000B38787001387F4FF63E8870063F6A70067 +:105920001387E4FFB3878700131A0A01B384A740E3 +:10593000336AEA006FF0DFDF63ECD51EB7070100C2 +:1059400063F4F6041307F00FB335D70093953500D1 +:1059500033D7B60097B701009387C795B387E700A1 +:1059600003C70700130A00023307B700330AEA40EF +:1059700063160A0213041000E3E096E833B6CA0087 +:10598000134416006FF05FE7B707000193050001AD +:10599000E3E0F6FC930580016FF09FFBB35CE6004B +:1059A000B3964601B3ECDC0033D4E40093DB0C0186 +:1059B000B397440133D7EA0093850B001305040025 +:1059C000336BF700B3194601EF00806E1309050031 +:1059D00093850B0013050400139C0C01EF00C068B5 +:1059E000135C0C01930405009305050013050C00DE +:1059F000EF00C0641319090113570B013367E90065 +:105A000013840400637EA700330797011384F4FF17 +:105A1000636897016376A7001384E4FF3307970157 +:105A2000B304A74093850B0013850400EF00406882 +:105A30001309050093850B0013850400EF00C06275 +:105A4000930405009305050013050C00EF00005FAB +:105A500093170B011319090193D70701B367F900D5 +:105A60001386040063FEA700B38797011386F4FF33 +:105A700063E8970163F6A7001386E4FFB3879701F5 +:105A800013140401B70B01003364C4001389FBFF36 +:105A9000337D240133F92901B384A740930509001C +:105AA00013050D00EF008059935C04019305090074 +:105AB000130B050013850C00EF00405893D9090122 +:105AC000130C05009385090013850C00EF000057A7 +:105AD000130905009385090013050D00EF0000561A +:105AE0003305850193570B013385A7006374850146 +:105AF0003309790193570501B387270163E6F4025F +:105B0000E392F4BCB70701009387F7FF3375F50004 +:105B100013150501337BFB0033964A0133056501FC +:105B2000130A0000E37AA6CC1304F4FF6FF09FB9C8 +:105B3000130A0000130400006FF01FCC130101FBD7 +:105B40002324810423229104232E31032322910351 +:105B50002326110423202105232C4103232A51034A +:105B60002328610323267103232481032320A10317 +:105B7000232EB101930C0500938905001304050041 +:105B800093840500639E062613090600138A060007 +:105B900097AA0100938A0A7263F4C514B70701003B +:105BA0006376F6129307F00F63F4C700130A8000C0 +:105BB000B3574601B38AFA0003C70A00130500026F +:105BC00033074701330AE540630C0A00B3954901E6 +:105BD00033D7EC0033194601B364B70033944C015A +:105BE000935A090193850A0013850400EF00404C85 +:105BF0009309050093850A00131B0901138504000E +:105C0000EF008046135B0B019305050013050B00A5 +:105C1000EF00C0429399090193570401B3E7F900DB +:105C200063FAA700B387270163E6270163F4A7009F +:105C3000B3872701B384A74093850A001385040026 +:105C4000EF0000479309050093850A0013850400BF +:105C5000EF00804113140401930505009399090195 +:105C600013050B0013540401EF00403D33E4890099 +:105C7000637AA40033042401636624016374A400DE +:105C8000330424013304A440335544019305000038 +:105C90008320C104032481048324410403290104D3 +:105CA0008329C103032A8103832A4103032B0103B0 +:105CB000832BC102032C8102832C4102032D01029C +:105CC000832DC1011301010567800000B7070001A2 +:105CD000130A0001E36EF6EC130A80016FF05FED2A +:105CE000631A06009305000013051000EF00C0378B +:105CF00013090500B7070100637AF90E9307F00F47 +:105D000063F42701130A8000B3574901B38AFA00EC +:105D100003C70A0013050002B38429413307470172 +:105D2000330AE540E30E0AEA33194901B3DAE90020 +:105D3000B395490133D7EC0093540901336BB70095 +:105D400013850A0093850400EF008036930905004F +:105D500093850400931B090113850A00EF00C030EE +:105D600093DB0B019305050013850B00EF00002D5D +:105D70009399090193570B01B3E7F90033944C0150 +:105D800063FAA700B387270163E6270163F4A7003E +:105D9000B3872701B38AA7409385040013850A00BF +:105DA000EF000031930905009385040013850A0074 +:105DB000EF00802B9305050013850B00EF000028F2 +:105DC00093150B019399090193D50501B3E5B9002A +:105DD00063FAA500B385250163E6250163F4A500F8 +:105DE000B3852501B384A5406FF09FDFB70700019D +:105DF000130A0001E36AF9F0130A80016FF0DFF083 +:105E0000E3E8D5E8B707010063FCF604930BF00F55 +:105E100033B5DB001315350033D7A60097A7010073 +:105E200093874749B387E70083CB070093050002B8 +:105E3000B38BAB00338B7541631E0B0263E43601F9 +:105E400063EACC003384CC40B386D94033B58C00B0 +:105E5000B384A64013050400938504006FF05FE34C +:105E6000B707000113050001E3E8F6FA1305800106 +:105E70006FF09FFAB3966601335D7601336DDD00F6 +:105E800033D47901B395690133DC7C0193540D015E +:105E9000336CBC001305040093850400B31A66013B +:105EA000EF000021130A0500938504001305040088 +:105EB00033996C01931C0D01EF00001B93DC0C0166 +:105EC000130405009305050013850C00EF0000176F +:105ED000131A0A0113570C013367EA00130A04006E +:105EE000637EA7003307A701130AF4FF6368A701C5 +:105EF0006376A700130AE4FF3307A701B309A7409D +:105F00009385040013850900EF00801A938504002F +:105F10001304050013850900EF0000159305050023 +:105F20009304050013850C00EF00401193150C013C +:105F30001314040193D50501B365B400138704005D +:105F400063FEA500B385A5011387F4FF63E8A501EF +:105F500063F6A5001387E4FFB385A501131A0A01B0 +:105F6000B70C0100336AEA001384FCFFB3778A00A0 +:105F700033F48A00B384A540138507009305040019 +:105F80002326F100135A0A01EF00400B9309050084 +:105F90009305040013050A00EF00400A13DC0A0110 +:105FA000930D050093050C0013050A00EF0000098E +:105FB0008327C100130A050093050C001385070011 +:105FC000EF00C0073305B50113D709013307A70058 +:105FD0006374B701330A9A01B70701009387F7FF8B +:105FE000935507013377F70013170701B3F7F9004B +:105FF000B3854501B307F70063E6B400639EB400C0 +:10600000637CF90033865741B3B7C700B385A54118 +:10601000B385F54093070600B307F9403339F9001B +:10602000B385B440B385254133947501B3D7670177 +:106030003365F400B3D565016FF09FC51306050005 +:106040001305000093F61500638406003305C500B0 +:1060500093D5150013161600E39605FE6780000021 +:106060006340050663C60506138605009305050013 +:106070001305F0FF630C060293061000637AB60066 +:106080006358C0001316160093961600E36AB6FE16 +:106090001305000063E6C500B385C5403365D50030 +:1060A00093D6160013561600E39606FE678000008E +:1060B00093820000EFF05FFB13850500678002000C +:1060C0003305A04063D80500B305B0406FF0DFF999 +:1060D000B305B04093820000EFF01FF93305A040F4 +:1060E000678002009382000063CA0500634C0500CC +:1060F000EFF09FF71385050067800200B305B040FD +:10610000E35805FE3305A040EFF01FF63305B0401D +:106110006780020097A701009387C743944317A79E +:1061200001001307E7411843C8C2637BE50017A7C6 +:1061300001001307A74108438C4391056FA04FC08E +:10614000411122C406C617A70100130767402A841D +:1061500008438C439105EFA0AFBE97A7010093873A +:10616000673C9C436376F40097A7010023AC873A11 +:10617000B24022444101828097A701009387873D66 +:10618000984394437C43FD177CC3FC4299E37360BE +:1061900004308280011122CC26CA2A84AE844AC8E7 +:1061A0004EC652C456C206CEEFB07FB61C4003297D +:1061B0000401B7090001B3E59700930AC4000CC0BD +:1061C0008144FD19370A00021840638C2A03032614 +:1061D00009004A85B3654701B37636019317560027 +:1061E000758F0329490063C4070001E7F1BFE39DF0 +:1061F000E6FC9317760063D30700D58CEFC09FA011 +:10620000E1B793C4F4FFF98C04C0EFB05FD5084048 +:10621000F2406244D2444249B249224A924A05615C +:1062200082804111014506C622C4EFE09FD017F7D6 +:10623000FFFF130727BA814605469305400617A5B9 +:1062400001001305E51BEFD0EFEF19C92A84EFB069 +:106250001FAD2A860147814685452285EFD02FFB59 +:0E626000EFB07F85B2402244014541018280AB +:02000004800278 +:10000000CE44FEFFCC45FEFFD644FEFFCC45FEFFAE +:10001000CC45FEFFCC45FEFFCC45FEFFEE44FEFF87 +:10002000CC45FEFFCC45FEFF0845FEFF1445FEFF14 +:10003000CC45FEFF2645FEFF3245FEFF3245FEFF62 +:100040003245FEFF3245FEFF3245FEFF3245FEFFE0 +:100050003245FEFF3245FEFF3245FEFFCC45FEFF36 +:10006000CC45FEFFCC45FEFFCC45FEFFCC45FEFF58 +:10007000CC45FEFFCC45FEFFCC45FEFFCC45FEFF48 +:10008000CC45FEFFCC45FEFFCC45FEFFCC45FEFF38 +:10009000CC45FEFFCC45FEFFCC45FEFFCC45FEFF28 +:1000A000CC45FEFFCC45FEFFCC45FEFFCC45FEFF18 +:1000B000CC45FEFFCC45FEFFCC45FEFFCC45FEFF08 +:1000C000CC45FEFFCC45FEFFCC45FEFFCC45FEFFF8 +:1000D000CC45FEFFCC45FEFFCC45FEFFCC45FEFFE8 +:1000E000CC45FEFFCC45FEFFCC45FEFFCC45FEFFD8 +:1000F000CC45FEFFCC45FEFFCC45FEFFCC45FEFFC8 +:100100006645FEFF7C45FEFFCC45FEFFCC45FEFF6D +:10011000CC45FEFFCC45FEFFCC45FEFFCC45FEFFA7 +:10012000CC45FEFFC245FEFFCC45FEFFCC45FEFFA1 +:100130007443FEFF2C44FEFFCC45FEFFCC45FEFF82 +:100140006244FEFFCC45FEFFBA44FEFFCC45FEFFF5 +:10015000CC45FEFFE844FEFFDA48FEFF2E49FEFFD5 +:10016000E248FEFF2E49FEFF2E49FEFF2E49FEFF0C +:100170002E49FEFFD447FEFF2E49FEFF2E49FEFF0B +:10018000EE47FEFFFA47FEFF2E49FEFF0C48FEFF3A +:100190001848FEFF1848FEFF1848FEFF1848FEFFEB +:1001A0001848FEFF1848FEFF1848FEFF1848FEFFDB +:1001B0001848FEFF2E49FEFF2E49FEFF2E49FEFF86 +:1001C0002E49FEFF2E49FEFF2E49FEFF2E49FEFF5F +:1001D0002E49FEFF2E49FEFF2E49FEFF2E49FEFF4F +:1001E0002E49FEFF2E49FEFF2E49FEFF2E49FEFF3F +:1001F0002E49FEFF2E49FEFF2E49FEFF2E49FEFF2F +:100200002E49FEFF2E49FEFF2E49FEFF2E49FEFF1E +:100210002E49FEFF2E49FEFF2E49FEFF2E49FEFF0E +:100220002E49FEFF2E49FEFF2E49FEFF2E49FEFFFE +:100230002E49FEFF2E49FEFF2E49FEFF2E49FEFFEE +:100240002E49FEFF2E49FEFF2E49FEFF2E49FEFFDE +:100250002E49FEFF2E49FEFF4C48FEFF7A48FEFF66 +:100260002E49FEFF2E49FEFF2E49FEFF2E49FEFFBE +:100270002E49FEFF2E49FEFF2E49FEFFD048FEFF0D +:100280002E49FEFF2E49FEFF3246FEFF2C47FEFFA1 +:100290002E49FEFF2E49FEFF9E47FEFF2E49FEFF20 +:1002A000C447FEFF2E49FEFF2E49FEFF2849FEFFF0 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020696E7465676572207461736B73200D9C +:1003E0000A0000006D69616F750A00006D69616F38 +:1003F00075320A0068756E6772790A004368656332 +:100400006B54696D65720000496E744D61746800CB +:100410001000000000000000017A5200017C010180 +:100420001B0D02005000000018000000DC4BFEFF16 +:100430005805000000440E507489039406990B81FE +:1004400001880292049305950796089709980A9ADD +:100450000C9B0D036C010AC144C844C944D244D367 +:1004600044D444D544D644D744D844D944DA44DBB0 +:10047000440E00440B0000004C0000006C00000023 +:10048000E050FEFFDC05000000440E30708903954B +:1004900007810188029204930594069608970998AB +:1004A0000A990B9A0C0370020AC144C844C944D289 +:1004B00044D344D444D544D644D744D844D944DA68 +:1004C000440E00440B00000050000000BC0000007F +:1004D0006C56FEFF0005000000440E50748802892F +:1004E000039305990B81019204940695079608974A +:1004F00009980A9A0C9B0D0320010AC144C844C9FB +:1005000044D244D344D444D544D644D744D844D91F +:0C05100044DA44DB440E00440B00000001 +:08058400FC8F010009000000DA +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/integer_rv32im_O3.hex b/VexRiscv/src/test/resources/freertos/integer_rv32im_O3.hex new file mode 100644 index 0000000..0829eed --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/integer_rv32im_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/integer_rv32imac_O3.hex b/VexRiscv/src/test/resources/freertos/integer_rv32imac_O3.hex new file mode 100644 index 0000000..e336dbe --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/integer_rv32imac_O3.hex @@ -0,0 +1,1539 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000E1C3170502001305E54697950300938514 +:1000A000256F01461122170502001305A53B970590 +:1000B0000200938565410146C52817A50300130575 +:1000C000656D97B503009385A56C37B6ABAB13068A +:1000D000B6BAD92817B103001301C16BEF50B02491 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2422623A02200F322103458 +:1002400096DEEF00002B170102000321E12402419A +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E22523A0120023A2AD +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40B025170102000321DA +:10033000A1160241F65273901234B7220000938244 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A21783A0B4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2420423A0220086DEEF203E +:10046000402D17010200032121030241F65273902F +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF40D005EF10700901E57A +:10050000B24041018280B24041016F2080229307B6 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30101F1F +:1005B0008280411122C406C62A8426C2EF209010F0 +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF20A0566DD5EF2080763C44FD1780 +:1005F0003CC43C44E344F0FEFD573CC4EF20D00E25 +:10060000EF20500C7C40635BF0021C4885CB9304C8 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2060526DD5EF2040729F +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20100A29C5411151 +:1006500022C426C22A8406C6AE84EF20B0063440E7 +:100660005C5C1840232C0402B387F6027D5670C0F0 +:1006700018C430C4B386D740BA9736975CC058C404 +:1006800091EC1C489DE3EF203006B2402244924496 +:100690000545410182807370043001A013050401F7 +:1006A000BD3513054402A535F9BF13050401EF203C +:1006B000E0498547E319F5FC913BF1B701E573701B +:1006C000043001A0011126CA4AC84EC606CE22CC6B +:1006D000B2892E89AA84A5C53305B502130555052F +:1006E000EF3080732A8435C1930745051CC144DC73 +:1006F00023202405EF20007D34405C5C18407D56AB +:10070000B387F602232C040270C018C430C413054A +:100710000401B386D740BA9736975CC058C4C53B2E +:1007200013054402ED33EF20207C23083405228595 +:10073000F2406244D2444249B249056182801305C5 +:100740004005EF30606D2A8401E57370043001A02C +:1007500000C071BF01E57370043001A06375B5007E +:100760007370043001A0411122C409462E848145D2 +:1007700006C6A93711C500DDB2402244410182807E +:100780007370043001A05D7186C6A2C4A6C2CAC03F +:100790004EDE52DC56DA5AD85ED632C6630A051CE3 +:1007A0006382051C89476399F600585D8547630598 +:1007B000F7007370043001A03689AE842A84EF20DC +:1007C000A05B11E5B24781C77370043001A0EF2030 +:1007D000606F185C5C5C014B894BFD59130A040186 +:1007E000930A44026365F70C63077919B247638182 +:1007F000072463150B002808EF206048EF20C06E27 +:10080000EF10C04FEF20006C7C406394370123222F +:1008100004043C446394370123240404EF20C06C97 +:100820006C002808EF20C047631E051EEF2080697A +:10083000185C5C5C630CF70AEF20006BEF208068AB +:100840003C44634FF00039A85685EF20203019C191 +:10085000EF2000503C44FD173CC43C446354F0007E +:100860005C50FDF323243405EF200068EF20806501 +:100870007C40634FF00039A85285EF20202D19C12C +:10088000EF20004D7C40FD177CC07C406354F0009D +:100890001C48FDF323223405EF200065EF10006BA8 +:1008A000054BEF202062185C5C5CE37FF7F2304080 +:1008B0006305060C631F09120844A685EF30006E1D +:1008C0001C4434405840B6971CC463EAE70A1C5CD9 +:1008D00014405850850714C41CDC5DC7130544023E +:1008E000EF20C02685476311F50AC9A8EF20C05F35 +:1008F000B2455285EF204007EF20C05C3C44634F77 +:10090000F00039A85685EF20602419C1EF2040443B +:100910003C44FD173CC43C446354F0005C50FDF380 +:1009200023243405EF20405CEF20C0597C40634F06 +:10093000F00039A85285EF20602119C1EF20404115 +:100940007C40FD177CC07C406354F0001C48FDF3E4 +:1009500023223405EF204059EF10405F31F17D3400 +:10096000054B81B73C41E38F07E27370043001A06F +:100970007370043001A0304021E61C4085C71C5C28 +:10098000585085071CDC39FBEF2000560545B64062 +:10099000264496440649F259625AD25A425BB25BE7 +:1009A000616182804840EF2040491C5C58502322FE +:1009B000040085071CDCE31307F279D5813CE9B715 +:1009C0004844A685EF30805D3C4058441440B3074E +:1009D000F0403E9758C4637CD7025840BA975CC435 +:1009E00009475C506306E902185C050718DCC9DF9B +:1009F000F5B54844A685EF30605A3C405844144051 +:100A0000B307F0403E9758C4E37CD7FCF9B75C507D +:100A1000185C79DB185C7D1718DC185C050718DC9E +:100A2000A5D76DBD22857136EF104052014585B7BF +:100A3000EF20804B0145A1BF411126C2AA841305B6 +:100A4000400522C406C6EF30203D2A8415CD232C54 +:100A50000502FD577CC10547410558DC3CC42322F3 +:100A60000400232004002324040023260400232060 +:100A7000040423089404613C13054402493C814664 +:100A800001468145228501332285B24022449244A9 +:100A9000410182800DC5411122C426C206C64AC04A +:100AA000032945002A84EF20602C8144630CA900AF +:100AB000B2402244268502499244410182807370EB +:100AC000043001A05C448544FD175CC4F5F3814605 +:100AD0000146814522854539E1BF31CDA1C90111CA +:100AE00022CC26CA4AC852C406CE4EC68947B6840E +:100AF00032892E8A2A846399F600585D8547630FF0 +:100B0000F7047370043001A0C932185C5C5CAA89D8 +:100B10006366F70281444E857532F2406244268551 +:100B20004249D244B249224A056182803C41C5DB38 +:100B30007370043001A07370043001A0304041E2B2 +:100B40001C40D5CB1C5C7D5785071CDC3C4463876F +:100B5000E7083C44854485073CC475BFBD32185C3A +:100B60005C5CAA89636DF702304079DA4844D2852B +:100B7000EF30C0423C4058441440B307F0403E9729 +:100B800058C46375D7005840BA975CC48947E39B43 +:100B9000F4FA1C5CC5DB1C5CFD171CDC65B730403F +:100BA00045D24844D285EF30603F3C405844144021 +:100BB000B307F0403E9758C4E367D7FCD9BFDDF4D4 +:100BC0000844D285EF30803D1C4434405840B697ED +:100BD0001CC4E3E9E7F61C401CC4ADB75C50854477 +:100BE0009DDB13054402EF10707615D5E30509F27D +:100BF000232099000DB74840EF2020242322040031 +:100C000091B721CD3C4181C77370043001A01C41D4 +:100C1000A1CB011122CC26CA2A844AC84EC606CED0 +:100C20002E896530185C5C5CAA898144637DF7007D +:100C30001C5C7D5785071CDC3C446388E7023C4410 +:100C4000854485073CC44E85B538F240624426850C +:100C50004249D244B249056182807370043001A0D8 +:100C60005C41C5DB7370043001A05C5099E385449E +:100C7000D9BF13054402EF10706D75D9E30909FE61 +:100C800085472320F900E5B7397106DE22DC26DA34 +:100C90004AD84ED652D456D232C66302051C638A55 +:100CA000051AB68AAE892A84EF20000D11E5B247F5 +:100CB00081C77370043001A0EF20C0201C5C6392D8 +:100CC0000714B247638E070A2808EF10507BFD54C3 +:100CD00013094402130A0401EF200021EF1000025F +:100CE000EF20401E7C4063949700232204043C4480 +:100CF0006394970023240404EF20001F6C0028084D +:100D0000EF10107A63130518EF20C01B1C5CADCFE9 +:100D1000EF20801DEF20001B3C44634FF00039A8FA +:100D20004A85EF10B06219C1EF2080023C44FD17E4 +:100D30003CC43C446354F0005C50FDF324C4EF20F9 +:100D4000A01AEF2020187C40634FF00039A852858C +:100D5000EF10D05F19C1EF10B07F7C40FD177CC051 +:100D60007C406354F0001C48FDF364C0EF20C017C2 +:100D7000EF10C01DEF2000151C5CC1E7B247A9FFB2 +:100D8000EF208016014555A0EF2000161C40DDC75E +:100D9000B2454A85EF10503DEF20C0123C44634FEE +:100DA000F00039A84A85EF10705A19C1EF10507A37 +:100DB0003C44FD173CC43C446354F0005C50FDF3DC +:100DC00024C4EF206012EF20E00F7C40634FF0005E +:100DD00039A85285EF10905719C1EF1070777C40F9 +:100DE000FD177CC07C406354F0001C48FDF364C0D8 +:100DF000EF20800FEF10801531C9EF20A00C1C5C94 +:100E0000B5DFCE8522854444EFF0CFF8639C0A0419 +:100E10001C5C1840FD171CDC01E7EF20601148C086 +:100E20001C48A1EFEF20400C0545F2506254D2540B +:100E30004259B259225A925A21618280EF20800889 +:100E40004840EF107075EF20200A99B7EFF00FDCE3 +:100E50006DB73C41E38707E47370043001A0737001 +:100E6000043001A05C5044C4D5DF13054402EF10E8 +:100E7000F04D4DD9EFF08FD975B713050401EF1080 +:100E8000F04C8547E310F5FAF5B72285EFF06FF2E5 +:100E9000EF10C00B014551BF1DC9411122C44AC00A +:100EA00006C626C244412E892A84EF10306C638323 +:100EB000A40281464A8681452285F933854763002D +:100EC000F502B2402244924402494101828073708B +:100ED000043001A05C44054585075CC4DDB75C4473 +:100EE00085075CC4F9BF15CDADC9011122CC26CA56 +:100EF0004AC84EC652C42A8406CE3289AE89EFF063 +:100F0000CFDC1C5C2A8A814485E35285EFF08FDBBD +:100F1000F240624426854249D244B249224A0561E0 +:100F200082807370043001A0304001CE4C445C409C +:100F3000B2954CC463E4F5000C404CC44E85EF30D0 +:100F4000E0051C5C7D57FD171CDC7C40638CE700D2 +:100F50007C40854485077CC04DBF3C41D9D7737028 +:100F6000043001A01C4899E3854445B713050401EA +:100F7000EF10D03D75D9E30909FE85472320F9001C +:100F8000E5B721C93C41A1CDA1CB011122CC26CA94 +:100F90004AC852C42A8406CE4EC62E8AEFF0EFD23B +:100FA0001C5C2A89814491CB8329C400D285228587 +:100FB000EFF04FDE8544232634014A85EFF08FD0D1 +:100FC000F240624426854249D244B249224A056130 +:100FD00082807370043001A07370043001A0EDDFD3 +:100FE0007370043001A001E57370043001A0411159 +:100FF00022C42A8406C6EF10F06C005CEF10D06E9D +:10100000B240228522444101828001E573700430A0 +:1010100001A0411106C622C42A84EF10B06A1C5CEC +:10102000405C1D8CEF10506C2285B240224441017F +:10103000828019C1085D82807370043001A01DCDCB +:101040009787030093870771D843630CE502D8475D +:10105000630CE504D84B6307E504D84F6302E5044D +:10106000D853630DE502D8576308E502D85B6303E4 +:10107000E502D85F630EE5006F20306C73700430BA +:1010800001A001470E07BA9723A007006F20F06A5E +:101090001D47CDBF1947FDB71547EDB71147DDB760 +:1010A0000D47CDB70947F9BF0547E9BF68458280BD +:1010B0006CC5828003450505828009C5085D13352E +:1010C000150082807370043001A019C51C5D485D55 +:1010D0001D8D1335150082807370043001A0978731 +:1010E000030093872767984305C3984705C7984B24 +:1010F00005C7984F05C7985305C7985705C7985B0C +:1011000015C7985F15C3828001470E07BA978CC335 +:10111000C8C382800547D5BF0947C5BF0D47F5B78E +:101120001147E5B71547D5B71D47C5B71947F1BFF3 +:101130009787030093870762D8436308E502D8477F +:10114000630BE502D84B630AE502D84F6309E50259 +:10115000D8536308E502D8576307E502D85B6308F4 +:10116000E502D85F6303A702828001470E07BA97A2 +:1011700023A0070082800547D5BF0947C5BF0D479B +:10118000F5B71147E5B71547D5B71D47C5B7194797 +:10119000F1BF411122C426C24AC02A8406C6AE84C9 +:1011A0003289EF1030527840FD576314F700232244 +:1011B00004043844FD576314F70023240404EF109B +:1011C000B0521C5C99E74A86A68513054402EF10CD +:1011D000B00CEF10304F3C44635BF0025C5085CBA9 +:1011E0009304440209A83C44FD173CC43C446350AA +:1011F000F0025C5089CF2685EF1050156DD5EF10A9 +:1012000030353C44FD173CC43C44E344F0FEFD57FC +:101210003CC4EF10704DEF10F04A7C40635BF0026D +:101220001C4885CB9304040109A87C40FD177CC0B1 +:101230007C406350F0021C4889CF2685EF101011C6 +:101240006DD5EF10F0307C40FD177CC07C40E3444E +:10125000F0FEFD577CC02244B24092440249410155 +:101260006F10904805C579714ECE52CC06D63E8A95 +:1012700022D426D24AD056CA5AC85EC662C4A547EE +:10128000BA8963F8E7007370043001A0737004300A +:1012900001A0AA8AAE843289B68B428B63090822E8 +:1012A00013050006EF2050372A84630D05200A0934 +:1012B000232865034A869305500A5A85EF20105C5F +:1012C00003C704001C587119230AE40203C7040071 +:1012D0003E991379C9FF79C783C71400A30AF402A2 +:1012E00083C71400E1C383C72400230BF40283C720 +:1012F0002400CDCB83C73400A30BF40283C7340092 +:10130000D5C383C74400230CF40283C74400D9CB60 +:1013100083C75400A30CF40283C75400C1C783C71A +:101320006400230DF40283C76400ADCF83C774004B +:10133000A30DF40283C77400B5C783C78400230ECE +:10134000F40283C78400B9CF83C79400A30EF402CC +:1013500083C79400A1CB83C7A400230FF40283C7E3 +:10136000A400A9C383C7B400A30FF40283C7B400C9 +:1013700095CB83C7C4002300F40483C7C4009DC376 +:1013800083C7D400A300F40483C7D40081CF83C7EC +:10139000E4002301F40483C7E40089C783C7F40091 +:1013A000A301F404130B44005A85A301040423266B +:1013B000340323283405232A0404EFF08F96130501 +:1013C0008401EFF00F96A947B3873741232C04041B +:1013D00000C81CCC40D023220404232E04045E86C3 +:1013E000D6854A85EFF00F8F08C063040A002320DA +:1013F0008A007370043017F9010013092908832744 +:10140000090097F401009384240991C798409C40F7 +:101410007C4385077CC317F701001307E7061C43CD +:10142000850797F6010023A1F6069C40D5CB8327BC +:10143000090017FA0100130AAA1489EB9C40DC5733 +:1014400063E6F90097F7010023A8870497F70100E6 +:101450009387C701984397F60100938666025C5410 +:101460009442050717F601002322E60038C463F60C +:10147000F60017F701002325F7005147B387E7026D +:10148000DA853305FA00EFF02F8A8327090081C738 +:101490009C40FC43BDE383270900054499C79C4059 +:1014A000DC5763F43701EFE07FF62285B250225417 +:1014B00092540259F249624AD24A424BB24B224CF0 +:1014C000456182805A85EF2050277D54F9BF13155E +:1014D0002600EF2070142A8BE31405DC7D54F1B74D +:1014E00097F7010023AA87FA184385476301F7029B +:1014F00017FA0100130ACA0891BF984094407C4330 +:10150000FD177CC3FC42C1FB7360043069B7814A9C +:1015100017FA0100130ACA06514CA94B33858A03F6 +:10152000850A5295EFE0BFFEE39A7AFF97FB010030 +:10153000938B8B115E85EFE09FFD97FA0100938AF4 +:10154000EA115685EFE0BFFC17F5010013054512BF +:10155000EFE0FFFB17F501001305C512EFE03FFBBD +:1015600017F5010013054513EFE07FFA97F7010027 +:1015700023A277F397F7010023AC57F1C1BD4111C6 +:1015800022C406C626C24AC02A847370043097F467 +:1015900001009384A4EE9C40F9E311E497F7010065 +:1015A000938787EF8043130944004A85EFE05FFC8F +:1015B0001C5489C713058401EFE09FFBCA8517F50A +:1015C00001001305250CEFE03FF697F70100938724 +:1015D000E7EB984397F70100938747E99C4305079A +:1015E00097F6010023A4E6EA9840850797F60100E4 +:1015F00023AEF6E601CB97F701009387E7E998431E +:10160000784349EF9C40B1C797F701009387C7E83B +:101610009843630F870873700430984039EB17F7CD +:101620000100130727E714439442A5E27D5797F67C +:10163000010023ABE6E2984009CF9843784311CBF1 +:10164000984394437C43FD177CC3FC4299E3736049 +:101650000430B2402244924402494101828097F70B +:101660000100938767E398439C437C4385077CC3D1 +:101670002DB794439843F8420507F8C217F70100C5 +:10168000130747E114439442D5D2184358475847AB +:10169000584397F6010023A9E6DC71BF98439443B1 +:1016A0007C43FD177CC3FC42B1FF7360043099BFDB +:1016B00097F70100938707DB9C4381C77370043061 +:1016C00001A02244B2409244024941016FE01FD47C +:1016D00041C517F70100130727DC14438147638BCB +:1016E000A606411106C622C426C27370043097F6BE +:1016F00001009386A6D89C4291C710431C437C42AC +:1017000085077CC29C42AA84404981C71C43FC4394 +:10171000B9E797F701009387E7D79C43638B87046A +:1017200097F701009387C7D69C436384870497F794 +:101730000100938767F66309F40297F70100938726 +:1017400067F46301F4028547B240224492443E8527 +:10175000410182803E8582807370043001A0EF4099 +:10176000E03145BF9147CDB79C5493B71700890727 +:10177000E1BF8947D1BF7370043097F701009387A9 +:10178000E7CF984309EF15C59C43485581CB97F7A0 +:101790000100938767D09843784315E3828017F759 +:1017A0000100130767CF14431843F8420507F8C236 +:1017B00061FD17F70100130727CE0843F1B79843DF +:1017C00094437C43FD177CC3FC42E9FB7360043007 +:1017D0008280411122C42A8406C6EFE01FCF11E4A3 +:1017E00097F70100938747CB80434054EFE09FCDAC +:1017F000B2402285224441018280A54763F5B700AB +:101800007370043001A0011122CC06CE26CA4AC84A +:101810004EC652C42A847370043017F901001309AC +:10182000E9C583270900D5EF79C43C486389F508E9 +:1018300017F70100130747C663FCB7001443638D15 +:10184000860C18434457B3B4950093C4140029A0E0 +:101850000443818C93B4140058546384E70A1C4CED +:101860002CC863C60700A947B385B7400CCC9317B3 +:101870002700BA97584897F90100938969D08A07D9 +:10188000CE97631BF702130A44005285EFE05FCE48 +:1018900017F701001307C7BE5C5418436376F700BF +:1018A00017F70100232EF7BC5147B387E702D28513 +:1018B0003385F900EFE05FC799C0EFE03FB58327BC +:1018C000090081CB97F70100938707BD9C43FC4338 +:1018D0009DEBF2406244D2444249B249224A05613A +:1018E000828097F70100938727BB98439C437C43F2 +:1018F00085077CC31DF897F701009387E7B98043FC +:101900002DB74CD4A9BF6244F240D2444249B249F7 +:10191000224A05616F40801681443DBF25C10111F7 +:1019200026CA97F40100938424B79C4006CE22CCAB +:101930004AC84EC6638DA7027370043017F90100C0 +:101940001309C9B38327090091C798409C407C4381 +:1019500085077CC3584997F701009387E7D3630253 +:10196000F7028327090081C79C40FC43A5EBF240A6 +:101970006244D2444249B2490561828073700430A6 +:1019800001A01C5517F70100130787CEE38BE7FC76 +:10199000E9FB930945002A844E85EFE07FBD17F7E8 +:1019A00001001307E7AD5C5418436376F70017F79F +:1019B00001002327F7AC5147B387E70217F5010071 +:1019C000130505BCCE853E95EFE01FB69C405854EC +:1019D000DC57E368F7F8EFE07FA361B76244F240B9 +:1019E000D2444249B24905616F40400915CD011109 +:1019F00022CC26CA4AC82A8406CE4EC6EFE0FFACE7 +:101A0000584897F70100938727C92A8981446300C2 +:101A1000F7024A85EFE01FABF24062442685424957 +:101A2000D244B249056182807370043001A01C5415 +:101A300017F501001305C5C3E38DA7FCF9FB97F764 +:101A40000100938727A29C43A9EB97F70100938796 +:101A5000A7A49C43445493094400DC574E85B3B477 +:101A6000F400EFE0FFB017F70100130767A15C5423 +:101A7000184393C414006376F70017F7010023217D +:101A8000F7A05147B387E70217F50100130545AFEB +:101A9000CE853E95EFE05FA9ADBF93058401EFE0F1 +:101AA000BFA885BF0111056506CE22CC26CA4AC84B +:101AB0004EC652C456C25AC0EF200036630E051AF5 +:101AC000AA8413050006EF2020352A84630A051C2A +:101AD00005669305500A04D92685EF20205A04583C +:101AE0008567F117BE94B7474C4593879744130A0F +:101AF00044005CD85285230C0402A301040423266D +:101B0000040223280404232A0404EFE09FA1130500 +:101B10008401EFE01FA1A947232C0404F19800C819 +:101B20001CCC40D023220404232E04040146971524 +:101B300000009385E5B12685EFE0DF9908C073705A +:101B4000043017F90100130969938327090097F4FA +:101B500001009384649491C798409C407C4385071E +:101B60007CC317F70100130727921C43850797F6DC +:101B7000010023ABF6909C40C9C38327090097F965 +:101B800001009389E99F81EB9C40DC5789E797F737 +:101B9000010023A3879097F701009387278D98432F +:101BA00097F601009386C68D5C549442050717F69C +:101BB0000100232DE68A38C463F6F60017F701000A +:101BC0002320F78C139527003E950A05D2854E9564 +:101BD000EFE09F958327090081C79C40FC43DDE728 +:101BE0008327090091C39C40EF1070498547630526 +:101BF000F50C59E17370043001A097F7010023AD93 +:101C000087881843854797F9010093896997E314FA +:101C1000F7F8CE8A17FB0100130B0BA35685D10AE8 +:101C2000EFE0FF8EE31C5BFF17F501001305C5A174 +:101C3000EFE0FF8D97FA0100938A4AA25685EFE004 +:101C40001F8D17F501001305A5A2EFE05F8C17F5B6 +:101C50000100130525A3EFE09F8B17F50100130585 +:101C6000A5A3EFE0DF8A97F7010023A5678397F725 +:101C7000010023AF578105B7F2406244D244424984 +:101C8000B249224A924A024B0561828098409440B0 +:101C90007C43FD177CC3FC42A1F77360043089B715 +:101CA0006244F2404249B249224A924A024B268596 +:101CB000D24405616F20602873700430FD5717E728 +:101CC00001002323F77A624497E7010023A8A77A4B +:101CD000F240D2444249B249224A924A024B97E723 +:101CE000010023A1077A05616FE0AFDB7370043058 +:101CF00097E7010023A407786FE0EFE817E70100FA +:101D0000130747761C4385071CC382807370043019 +:101D100097E7010093878776984305E317E701006B +:101D20001307477608439C4381CB97E701009387CD +:101D3000A7769843784301EF828017E701001307E5 +:101D4000A77514431843F8420507F8C2C1BF98436A +:101D500094437C43FD177CC3FC42F9FF736004305D +:101D60008280411106C622C4EFE02FF697E70100FA +:101D7000938747718043EFE0EFF4B240228522441D +:101D80004101828097E70100938707708843828032 +:101D9000797106D622D426D24AD04ECE52CC56CA1B +:101DA0005AC85EC697E701009387C76B9C43B1EFA3 +:101DB00017E701001307076D1C43850797E601002D +:101DC00023A2F66C832A0700638F0A0697E70100B7 +:101DD000938787699C43814463F1FA0897E901007E +:101DE0009389097A17EA0100130A0A6B83270A000C +:101DF0008546D85793172700BA978A07BE9983A7B5 +:101E0000090063FFF600854421A897E70100938746 +:101E1000A7669C438144850717E70100232EF764DA +:101E200097E70100938707659C4391C38544B250AF +:101E30002254268502599254F249624AD24A424BB0 +:101E4000B24B4561828017E401001304A4641C4076 +:101E50009C43DDC37370043001A017E40100130438 +:101E6000646397E901009389A97117EA0100130AD5 +:101E7000AA6217EB0100130BAB601C409C43B5C377 +:101E80001C40DC4703A9C70083274900930B490086 +:101E90005E8563EEFA04EFE0AFED83278902130558 +:101EA000890199C3EFE0CFEC8327C90203270B0018 +:101EB000DE85139527003E950A054E956376F7005B +:101EC00017E70100232EF75AEFE00FE683270A00F9 +:101ED0000327C902DC57E362F7FA1C4085449C43A0 +:101EE000C5F3FD5717E701002320F75801B717E79F +:101EF0000100232BF756DDBD17E7010013074759F3 +:101F00001C40184397E6010023A6E65817E7010096 +:101F10002320F75897E70100938787559C4385074F +:101F200017E701002326F7541C409C4399E7FD570F +:101F300017E70100232AF75251BD1C40DC47DC475C +:101F4000DC4317E701002321F75249B597E7010069 +:101F500093874751984301E77370043001A0011142 +:101F600006CE22CC26CA4AC84EC652C456C25AC051 +:101F70007370043097E4010093844450984061EBFF +:101F800098437D1797E6010023AEE64C9C43D9EBBE +:101F900097E701009387474F9C43C9C797EA010021 +:101FA000938A0A5E17EA0100130A8A4D17E90100B5 +:101FB0001309894E854919A83385EA00EFE0CFD689 +:101FC000832709005854DC576379F70483A70A0F65 +:101FD000C1CF83A7CA0FC04713058401EFE04FD9D3 +:101FE000130B44005A85EFE0AFD85C5483260A00F7 +:101FF000DA85139527003E950A052A875695E3FD55 +:10200000F6FA17E70100232DF746EFE0EFD183271B +:1020100009005854DC57E36BF7FA97E7010023AB4C +:1020200037456DB701449C4081CB97E7010093870A +:10203000A7469C43FC4395E72285F2406244D24484 +:102040004249B249224A924A024B0561828017E70F +:1020500001001307674414431843F8420507F8C208 +:1020600005B7EF30B021C9BF17E401001304C44025 +:102070001C4095C31C40054999CF193B09C597E7FA +:10208000010023A9273F1C40FD1717E70100232566 +:10209000F73E1C40FDF397E701009387A73D984367 +:1020A0008547E311F7F8EFE06FB60544ADBF11CDFA +:1020B00081E57370043001A017E701001307873A28 +:1020C0001C4399C77370043001A07370043001A0E1 +:1020D000411122C406C614431841850617E60100C3 +:1020E0002322D63897E601009386C63994423304FA +:1020F000B70000C163F7E602637FE40063FD86007A +:1021000097E701009387473988431105EFE04FC6F1 +:102110002285EF3070101D3D01C9B24022444101BB +:102120008280E36FE4FCD9BF2244B24041016FE0FA +:10213000EFAD11C917E701001307C7321C4391C760 +:102140007370043001A06FE06FAC411106C622C469 +:102150001843AA87050797E6010023A5E63097E60E +:1021600001009386663317E701001307A731184370 +:1021700088423304F7001105EFE08FBF2285EF306E +:10218000B009E93311E52244B24041016FE00FA8E4 +:10219000B240224441018280411122C406C697E721 +:1021A00001009387272C9C430144850717E7010012 +:1021B000232AF72A97E701009387072D9C4363E0C2 +:1021C000F51217EE0100130E6E4797E2010093829D +:1021D000623A01440147854F1303500A21A0311E82 +:1021E000638DC20B83278EFFBA86F5DB8327CEFF74 +:1021F000DC43232EFEFE638AC70F03AFC700DC4318 +:102200002A97814E232EFEFE6385C70783A8C70049 +:1022100093874803232017015CC383A788042326E0 +:10222000F7011CC783A7C8021CCB83A70805232C72 +:1022300007005CCB03A8080383470800639067048A +:10224000C287850783C60700B3850741E38B66FE17 +:102250008981C205C181231EB700850E13070702BD +:1022600063061F038327CEFFDC43232EFEFEE39F7E +:10227000C7F983274E00232EFEFE49BF8145231E4A +:10228000B700850E13070702E31E1FFD7694131790 +:102290005400311EBA86E397C2F597E70100938791 +:1022A000671F03AE070083270E00639D070E97E7A5 +:1022B00001009387E71D03AE070083270E0063929A +:1022C000071817EE0100130EAE2B83274E109DE367 +:1022D00083278E116395072019C223200600BD3184 +:1022E0002285B24022444101828083274E00232E62 +:1022F000FEFE21B783278E1017EF0100130F0F3951 +:10230000DC4317E701002321F7386380E73383A21A +:10231000C700DC43AA96814E17E701002326F73653 +:10232000914F1303500A6388E70783A8C700938778 +:10233000480323A01601DCC283A7880423A6F60164 +:102340009CC683A7C8029CCA83A7080523AC0600C5 +:10235000DCCA03A80803834708006391672AC28781 +:10236000850703C70700B3850741E30B67FE93D7D3 +:102370002500C207C183239EF600850E93860602C0 +:102380006386122983278E10DC4317E70100232D73 +:10239000F72EE39CE7F983270E1117E701002325A9 +:1023A000F72E61B783274E00130F8E00D4432322EC +:1023B000DE006388E629B307E500D84283A2C600A1 +:1023C000814E2322EE00894F1303500A6306EF0665 +:1023D0008328C7001387480323A01701D8C303A786 +:1023E000880423A6F70198C703A7C80298CB03A7C0 +:1023F000080523AC0700D8CB03A80803034708004F +:102400006318671C4287050783460700B305074129 +:10241000E38B66FE13D7250042074183239EE70026 +:10242000850E93870702638D121B83264E00D842C8 +:102430002322EE00E31EEFF80327CE002322EE0056 +:1024400041BF83274E00130F8E00DC432322FE0082 +:102450006384E71F83A2C700DC43AA96814E232230 +:10246000FE00894F1303500A6306FF0683A8C700C6 +:102470009387480323A01601DCC283A7880423A600 +:10248000F6019CC683A7C8029CCA83A7080523AC93 +:102490000600DCCA03A80803834708006396671098 +:1024A000C287850703C70700B3850741E30B67FEB3 +:1024B00093D72500C207C183239EF600850E93861D +:1024C0000602638B120F83274E00DC432322FE009B +:1024D000E31EFFF88327CE002322FE0041BF83279F +:1024E000CE1197EE0100938EAE1BDC4317E701007F +:1024F0002326F71A6384D71383AFC700DC4333075F +:10250000D500014397E6010023AAF6180D4F894232 +:102510009308500A6387D707C8479307450308C342 +:102520005CC33C452326E7011CC75C551CCB1C55EE +:1025300099C3232657003C49232C07005CCB032872 +:1025400005038347080063951705C287850783C67F +:102550000700B3850741E38B16FF93D72500C20719 +:10256000C183231EF700050313070702638AAF0226 +:102570008327CE11DC4397E6010023A1F612E39DE9 +:10258000D7F983274E1297E6010023A9F61069B701 +:102590008147231EF700050313070702E39AAFFCE8 +:1025A0001A94E31C06D225BB8147239EF600850EB4 +:1025B00093860602E39912F117EE0100130E4EFC0A +:1025C00083274E10769493165400E38307D01DB3EF +:1025D0000147239EE700850E93870702E39712E5E4 +:1025E00097E701009387C7EA03AE07007694931636 +:1025F000540083270E00E38607CCA1B58147239EB4 +:10260000F600850E93860602E39E12D783278E116D +:10261000769493165400E38107CCD1B583274E12EC +:1026200017E70100232CF706C1BD83270E1117E71A +:102630000100232BF704E1B98327CE002322FE00FB +:1026400011BD8326CE002322DE00B5B3797126D2D8 +:102650004AD04ECE52CC56CA5AC85EC606D622D4EE +:1026600097E90100938909E017E90100130949F18D +:1026700017EA0100130A8AE097EA0100938ACAE187 +:1026800097EB0100938B4BE097E40100938404E007 +:10269000054B21A883A7090003244910850717E7E4 +:1026A00001002321F7DC5D3011E89C40E5F783272A +:1026B0000900E37CFBFEEFD07FD5C5BF737004300B +:1026C00083270A00B9E383270911C0471305440093 +:1026D000EFD01FEA83A70B00FD1717E701002325A2 +:1026E000F7DA9C40FD1717E701002321F7DA83276B +:1026F0000A0089C783A70A00FC4385E30858EF1046 +:10270000D0032285EF1070034DB703A70A0083A7FB +:102710000A007C4385077CC37DB703A70A0083A614 +:102720000A007C43FD177CC3FC42E9FB7360043064 +:10273000F1B797E701009387E7D29C43DDE34111AE +:1027400006C697E7010023A707D217E70100130782 +:10275000A7D41C43B7A6A5A59386565A9C5B8C4369 +:10276000639BD500D0436318B60094476395C600B9 +:10277000DC476388D70008430C4393854503EF206B +:10278000E07297E601009386A6CF904217E701001A +:10279000130707DF11A89C42B9CB9C42FD1717E62F +:1027A0000100232FF6CC904293172600B2978A0798 +:1027B000BA979C43EDD3904293172600B2978A07AD +:1027C000B305F700D441A107BA97D442D4C16383BB +:1027D000F602DC46B24017E70100232FF7CA410199 +:1027E0008280854717E701002326F7C8828073702F +:1027F000043001A0D1473306F602D442329754C3C5 +:10280000C9BF01114AC806CE22CC26CA4EC62A89A3 +:102810007370043097E40100938444C69C4017E42D +:102820000100130464C7D9E76314090003290400F5 +:10283000930949004E85EFD0BFD38327890289C70A +:1028400013058901EFD0DFD2CE8517E5010013050E +:10285000A5E4EFD07FCD9C4081C71C40FC43ADE395 +:102860001C40638B27079C409DCF737004309C40B5 +:10287000C1EB97E701009387E7C19843184325EB25 +:10288000FD5717E701002321F7BE9C4089CF1C406C +:10289000FC4391CB184014407C43FD177CC3FC42A1 +:1028A00099E373600430F2406244D2444249B24931 +:1028B0000561828018401C407C4385077CC3ADB70E +:1028C000184014407C43FD177CC3FC42D1FB73606D +:1028D00004301C40E39927F99C40A1C397E701000D +:1028E000938747B89C43ADCB7370043001A09C43E1 +:1028F000DC47DC47DC4317E701002327F7B671B755 +:1029000018401C407C4385077CC397E701009387F0 +:1029100067B8984318432DD7D9BF97E7010093872D +:10292000A7B617E701001307A7C59C430327871124 +:10293000631DF700F240624497E7010023AE07B43D +:10294000D2444249B249056182806244F240D24495 +:102950004249B2490561F1BB6244F240D244424966 +:10296000B24905616FD09FAA3DC9411126C297E4C3 +:102970000100938464B24AC02E898C4006C622C4EA +:10298000E105EFD0FFBB88401105EFD07FBEFD57BA +:10299000630AF90497E701009387C7AE8043984024 +:1029A0009C434A9440C3636CF40497E70100938707 +:1029B00067AE88438C409105EFD09FB897E7010040 +:1029C000938787AA9C436376F40097E7010023ADC1 +:1029D00087A8B24022449244024941018280737028 +:1029E000043001A08C402244B2409244024917E5D1 +:1029F0000100130565CA910541016FD0FFB297E749 +:102A000001009387E7A8884322448C40B240924457 +:102A10000249910541016FD0BFB211C997E701008A +:102A2000938747A49C4399E77370043001A07370A7 +:102A3000043001A0411126C297E401009384C4A58B +:102A40009840AE878C40B7060080D58F06C622C45A +:102A50001CCFE1053284EFD03FAD88401105EFD0A7 +:102A60003FB1FD57630EF40097E70100938787A1FC +:102A70008843B24092442295224441016F20D0798C +:102A80008C402244B240924417E501001305C5C0B2 +:102A9000910541016FD05FA925CD411126C297E470 +:102AA00001009384649F4AC02E898C4006C622C4CC +:102AB000E1053284EFD05FA788401105EFD05FAB0E +:102AC0008547630AF40497E701009387A79B804337 +:102AD00098409C434A9440C3636CF40497E7010018 +:102AE0009387479B88438C409105EFD07FA597E75C +:102AF0000100938767979C436376F40097E7010092 +:102B000023A48796B2402244924402494101828024 +:102B10007370043001A08C402244B24092440249B8 +:102B200017E50100130545B7910541016FD0DF9FFF +:102B300097E701009387C795884322448C40B240B1 +:102B400092440249910541016FD09F9F411106C6F1 +:102B500022C426C25C45C04735CC93048401268537 +:102B6000EFD01FA197E701009387C78F9C43B5E77C +:102B7000930444002685EFD0BF9F97E70100938719 +:102B8000279058549C4363F6E70097E7010023A978 +:102B9000E78E93172700BA978A0717E501001305F8 +:102BA000259EA6853E95EFD03F9897E70100938735 +:102BB000A78E9C4358540145DC5763F8E7008547CE +:102BC00017E701002328F78A0545B24022449244C2 +:102BD000410182807370043001A0A68517E50100D1 +:102BE000130505A9EFD05F94C9B797E70100938754 +:102BF00067879C4381E77370043001A0411106C6CA +:102C000022C426C2B70700804045CD8F1CC12DC409 +:102C1000EFD01F96930444002685EFD07F9597E769 +:102C200001009387E78558549C4363F6E70097E7D4 +:102C3000010023A7E78493172700BA978A0717E5AF +:102C400001001305E5933E95A685EFD0FF8D97E72C +:102C50000100938767849C4358540145DC5763F80F +:102C6000E700854717E701002326F7800545B240B6 +:102C700022449244410182807370043001A011CD3E +:102C800097D701009387C77E984397D70100938712 +:102C9000677F9C4318C15CC182807370043001A0BF +:102CA00055C1D1CD411106C622C42A8773700430A4 +:102CB00097D801009388887C83A7080091CB97D789 +:102CC00001009387677D94439C43FC428507FCC2C7 +:102CD00017D301001303037B9C4103260300FD5619 +:102CE0000144638FD70217DE0100130E6E780325AF +:102CF0000E000328070054436305A80005446371D0 +:102D0000D6023308D6400544637CF80003250E0044 +:102D1000918F03260300B6979CC108C350C301449A +:102D200083A7080081CB97D701009387E7769C4360 +:102D3000FC4381EF2285B2402244410182807370BE +:102D4000043001A07370043001A0EF203053DDB7D0 +:102D5000854717D70100232FF770828019C1684576 +:102D600082800145828011C16CC5828097D70100A5 +:102D7000938787728843828097D701009387077073 +:102D80009C43054589CB97D701009387A76D88435E +:102D9000133515000605828031CD011126CA97D45E +:102DA00001009384646F9C4006CE22CC4AC84EC674 +:102DB0005855DC576379F7021C4D63C707009C40E8 +:102DC000D457A947958F1CCD93172700BA97584918 +:102DD00017D901001309C97A8A07CA97630DF7004A +:102DE0009C40DC575CD5F2406244D2444249B2492F +:102DF000056182808280930945002A844E85EFD048 +:102E00002FF79C4017D70100130787671843DC573B +:102E10005CD46376F70017D701002323F766139578 +:102E200027003E9562440A05F240D244CE854A9579 +:102E3000B249424905616FD02FEF19CD411106C645 +:102E400022C426C297D70100938707658043630792 +:102E5000A4007370043001A0014582807C4881E7A2 +:102E60007370043001A054543848FD177CC8638344 +:102E7000E60099C70145B240224492444101828054 +:102E8000930444002685EFD0AFEE3C4817D70100ED +:102E90001307075F144329471D8F5CD418CC63F6D2 +:102EA000F60017D70100232DF75C5147B387E702DF +:102EB00017D501001305C56CA6853E95EFD0CFE66A +:102EC000054555BF7370043097D701009387075BA2 +:102ED0009C4391CB97D701009387075C98439C4311 +:102EE0007C4385077CC3828097D70100938707596D +:102EF0009C438DC397D701009387075A9843784323 +:102F000011CB984394437C43FD177CC3FC4299E367 +:102F100073600430828097D701009387E75790430E +:102F200094439843A947D456084E958F1CCF82806E +:102F300097D7010093874756984309C79443F84AA7 +:102F40000507F8CA8843828079714AD006D622D410 +:102F500026D24ECE2A897370043097D40100938410 +:102F6000E4519C4017D4010013040453BDE31C40FA +:102F7000BC4F89E71C400547F8CFD1E19C4081C791 +:102F80001C40FC43F1EB737004309C408DEF1C40FF +:102F9000A84F11C5630409021C4023AC07041C4060 +:102FA00023AE07049C4081C71C40FC438DEBB2500C +:102FB000225492540259F2494561828018403C4F94 +:102FC000FD173CCFE9BF18401C407C4385077CC3FC +:102FD0007DBF18401C407C4385077CC349BF184017 +:102FE00014407C43FD177CC3FC42F1F37360043052 +:102FF000B250225492540259F249456182800840ED +:103000002EC61105EFD0CFD6B245FD576380F50629 +:1030100097D701009387074783A9070018409C436F +:10302000AE992322370163ECF90497D70100938707 +:10303000674688430C409105EFD08FD097D70100A9 +:10304000938787429C4363F6F90097D7010023AD2D +:103050003741EFD0AFBB1DB7184014407C43FD177C +:103060007CC3FC428DF37360043031BF0C4017D534 +:103070000100130565629105EFD00FCBD9BF97D73B +:1030800001009387E74088430C409105EFD04FCB78 +:10309000C9B779714AD04ECE06D622D426D252CCA8 +:1030A0002E89B2897370043097D401009384043D53 +:1030B0009C4017D401001304243EF9EF18408947BF +:1030C000784F630CF7001C401345F5FFB84F798D1E +:1030D000A8CF1C400547F8CFADE69C4081C71C40F7 +:1030E000FC43F5E3737004309C40B1E7638609004C +:1030F0001C40BC4F23A0F900184085470145784F7C +:10310000630BF7001C401349F9FF0545B84F3379AD +:10311000E90023AC27051C4023AE07049C4081C76F +:103120001C40FC43C1E3B250225492540259F2496C +:10313000624A4561828018401C407C4385077CC3FD +:1031400075B7084036C61105EFD08FC2B246FD579D +:103150006385F60897D701009387C73203AA070053 +:1031600018409C43369A232247016363FA0897D795 +:1031700001009387273288430C409105EFD04FBC64 +:1031800097D701009387472E9C436370FA0697D721 +:10319000010023AB472D91A818401C407C438507B4 +:1031A0007CC329BF184014407C43FD177CC3FC42FC +:1031B000BDFB73600430B250225492540259F2495C +:1031C000624A45618280184014407C43FD177CC3ED +:1031D000FC4289FB7360043031B70C4017D5010005 +:1031E0001305854B9105EFD02FB4EFD02FA2F5B585 +:1031F00097D701009387C72988430C409105EFD0EA +:103200002FB4E5B751C1411106C622C426C24AC037 +:103210007370043097D40100938444269C40B9EB2A +:1032200099C23C4D9CC2B2878946704D74CD2E87A1 +:103230002A846386D70663FCF6048D456387B7004E +:1032400091456395B7006300D60C38CC8547630F72 +:10325000F60405449C4081CB97D701009387C72390 +:103260009C43FC43A9E32285B24022449244024994 +:103270004101828097D701009387072298439C439E +:103280007C4385077CC369BF7370043001A0854609 +:10329000E39ED7FA3C4D33E7B70038CD45BF3C4DF0 +:1032A00085073CCD65B7EF20607D75BF13094400ED +:1032B0004A85EFD0EFAB17D701001307671C5C54AA +:1032C00018436376F70017D70100232BF71A5147ED +:1032D000B387E70217D501001305852ACA853E95F5 +:1032E000EFD08FA41C5481C77370043001A097D70E +:1032F00001009387671A9C435854DC57E3FBE7F4BB +:10330000EFD0CF90B9B70144B1B739CD011122CC7C +:1033100026CA4AC84EC652C456C206CEBA89368A92 +:10332000B2842E892A84EFD04F9AAA8A63050A00B4 +:103330003C4C2320FA00784C89477CCC6389F4020A +:1033400063F4970C8D466387D40091466396D4004E +:10335000630AF70A232C24058547631EF7061C54CD +:1033600099CB7370043001A07370043001A03C4C01 +:1033700085073CCCD5B797D701009387A70E9C4310 +:10338000ADEB930444002685EFD08F9E17D7010044 +:103390001307070F5C5418436376F70017D7010033 +:1033A0002320F70E5147B387E70217D50100130515 +:1033B000251DA6853E95EFD02F9797D701009387BF +:1033C000A70D9C435854DC5763F7E7006385090059 +:1033D000854723A0F90005445685EFD0AF8E22859E +:1033E000F2406244D2444249B249224A924A0561BB +:1033F00082809305840117D5010013056527EFD05E +:10340000AF9265BF0144C9BF8547E397F4F43C4CD4 +:1034100033E92701232C240581B74DC1011122CCAA +:1034200026CA2A844AC806CE4EC6AE84EFD0EF899B +:103430008947744C7CCC3C4C05472A8985073CCC99 +:103440006395E6061C5481C77370043001A097D7BA +:103450000100938727019C43ADE7930944004E8503 +:10346000EFD00F9117D70100130787015C54184361 +:103470006376F70017D701002324F7005147B3877D +:10348000E70217D501001305A50FCE853E95EFD0B5 +:10349000AF8997D70100938727009C435854DC5786 +:1034A00063F5E70099C085479CC06244F240D2446E +:1034B000B2494A85424905616FD0CF8073700430AC +:1034C00001A09305840117D501001305651AEFD0FB +:1034D000AF85C1B7AA8729C57370043017D701001B +:1034E0001307C7F914439DE2F04F89460145631560 +:1034F000D60023AE070405451C4381CB97D70100B6 +:10350000938787F99843784315E3828097D6010023 +:10351000938686F8904294427442850674C2E9B755 +:1035200097D70100938747F79C437DB7984394430F +:103530007C43FD177CC3FC42E9FB7360043082804E +:103540005D71A6C2CAC052DC56DA5AD85ED686C6AB +:10355000A2C44EDE62D466D26AD017D90100130924 +:10356000A9F417DB0100130B6BF397D401009384CC +:1035700024F397DA0100938AEAF2054AA54B8327E0 +:103580000900984363010712DC4783A90700EFE0B5 +:10359000EFF6EFE0AFF783270B002A8C6362F50AA2 +:1035A00097D7010023ACA7EE01466370351B884016 +:1035B000B3858941EFD0FFBDEFE05F99630105124C +:1035C0008840814601462C00EFD00FEC4DD9A24730 +:1035D000E3C807FEC24983A7490189C7138549008B +:1035E000EFC01FF9EFE08FF283270B002A8C636D89 +:1035F000F508A24717D70100232287EBE3E2FBFC83 +:10360000B317FA0013F70721631A071613F7770C9D +:103610006318071E93F70702C5D74E85EF00F01118 +:1036200045B7DC47C04783AC0700930944004E858B +:10363000EFC01FF45C50228582975C4C6384470B7B +:1036400083270900984371FF03A70A0097D601005A +:1036500023A686E597D6010023A6F6E497D70100B6 +:1036600023A4E7E4EFE09F8EA1BFDC47C04703AD92 +:103670000700930C44006685EFC09FEF5C502285E5 +:1036800082975C4C6386470983270900984371FF42 +:1036900003A70A0097D6010023A6F6E097D70100FA +:1036A00023A4E7E0B9B7EFE06FE5EFE02FE683276B +:1036B0000B002A8CE366F5F883A70A00884081494D +:1036C0009043B385894117D70100232987DD13363D +:1036D0001600EFD01FACEFE07F87E31305EEEFC0DD +:1036E000FFD2F9BD1C4CCE85E69763E9FC049C40F3 +:1036F00089CF02CA66CC22CEEFF00FE8884081461F +:1037000001464C08EFD02F8805FD7370043001A0EE +:103710001C4CE685EA97636CFD049C4089CF02CA85 +:103720006ACC22CEEFF04FE58840814601464C0836 +:10373000EFD06F8531F97370043001A003250900C3 +:103740005CC000C8EFC0DFDFE5BDEFE03F8083274E +:103750000900DC47C047930C44006685EFC05FE179 +:103760005C4C638F47035C502285829791BD032593 +:1037700009005CC000C8EFC0BFDC39B7B24723AC5A +:10378000F900A5CFE29723A2F90023A83901938578 +:103790004900636FFC0403A50A00EFC07FDA0DB592 +:1037A0001C4C00C83387F90058C0636DEC02330C21 +:1037B0003C416363FC029C4089CF02CA4ECC22CEBE +:1037C000EFF08FDB8840814601464C08EFC0BFFB1D +:1037D00059F97370043001A003A50A00E685EFC013 +:1037E0003FD651B703250900E685EFC07FD5A5BFB9 +:1037F00003250900EFC0DFD4E1B37370043001A0EA +:10380000B24783A6890123A839013387D70023A2B1 +:10381000E9006364EC04B307FC4063EBD70483A7BF +:1038200049024E85829783A7C901E39B47D983A6A6 +:103830008901B2479840B69711CF02CA3ECC4ECE0E +:10384000EFF08FD38840814601464C08EFC0BFF3AC +:10385000E31805D67370043001A06374FC00E370B4 +:10386000F7FC0325090093854900EFC07FCD89BB94 +:1038700003A50A0093854900EFC09FCC91B3411185 +:1038800022C406C626C24AC017D40100130444C18C +:10389000EFF04FE31C4095CBEFF00FE51C409DC3CC +:1038A000814801488147094781461306004097D562 +:1038B00001009385A5B0170500001305A5C8EFD03A +:1038C0007F9A39E97370043001A017D901001309F8 +:1038D000E9DD4A85EFC0BFC397D40100938444DE7D +:1038E0002685EFC0DFC20146B145114597D70100DB +:1038F00023AC27BB97D7010023A697BAEFC01FDCE4 +:1039000017D70100232EA7B811CD97D501009385B5 +:1039100065AAEFD0CFFC49B7B24022449244024995 +:10392000410182807370043001A0A5C9797156CA23 +:10393000AA8A1305C00222D426D24AD04ECE52CC37 +:1039400006D65AC85EC63A89B689328AAE84E9215B +:103950002A8405C9EFF00FD797D70100938747B4A2 +:103960009C439DCFEFF04FD8130544002320540112 +:1039700004CC232E44012320340323222403EFC04C +:103980005FBA2285B250225492540259F249624AD7 +:10399000D24A424BB24B456182807370043001A021 +:1039A00097DB0100938B8BD05E85EFC05FB617DB92 +:1039B0000100130BEBD05A85EFC07FB50146B1452E +:1039C000114597D7010023A177AF97D7010023AB0B +:1039D00067ADEFC0BFCE17D701002323A7AC01C945 +:1039E00097D501009385059DEFD06FEFA5BF73704C +:1039F000043001A039C5011122CC06CE26CA17D445 +:103A000001001304E4A9832804002A880145638582 +:103A10000802BA842EC2368732C442C6954663C5B0 +:103A2000B602EFF06FB58947630BF5020840814697 +:103A300001464C00EFC03FD5F2406244D2440561DC +:103A400082807370043001A04C0081463A8646851E +:103A5000EFD0AF88F2406244D244056182800840D2 +:103A6000814626864C00EFC01FD2F9B719C1084124 +:103A700082807370043001A001E57370043001A0EE +:103A8000411106C622C42A84EFF0CFC3484833341C +:103A9000A000EFF06FC52285B24022444101828030 +:103AA00001E57370043001A0411122C42A8406C6C6 +:103AB000EFF04FC10050EFF02FC3B24022852244F7 +:103AC0004101828001E57370043001A0411122C4DC +:103AD00026C22E84AA8406C6EFF0CFBE80D0224430 +:103AE000B240924441016FF02FC04111714522C490 +:103AF00006C61D262A8411C5232005001105EFC026 +:103B00001FA12285B24022444101828001CDB70726 +:103B100000FFED8F81C77370043001A099E57370C9 +:103B2000043001A07370043001A0011122CC4AC8F6 +:103B30004EC652C456C206CE26CABA893689B28A41 +:103B40002E842A8AEFF04FA311E563850900737074 +:103B5000043001A0EFE08F9A83240A00B377940029 +:103B60006316090295C763870A009347F4FFE58F40 +:103B70002320FA00EFE08FBDF240624426854249DF +:103B8000D244B249224A924A05618280E30DF4FC94 +:103B9000E38209FEB3355001E20563050900B7076A +:103BA0000004DD8D4E86C18D13054A00EFE0FFE66F +:103BB000EFE0CFB919E1EFC07F85EFF0CFB59317F4 +:103BC0006500AA8963D80700B7040001FD14B3F4A7 +:103BD00099005DB7EFF00FAF83290A00B377340186 +:103BE000631E090089CB63880A009347F4FFB3F78B +:103BF00037012320FA00EFF02FAFF9B7E31DF4FEF1 +:103C0000DDB701C9B70700FFED8F99C773700430A6 +:103C100001A07370043001A0411122C42A8406C699 +:103C200026C24AC02E89EFF0EFA904409345F9FF60 +:103C3000B3F795001CC0EFF02FABB24022442685AD +:103C40000249924441018280411122C42A8406C65D +:103C5000EFC0BF870040EFC0FF86B24022852244FC +:103C60004101828009C9370700FFB3F7E50099C712 +:103C70007370043001A07370043001A06F10D06124 +:103C8000B70700FFF18F81C77370043001A001E610 +:103C90007370043001A0011122CC4AC84EC652C430 +:103CA00006CE26CA3689AE892A8A3284EFF0CF8CB6 +:103CB00021E1630509007370043001A0EFE00F8477 +:103CC00083240A00CE855285B3E49900613FB3771F +:103CD00094006307F40683240A00EFE02FA7F24064 +:103CE000624426854249D244B249224A0561828013 +:103CF000EFE0CF8083240A00CE855285B3E43401FF +:103D00009537B3F78400638D8702E30609FCB70596 +:103D100000054A86C18D13054A00EFE01FD0EFE091 +:103D2000EFA219E1EFC08FEEEFF0EF9E9317650061 +:103D3000AA8463DF0700B7070001FD17FD8C45B7B4 +:103D400083270A001344F4FFE18F2320FA0071B7A0 +:103D5000EFF04F9783240A00B377940063978700AE +:103D60009347F4FFE58F2320FA00EFF0EF97E1B7D8 +:103D7000411122C406C62A8426C2EFD03FF85C4017 +:103D800085C308489304C4006316950015A008482D +:103D900063009502B7050002EFE03FE55C40E5FBFC +:103DA0002285612A2244B240924441016FE00F9A79 +:103DB0007370043001A009C9370700FFB3F7E500AD +:103DC00099C77370043001A07370043001A06F10A4 +:103DD000B04C01C9B70700FFED8F99C7737004306D +:103DE00001A07370043001A0411122C426C206C68E +:103DF0002A84AE84EFF00F8D184093C7F4FFF98F3B +:103E00001CC02244B240924441016FF0EF8D19C1B1 +:103E1000084D828001458280411122C406C62A8451 +:103E2000EFD0DFED97C70100938747689C43A1EB74 +:103E3000E56717D701001307278AF11797C6010016 +:103E400023ACE66617C701002326F76617D70100E3 +:103E50002326F78897C701009387876517D7010046 +:103E6000232CF786854717C701002329076417C746 +:103E70000100232F076217C701002329F76219E801 +:103E8000EFE0CF8CEF10000201442285B2402244C3 +:103E9000410182801305840093773500C1EFE56707 +:103EA0001307F5FFE917E3EDE7FC97C601009386DA +:103EB000A6609C4229A0984311C7BE86BA87D84302 +:103EC000E36BA7FE17C701001307875EE38AE7FAD3 +:103ED000984341461384870098C2D843B306A7404D +:103EE0006378D6023388A7002322D800C8C383256D +:103EF000480097C601009386265C11A0BA869842B6 +:103F00005043E36DB6FE2320E80023A00601D8430A +:103F100097C701009387875B9C43998F17C7010060 +:103F20002326F75AEFE08F8231DC2285B24022440B +:103F300041018280719911059DB729C9411122C49F +:103F400006C62A84EFD09FDB930584FF0326C4FFB7 +:103F500017C701001307475611A03E871C43D443DF +:103F6000E3EDC6FE232CF4FE0CC397C70100938734 +:103F7000E75598438327C4FF2244B240BA9717C736 +:103F800001002325F75441016FD05FFC828097C761 +:103F900001009387A7538843828082803367B500EE +:103FA0000D8BAA871DE79308D6FFAA982A87AE86AD +:103FB0006371150303A8060011079106232E07FF5E +:103FC000E36A17FF9347F5FFC697F19B9107BE95EC +:103FD000AA972A9663F3C70A938645001388470079 +:103FE000B3B6D70033B8050133E7F50093C6160022 +:103FF000134818000D8BB3E6060113371700758FB1 +:10400000330EF6409386170029CF1337AE0031EBFD +:1040100013532E002E88BE8601478328080005070B +:10402000110823A016019106E36967FE1377CEFFFE +:10403000BA95BA976302EE0483C60500138717008A +:104040002380D700637AC70283C615001387270031 +:10405000A380D7006372C70203C725002381E7004E +:104060008280B307F640AE97850503C7F5FF850646 +:10407000238FE6FEE39AF5FE82808280B367C50057 +:104080008D8BB308C500E9C36371150FB307A0405A +:104090008D8B13873700954693F5F50F13081500A0 +:1040A0003383A8407D166373D70015476363E60C1E +:1040B000D5CF2300B5000547638DE700A300B50009 +:1040C0000D47130825006396E70013083500230108 +:1040D000B500139685004D8E13970501518F33035C +:1040E000F34093968501D98E13562300AA97014772 +:1040F00094C305079107E36DC7FE1377C3FFB307AA +:10410000E8006307E3062380B70013871700637C8A +:104110001703A380B7001387270063761703238153 +:10412000B7001387370063701703A381B7001387A5 +:104130004700637A17012382B7001387570063741F +:104140001701A382B700828093F5F50F13978500BE +:10415000D98D139705014D8FE37715FFAA87910736 +:1041600023AEE7FEE3ED17FF828082802A8895B7B1 +:104170008280AA8749BF834705002A8799C705051A +:1041800083470500EDFF198D82800145828005057A +:104190008347F5FF850503C7F5FF91C7E389E7FE70 +:1041A0003385E74082808147E5BFAA87850503C73D +:1041B000F5FF8507A38FE7FE75FB82808346050028 +:1041C000930700026397F600050583460500E38D1B +:1041D000F6FE938756FD93F7D70F85C7AA878145CB +:1041E00085CE01458507138606FD1317250083C676 +:1041F00007003A9506053295F5F699C13305A040BA +:104200008280938536FD8346150093B5150093078C +:104210001500E1FA0145D5B7014582809C41238014 +:10422000A7009C4185079CC1828097C601009386A8 +:1042300026299C42175703001307C74C1386170003 +:10424000BA972380A70097C5010023ABC526A947CD +:104250006308F500930700046305F60001458280BA +:1042600075DE370610F005078347F7FF2320F6F0C9 +:104270009C42FD1797C5010023A4F524EDF70145E5 +:1042800082804D712322911423202115232A51135A +:10429000232481132326111423248114232E311364 +:1042A000232C4113232861132326711323229113F6 +:1042B0002320A113232EB111AA842E8932C6130CF8 +:1042C0005002A54A8347090063808703B9CB804029 +:1042D00011A0A1CB2300F40080400509050480C093 +:1042E00083470900E39787FF0346190093081900E5 +:1042F000C686130D0002FD597D5A0148130550056D +:104300009307D6FD93F7F70F138916006363F52A19 +:1043100017C70100130707CF8A07BA979C43BA97BC +:1043200082878320C1140324811483244114032928 +:1043300001148329C113032A8113832A4113032BF8 +:104340000113832BC112032C8112832C4112032DE4 +:104350000112832DC11171618280A14C02C4854775 +:1043600063D50715B2478040938B770093F68BFF98 +:1043700003AB060083A94600938786003EC6668687 +:1043800081465A85CE85EF10E0332AC88147638580 +:104390003723930D4101854B668681465A85CE852C +:1043A000EF00707C814666862A8BAE89EF108031E3 +:1043B000A24723A0AD0093861B00910D63843701B3 +:1043C000B68BD9BFE37E9BFF63DD4601A2872380C6 +:1043D000A70180407D1A930714009CC03E84E3C867 +:1043E00046FF93962B001C08BE9631A8938777054D +:1043F0002300F4008040FD1B93871B00050480C050 +:10440000F116E351F0EC9C42E3E2FAFE93870703D6 +:10441000C5B79C409306000332472380D7009C40D9 +:1044200093064700C14C1386170090C013068007FF +:10443000A380C700804002C4BA87050480C003ABD4 +:104440000700814936C625BFB24783AC0700938B6E +:10445000470063840C1C635F40199307D002631903 +:10446000FD1683C70C0085C363DC09189440850CD6 +:104470007D1A2380F6008040050480C083C70C00AD +:10448000E5F7635D40018040930700022300F400DC +:1044900080407D1A050480C0E31A0AFE5EC61DB581 +:1044A00002C48547A94CE3CF07EBB247804093860F +:1044B000470071B703C61600CA8699B59C4093069B +:1044C00050022380D7008040050480C0E5BBC14C6A +:1044D00002C471B5B24703C61600CA8683A9070095 +:1044E00091073EC6E35E0AE04E8AFD5911BD03C640 +:1044F0001600130DD002CA8621B59347FAFFFD8737 +:1045000003C61600337AFA00CA86DDBB03C616005E +:10451000130D0003CA86EDB383C51600930906FD8B +:10452000CA86938705FD2E86E3EEFAFA9397290053 +:10453000BE9985068609AE9983C50600938909FD53 +:10454000938705FD2E86E3F3FAFE69BF32479C4050 +:10455000144311073AC62380D7008040050480C069 +:1045600095B3854763D3070BB24793867700E19AEB +:10457000938786003EC683A946009C4263DC090AF5 +:1045800094401306D002B307F0402380C600804059 +:10459000B336F000B3093041050480C03E8BB389C7 +:1045A000D940A94C02C4E1BB03C616000508CA865F +:1045B00081BB9C40468921B7E37D9BDD8547814BCC +:1045C0008546E3C547E131BD97CC0100938C8CDF74 +:1045D000CE856685EF00101F330AAA40635C400158 +:1045E00080402300A40180407D1A050480C0E31AA6 +:1045F0000AFE014A83C70C00E38207EAE3C809E622 +:10460000FD197D57E394E9E6ADBDB24793864700B7 +:104610009C4336C693D9F74195B76356400193073B +:10462000D002E313FDFA97CC0100938CACD9930729 +:1046300080021DBD3E8BA94C02C4804089B34D71E0 +:10464000232E3113B70900409387F9FF23248114E7 +:1046500023229114232C4113232A511323261114AE +:10466000232021152328611323267113232481136A +:10467000232291132320A113232EB1112A8A2EC4A1 +:1046800017C401001304C4E3975A0300938A8A07EE +:1046900097C40100938484AC3EC69305500229451B +:1046A00013080004B70610F083470A006386B704B6 +:1046B000C1C71840050A13061700569797C801008E +:1046C00023A0C8E02300F7006382A706E31E06FDCF +:1046D000175703001307070305078347F7FF23A0B6 +:1046E000F6F01C40FD1717C60100232BF6DCEDF792 +:1046F00083470A00E39EB7FA83461A0013051A009F +:104700002A87930B00027D5B7D5C01489305500571 +:10471000A5489387D6FD93F7F70F130A170063E7B1 +:10472000F5348A07A6979C43A697828717570300FC +:10473000130747FD55F28DBF8320C1140324811454 +:1047400083244114032901148329C113032A8113EB +:10475000832A4113032B0113832BC112032C8112D3 +:10476000832C4112032D0112832DC11171618280AE +:10477000A14C014D854763DB0719A2479D07E19BCB +:1047800083AD070003AB4700138787003AC46686F2 +:1047900081466E85DA85EF00F0722AC863066D35B2 +:1047A000130941018549668681466E85DA85EF00E9 +:1047B000903B66868146AA8D2E8BEF00B070232039 +:1047C000A90085091109E3106DFFE3FE9DFD1306A5 +:1047D0000004B70610F063D189031C40138717004B +:1047E000D69797C5010023ADE5CC23807701630CF4 +:1047F000C7047D1CE3C389FFB2476800A548B386A0 +:10480000F9008A061C08BE962948130300043706DF +:1048100010F0E384A6E89C429305000363F4F800DB +:10482000930570051840AE9793051700569717CE5D +:1048300001002327BEC82300F7006380072B638C89 +:104840006526F116F9B7175703001307A7EB0507FD +:104850008347F7FF23A0F6F01C40FD1797C5010022 +:1048600023A0F5C6EDF77D1C71B71C4013060004AC +:104870001387170097C5010023A4E5C4B386FA0087 +:10488000930500032380B600630FC73C890797C6D2 +:10489000010023A7F6C25697930680072300D7008E +:1048A00017570300130707E6B70610F0639EC7000B +:1048B00005078347F7FF23A0F6F01C40FD1717C636 +:1048C0000100232FF6BEEDF7A247C14C014D910721 +:1048D0002247014B832D07003EC455BDA24783AC40 +:1048E000070013894700638E0C3A635480219307B5 +:1048F000D002639EFB2E03C70C00BA85631007200D +:1049000025AC8547A94C014DE3C907E7A2479107AC +:10491000C1B7A24783461700528703AB0700910730 +:104920003EC4E3580CDE5A8C7D5BE5B3834617002A +:10493000930BD0025287F1BB9347FCFFFD87834660 +:104940001700337CFC005287E9B383461700930BB2 +:10495000000352877DBB03461700138B06FD528769 +:10496000930706FDB286E3EEF8FA93172B00DA9769 +:1049700005078607B29703460700138B07FD9307C9 +:1049800006FDB286E3F3F8FE69BFA2451C40294646 +:10499000984193861700D69717C501002322D5B2F8 +:1049A0002380E700138945006300C72A93070004AA +:1049B0006387F6204AC4D5B1854763DE0729A2473D +:1049C00013877700619B930787003EC4032B470042 +:1049D0001C43635A0B30184013060004930617005B +:1049E00097C5010023AED5AC56979305D00223009E +:1049F000B7006387C62CB307F0403337F000330BA2 +:104A00006041BE8D330BEB40A94C014D49B3834649 +:104A1000170005085287F5B9834617005287D5B9A4 +:104A20001C40930600041387170017C601002329B2 +:104A3000E6A8D697130650022380C700E31FD7C409 +:104A400017570300130707CCB70610F00507834775 +:104A5000F7FF23A0F6F01C40FD1717C60100232125 +:104A6000F6A6EDF71DB9C14C014D29B31C409306C4 +:104A700000042A8A1387170017C601002322E6A420 +:104A8000D697130650022380C700E318D7C01757E4 +:104A90000300130727C7B70610F005078347F7FF82 +:104AA00023A0F6F01C40FD1717C60100232AF6A02C +:104AB000EDF72A8ADDB6175703001307A7C40507C9 +:104AC0008347F7FF2320F6F01C40FD1797C5010030 +:104AD00023A8F59EEDF7F1162DBB1757030013071A +:104AE00067C2F1FDF11635B3E3FC9DCB8549C5B135 +:104AF000014C03C70C00BA85E30E07EAFD582945AF +:104B000013080004B70610F063570B0A1C40138605 +:104B10001700D69717C301002324C39A2380E70008 +:104B20006384A50663040609850C03C70C007D1C7D +:104B3000BA8579FBE35080E91C4093050002130617 +:104B40000004B70610F021A07D1CE3050CE61387D6 +:104B50001700D6972380B70017C501002322E596DA +:104B6000BA87E313C7FE175703001307A7B9050752 +:104B70008347F7FF23A0F6F01C40FD1717C501007F +:104B80002320F594EDF7C9B717570300130787B72C +:104B900041DE05078347F7FF23A0F6F01C40FD1711 +:104BA00017C60100232EF690EDF7BDBF175703007F +:104BB000130747B5F9BF7D1BE31A1BF5A5BF1757B0 +:104BC0000300130727B4B70610F005078347F7FF64 +:104BD00023A0F6F01C40FD1717C601002322F68E15 +:104BE000EDF74AC45DBC97BC0100938CAC7DDA85BF +:104BF0006685C92E330CAC40E35D80EF1C40130684 +:104C00000004B70610F021A07D1CE3030CEE13870F +:104C10001700D6972380770197C5010023A2E58A64 +:104C2000BA87E313C7FE175703001307A7AD05079D +:104C30008347F7FF23A0F6F01C40FD1797C501003E +:104C400023A0F588EDF7C9B717570300130787AB03 +:104C5000BDFA4AC499B4A247138747009C433AC49B +:104C600013DBF741BDB3175703001307A7A9B70616 +:104C700010F005078347F7FF23A0F6F01C40FD174F +:104C800017C60100232EF682EDF7854717C70100EE +:104C90002328F78293078007175703002304F7A6FA +:104CA00025B1635680019307D002E39EFBF297BCC7 +:104CB0000100938C2C7113078002930580023DBD87 +:104CC00097560300938606A4370610F0850603C79F +:104CD000F6FF2320E6F018407D1797B5010023A1C9 +:104CE000E57E6DF709BBBE8DA94C014D4DB4B707EC +:104CF00010F023A0A7F201A03971130341022ED2B4 +:104D00009A8506CE32D436D63AD83EDA42DC46DE32 +:104D10001AC63532F2400145216182805D7113036C +:104D2000810322D42AC632DC2A841A86680006D679 +:104D3000BEC236DEBAC0C2C4C6C61ACEEFF06FD449 +:104D4000B247238007003245B250018D2254616181 +:104D50008280B70710F083A647F403A607F403A7E1 +:104D600047F4E31AD7FE8566938606FAB29633B601 +:104D7000C600B305E60023A4D7F423A6B7F48280C7 +:104D8000B70710F003A747F403A607F483A647F478 +:104D9000E31AD7FE8566938606FAB29633B6C60046 +:104DA00023A4D7F4B305E60023A6B7F493070008BD +:104DB00073A047308280411122C406C697B7010014 +:104DC0009387877017B401001304C46F98431C4085 +:104DD0001306F07C930647069387470697B50100B4 +:104DE00023A4D56E97B5010023AEF56C6346D600BB +:104DF000B2402244410182801307478997B70100DE +:104E000023A4E76CB5228547631FF500184085672A +:104E10009387F7760145E3DDE7FCD13D2244B240BC +:104E200041016FC0BFEC17B501001305255AE935E4 +:104E30000945753D2244B24041016FC03FEB17B5B3 +:104E400001001305655A4DBD17B501001305455AFC +:104E500065B5411122C426C206C6856417B4010097 +:104E600013048459938784380100FD17F5FF2285C8 +:104E70006135CDBF014579A8411106C6D528054742 +:104E800081476316E500B2403E854101828017B537 +:104E900001001305A553EFF03FE6B24089473E8578 +:104EA000410182807370043001A0828073700430ED +:104EB00001A0797122D426D21D74B79403004AD080 +:104EC0004ECE52CC56CA5AC806D62A89014B930AEE +:104ED000B007938474441D4A1304B471854956C6BF +:104EE000B247A6973EC6B24713972700998F3EC692 +:104EF000B247B3C747033EC6B24763848700054B3A +:104F0000F9BFE31E0BFCEFD0FFFB23203901EFD0EC +:104F1000BFFDF1B7011122CC26CA4AC84EC652C401 +:104F200006CE2A8A175403001304C481975903003C +:104F30009389498217B901001309494D970400006C +:104F4000938464F7A28681481104014881475287FF +:104F500013060040CA852685EFC0CFB0E39489FED2 +:104F6000F2406244D2444249B249224A05618280F9 +:104F7000174603001306067D1C4217470300232330 +:104F8000077C5442174703002320077C18469745A7 +:104F9000030023AD057A4846B336D000B306D040AF +:104FA000B337F0003337E0003307E040F58F333597 +:104FB000A000F98F3305A04017470300232A077884 +:104FC0007D8D8280AA95AA876385B70003C70700F5 +:104FD00001E73385A74082808507FDB7814863DA02 +:104FE00005003305A040B337A000B305B0409D8D48 +:104FF000FD5863D906003306C040B337C000B3067E +:10500000D0409D8E32883683AA872E87639F061CE8 +:1050100097B601009386062A63F1C50C416E63774B +:10502000C60B130EF00F6373CE002143335E660090 +:10503000F29603CE06001A9E130300023303C34107 +:10504000630C0300B3956500335EC5013318660039 +:105050003367BE00B3176500135E0801B355C7037D +:1050600013150801418193D607013376C703B305B1 +:10507000B5024206D18E63F8B600C29663E506011A +:1050800063F3B600C2968D8E33F7C603C207C183A1 +:10509000B3D6C603B306D50213150701C98F63F84B +:1050A000D700C29763E5070163F3D700C297958FD6 +:1050B00033D567008145638A0800B307A0403337C2 +:1050C000F000B305B0403E85998D8280370E000117 +:1050D0004143E36DC6F5614391BF01E6054833588E +:1050E000680241676373E8081307F00F63730701F1 +:1050F000214333576800BA9603C606001A96130375 +:1051000000023303C34063190306B3850541935678 +:10511000080113150801418113D6070133F7D502A1 +:10512000B3D5D5024207518FB305B5026378B700F6 +:105130004297636507016373B7004297B305B740B1 +:1051400033F7D502C207C183B3D5D502B305B50283 +:1051500013150701C98F63F8B700C29763E507010C +:1051600063F3B700C2978D8FA1B7370700014143A2 +:10517000E361E8F86143B5BF3318680033DEC5006A +:10518000935E08013356C500B31765003355DE033F +:10519000B39565004D8E93150801C18193560601A4 +:1051A0003377DE033385A5024207558F6378A70066 +:1051B0004297636507016373A7004297B306A74050 +:1051C00033F7D60342064182B3D6D6034207B386ED +:1051D000D502B365C70063F8D500C29563E5050144 +:1051E00063F3D500C295958D1DB7E3E6D5EC416814 +:1051F00063F50605930EF00F33B8DE000E0833DEBC +:10520000060117B301001303E30A7293834E0300F0 +:10521000130E0002C29E330EDE4163170E0263E4DA +:10522000B6006369C500B307C540958D3335F500F9 +:105230003387A5403E85BA85BDBD3703000141488F +:10524000E3EF66FA614865BF3357D601B396C601EE +:10525000D98EB357D50133D7D501B395C501CD8FBD +:1052600093D506013373B702139F0601135F0F0135 +:1052700013D807013316C6013315C5013357B702DA +:10528000420333680301B30FEF023A83637CF801F2 +:1052900036981303F7FF6367D8006375F8011303AB +:1052A000E7FF36983308F841B37FB8023358B802A5 +:1052B000C20FB3050F03139F0701135F0F0133EFF5 +:1052C000EF014287637CBF00369F1307F8FF6367D7 +:1052D000DF006375BF001307E8FF369F4203C16F0D +:1052E0003363E3009387FFFF13580601330FBF407A +:1052F000B375F30013530301F18F3387F502B3073E +:10530000F302B385050333030303BE951358070166 +:105310002E986373F8007E93C167FD1793550801BB +:105320003378F80042087D8F9A9542976366BF00F4 +:10533000631BBF006379E5003306C7403337C700FE +:10534000958D998D32873307E5403335E500B305F8 +:10535000BF40898DB397D5013357C70133E5E700C7 +:10536000B3D5C50189BBAE87328836872A83639659 +:10537000062097B801009388E8F363FEC50A4167E9 +:105380006374E60A1307F00F3337C7000E07B356EE +:10539000E600B69883C60800369793060002998EF9 +:1053A00099CAB397D7003357E5003318D600B365D1 +:1053B000F7003313D50013550801B3D7A502131610 +:1053C000080141829356030133F7A502B305F602A3 +:1053D0004207D98E3E8763FCB600C2961387F7FF5B +:1053E00063E7060163F5B6001387E7FFC2968D8E6B +:1053F000B3F7A602420313530301B3D6A602C207B2 +:1054000033E36700B305D6023685637BB30042936E +:105410001385F6FF636603016374B3001385E6FF2B +:105420004207498F81454DA8B70600014147E36017 +:10543000D6F66147A9BF01E6854633D8C602C166E4 +:10544000637ED8089306F00F63F306012147B35635 +:10545000E800B69883C60800BA9613070002158FB5 +:1054600049E7B3870741854513560801931808019A +:1054700093D808019356030133F7C702B3D7C70285 +:105480004207D98E3385F8023E8763FCA600C29698 +:105490001387F7FF63E7060163F5A6001387E7FFAD +:1054A000C296898EB3F7C602420313530301B3D6E3 +:1054B000C602C20733E36700B388D8023685637B30 +:1054C000130142931385F6FF6366030163741301AE +:1054D0001385E6FF4207498F3A858280B7060001AF +:1054E0004147E366D8F661479DB73318E800B3D566 +:1054F000D7003313E500B356D50013550801B39711 +:10550000E70033F7A502B3E8F60093170801C1835B +:1055100013D60801B3D5A5024207518FB386B7024F +:105520002E86637CD70042971386F5FF63670701D9 +:105530006375D7001386E5FF4297B306D74033F76C +:10554000A602C20893D80801B3D6A6024207B385C3 +:10555000D702B3671701368763FCB700C29713877A +:10556000F6FF63E7070163F5B7001387E6FFC2970D +:105570008D8F93150601D98DC5BD63E2D5144167A2 +:1055800063FEE6021308F00F3337D8000E0733D856 +:10559000E60097B501009385E5D1C29503C80500E3 +:1055A000930500023A98B385054185E10547E3E597 +:1055B000F6F23335C5001347150039BFB7050001B2 +:1055C0004147E3E6B6FC6147D9B733570601B396C6 +:1055D000B600D98E93DE060133D70701B378D7031F +:1055E000B397B700335805013363F800939706016A +:1055F000C183135803013316B6003357D703C208CB +:1056000033E80801338FE7023A8E637CE80136986D +:10561000130EF7FF6367D8006375E801130EE7FF09 +:1056200036983308E841B378D8033358D803C20812 +:10563000B38E070393170301C183B3E7F8004287D2 +:1056400063FCD701B6971307F8FF63E7D70063F54C +:10565000D7011307E8FFB697420EB387D741C16E53 +:105660003367EE001388FEFF337307019358070179 +:10567000337806014182330E0303338808039356BF +:105680000E013303C30242939A96B388C80263F3B0 +:105690000601F69813D60601B29863E01703E39368 +:1056A00017D9C167FD17FD8EC206337EFE00331584 +:1056B000B500F2968145E371D5E27D17A5B381452A +:1056C000014719BDB2883687AA872E886398061CC1 +:1056D00097B60100938606BE63F8C50A4163637EF0 +:1056E00066081303F00F6373C30021473353E600CA +:1056F0009A9603CE0600130300023A9E3303C34179 +:10570000630C0300B3956500335EC501B3186600F2 +:105710003368BE00B317650013D608013377C8029B +:1057200013950801418193D607013358C8024207F7 +:10573000D98E3308050363F80601C69663E51601A2 +:1057400063F30601C696B386064133F7C602C20765 +:10575000C183B3D6C602B306D50213150701C98F9C +:1057600063F8D700C69763E5170163F3D700C697C0 +:10577000958F33D56700814582803703000141470B +:10578000E36666F661479DB701E60546B358160322 +:10579000416663F3C8081306F00F637316012147CF +:1057A00033D6E800B29603CE0600130300023A9EF9 +:1057B0003303C34163190306B385154113D70801A9 +:1057C00013950801418113D60701B3F6E502B3D55D +:1057D000E502C206D18EB305B50263F8B600C696DF +:1057E00063E5160163F3B600C696B385B640B3F61B +:1057F000E502C207C183B3D5E502B305B50213952F +:105800000601C98F63F8B700C69763E5170163F314 +:10581000B700C6978D8FB1BF370600014147E3E15E +:10582000C8F86147B5BFB398680033D7C501B3174F +:105830006500335EC50113D50801B376A702B395A1 +:105840006500336EBE0093950801C18113560E01A9 +:105850003357A702C206D18E3387E50263F8E6000C +:10586000C69663E5160163F3E600C6963386E64006 +:10587000B376A602420E135E0E013356A602C2068E +:105880003386C502B3E5C60163F8C500C69563E576 +:10589000150163F3C500C695918D0DB7E3EED5EC08 +:1058A000416763F5E604930EF00F33B7DE000E0791 +:1058B00033D3E60097B801009388C89F9A9883CEA7 +:1058C0000800130E0002BA9E330EDE4163170E026B +:1058D00063E4B6006369C500B307C540958D3335F1 +:1058E000F5003388A5403E85C28579B5B70800012B +:1058F0004147E3EF16FB614765BF3357D601B396C7 +:10590000C601D98EB357D50133D7D501B395C5019B +:10591000CD8F93D506013373B702139F0601135F32 +:105920000F0193D807013316C6013315C50133574C +:10593000B7024203B36813013308EF023A8363FCF2 +:105940000801B6981303F7FF63E7D80063F5080171 +:105950001303E7FFB698B3880841B3FFB802B3D882 +:10596000B802C20FB3051F03139F0701135F0F0196 +:1059700033EFEF014687637CBF00369F1387F8FF44 +:105980006367DF006375BF001387E8FF369F42033C +:10599000C1683363E3009387F8FF13580601330FA0 +:1059A000BF40B375F30013530301F18F3387F50242 +:1059B000B307F302B385050333030303BE951358FE +:1059C00007012E986373F8004693C167FD1793553E +:1059D00008013378F80042087D8F9A9542976366F4 +:1059E000BF00631BBF006379E5003306C740333750 +:1059F000C700958D998D32873307E5403335E50033 +:105A0000B305BF40898DB397D5013357C70133E53F +:105A1000E700B3D5C5018DB397B701009387C7A73A +:105A2000944317B701001307E7A51843C8C2637B67 +:105A3000E50017B701001307A7A508438C4391059C +:105A40006FA01FB0411122C406C617B7010013078B +:105A500067A42A8408438C439105EFA07FAE97B7D3 +:105A60000100938767A09C436376F40097B7010019 +:105A700023AC879EB24022444101828097B7010047 +:105A8000938787A1984394437C43FD177CC3FC42D2 +:105A900099E3736004308280011122CC26CA2A84E3 +:105AA000AE844AC84EC652C456C206CEEFC00FA539 +:105AB0001C4003290401B7090001B3E59700930ACC +:105AC000C4000CC08144FD19370A00021840638CE1 +:105AD0002A03032609004A85B3654701B3763601D8 +:105AE00093175600758F0329490063C4070001E727 +:105AF000F1BFE39DE6FC9317760063D30700D58CD6 +:105B0000EFD0AF8EE1B793C4F4FFF98C04C0EFC0BF +:105B1000EFC30840F2406244D2444249B249224AAB +:105B2000924A056182804111014506C622C4EFF008 +:105B30006FBE17F7FFFF13074728814605469305F9 +:105B4000400617B501001305A58BEFD01FDE19C95C +:105B50002A84EFC0AF9B2A8601478146854522856E +:105B6000EFD05FE9EFB01FF4B2402244014541019C +:025B7000828031 +:02000004800278 +:10000000B444FEFFB245FEFFBC44FEFFB245FEFF16 +:10001000B245FEFFB245FEFFB245FEFFD444FEFFEF +:10002000B245FEFFB245FEFFEE44FEFFFA44FEFF7E +:10003000B245FEFF0C45FEFF1845FEFF1845FEFFCA +:100040001845FEFF1845FEFF1845FEFF1845FEFF48 +:100050001845FEFF1845FEFF1845FEFFB245FEFF9E +:10006000B245FEFFB245FEFFB245FEFFB245FEFFC0 +:10007000B245FEFFB245FEFFB245FEFFB245FEFFB0 +:10008000B245FEFFB245FEFFB245FEFFB245FEFFA0 +:10009000B245FEFFB245FEFFB245FEFFB245FEFF90 +:1000A000B245FEFFB245FEFFB245FEFFB245FEFF80 +:1000B000B245FEFFB245FEFFB245FEFFB245FEFF70 +:1000C000B245FEFFB245FEFFB245FEFFB245FEFF60 +:1000D000B245FEFFB245FEFFB245FEFFB245FEFF50 +:1000E000B245FEFFB245FEFFB245FEFFB245FEFF40 +:1000F000B245FEFFB245FEFFB245FEFFB245FEFF30 +:100100004C45FEFF6245FEFFB245FEFFB245FEFFD5 +:10011000B245FEFFB245FEFFB245FEFFB245FEFF0F +:10012000B245FEFFA845FEFFB245FEFFB245FEFF09 +:100130005A43FEFF1244FEFFB245FEFFB245FEFFEA +:100140004844FEFFB245FEFFA044FEFFB245FEFF5D +:10015000B245FEFFCE44FEFFC048FEFF1449FEFF3D +:10016000C848FEFF1449FEFF1449FEFF1449FEFF74 +:100170001449FEFFBA47FEFF1449FEFF1449FEFF73 +:10018000D447FEFFE047FEFF1449FEFFF247FEFFA3 +:10019000FE47FEFFFE47FEFFFE47FEFFFE47FEFF57 +:1001A000FE47FEFFFE47FEFFFE47FEFFFE47FEFF47 +:1001B000FE47FEFF1449FEFF1449FEFF1449FEFFEF +:1001C0001449FEFF1449FEFF1449FEFF1449FEFFC7 +:1001D0001449FEFF1449FEFF1449FEFF1449FEFFB7 +:1001E0001449FEFF1449FEFF1449FEFF1449FEFFA7 +:1001F0001449FEFF1449FEFF1449FEFF1449FEFF97 +:100200001449FEFF1449FEFF1449FEFF1449FEFF86 +:100210001449FEFF1449FEFF1449FEFF1449FEFF76 +:100220001449FEFF1449FEFF1449FEFF1449FEFF66 +:100230001449FEFF1449FEFF1449FEFF1449FEFF56 +:100240001449FEFF1449FEFF1449FEFF1449FEFF46 +:100250001449FEFF1449FEFF3248FEFF6048FEFFCE +:100260001449FEFF1449FEFF1449FEFF1449FEFF26 +:100270001449FEFF1449FEFF1449FEFFB648FEFF75 +:100280001449FEFF1449FEFF1846FEFF1247FEFF09 +:100290001449FEFF1449FEFF8447FEFF1449FEFF88 +:1002A000AA47FEFF1449FEFF1449FEFF0E49FEFF58 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020696E7465676572207461736B73200D9C +:1003E0000A0000006D69616F750A00006D69616F38 +:1003F00075320A0068756E6772790A004368656332 +:100400006B54696D65720000496E744D61746800CB +:100410001000000000000000017A5200017C010180 +:100420001B0D02001000000018000000B04BFEFF82 +:100430008A03000000000000100000002C000000F3 +:10044000264FFEFF5E0300000000000010000000C9 +:10045000400000007052FEFF540300000000000046 +:0804C800FC8F01000900000097 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/recmutex_rv32i_O0.hex b/VexRiscv/src/test/resources/freertos/recmutex_rv32i_O0.hex new file mode 100644 index 0000000..2bc81a4 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/recmutex_rv32i_O0.hex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diff --git a/VexRiscv/src/test/resources/freertos/recmutex_rv32i_O3.hex b/VexRiscv/src/test/resources/freertos/recmutex_rv32i_O3.hex new file mode 100644 index 0000000..45f4858 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/recmutex_rv32i_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/recmutex_rv32ic_O0.hex b/VexRiscv/src/test/resources/freertos/recmutex_rv32ic_O0.hex new file mode 100644 index 0000000..e76a461 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/recmutex_rv32ic_O0.hex @@ -0,0 +1,1513 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000A1B6170502001305E53A9795030093856D +:1000A000256D014611221705020013052534970519 +:1000B0000200938525340146C52817A503001305C2 +:1000C000656B97B503009385A56A37B6ABAB13068E +:1000D000B6BAD92817B103001301C169EF40407DBA +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2821B23A02200F322103423 +:1002400096DEEF006030170102000321211A0241FF +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E21923A0120023A2B9 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40804D170102000321E2 +:10033000E10B0241F65273901234B722000093820F +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A20B83A0C0 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A282F923A0220086DEEF1019 +:10046000B04017010200032161F80241F652739077 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F0961730020309707BF +:1004C0000200938767F39843FD576305F700737045 +:1004D000043001A07370043001A041112AC6B24754 +:1004E000739047300100410182804111F327403071 +:1004F0003EC673F04330B2473E85410182804111D0 +:100500002AC62EC432C2B247F1173EC62247B247AE +:1005100098C3B247938787FA3EC61247B24798C33B +:10052000B247A1173EC68E873E87B24798C3B247EF +:10053000D1173EC6170700001307A7F8B24798C3A4 +:10054000B2473E8541018280411106C6EF40A0239B +:10055000EF10D014AA8799C3EF1010310100B240F8 +:100560004101828041112AC6B24713878700B247F2 +:10057000D8C3B2477D5798C7B24713878700B247A1 +:10058000D8C7B24713878700B24798CBB24723A09A +:10059000070001004101828041112AC6B24723A809 +:1005A000070001004101828001112AC62EC4B24712 +:1005B000DC433ECEA2477247D8C3F2479847A247D2 +:1005C00098C7F2479C472247D8C3F247224798C7AB +:1005D000A247324798CBB2479C4313871700B247D4 +:1005E00098C301000561828001112AC62EC4A2476A +:1005F0009C433ECC6247FD576316F700B2479C4BC5 +:100600003ECE31A8B247A1073ECE21A0F247DC433F +:100610003ECEF247DC439C436247E379F7FEF24764 +:10062000D843A247D8C3A247DC43224798C7A24772 +:10063000724798C7F2472247D8C3A247324798CBA0 +:10064000B2479C4313871700B24798C30100056166 +:10065000828001112AC6B2479C4B3ECEB247DC4392 +:100660003247184798C7B2479C4732475843D8C3C8 +:10067000F247DC4332476316F700B2479847F24728 +:10068000D8C3B24723A80700F2479C431387F7FF5C +:10069000F24798C3F2479C433E8505618280797199 +:1006A00006D622D42AC62EC4B2473ECEF24781E7F0 +:1006B0007370043001A0EF202057F2478043F247C7 +:1006C000D85FF247BC43BE853A85EF50A02AAA877F +:1006D0003307F400F247D8C3F24723AC0702F247CE +:1006E0009843F24798C7F2478043F247DC5F13878D +:1006F000F7FFF247BC43BE853A85EF50A027AA8793 +:100700003307F400F247D8C7F2477D57F8C3F247E2 +:100710007D57B8C7A24799EFF2479C4B8DC7F24768 +:10072000C1073E85EF1090402A878547631DF7007B +:10073000F13911A8F247C1073E852D35F24793875D +:1007400047023E850535EF20005185473E85B25072 +:10075000225445618280797106D62AC62EC4B2879A +:10076000A303F10002CCB24781E77370043001A00B +:10077000A24799E302CE01A8A2453245EF50801F5F +:10078000AA8785073ECEF247938747053E85EF301F +:10079000805C2ACAD24785CFA24789E7D247524711 +:1007A00098C331A0D24713874705D24798C3D24791 +:1007B0003247D8DFD2472247B8C385455245C535B1 +:1007C000D247034771002388E704D2473ECCE24773 +:1007D00081E77370043001A0E2473E85B250456165 +:1007E0008280797106D6AA87A307F1001305400518 +:1007F000EF3060562ACEF247B9CFF24723A2070066 +:10080000F24723A00700F24723A40700F24723A6DC +:100810000700F24723AC0702F2470547D8DFF2474B +:1008200023A00704F2477D57F8C3F2477D57B8C7A6 +:10083000F2470347F1002388E704F247C1073E85EA +:100840001533F247938747023E85293B814601468F +:10085000814572453122F2473E85B2504561828022 +:10086000797106D622D42AC6B2473ECCE24781E748 +:100870007370043001A0E247C043EF20001DAA8737 +:100880006313F402E247DC471387F7FFE247D8C758 +:10089000E247DC4791E78146014681456245C92030 +:1008A00085473ECE11A002CEF2473E85B25022547B +:1008B00045618280797106D622D42AC62EC4B247F9 +:1008C0003ECCE24781E77370043001A0E247C043A9 +:1008D000EF20A017AA87631BF400E247DC471387C9 +:1008E0001700E247D8C785473ECE0DA08146224675 +:1008F00081456245252E2ACE724785476318F70049 +:10090000E247DC4713871700E247D8C7F2473E8526 +:10091000B250225445618280797106D62AC62EC40F +:10092000B24781E77370043001A02247B24763F5F4 +:10093000E7007370043001A0094681453245213D2E +:100940002ACEF24781C7F247224798DFF24781E774 +:100950007370043001A0F2473E85B2504561828039 +:10096000397106DE2AC62EC432C236C002D6B2475C +:100970003ED4A25781E77370043001A0A24781E7FB +:10098000A257BC4399E3854711A0814781E7737063 +:10099000043001A0024789476317F700A257D85FC8 +:1009A00085476314F700854711A0814781E773707D +:1009B000043001A0EF20C00AAA8799E3924799E387 +:1009C000854711A0814781E77370043001A0EF20B3 +:1009D000A025A257985FA257DC5F6366F700024725 +:1009E0008947631DF7020246A245225565232AD294 +:1009F000A257DC5389CFA257938747023E85EF1059 +:100A0000F0122A8785476317F700093421A092570F +:100A100091C3ED3AEF202024854771A8924789E7DA +:100A2000EF206023814741A8B25799E77C083E85B3 +:100A3000EF10702785473ED6EF20E021EF10401AD7 +:100A4000EF20801EA257F843FD576315F700A25709 +:100A500023A20704A257B847FD576315F700A25712 +:100A600023A40704EF20201F58007C08BA853E8588 +:100A7000EF107026AA879DEB2255EF006071AA87C0 +:100A80008DC3A257C1071247BA853E85EF10E070AB +:100A900022551525EF108016AA87E39A07F2BD327A +:100AA0003DB72255092DEF10601515B722552125A8 +:100AB000EF10C01481473E85F25021618280797128 +:100AC00006D62AC62EC432C236C0B2473ECCE24752 +:100AD00081E77370043001A0A24781E7E247BC437D +:100AE00099E3854711A0814781E77370043001A025 +:100AF000024789476317F700E247D85F85476314C9 +:100B0000F700854711A0814781E77370043001A089 +:100B1000E93AAA873ECAE247985FE247DC5F63662C +:100B2000F700024789476315F7040246A24562456C +:100B30009521E247B847FD576313F702E247DC53BC +:100B40008DC7E247938747023E85EF10207EAA8734 +:100B500089CF924799CB9247054798C339A0E2477E +:100B6000BC4713871700E247B8C785473ECE11A0A0 +:100B700002CED2473E859532F2473E85B25045615E +:100B80008280797106D62AC62EC4B2473ECCE2478F +:100B900081E77370043001A0E247BC4381C77370E2 +:100BA000043001A0E2479C4381E7E247DC4399E33C +:100BB000854711A0814781E77370043001A0353269 +:100BC000AA873ECAE247985FE247DC5F6377F70493 +:100BD000E2479C5F13871700E24798DFE247B84778 +:100BE000FD576313F702E247DC538DC7E247938753 +:100BF00047023E85EF108073AA8789CFA24799CB21 +:100C0000A247054798C339A0E247BC47138717009E +:100C1000E247B8C785473ECE11A002CED2473E85F7 +:100C20006D38F2473E85B25045618280397106DEEB +:100C30002AC62EC432C236C002D6B2473ED4A2570C +:100C400081E77370043001A0A24781E7A257BC433B +:100C500099E3854711A0814781E77370043001A0B3 +:100C6000EF101060AA8799E3924799E3854711A096 +:100C7000814781E77370043001A0EF10F07AA2572A +:100C80009C5FB5CBA257DC473ED2A2452255F92E38 +:100C9000824795EFA2579C5F1387F7FFA25798DF13 +:100CA000A2579C4391E7EF2060022A87A257D8C33E +:100CB000A2579C4B8DCFA257C1073E85EF1000670E +:100CC0002A8785476315F702EFF04FF40DA0A2576E +:100CD0001257D8C7A257DC5399CBA2579387470224 +:100CE0003E85EF10A064AA8799C3EFF02FF2EF10B2 +:100CF000907685475DA8924789E7EF10D0758147C8 +:100D00006DA0B25799E77C083E85EF10C079854702 +:100D10003ED6EF105074EF00B06CEF10F070A25799 +:100D2000F843FD576315F700A25723A20704A25703 +:100D3000B847FD576315F700A25723A40704EF1027 +:100D4000907158007C08BA853E85EF10C078AA875C +:100D5000A1EB2255CD2EAA879DCFA2579C4391EBA4 +:100D6000EF10906CA257DC433E85EF107052EF10ED +:100D7000906EA257938747021247BA853E85EF10BF +:100D8000C04122550D2EEF007067AA87E39707EE4A +:100D9000EFF0CFE7DDB52255392EEF003066F1BD1B +:100DA0002255112EEF00906581473E85F25021615A +:100DB0008280797106D62AC62EC432C2B2473ECC92 +:100DC000E24781E77370043001A0A24781E7E24760 +:100DD000BC4399E3854711A0814781E773700430D4 +:100DE00001A0EFF08FF0AA873ECAE2479C5FA1CB3B +:100DF000A2456245A52CE2479C5F1387F7FFE247B7 +:100E000098DFE247F843FD576312F702E2479C4B35 +:100E100085C7E247C1073E85EF104051AA8789CFB9 +:100E2000924799CB9247054798C339A0E247FC43C4 +:100E300013871700E247F8C385473ECE11A002CEC4 +:100E4000D2473E85EFF06FE9F2473E85B2504561EB +:100E50008280797106D62AC62EC4B2473ECCE247BC +:100E600081E77370043001A0A24781E7E247BC43E9 +:100E700099E3854711A0814781E77370043001A091 +:100E8000E247BC4381E77370043001A0EFF0EFE567 +:100E9000AA873ECAE2479C5F89CFE247DC473EC84B +:100EA000A2456245E122E2474247D8C785473ECE88 +:100EB00011A002CED2473E85EFF02FE2F2473E85E9 +:100EC000B25045618280797106D62AC6B24781E761 +:100ED0007370043001A0EF103055B2479C5F3ECED6 +:100EE000EF107057F2473E85B250456182807971AC +:100EF00006D62AC6B2473ECEF24781E77370043069 +:100F000001A0EF107052F247D85FF2479C5FB30721 +:100F1000F7403ECCEF103054E2473E85B250456179 +:100F2000828001112AC6B24781E77370043001A0A4 +:100F3000B2479C5F3ECEF2473E8505618280797163 +:100F400006D62AC6B2473ECEF24781E77370043018 +:100F500001A07245E5247245EF20F0720100B25005 +:100F60004561828041112AC6B247FC473E85410156 +:100F7000828041112AC62EC4B2472247F8C7010019 +:100F80004101828041112AC6B24783C707053E85C9 +:100F900041018280797106D62AC62EC432C202CEA1 +:100FA000B247BC4391EFB2479C43D5E7B247DC431D +:100FB0003E85EF10903B2ACEB24723A2070061A8DE +:100FC000924785EFB2479847B247BC433E86A24559 +:100FD0003A85EF20F079B2479847B247BC433E9735 +:100FE000B24798C7B2479847B247DC436365F706F4 +:100FF000B2479843B24798C7B9A8B247D847B24753 +:10100000BC433E86A2453A85EF209076B247D8474A +:10101000B247BC43B307F0403E97B247D8C7B24788 +:10102000D847B2479C43637BF700B247D843B247E7 +:10103000BC43B307F0403E97B247D8C71247894731 +:10104000631BF700B2479C5F99C7B2479C5F138749 +:10105000F7FFB24798DFB2479C5F13871700B2478C +:1010600098DFF2473E85B25045618280011106CE7D +:101070002AC62EC4B247BC439DCBB247D847B2471D +:10108000BC433E97B247D8C7B247D847B247DC43C4 +:101090006366F700B2479843B247D8C7B247D8470C +:1010A000B247BC433E86BA852245EF20706C0100F2 +:1010B000F24005618280011106CE2AC6EF10D036BB +:1010C00025A0B247DC5395C7B247938747023E85B8 +:1010D000EF10C025AA8799C3EF104049B247BC471B +:1010E0001387F7FFB247B8C7B247BC47E34BF0FCE2 +:1010F00011A00100B2477D57B8C7EF10D035EF10EF +:10110000B0321DA0B2479C4B8DC7B247C1073E8588 +:10111000EF10C021AA8799C3EF104045B247FC43A6 +:101120001387F7FFB247F8C3B247FC43E34CF0FC28 +:1011300011A00100B2477D57F8C3EF10D031010074 +:10114000F24005618280797106D62AC6EF10D02D53 +:10115000B2479C5F81E785473ECE11A002CEEF10DB +:10116000902FF2473E85B2504561828001112AC618 +:10117000B24781E77370043001A0B2479C5F81E7FA +:1011800085473ECE11A002CEF2473E8505618280A2 +:10119000797106D62AC6EF103029B247985FB24758 +:1011A000DC5F6315F70085473ECE11A002CEEF103D +:1011B000902AF2473E85B2504561828001112AC6CD +:1011C000B24781E77370043001A0B247985FB2471D +:1011D000DC5F6315F70085473ECE11A002CEF247D3 +:1011E0003E850561828001112AC62EC402CE81A0EF +:1011F0001787030013070754F2478E07BA979C43DB +:1012000085E7178703001307E752F2478E07BA975F +:10121000224798C3178703001307C751F2478E0769 +:10122000BA973247D8C301A8F24785073ECE724726 +:101230009D47E3FFE7FA01000561828001112AC69C +:1012400002CE0DA8178703001307C74EF2478E077B +:10125000BA97DC433247631CF70017870300130774 +:10126000674DF2478E07BA9723A0070001A8F247FF +:1012700085073ECE72479D47E3F6E7FC0100056116 +:101280008280797106D62AC62EC432C2B2473ECEBB +:10129000EF109019F247F843FD576315F700F24736 +:1012A00023A20704F247B847FD576315F700F2473A +:1012B00023A40704EF10301AF2479C5F89EBF24732 +:1012C000938747021246A2453E85EF00907E724505 +:1012D000DD330100B25045618280397106DE2ACECD +:1012E0002ECC36C83AC63EC442C246C0B287231B83 +:1012F000F100F24781E77370043001A03247A5473F +:1013000063F5E7007370043001A0835761019245D3 +:101310003E85EF1080512AD4A257638F0712A2573F +:10132000985B83566101B7070040FD17B6978A079F +:10133000BA973ED29257F19B3ED292578D8B81C77E +:101340007370043001A0835761013E8782463246A4 +:10135000E2452255EF10E0274246F2451255EFF0E4 +:101360000F9A2A87A25798C3A24781C7A24722573C +:1013700098C3EF10700B97F701009387E7279C4302 +:101380001387170097F701009387072798C397F7EC +:1013900001009387A7059C4395E397F70100938786 +:1013A000E704225798C397F701009387E7249843EF +:1013B0008547631AF702EF10C02C35A097F701009C +:1013C000938747249C4385E397F70100938707029F +:1013D0009C43DC5732476368F70097F70100938717 +:1013E000E700225798C397F701009387A7229C43F1 +:1013F0001387170097F701009387C72198C397F7C2 +:101400000100938727219843A257B8C7A257D857FE +:1014100097F701009387C71E9C4363F9E700A25723 +:10142000D85797F701009387A71D98C3A257D8579D +:10143000BA878A07BA978A0717F701001307470880 +:101440003E97A2579107BE853A85EFF0EF95854705 +:101450003ED6EF10500019A0FD573ED632578547B3 +:101460006313F70297F701009387C7199C4381CF55 +:1014700097F70100938787F79C43DC57324763F463 +:10148000E700EFE0BFF8B2573E85F250216182805D +:10149000797106D62AC6EF102079B24799E797F7F7 +:1014A00001009387A7F49C4311A0B2473ECEF247B8 +:1014B00091073E85EFF0EF99F2479C5791C7F247AD +:1014C000E1073E85EFF0EF98F2479107BE8517F5EB +:1014D00001001305A50FEFF02F8D97F701009387FB +:1014E00027109C431387170097F701009387470F36 +:1014F00098C397F701009387E7119C431387170060 +:1015000097F701009387071198C3EF10C07497F7FE +:1015100001009387270F9C439DCB97F7010093878A +:10152000E7EC9C437247631EF70097F7010093872F +:10153000E70E9C4381C77370043001A0EFE01FEDFC +:1015400039A0EF10606EEF10A04BEF10C0700100DB +:10155000B25045618280797106D62AC62EC402CE69 +:10156000B24781E77370043001A0A24781E773702E +:10157000043001A097F701009387470A9C4381C775 +:101580007370043001A0A92D97F701009387070716 +:101590009C433ECCB2479C432247BA973ECAB247CF +:1015A0009C436247637EF700B2479C435247637493 +:1015B000F7025247E24763F0E70285473ECE21A893 +:1015C000B2479C4352476366F7005247E24763F4D1 +:1015D000E70085473ECEB247524798C3F24789CFCE +:1015E00097F70100938787E09C4391073E85EFF0D2 +:1015F0004F865245EF10001B11252AC8C24799E3B8 +:10160000EFE0DFE00100B25045618280797106D6DB +:101610002AC602CEB247A9C397F7010093870700F5 +:101620009C4381C77370043001A05D2B97F70100C4 +:101630009387C7FC9C433247BA973ECC97F701008B +:101640009387C7DA9C4391073E85EFF08F80624510 +:10165000EF10401565232ACEF24799E3EFE01FDB38 +:101660000100B25045618280797106D62AC6B24720 +:101670003ECCE24781E77370043001A097F7010088 +:101680009387C7D69C4362476314F70002CEBDA080 +:10169000EF108059E247DC4B3ECAEF10C05B97F772 +:1016A00001009387E7F09C435247630AF70097F7DE +:1016B0000100938727F09C4352476315F700894741 +:1016C0003ECE2DA8524797F701009387A7F1631BE1 +:1016D000F700E2479C5781E78D473ECE05A089473A +:1016E0003ECE29A8524797F70100938727EE63154E +:1016F000F70091473ECE19A085473ECEF2473E8582 +:10170000B25045618280797106D62AC6EF10C05169 +:10171000B24799E797F70100938747CD9C4311A003 +:10172000B2473ECEF247DC573ECCEF10C052E24704 +:101730003E85B25045618280797106D62AC6EFE0B7 +:10174000DFDAAA873ECEB24799E797F70100938781 +:10175000E7C99C4311A0B2473ECCE247DC573ECAE2 +:10176000F2473E85EFE07FD7D2473E85B2504561D4 +:101770008280797106D62AC62EC402CE2247A5479A +:1017800063F5E7007370043001A02247A54763F4B6 +:10179000E700A5473EC4EF102049B24799E797F705 +:1017A00001009387A7C49C4311A0B2473ECCE247F7 +:1017B000BC4B3ECA5247A247630AF70E2247D247A4 +:1017C00063F6E70297F70100938747C29C4362479D +:1017D0006308F70297F70100938747C19C43DC57E2 +:1017E0002247636FF70085473ECE19A897F701009F +:1017F0009387C7BF9C4362476314F70085473ECE7B +:10180000E247DC573EC8E247B84BE247DC57631576 +:10181000F700E2472247D8D7E2472247B8CBE24752 +:101820009C4F63C707002947A2471D8FE24798CF07 +:10183000E247D44B4247BA878A07BA978A0717F715 +:1018400001001307E7C7BA976394F600854711A014 +:101850008147B9C7E24791073E85EFE09FDFE24746 +:10186000D85797F701009387A7D99C4363F9E700FE +:10187000E247D85797F70100938787D898C3E24784 +:10188000D857BA878A07BA978A0717F7010013074C +:1018900027C33E97E2479107BE853A85EFE0DFD048 +:1018A000724785476314F700EFE05FB6EF10A03A88 +:1018B0000100B25045618280797106D62AC6EF10C8 +:1018C000A036B24799E797F70100938727B29C4368 +:1018D00011A0B2473ECEF24791073E85EFE07FD799 +:1018E000F2479C5791C7F247E1073E85EFE07FD66C +:1018F000F2479107BE8517F501001305A5CEEFE06D +:10190000BFCAEF10403597F70100938727AE9C437D +:1019100072476319F70497F701009387A7CE9C439A +:1019200089CF97F70100938767CF9C4381C7737076 +:10193000043001A0EFE09FAD91A097F701009387DD +:1019400067CA984397F70100938707CB9C436319B5 +:10195000F70097F70100938767A923A0070039A82C +:10196000212729A897F701009387C7C99C4399C7E6 +:10197000EF10802BEF10C008EF10E02D0100B250E7 +:101980004561828001112AC602CEB2473ECCB247E1 +:1019900081E77370043001A0E247D84B97F701004C +:1019A000938747C46314F700854711A0814795C307 +:1019B000E247985797F70100938707C0630BF7003A +:1019C000E2479C5799E3854711A0814799C3854712 +:1019D0003ECEF2473E8505618280797106D62AC6E1 +:1019E000B2473ECEB24781E77370043001A0F247A0 +:1019F000C9C797F701009387679F9C437247630E9F +:101A0000F706EF1060227245B53F2A8785476314B9 +:101A1000F706F24791073E85EFE0BFC3F247D8577C +:101A200097F701009387C7BD9C4363F9E700F2472E +:101A3000D85797F701009387A7BC98C3F247D857A8 +:101A4000BA878A07BA978A0717F70100130747A7CB +:101A50003E97F2479107BE853A85EFE0FFB4F24723 +:101A6000D85797F70100938767989C43DC576364C6 +:101A7000F700EFE0BF99EF10001E0100B250456182 +:101A80008280797106D62AC602CEB2473ECCB247D2 +:101A900081E77370043001A0EFE03FA5AA873ECA3A +:101AA0006245CD352A8785476314F70897F701000B +:101AB0009387C7B69C43A5E7E247D85797F701003D +:101AC0009387C7929C43DC576364F70085473ECEFB +:101AD000E24791073E85EFE0DFB7E247D85797F737 +:101AE00001009387E7B19C4363F9E700E247D857C9 +:101AF00097F701009387C7B098C3E247D857BA87D2 +:101B00008A07BA978A0717F701001307679B3E9762 +:101B1000E2479107BE853A85EFE01FA911A8E24789 +:101B2000E107BE8517F50100130505A9EFE0DFA762 +:101B3000D2473E85EFE07F9AF2473E85B25045613D +:101B40008280011106CE81480148814701478146C4 +:101B50001306004097E501009385C54A171500005C +:101B6000130585A5EFF06FF72AC632478547631541 +:101B7000F700EF10C06D2AC6324785476319F70298 +:101B80007370043097F70100938707A97D5798C3B6 +:101B900097F70100938707A7054798C397F70100B8 +:101BA0009387C7A523A00700EFE0AFEF31A0B247AE +:101BB00081E77370043001A00100F240056182806A +:101BC000411106C67370043097F70100938787A30D +:101BD00023A00700EFE02FFB0100B240410182800B +:101BE00097F70100938787A39C431387170097F704 +:101BF00001009387A7A298C301008280011106CE3D +:101C000002C697F70100938767A19C4381E7737031 +:101C1000043001A0EF10400197F70100938707A05F +:101C20009C431387F7FF97F701009387279F98C37B +:101C300097F701009387879E9C436390071097F75F +:101C400001009387679B9C436389070E59A097F710 +:101C5000010093876796DC47DC473EC4A247E10753 +:101C60003E85EFE01F9FA24791073E85EFE07F9EF4 +:101C7000A247D85797F70100938787989C4363F949 +:101C8000E700A247D85797F701009387679798C353 +:101C9000A247D857BA878A07BA978A0717F7010069 +:101CA000130707823E97A2479107BE853A85EFE06A +:101CB000BF8FA247D85797E70100938727739C43AC +:101CC000DC576368F70097F701009387279405476F +:101CD00098C397F701009387278E9C43ADFB97F736 +:101CE0000100938767929C438DCF35A0452AAA8730 +:101CF00099C797F7010093876791054798C397F7AE +:101D00000100938767909C431387F7FF97F70100C3 +:101D10009387878F98C397F701009387E78E9C433B +:101D2000F1F797F701009387678E9843854763160D +:101D3000F70085473EC6EFE06FEDEF00D071B24788 +:101D40003E85F24005618280011106CEEF00D06D24 +:101D500097F701009387878A9C433EC6EF00B06FD8 +:101D6000B2473E85F24005618280011106CEEFE068 +:101D7000CFF7AA873EC697F70100938727889C4331 +:101D80003EC4B2473E85EFE04FF5A2473E85F240A4 +:101D90000561828097F70100938707869C433E8503 +:101DA0008280797106D62AC62EC432C202CEA947D5 +:101DB0003ECC3D3597F70100938707849C4322472B +:101DC0006368F70CE247FD173ECCF24796073247AF +:101DD000B306F7006247BA878A07BA978A0717E7F8 +:101DE00001001307E76DBA970546BE853685EF00FB +:101DF000902A2A87F247BA973ECEE247E1F7F247A8 +:101E0000960732473E9797E701009387677A9C438E +:101E10000946BE853A85EF0010282A87F247BA970F +:101E20003ECEF247960732473E9797E701009387E9 +:101E300067789C430946BE853A85EF00D0252A87FE +:101E4000F247BA973ECEF24796073247BA97114605 +:101E500097E50100938585773E85EF00D0232A879B +:101E6000F247BA973ECEF24796073247BA970D46E9 +:101E700097E50100938505773E85EF00D0212A87FD +:101E8000F247BA973ECE924781C7924723A00700F8 +:101E9000B533F2473E85B25045618280797106D6EE +:101EA00002CE97E70100938767779C4363950718F5 +:101EB00097E70100938787749C431387170097E780 +:101EC00001009387A77398C397E70100938707736F +:101ED0009C433ECCE247B9EF97E701009387476DFB +:101EE0009C439C4381C77370043001A097E70100B5 +:101EF0009387076C9C433ECA97E701009387876BE3 +:101F0000984397E701009387A76A98C397E7010072 +:101F10009387476A524798C397E701009387476FB3 +:101F20009C431387170097E701009387676E98C358 +:101F3000EF00102D97E701009387076E9C436247DF +:101F40006365F70C97E70100938787669C439C4382 +:101F500099E3854711A0814781CB97E701009387DB +:101F6000A76B7D5798C355A097E7010093874764F7 +:101F70009C43DC47DC473EC8C247DC433EC6624761 +:101F8000B2476379F70097E701009387E768324724 +:101F900098C3A5A8C24791073E85EFE08FEBC247E3 +:101FA0009C5791C7C247E1073E85EFE08FEAC247E1 +:101FB000D85797E701009387A7649C4363F9E7002C +:101FC000C247D85797E701009387876398C3C247F2 +:101FD000D857BA878A07BA978A0717E70100130705 +:101FE000274E3E97C2479107BE853A85EFE0CFDB8B +:101FF000C247D85797E701009387473F9C43DC5778 +:10200000E362F7F485473ECE35BF97E7010093873B +:10201000E73D9C43D85797E601009386664ABA8706 +:102020008A07BA978A07B6979843854763F1E7020C +:1020300085473ECE29A897E701009387E75C9C433C +:102040001387170097E701009387075C98C397E70A +:1020500001009387A75B9C4399C385473ECEF24717 +:102060003E85B25045618280011106CE97E701009E +:102070009387C75A9C4381CB97E701009387075901 +:10208000054798C339A297E701009387275823A0F3 +:10209000070097E70100938767359C439C5B3EC62A +:1020A000B7A7A5A59387575A3EC4B2479C4322477A +:1020B0006314F702B24791079C432247631EF7005F +:1020C000B247A1079C4322476318F700B247B10704 +:1020D0009C4322476308F70497E701009387073181 +:1020E000984397E70100938767309C4393874703A2 +:1020F000BE853A85EF20B00A35A097E701009387A7 +:1021000027509C4381E77370043001A097E70100DA +:102110009387074F9C431387F7FF97E70100938747 +:10212000274E98C397E701009387874D984397E61A +:1021300001009386E638BA878A07BA978A07B69766 +:102140009C43C5DF97E701009387874B9843BA8785 +:102150008A07BA978A0717E7010013076736BA9705 +:102160003EC29247DC43D8439247D8C39247D843F4 +:102170009247A1076317F7009247DC43D843924781 +:10218000D8C39247DC43D84797E7010093870726D7 +:1021900098C30100F24005618280797106D62AC693 +:1021A0002EC4B24781E77370043001A097E70100A5 +:1021B0009387C7239C43E107BE853245EFE0CFC23A +:1021C00097E70100938787229C4391073E85EFE0C4 +:1021D0004FC82247FD576310F70297E70100938726 +:1021E000E7209C439107BE8517E501001305853F55 +:1021F000EFE08FBB19A897E70100938727409C4326 +:102200002247BA973ECE7245712B0100B25045610C +:102210008280797106D62AC62EC432C2B24781E7BF +:102220007370043001A097E701009387273F9C4318 +:1022300081E77370043001A097E701009387071BC3 +:102240009C43A24637070080558F98CF97E701003F +:102250009387C7199C43E107BE853245EFE0CFB4B1 +:1022600097E70100938787189C4391073E85EFE02D +:102270004FBE1247FD576310F70297E7010093879F +:10228000E7169C439107BE8517E5010013058535C8 +:10229000EFE08FB119A897E70100938727369C4399 +:1022A0001247BA973ECE7245F5290100B2504561FA +:1022B0008280797106D62AC62EC432C2B24781E71F +:1022C0007370043001A097E70100938727129C43A5 +:1022D000E107BE853245EFE02FAD97E70100938718 +:1022E000E7109C4391073E85EFE0AFB61247854764 +:1022F0006310F70297E701009387470F9C4391070C +:10230000BE8517E501001305E52DEFE0EFA919A83B +:1023100097E701009387872E9C432247BA973ECECA +:10232000724549210100B25045618280797106D61B +:102330002AC6B247DC47DC473ECCE24781E77370F0 +:10234000043001A0E247E1073E85EFE08FB097E758 +:1023500001009387A72C9C43A1EBE24791073E85A0 +:10236000EFE02FAFE247D85797E70100938747295F +:102370009C4363F9E700E247D85797E7010093874A +:10238000272898C3E247D857BA878A07BA978A0797 +:1023900017E701001307C7123E97E2479107BE8572 +:1023A0003A85EFE06FA011A8E247E107BE8517E587 +:1023B000010013056520EFE02F9FE247D85797E70C +:1023C00001009387A7029C43DC5763FBE700854726 +:1023D0003ECE97E7010093876723054798C311A076 +:1023E00002CEF2473E85B25045618280797106D6B1 +:1023F0002AC62EC497E70100938747229C4381E7B2 +:102400007370043001A02247B70700805D8FB24788 +:1024100098C3B247DC473ECCE24781E77370043093 +:1024200001A03245EFE0EFA2E24791073E85EFE0E1 +:102430004FA2E247D85797E701009387671C9C4358 +:1024400063F9E700E247D85797E701009387471BF6 +:1024500098C3E247D857BA878A07BA978A0717E717 +:1024600001001307E7053E97E2479107BE853A85CD +:10247000EFE08F93E247D85797E70100938707F77C +:102480009C43DC5763FBE70085473ECE97E701009E +:102490009387C717054798C311A002CEF2473E8520 +:1024A000B2504561828041112AC6B24781E77370FC +:1024B000043001A097E70100938787159843B2473E +:1024C00098C397E70100938767139843B247D8C32F +:1024D000010041018280797106D62AC62EC4B24716 +:1024E00081E77370043001A0A24781E77370043064 +:1024F00001A01D2F97E70100938747109C433ECC16 +:10250000A2479843FD576314F70002CE91A8B24743 +:10251000984397E701009387A70F9C43630AF7004E +:10252000B247DC4362476365F70085473ECE0DA89E +:10253000B247DC4362471D8FA2479C436370F7029A +:10254000A2479843B247D443E247B387F6403E9749 +:10255000A24798C33245813F02CE19A085473ECE9F +:10256000DD2DF2473E85B2504561828097E701003C +:102570009387C709054798C30100828001112AC6C5 +:10258000B24799C7B2473ECCE247FC473ECE11A0C6 +:1025900002CEF2473E850561828001112AC62EC413 +:1025A000B24791C7B2473ECEF2472247F8C7010073 +:1025B00005618280011106CE2AC6852297E70100B7 +:1025C000938707F098438547E3F9E7FEEFD01FE4D0 +:1025D000EDB7397106DE2ACE2ECC32CA36C8BA879C +:1025E0002317F10002D625A06247B257BA9703C756 +:1025F0000700F246B257B697238AE7026247B257FE +:10260000BA9783C7070089CBB25785073ED63257A2 +:10261000BD47E3FBE7FC11A00100F247A3810704DB +:102620005247A54763F4E700A5473ECAF247524721 +:10263000D8D7F2475247B8CBF24723AA0704F2474C +:1026400091073E85EFD05FF5F247E1073E85EFD079 +:10265000BFF4F247724798CB2947D2471D8FF24704 +:1026600098CFF2477247D8D3F24723A20704F24724 +:1026700023AC0704F24723AE07040100F2502161A6 +:102680008280011106CE02C60DA03247BA878A07A2 +:10269000BA978A0717E70100130787E2BA973E85C2 +:1026A000EFD05FECB24785073EC63247A547E3FE51 +:1026B000E7FC17E50100130525EDEFD0BFEA17E5AC +:1026C00001001305A5EDEFD0FFE917E501001305A3 +:1026D000A5EEEFD03FE917E50100130525EFEFD098 +:1026E0007FE817E501001305E5EFEFD0BFE797E7B7 +:1026F00001009387E7EB17E701001307E7E898C3AA +:1027000097E70100938707EB17E70100130707E935 +:1027100098C30100F24005618280011106CE8DA8A8 +:10272000EFF00FCC97E70100938747EA9C4393B7FC +:10273000170093F7F70F3EC6EFF04FCCB247A9EB67 +:10274000E52197E70100938767E8DC47DC473EC453 +:10275000A24791073E85EFD0DFEF97E7010093870F +:10276000A7E99C431387F7FF97E701009387C7E822 +:1027700098C397E701009387A7E69C431387F7FF69 +:1027800097E701009387C7E598C3F1212245912C73 +:1027900097E701009387C7E49C43D9F30100F24017 +:1027A00005618280011106CE2AC697E70100938752 +:1027B000E7C39C433247D8C397E70100938707E4F8 +:1027C0009C4332476372F70297E70100938787DEE5 +:1027D000984397E70100938767C19C439107BE85A3 +:1027E0003A85EFD07FE035A897E70100938747DC73 +:1027F000984397E70100938767BF9C439107BE8585 +:102800003A85EFD07FDE97E701009387E7E09C43AE +:1028100032476378F70097E701009387E7DF324795 +:1028200098C30100F24005618280797106D6AA87BB +:102830002EC42317F100A24789EB8357E1008A07D2 +:102840003E85EF104051AA8711A0A2473ECCE24737 +:1028500085C313050006EF1000502ACEF24789C742 +:10286000F247624798DB31A06245EF10C06111A0CA +:1028700002CEF24781CFF247985B8357E1008A0787 +:102880003E869305500A3A85EF104075F2473E8523 +:10289000B25045618280397106DE22DC2AC62EC420 +:1028A00032C202D6A2479C43638D070EA2473ED494 +:1028B000A257DC43D843A257D8C3A257D843A25744 +:1028C000A1076317F700A257DC43D843A257D8C328 +:1028D000A257DC43DC473ED2A2473ED08257DC43BE +:1028E000D8438257D8C38257D8438257A10763176A +:1028F000F7008257DC43D8438257D8C38257DC4362 +:10290000DC473ECEB25796073247BA97724798C314 +:10291000B25796073247BA97724713074703D8C38F +:10292000B25796073247BA977247384798C7B25797 +:1029300096073247BA971247D8C7B2579607324719 +:10294000BA977247585798CB12478D47631BF700C9 +:10295000F2479C5799C7B25796073247BA9709472B +:10296000D8C7B25796073247BA977247384BD8CB79 +:10297000B25796073247BA9723AC0700F247945BE9 +:10298000B257960732473304F70036850D20AA87E1 +:10299000231EF400B25785073ED672479257E31DB7 +:1029A000F7F2B2573E85F2506254216182800111E4 +:1029B0002AC602CE39A0B24785073EC6F247850730 +:1029C0003ECEB24703C707009307500AE305F7FE60 +:1029D000F24789833ECEF247C207C1833E85056137 +:1029E0008280011106CE2AC6B2479C5B3E85EF105D +:1029F00080493245EF1020490100F2400561828094 +:102A0000411197E701009387A7BA9C439C4399E340 +:102A1000854711A0814781CB97E701009387C7BF06 +:102A20007D5798C305A097E70100938767B89C433B +:102A3000DC47DC473EC6B247D84397E7010093879F +:102A4000A7BD98C3010041018280411197E70100B1 +:102A50009387C7999C433EC6B2473E854101828019 +:102A6000411197E701009387E7B99C4381E78547C8 +:102A70003EC619A897E70100938747BA9C4381E7B0 +:102A800089473EC611A002C6B2473E8541018280F9 +:102A9000797106D62AC6B2473ECEB247F9C3F2478D +:102AA000D85797E70100938767949C43DC57637A74 +:102AB000F70AF2479C4F63CC070097E70100938722 +:102AC000E7929C43DC5729471D8FF24798CFF24786 +:102AD000D44BF247D857BA878A07BA978A0717E7BD +:102AE00001001307E79DBA976394F600854711A08C +:102AF0008147A5C3F24791073E85EFD09FB597E781 +:102B000001009387A78E9C43D857F247D8D7F24746 +:102B1000D85797E701009387A7AE9C4363F9E70076 +:102B2000F247D85797E70100938787AD98C3F247DC +:102B3000D857BA878A07BA978A0717E70100130799 +:102B400027983E97F2479107BE853A85EFD0DFA5DB +:102B500009A897E70100938767899C43D857F247F4 +:102B6000D8D70100B25045618280797106D62AC655 +:102B7000B2473ECC02CEB247DDC397E70100938750 +:102B8000E7869C4362476305F7007370043001A039 +:102B9000E247FC4B81E77370043001A0E247FC4B35 +:102BA0001387F7FFE247F8CBE247D857E247BC4B21 +:102BB0006307F706E247FC4BBDE3E24791073E851A +:102BC000EFD03FA9E247B84BE247D8D7E247DC57FE +:102BD00029471D8FE24798CFE247D85797E7010072 +:102BE000938707A29C4363F9E700E247D85797E72A +:102BF00001009387E7A098C3E247D857BA878A07AE +:102C0000BA978A0717E701001307878B3E97E247B9 +:102C10009107BE853A85EFD03F9985473ECEF24772 +:102C20003E85B250456182807370043097E70100A1 +:102C30009387479D9C4391CF97D701009387077B4C +:102C40009C43F8430507F8C397D701009387077A99 +:102C50009C430100828097E701009387A79A9C43D9 +:102C60008DCB97D70100938767789C43FC4395C32E +:102C700097D70100938787779C43F8437D17F8C364 +:102C800097D70100938787769C43FC4399E3736051 +:102C9000043001008280411197D7010093870775A6 +:102CA0009C439C4F3EC697D70100938727749C4353 +:102CB000D85797D70100938767739C43A9463387FA +:102CC000E64098CFB2473E854101828097D7010008 +:102CD0009387C7719C4389CB97D70100938707716E +:102CE0009C43F84B0507F8CB97D7010093870770F3 +:102CF0009C433E858280797106D62AC62EC42D3724 +:102D000097D701009387876E9C43BC4FADE397D75D +:102D100001009387A76D9C430547F8CFA247A1CB3D +:102D200097D701009387876C9C4391073E85EFD02E +:102D30005F922247FD576310F70297D701009387F0 +:102D4000E76A9C439107BE8517E501001305858955 +:102D5000EFD09F8519A897E701009387278A9C43A6 +:102D60002247BA973ECE7245353CEFD02FEAE53583 +:102D7000653D97D70100938767679C43BC4F3ECC66 +:102D8000E2479DC3B24789CB97D701009387076677 +:102D90009C4323AC070409A897D7010093870765D4 +:102DA0009C43B84F7D17B8CF97D70100938707642E +:102DB0009C4323AE07044535E2473E85B25045614A +:102DC0008280797106D62AC62EC432C236C0A93D89 +:102DD00097D70100938787619C43F84F8947630D1C +:102DE000F70697D70100938767609C43B44F32473B +:102DF0001347F7FF758FB8CF97D701009387075F09 +:102E00009C430547F8CF8247A1CB97D70100938712 +:102E1000E75D9C4391073E85EFD0BF830247FD5796 +:102E20006310F70297D701009387475C9C43910793 +:102E3000BE8517D501001305E57AEFD0EFF619A886 +:102E400097D701009387877B9C430247BA973ECC74 +:102E50006245893AEFD08FDBFD3BF933924789CB4E +:102E600097D70100938787589C43B84F924798C3E0 +:102E700097D70100938787579C43F84F8547631482 +:102E8000F70002CE31A897D70100938727569C43BD +:102E9000B44F22471347F7FF758FB8CF85473ECE13 +:102EA00097D70100938787549C4323AE070465336B +:102EB000F2473E85B25045618280797106D62AC6B6 +:102EC0002EC432C236C085473ECEB24781E773700A +:102ED000043001A0B2473ECC813B824789C7E2471C +:102EE000B84F824798C3E247FC4F3ECAE2470947C2 +:102EF000F8CF1247914763EEE704924713972700F4 +:102F000097D7010093878710BA97984397D7010006 +:102F10009387C70FBA978287E247B84FA2475D8F62 +:102F2000E247B8CF3DA0E247BC4F13871700E24706 +:102F3000B8CF05A0E2472247B8CF21A8524789471A +:102F40006306F700E2472247B8CF21A002CE11A0C6 +:102F5000010052478547631AF706E24791073E850D +:102F6000EFD02FEFE247D85797D7010093874769F3 +:102F70009C4363F9E700E247D85797D7010093874E +:102F8000276898C3E247D857BA878A07BA978A074B +:102F900017D701001307C7523E97E2479107BE8536 +:102FA0003A85EFD06FE0E2479C5781C773700430D9 +:102FB00001A0E247D85797D70100938727439C4346 +:102FC000DC5763F4E700EFD06FC47131F2473E8500 +:102FD000B25045618280397106DE2ACE2ECC32CACB +:102FE00036C83AC685473ED6F24781E7737004304B +:102FF00001A0F2473ED4EFD04FCFAA873ED2C247BE +:1030000089C7A257B84FC24798C3A257FC4F3ED0BA +:10301000A2570947F8CF5247914763EEE704D247DA +:103020001397270097D70100938787FFBA97984394 +:1030300097D701009387C7FEBA978287A257B84FE8 +:10304000E2475D8FA257B8CF3DA0A257BC4F138770 +:103050001700A257B8CF05A0A2576247B8CF21A842 +:10306000025789476306F700A2576247B8CF21A0ED +:1030700002D611A0010002578547631DF708A25729 +:103080009C5781C77370043001A097D701009387C4 +:10309000E7589C43A1EBA25791073E85EFD06FDB29 +:1030A000A257D85797D70100938787559C4363F958 +:1030B000E700A257D85797D701009387675498C362 +:1030C000A257D857BA878A07BA978A0717D7010035 +:1030D0001307073F3E97A2579107BE853A85EFD069 +:1030E000AFCC11A8A257E107BE8517D50100130583 +:1030F000A54CEFD06FCBA257D85797D70100938735 +:10310000E72E9C43DC5763F7E700B24781C7B2471D +:10311000054798C392573E85EFD02FBCB2573E85E6 +:10312000F25021618280797106D62AC62EC4B24738 +:1031300081E77370043001A0B2473ECEEFD0EFBA02 +:10314000AA873ECCF247FC4F3ECAF2470947F8CF68 +:10315000F247BC4F13871700F247B8CF5247854755 +:10316000631DF708F2479C5781C77370043001A0B4 +:1031700097D701009387874A9C43A1EBF2479107B9 +:103180003E85EFD00FCDF247D85797D701009387F0 +:1031900027479C4363F9E700F247D85797D70100C8 +:1031A0009387074698C3F247D857BA878A07BA97D2 +:1031B0008A0717D701001307A7303E97F2479107F8 +:1031C000BE853A85EFD04FBE11A8F247E107BE8514 +:1031D00017D501001305453EEFD00FBDF247D85774 +:1031E00097D70100938787209C43DC5763F7E7005C +:1031F000A24781C7A247054798C3E2473E85EFD063 +:10320000CFAD0100B25045618280797106D62AC6E1 +:10321000B2473ECCE24799E797D701009387071D55 +:103220009C4311A0E2473ECC0134E247F84F894766 +:103230006318F700E24723AE070485473ECE11A08E +:1032400002CE113CF2473E85B250456182800111A9 +:1032500006CE02C61D2B97D701009387673F9C437C +:103260009DC38148014881470947814613060040B4 +:1032700097D50100938505DC170500001305051B94 +:10328000EFE0AF852AC6B24781E77370043001A032 +:10329000B2473E85F24005618280397106DE2ACE52 +:1032A0002ECC32CA36C83AC6E24799E302D62DA8D8 +:1032B0001305C002EF00302A2AD6B25795C7F12174 +:1032C000B257724798C3B257624798CFB257524726 +:1032D000D8CFB257424798D3B2573247D8D3B25714 +:1032E00091073E85EFD04FABE24781E77370043022 +:1032F00001A0B2573E85F25021618280397106DE0D +:103300002ACE2ECC32CA36C83AC602D6F24781E758 +:103310007370043001A097D70100938767339C43F3 +:10332000B5CBE2473ED0D2473ED2F2473ED46247C9 +:10333000954763C4E704EFF0AFF22A87894763102B +:10334000F70297D701009387A7309C431810814656 +:103350003246BA853E85EFD0AFE02AD625A897D76A +:1033600001009387E72E9C43181081460146BA85D9 +:103370003E85EFD0EFDE2AD631A897D7010093879C +:10338000272D9C43181081464246BA853E85EFD0D2 +:103390000FF32AD6B2573E85F25021618280011187 +:1033A0002AC6B2473ECEB24781E77370043001A00F +:1033B000F2479C433E8505618280797106D62AC614 +:1033C0002EC497D70100938727289C43DC47DC470E +:1033D0003ECEF24791073E85EFD0AFA7F247D84FD8 +:1033E0008547631BF702F247984FB247BA97B24638 +:1033F0002246BE857245B9222A878547631EF7009B +:1034000001478146324681457245CD3D2ACCE2478F +:1034100081E77370043001A0F247DC537245829754 +:103420000100B25045618280797106D62AC63C08F7 +:103430003E8541282ACEE247BE8572451920692281 +:10344000FDB7797106D62AC62EC4EFE06FF93C08A5 +:103450003E8545282ACEE247B9EFA24799EB32478D +:10346000F24763E8E700EFE06FF9F2453245B13724 +:10347000A9A0A24781CF97D701009387271D9C431E +:103480009C4393B7170093F7F70F3EC497D70100FB +:103490009387071C94433247F247B307F74022460D +:1034A000BE853685EFD0FFDDEFE04FF5AA8791E7C7 +:1034B000EFC0DFF519A0EFE06FF40100B2504561F5 +:1034C000828001112AC697D701009387E7179C4392 +:1034D0009C4393B7170093F7F70F3E87B24798C303 +:1034E000B2479C4391EB97D701009387E7159C4324 +:1034F000DC479C433ECE11A002CEF2473E850561DB +:103500008280797106D62AC6EFE01F842ACE97D72B +:103510000100938727149C4372476377F700692A59 +:10352000B247054798C321A0B24723A0070097D709 +:10353000010093872712724798C3F2473E85B25025 +:1035400045618280797106D62AC62EC432C236C041 +:1035500002CEB2472247D8C3B247324798CB224760 +:10356000924763E8E702124782471D8FB2479C4F9C +:103570006365F70085473ECE99A097D701009387F2 +:10358000E70C9843B2479107BE853A85EFD0CF85C7 +:103590003DA0124782476379F7002247824763655F +:1035A000F70085473ECE21A897D701009387C7092A +:1035B0009843B2479107BE853A85EFD0EF82F24734 +:1035C0003E85B25045618280797106D6D9A0A24766 +:1035D00063C0070CC2473ECEF247DC4B91C7F247AF +:1035E00091073E85EFD0EF865C003E85193F2ACCDF +:1035F000A2472547636FF7081397270097D7010065 +:103600009387C7A3BA97984397D70100938707A3D7 +:10361000BA9782873247F2479C4FBA973247BA86A9 +:103620006246BE857245393F2A8785476313F70690 +:10363000F247DC5372458297F247D84F8547631AA9 +:10364000F7043247F2479C4FBA97014781463E86BE +:103650008145724565312ACAD24785EF73700430BF +:1036600001A03247F24798CFF2479C4F81E7737031 +:10367000043001A0F247984FE247BA97E24662460B +:10368000BE857245C13531A07245EF00C07F11A0E3 +:10369000010097D701009387A7FB9C433800814620 +:1036A0000146BA853E85EFD06FD8AA87E39107F22D +:1036B0000100B25045618280797106D671A097D71A +:1036C0000100938767F89C43DC479C433ECC97D727 +:1036D0000100938767F79C43DC47DC473ECAD2472B +:1036E00091073E85EFC0FFF6D247DC5352458297E3 +:1036F000D247D84F85476319F704D2479C4F62479A +:10370000BA973EC84247E24763F4E702D2474247CE +:10371000D8C3D247524798CB97D701009387C7F2B7 +:103720009843D2479107BE853A85EFC0FFEB29A8A1 +:1037300001478146624681455245C9362AC6B2478D +:1037400081E77370043001A097D701009387C7EF1A +:103750009C439C43ADF797D701009387E7EE9C43CA +:103760003ECE97D70100938767EE984397D7010025 +:10377000938787ED98C397D70100938727ED72470A +:1037800098C30100B25045618280411106C6EFF036 +:10379000AFC997D701009387A7EB9C43C9E717D51B +:1037A00001001305E5E7EFC0FFDB17D501001305A6 +:1037B00065E8EFC03FDB97D701009387E7E817D7AD +:1037C00001001307E7E598C397D70100938707E83F +:1037D00017D70100130707E698C30146B145114505 +:1037E000EFC07FF72A8797D70100938767E698C3D2 +:1037F00097D701009387C7E59C4381E77370043036 +:1038000001A097D701009387A7E49C4389CF97D75E +:1038100001009387E7E39C4397D501009385858456 +:103820003E85EFD05F9CEFF00FC30100B240410135 +:103830008280797106D62AC6B2473ECEB24781E76A +:103840007370043001A0EFF02FBEF247DC4BB337AA +:10385000F00093F7F70F3ECCEFF0EFBFE2473E8565 +:10386000B25045618280797106D62AC6B2473ECEF3 +:10387000B24781E77370043001A0EFF0EFBAF2476E +:103880009C533ECCEFF02FBDE2473E85B2504561E0 +:103890008280797106D62AC62EC4B2473ECEB24780 +:1038A00081E77370043001A0EFF00FB8F2472247B0 +:1038B00098D3EFF04FBA0100B250456182800111F8 +:1038C00006CE714549292AC6B24789CBB24723A003 +:1038D0000700B24791073E85EFC0DFC8B2473E857B +:1038E000F24005618280397106DE2AC62EC432C2DA +:1038F00036C0B2473ED402D21247B70700FFF98F55 +:1039000081C77370043001A0924781E7737004305F +:1039100001A0EFF0EF94AA8799E3824799E38547E6 +:1039200011A0814781E77370043001A0EFE04FAB35 +:10393000A2579C433ED0A245324585240257A24758 +:103940005D8F9247F98F12476310F7020257A24723 +:10395000D98F3ED6A2579843924793C7F7FF7D8FE2 +:10396000A25798C302C025A0824799CFA257938639 +:1039700047001247B7070005D98F0246BE85368536 +:10398000EFE03F8902D621A0A2579C433ED6EFE04C +:10399000EFA62ACE8247B1CBF24799E3EFC01FA72B +:1039A000EFF06FAF2AD63257B7070002F98F85EBD9 +:1039B000EFF08FA7A2579C433ED632579247F98F1C +:1039C0001247631AF700A2579843924793C7F7FF2D +:1039D0007D8FA25798C3EFF00FA885473ED232578C +:1039E000B7070001FD17F98F3ED6B2573E85F2505A +:1039F000216182805D7186C62ACE2ECC32CA36C83D +:103A00003AC6F2473EDA02DC02D8F24781E7737029 +:103A1000043001A06247B70700FFF98F81C77370B8 +:103A2000043001A0E24781E77370043001A0EFF099 +:103A30002F83AA8799E3B24799E3854711A081476D +:103A400081E77370043001A0EFE08F99D2579C4357 +:103A50003ED64246E245325575242AD4A25799CF24 +:103A6000B2573EDE02C6D247A9CBD2579843E247AF +:103A700093C7F7FF7D8FD25798C381A0B24781E7E4 +:103A8000B2573EDE1DA8D24791C76257B707000163 +:103A9000D98F3EDCC24791C76257B7070004D98F60 +:103AA0003EDCD257938647006247E257D98F3246B1 +:103AB000BE853685EFE0EFF502DEEFE02F942AD2E7 +:103AC000B247B9CB925799E3EFC05F94EFF0AF9C48 +:103AD0002ADE7257B7070002F98F8DEBEFF0CF9413 +:103AE000D2579C433EDE4246E2457255212CAA87BE +:103AF00099CBD24789CBD2579843E24793C7F7FF78 +:103B00007D8FD25798C3EFF00F9502D87257B70741 +:103B10000001FD17F98F3EDEF2573E85B640616128 +:103B20008280797106D62AC62EC4B2473ECEB247ED +:103B300081E77370043001A02247B70700FFF98FB7 +:103B400081C77370043001A0EFF00F8EF2479C43E1 +:103B50003ECCF2479843A24793C7F7FF7D8FF247C9 +:103B600098C3EFF04F8FE2473E85B25045618280A7 +:103B7000797106D62AC6B2473ECEEFC01F97AA87F4 +:103B80003ECCF2479C433ECAE2473E85EFC0FF94DD +:103B9000D2473E85B250456182805D7186C62AC695 +:103BA0002EC402DCB2473ED802DAB24781E7737016 +:103BB000043001A02247B70700FFF98F81C7737057 +:103BC000043001A0C25791073ED6B257A1073ED498 +:103BD000EFE00F81B257DC473EDEC2579843A24761 +:103BE0005D8FC25798C3BDA8F257DC433ED2F2574F +:103BF0009C433ED002DA0257B70700FFF98F3ECE52 +:103C00000257B7070001FD17F98F3ED07247B7077B +:103C10000004F98F89EBC25798438257F98F89CFF7 +:103C200085473EDA11A8C25798438257F98F025749 +:103C30006314F70085473EDAD2579DC37247B70732 +:103C40000001F98F89C762578257D98F3EDCC2576E +:103C50009843B7070002D98FBE857255EFE00FF980 +:103C600092573EDE7257A257E310F7F8C2579843B7 +:103C7000E25793C7F7FF7D8FC25798C3EFD01FF865 +:103C8000C2579C433E85B64061618280797106D6F9 +:103C90002AC6B2473ECEF24791073ECCEFD05FF442 +:103CA0000DA0E247D847E247A1076315F7007370FC +:103CB000043001A0E247DC47B70500023E85EFE093 +:103CC000EFF2E2479C43F1FF7245752AEFD01FF3F4 +:103CD0000100B25045618280011106CE2AC62EC471 +:103CE000A24532455D3D0100F2400561828001112F +:103CF00006CE2AC62EC4A245324525350100F24023 +:103D00000561828001112AC62EC432C202CE9247BA +:103D100081EB3247A247F98F81CF85473ECE09A874 +:103D20003247A247F98F22476314F70085473ECEFA +:103D3000F2473E850561828001112AC6B2473ECC1A +:103D4000B24799E302CE21A0E2479C4F3ECEF24714 +:103D50003E8505618280397106DE2AC602D2EFD027 +:103D60003FE897670300938727909C4381EB552298 +:103D7000976703009387478F054798C3B24789CF5A +:103D8000A1473E87B247BA973EC6B2478D8B89C7D7 +:103D9000B247F19B91073EC6B247F9CB3247E56780 +:103DA000ED1763E7E70C976703009387E78A3ED434 +:103DB000976703009387478A9C433ED631A0B2574A +:103DC0003ED4B2579C433ED6B257DC43324763F5EC +:103DD000E700B2579C43E5F7325797670300938794 +:103DE00027886307F708A2579C432147BA973ED21A +:103DF000B2579843A25798C3B257D843B247B307B4 +:103E0000F740214706076378F7043257B247BA975D +:103E10003ECEB257D843B2471D8FF247D8C3B257F0 +:103E20003247D8C3F247DC433ECC97670300938701 +:103E3000A7823ED021A082579C433ED082579C430C +:103E4000DC436247E3E9E7FE82579843F24798C3B1 +:103E50008257724798C397C701009387675A984360 +:103E6000B257DC431D8F97C701009387675998C3EA +:103E7000EFD0DFD8925799E3EF00D03192573E85CB +:103E8000F25021618280797106D62AC6B2473ECCB3 +:103E9000B247BDC3A147B307F0406247BA973ECCD3 +:103EA000E2473ECAEFD0DFD3D247DC433EC8975744 +:103EB00003009387677A3ECE21A0F2479C433ECE13 +:103EC000F2479C43DC434247E3E9E7FEF24798436D +:103ED000D24798C3F247524798C3D247D84397C7AF +:103EE00001009387E7519C433E9797C70100938752 +:103EF000275198C3EFD09FD00100B2504561828016 +:103F000097C701009387C74F9C433E85828001007D +:103F10008280411197C7010093874774F19B3EC689 +:103F20009757030093874773324798C39757030007 +:103F30009387877223A207009757030093874772DE +:103F400065677117D8C3975703009387677123A0DC +:103F50000700B2473EC4A24765677117D8C3A2479E +:103F6000175703001307C76F98C3010041018280F0 +:103F700001112AC62EC432C2A2473ECEB2473ECC61 +:103F80003247A247D98F8D8BA1E319A8F2479843F6 +:103F9000E24798C3E24791073ECCF24791073ECEF5 +:103FA0009247F5173247BA976247E361F7FE29A8AF +:103FB0007247930717003ECEE2479386170036CC30 +:103FC000034707002380E70032479247BA976247CA +:103FD000E360F7FEB2473E850561828001112AC683 +:103FE0002EC432C232479247D98F8D8B9DEFA247A4 +:103FF00093F7F70F3ECAD247A2075247D98F3ECA5E +:10400000D247C2075247D98F3ECAB2473ECE39A0E7 +:10401000F247138747003ACE524798C33247924738 +:10402000BA977247E366F7FE1DA0B2473ECC11A8CF +:10403000E247138717003ACC22471377F70F238004 +:10404000E70032479247BA976247E363F7FEB24709 +:104050003E850561828001112AC6B2473ECE21A06D +:10406000F24785073ECEF24783C70700F5FB72474C +:10407000B247B307F7403E850561828001112AC629 +:104080002EC4B247138717003AC683C70700A30F91 +:10409000F100A247138717003AC483C70700230F14 +:1040A000F1008347F10199C70347F1018347E1011B +:1040B000E309F7FC0347F1018347E101B307F74048 +:1040C0003E850561828001112AC62EC4B2473ECECC +:1040D00001002247930717003EC4F247938617005A +:1040E00036CE034707002380E70083C70700F5F3B8 +:1040F000B2473E850561828001112AC602CE02CCFC +:1041000021A0B24785073EC6B24703C70700930701 +:104110000002E308F7FEB24703C707009307D00287 +:104120006309F700B24703C707009307B0026311A2 +:10413000F704B24783C70700938737FD93B717008B +:1041400093F7F70F3ECCB24785073EC615A07247DE +:10415000BA878A07BA9786073ECEB24713871700F9 +:104160003AC683C70700938707FD7247BA973ECECA +:10417000B24783C70700E1FFE24789C7F247B307A9 +:10418000F04011A0F2473E850561828001112AC6E8 +:10419000975703009387074F9C4393861700175741 +:1041A00003001307274E14C332471377F70F9756B0 +:1041B000030093862651B6972380E7003247A9472C +:1041C000630BF700975703009387C74B98439307F8 +:1041D00000046315F704975703009387A74E3ECE5C +:1041E00005A8F247138717003ACE03C70700B707A1 +:1041F00010F0938707F098C3975703009387874879 +:104200009C431387F7FF975703009387A74798C3EB +:1042100097570300938707479C43E1F781473E8503 +:10422000056182804D7123261114232481142322D9 +:10423000911423202115232E3113232C4113232ADB +:1042400051132ACE2ECC32C836CA3AC63EC442C218 +:1042500023260112B2473E8A814AC24752485286FB +:10426000D6863E85C285EF10E020AA872E883E863E +:10427000C2868327C112138717002326E1123287D3 +:104280008A07141AB69723AEE7EEB2473E848144FC +:10429000D247268763E8E702D24726876396E7007E +:1042A000C247228763E0E702B2473E8981494A86D6 +:1042B000CE864245D245EF00303EAA872E883EC8C2 +:1042C00042CA49BF010029A0F247E2451245829740 +:1042D000A2471387F7FF3AC40327C112E346F7FE4C +:1042E00025A88327C1128A07181ABA9703A7C7EF10 +:1042F0008327C1128A07141AB69783A6C7EFA5476A +:1043000063F5D7009307700519A093070003BA97C8 +:104310007247E2453E8502978327C1121387F7FF54 +:104320002326E112E34FF0FA01008320C114032495 +:10433000811483244114032901148329C113032AFE +:104340008113832A41137161828041112AC62EC4D0 +:10435000A2460547635ED700B2479C439D07E19B99 +:1043600093868700324714C303A847009C4335A0B7 +:10437000224719CB3247184313064700B24690C272 +:104380001843BA87014811A832471843130647005B +:10439000B24690C21843BA8701483E85C2854101A2 +:1043A000828041112AC62EC4A2460547635ED7000B +:1043B000B2479C439D07E19B93868700324714C315 +:1043C00003A847009C4305A8224701CF3247184362 +:1043D00013064700B24690C21843BA877D873A88D1 +:1043E00019A83247184313064700B24690C2184333 +:1043F000BA877D873A883E85C285410182803971BE +:1044000006DE22DC26DA2AC62EC432C236C011A845 +:1044100063070424924785073EC2B247A24522851E +:104420008297924783C707003E8493075002E311A7 +:10443000F4FE924785073EC292473EC893070002AA +:10444000A30BF100FD573ECEFD573ECC02D0924764 +:10445000138717003AC283C707003E849307D4FD31 +:10446000130750056364F71E1397270097C70100D1 +:10447000938747C0BA97984397C70100938787BF30 +:10448000BA9782879307D002A30BF100C9B79307AD +:104490000003A30BF10065BF02CC6247BA878A070D +:1044A000BA978607A297938707FD3ECC924783C7AA +:1044B00007003E849307F00263D6870293079003B8 +:1044C00063C28702924785073EC2C1BF82471387F6 +:1044D00047003AC09C433ECC39A0F247E3D907F6E7 +:1044E00002CEB5B70100F247E3D307F6E2473ECE6E +:1044F000FD573ECCA9BF825785073ED089BF824772 +:10450000138747003AC09C433247A2453E85029735 +:10451000B1A28247138747003AC0844389E497C415 +:1045200001009384A4B4F2476357F0040347710178 +:104530009307D0026301F704E247BE852685EF00AA +:1045400070132A87F247998F3ECE11A883477101D5 +:104550003247A2453E850297F247FD173ECEF2470D +:10456000E346F0FE09A8B247A2452285829785045A +:10457000F247FD173ECE83C704003E841DC0E247CC +:10458000E3C307FEE247FD173ECCE247E3DD07FC4D +:1045900009A8B247A245130500028297F247FD170A +:1045A0003ECEF247E347F0FE55A88A8782553E8506 +:1045B000CD3BAA872E883ED442D6A2573258C28716 +:1045C00063D90702B247A2451305D0028297A255CC +:1045D000325681470148B386B740368533B5A700C8 +:1045E0003307C840B307A7403E87B6873A883ED412 +:1045F00042D6A9473ED21DA8A9473ED215A0A14741 +:104600003ED239A885473ED0B247A24513050003E4 +:104610008297B247A245130580078297C1473ED2D1 +:104620008A8782553E8515332AD42ED61257834762 +:1046300071013E88F2472256B256A2453245DD3618 +:1046400031A8B247A2452285829709A8B247A24560 +:10465000130550028297C2473EC20100D9B3010040 +:10466000F2506254D2542161828041112AC6B707A8 +:1046700010F0938707F2324798C301A05D7106D608 +:104680002AC62EDA32DC36DEBAC0BEC2C2C4C6C604 +:104690009C0891173ECEF247BE86324681451705EB +:1046A00000001305E5AEA13B81473E85B250616134 +:1046B000828001112AC62EC41EC2A2473ECEF247F6 +:1046C0009C4332471377F70F2380E700F2479C4360 +:1046D00013871700F24798C30100056182801D719E +:1046E00006DE2AC62EC4B2C4B6C6BAC8BECAC2CC7A +:1046F000C6CE9C103ED25C084C081706000013067C +:1047000086FB7D779346F7FF0565130505803388A3 +:10471000A5003378E8001368783B23A007013295A1 +:10472000698F13677733D8C333F7D50093154701E3 +:1047300037870300130737394D8F98C73377D60073 +:10474000931647013707030013077706558FD8C71D +:104750000F100000B2473ED69C10A1173ED422573E +:104760005C083E857C00BA862246BE854939B24740 +:1047700023800700B2473E87B257B307F7403E8514 +:10478000F250256182804111370510F0130545F480 +:1047900008412AC6370510F0130505F408412AC45C +:1047A000370510F0130545F40841B248E39EA8FC14 +:1047B00032452A8381431317030081462245AA8587 +:1047C0000146B3E7B6003368C700370710F013059A +:1047D00087F48565938505FA0146B386B700B688E8 +:1047E000B3B8F8003307C800B387E8003E87B68740 +:1047F0003A881CC123220501010041018280411138 +:1048000006C651379307000873A047300100B24035 +:1048100041018280011106CE2AC617C50100130589 +:10482000E59AEFF0BFE50100F240056182800111D9 +:1048300006CE2AC617C501001305C599EFF01FE47F +:104840000100F24005618280797106D62AC602CE47 +:1048500029A00100F24785073ECE724785679387FE +:104860007738E3D8E7FE17C5010013052597EFF069 +:10487000FFE0F1BF411106C60D2A0100B24041011F +:104880008280011106CE02C6312B2A878547630C30 +:10489000F70017C501001305E594EFF03FDEB247BE +:1048A00093E727003EC6B2473E85F240056182800D +:1048B000011106CE02C67D3F1707000013076704EB +:1048C000814605469305400617C50100130545932B +:1048D000EFE0BF9C2AC6B24799CBEFD0EFC6AA87BC +:1048E000014781463E8685453245EFE03FA102C43F +:1048F000EFD02FA581473E85F24005618280797116 +:1049000006D62AC697C70100938787AE9C431387B4 +:10491000470697C701009387A7AD98C397C70100C3 +:10492000938747AD9C431387470697C7010093873A +:1049300067AC98C397C70100938787AB98439307E9 +:10494000F07C63D3E70497C70100938767AA9C4371 +:104950001387078397C70100938787A998C31537E3 +:104960002ACEF24799EB97C701009387A7A89843EF +:1049700085679387F77663DBE700F2473E85EFF0C4 +:10498000DFCEEFD0EFA321A0010011A00100B250B3 +:10499000456182807370043001A001008280411162 +:1049A0002AC62EC47370043001A0411106C61145F9 +:1049B000EFB03FE32A87975703009387A7D498C3A4 +:1049C00097570300938707D49C4397C501009385AD +:1049D000E5833E85EFC03F8197570300938787D2D9 +:1049E0009C43B5CB8148014897570300938747D331 +:1049F000094781461306004097C50100938505824B +:104A0000170500001305E505EFC03F8D81480148FB +:104A100097570300938707D1054781461306004047 +:104A200097C50100938505801705000013056513E0 +:104A3000EFC0BF8A8148014881470147814613067C +:104A4000004097B501009385657E170500001305AA +:104A5000451EEFC09F880100B240410182807971FC +:104A600006D62AC6975703009387C7C99C433E853D +:104A7000EFB01FDF2A8785476318F70097570300B9 +:104A8000938787C8054798C302CE15A89757030098 +:104A9000938747C79C43A1453E85EFB0BFE12A8776 +:104AA00085476308F700975703009387E7C50547D5 +:104AB00098C35145EFC09FB5F24785073ECE724778 +:104AC000A547E3F5E7FC02CE0DA85145EFC01FB4A2 +:104AD00097570300938707C39C433E85EFB05FD889 +:104AE0002A8785476308F700975703009387C7C154 +:104AF000054798C3F24785073ECE7247A547E3F6C0 +:104B0000E7FC975703009387E7BF9C433E85EFB0D0 +:104B10003FD52A8785476318F70097570300938787 +:104B2000A7BE054798C3975703009387A7BE9C432A +:104B300013871700975703009387C7BD98C39757EC +:104B400003009387A7BC054798C30145EFC0DFD694 +:104B500097570300938787BB23A0070021B7011154 +:104B600006CE2AC6975703009387C7B99C43F955C9 +:104B70003E85EFB03FD42A8785476313F7069757E2 +:104B800003009387A7B8984385476309F7009757B1 +:104B90000300938767B7054798C389A89757030011 +:104BA000938747B69C433E85EFB09FCB2A878547C6 +:104BB0006308F70097570300938707B5054798C325 +:104BC000975703009387C7B4054798C30145EFC0C3 +:104BD000BFCE975703009387A7B323A0070039A040 +:104BE00097570300938747B2054798C39757030029 +:104BF000938787B29C4313871700975703009387C7 +:104C000067B19C436308F700975703009387C7AFCA +:104C1000054798C397570300938707B09C431387B2 +:104C2000170097570300938727AF98C325BF01113B +:104C300006CE2AC6975703009387C7AC9C4381458D +:104C40003E85EFB03FC72A878547E315F7FE9757A4 +:104C500003009387E7AC9C433E85EFC0FFA02A8703 +:104C60008D476305F7007370043001A09757030068 +:104C7000938747AB9C433E85EFC01F9F2A878D4794 +:104C80006305F7007370043001A097570300938702 +:104C900027A898438547631AF7009757030093871F +:104CA000E7A6984385476309F70097570300938762 +:104CB000A7A5054798C3F5A097570300938707A6B4 +:104CC0009C431387170097570300938727A598C322 +:104CD00097570300938707A59C433E85EFC0FFCFFE +:104CE000975703009387C7A39C433E85EFC0FFCE31 +:104CF000975703009387C7A198438547630AF70036 +:104D000097570300938787A0984385476318F70058 +:104D1000975703009387479F054798C30145EFC006 +:104D20009F9E2A8789476305F7007370043001A0AE +:104D3000975703009387C79E9C433E85EFC0DF9241 +:104D40002A8789476305F7007370043001A09757DD +:104D500003009387279D9C433E85EFC0FF902A87E1 +:104D600089476305F7007370043001A0975703006B +:104D7000938747999C433E85EFB09FAE2A8785472E +:104D80006308F7009757030093870798054798C370 +:104D90000145EFC05F97AA87E38E07E87370043080 +:104DA00001A049BD4111975703009387E7979843A6 +:104DB00097570300938707969C436319F70097570B +:104DC000030093876794054798C319A89757030072 +:104DD000938747949843975703009387E79498C322 +:104DE00097570300938787949843975703009387B7 +:104DF000A7929C436319F700975703009387C790C6 +:104E0000054798C319A8975703009387E7909843DD +:104E1000975703009387879198C397570300938709 +:104E200027919843975703009387478F9C436319B3 +:104E3000F700975703009387278D054798C319A854 +:104E4000975703009387878D98439757030093875D +:104E5000278E98C3975703009387078B98438547FE +:104E60006314F70002C619A085473EC6B2473E85C7 +:104E700041018280B305B500930705006386B70042 +:104E800003C70700631607003385A740678000004B +:104E9000938717006FF09FFE130101FD23229102FB +:104EA000232A5101232611022324810223202103D6 +:104EB000232E3101232C4101232861012326710176 +:104EC00023248101232291012320A101930A0500BB +:104ED00093840500639E06381304060093090500B9 +:104EE00017B901001309093563F8C512B7070100A6 +:104EF000138B05006378F6101307F00F3337C700E4 +:104F000013173700B357E6003309F9008346090049 +:104F10003387E60093060002B386E640638C060002 +:104F2000B394D40033D7EA003314D600336B970020 +:104F3000B399DA00935A040193850A0013050B0014 +:104F4000EF00902A1309050093850A00931B0401C2 +:104F500013050B00EF00D02493DB0B019304050035 +:104F60009305050013850B00EF00D02013190901EC +:104F700093D70901B367F900138A040063FEA70001 +:104F8000B3878700138AF4FF63E8870063F6A700FE +:104F9000138AE4FFB3878700B384A74093850A0090 +:104FA00013850400EF0050241309050093850A00BF +:104FB00013850400EF00D01E9399090193040500A6 +:104FC000930505001319090113850B0093D90901F5 +:104FD000EF00501AB36939011386040063FCA9007D +:104FE000B30934011386F4FF63E6890063F4A90072 +:104FF0001386E4FF13140A013364C400130A00008B +:105000006F000013B707000113070001E36CF6EE11 +:10501000130780016FF01FEF138A0600631A060062 +:105020009305000013051000EF009017130405000E +:10503000B7070100637EF4129307F00F63F4870053 +:10504000130A8000B35744013309F90003470900EC +:105050009306000233074701B386E64063940612C5 +:10506000B3848440130A1000135B040193050B0002 +:1050700013850400EF0050171309050093050B007A +:1050800013850400931B0401EF00901193DB0B01C7 +:10509000930405009305050013850B00EF00900DA8 +:1050A0001319090193D70901B367F900938A040022 +:1050B00063FEA700B3878700938AF4FF63E8870045 +:1050C00063F6A700938AE4FFB3878700B384A74001 +:1050D00093050B0013850400EF0010111309050060 +:1050E00093050B0013850400EF00900B93990901C1 +:1050F00093040500930505001319090113850B009E +:1051000093D90901EF001007B36939011386040030 +:1051100063FCA900B30934011386F4FF63E6890038 +:1051200063F4A9001386E4FF13940A013364C400F6 +:105130001305040093050A008320C10203248102A1 +:1051400083244102032901028329C101032A810129 +:10515000832A4101032B0101832BC100032C810011 +:10516000832C4100032D010013010103678000001F +:10517000B7070001130A0001E366F4EC130A80018B +:105180006FF05FEC3314D40033DAE400B399DA0043 +:1051900033D7EA00935A0401B394D40093850A00EC +:1051A00013050A00336B9700EF0010041309050084 +:1051B00093850A0013050A00931B0401EF00407E4B +:1051C00093DB0B01930405009305050013850B0089 +:1051D000EF00407A1319090113570B013367E900F7 +:1051E000138A0400637EA70033078700138AF4FF45 +:1051F000636887006376A700138AE4FF330787009C +:10520000B304A74093850A0013850400EF00C07D16 +:105210001309050093850A0013850400EF00407808 +:10522000930405009305050013850B00EF008074BF +:1052300013170B011357070113190901B367E9008D +:105240001387040063FEA700B38787001387F4FF6A +:1052500063E8870063F6A7001387E4FFB38787003E +:10526000131A0A01B384A740336AEA006FF0DFDF44 +:1052700063ECD51EB707010063F4F6041307F00FC3 +:10528000B335D7009395350033D7B60097B70100F3 +:10529000938747FAB387E70003C70700130A0002A2 +:1052A0003307B700330AEA4063160A0213041000FA +:1052B000E3E096E833B6CA00134416006FF05FE7E8 +:1052C000B707000193050001E3E0F6FC93058001B8 +:1052D0006FF09FFBB35CE600B3964601B3ECDC00D5 +:1052E00033D4E40093DB0C01B397440133D7EA00D5 +:1052F00093850B0013050400336BF700B3194601C7 +:10530000EF00806E1309050093850B001305040060 +:10531000139C0C01EF00C068135C0C0193040500A2 +:105320009305050013050C00EF00C0641319090173 +:1053300013570B013367E90013840400637EA70051 +:10534000330797011384F4FF636897016376A7001E +:105350001384E4FF33079701B304A74093850B0040 +:1053600013850400EF0040681309050093850B00C6 +:1053700013850400EF00C062930405009305050047 +:1053800013050C00EF00005F93170B0113190901BF +:1053900093D70701B367F9001386040063FEA700E3 +:1053A000B38797011386F4FF63E8970163F6A700BC +:1053B0001386E4FFB387970113140401B70B0100B0 +:1053C0003364C4001389FBFF337D240133F92901C1 +:1053D000B384A7409305090013050D00EF00805921 +:1053E000935C040193050900130B050013850C0061 +:1053F000EF00405893D90901130C0500938509006B +:1054000013850C00EF000057130905009385090070 +:1054100013050D00EF0000563305850193570B016E +:105420003385A7006374850133097901935705011A +:10543000B387270163E6F402E392F4BCB7070100E7 +:105440009387F7FF3375F50013150501337BFB00D8 +:1054500033964A0133056501130A0000E37AA6CCAE +:105460001304F4FF6FF09FB9130A00001304000047 +:105470006FF01FCC130101FB23248104232291042C +:10548000232E3103232291032326110423202105F7 +:10549000232C4103232A510323286103232671036C +:1054A000232481032320A103232EB101930C0500A3 +:1054B000938905001304050093840500639E062666 +:1054C00013090600138A060097BA0100938A8AD648 +:1054D00063F4C514B70701006376F6129307F00F63 +:1054E00063F4C700130A8000B3574601B38AFA0079 +:1054F00003C70A001305000233074701330AE540DA +:10550000630C0A00B395490133D7EC003319460107 +:10551000B364B70033944C01935A090193850A0090 +:1055200013850400EF00404C9309050093850A00A1 +:10553000131B090113850400EF008046135B0B0168 +:105540009305050013050B00EF00C0429399090174 +:1055500093570401B3E7F90063FAA700B387270163 +:1055600063E6270163F4A700B3872701B384A7404C +:1055700093850A0013850400EF0000479309050096 +:1055800093850A0013850400EF0080411314040181 +:10559000930505009399090113050B0013540401A9 +:1055A000EF00403D33E48900637AA4003304240112 +:1055B000636624016374A400330424013304A4400B +:1055C00033554401930500008320C1040324810462 +:1055D00083244104032901048329C103032A81038D +:1055E000832A4103032B0103832BC102032C810275 +:1055F000832C4102032D0102832DC10113010105FA +:1056000067800000B7070001130A0001E36EF6ECA3 +:10561000130A80016FF05FED631A06009305000026 +:1056200013051000EF00C03713090500B70701008C +:10563000637AF90E9307F00F63F42701130A8000D1 +:10564000B3574901B38AFA0003C70A0013050002E1 +:10565000B384294133074701330AE540E30E0AEAE0 +:1056600033194901B3DAE900B395490133D7EC00A6 +:1056700093540901336BB70013850A009385040026 +:10568000EF0080369309050093850400931B090100 +:1056900013850A00EF00C03093DB0B019305050072 +:1056A00013850B00EF00002D9399090193570B010F +:1056B000B3E7F90033944C0163FAA700B3872701DD +:1056C00063E6270163F4A700B3872701B38AA740E5 +:1056D0009385040013850A00EF000031930905004B +:1056E0009385040013850A00EF00802B93050500C5 +:1056F00013850B00EF00002893150B019399090106 +:1057000093D50501B3E5B90063FAA500B38525017A +:1057100063E6250163F4A500B3852501B384A540A4 +:105720006FF09FDFB7070001130A0001E36AF9F089 +:10573000130A80016FF0DFF0E3E8D5E8B707010056 +:1057400063FCF604930BF00F33B5DB001315350043 +:1057500033D7A60097B701009387C7ADB387E7009B +:1057600083CB070093050002B38BAB00338B7541ED +:10577000631E0B0263E4360163EACC003384CC4041 +:10578000B386D94033B58C00B384A640130504001A +:10579000938504006FF05FE3B70700011305000174 +:1057A000E3E8F6FA130580016FF09FFAB3966601FD +:1057B000335D7601336DDD0033D47901B395690132 +:1057C00033DC7C0193540D01336CBC0013050400E1 +:1057D00093850400B31A6601EF000021130A050047 +:1057E000938504001305040033996C01931C0D018B +:1057F000EF00001B93DC0C0113040500930505006A +:1058000013850C00EF000017131A0A0113570C013F +:105810003367EA00130A0400637EA7003307A70179 +:10582000130AF4FF6368A7016376A700130AE4FF75 +:105830003307A701B309A740938504001385090026 +:10584000EF00801A938504001304050013850900F6 +:10585000EF000015930505009304050013850C0067 +:10586000EF00401193150C011314040193D50501A9 +:10587000B365B4001387040063FEA500B385A501DA +:105880001387F4FF63E8A50163F6A5001387E4FF1F +:10589000B385A501131A0A01B70C0100336AEA00A7 +:1058A0001384FCFFB3778A0033F48A00B384A540E5 +:1058B00013850700930504002326F100135A0A01FB +:1058C000EF00400B930905009305040013050A003F +:1058D000EF00400A13DC0A01930D050093050C004C +:1058E00013050A00EF0000098327C100130A050011 +:1058F00093050C0013850700EF00C0073305B501C1 +:1059000013D709013307A7006374B701330A9A015B +:10591000B70701009387F7FF935507013377F70027 +:1059200013170701B3F7F900B3854501B307F70073 +:1059300063E6B400639EB400637CF900338657418C +:10594000B3B7C700B385A541B385F54093070600FB +:10595000B307F9403339F900B385B440B385254125 +:1059600033947501B3D767013365F400B3D565018E +:105970006FF09FC5130605001305000093F6150090 +:10598000638406003305C50093D515001316160071 +:10599000E39605FE678000006340050663C60506C2 +:1059A00013860500930505001305F0FF630C06023E +:1059B00093061000637AB6006358C00013161600F1 +:1059C00093961600E36AB6FE1305000063E6C50071 +:1059D000B385C5403365D50093D61600135616001F +:1059E000E39606FE6780000093820000EFF05FFB05 +:1059F00013850500678002003305A04063D80500C9 +:105A0000B305B0406FF0DFF9B305B04093820000FA +:105A1000EFF01FF93305A040678002009382000079 +:105A200063CA0500634C0500EFF09FF7138505007E +:105A300067800200B305B040E35805FE3305A0407F +:0C5A4000EFF01FF63305B0406780020055 +:02000004800278 +:1000000049444C4500000000482FFEFF102FFEFF22 +:100010001E2FFEFF2C2FFEFF342FFEFF5830FEFF59 +:100020002030FEFF2E30FEFF3C30FEFF4430FEFF4E +:10003000546D722053766300DC35FEFFDC35FEFF25 +:10004000DC35FEFF5A36FEFF2A36FEFF5036FEFF35 +:10005000DC35FEFFDC35FEFF5A36FEFF2A36FEFF9A +:10006000546D725100000000286E756C6C29000000 +:10007000DE43FEFFDC45FEFFD245FEFFDC45FEFF12 +:10008000DC45FEFFDC45FEFFDC45FEFF5C44FEFF79 +:10009000DC45FEFFDC45FEFF1444FEFF6A44FEFF24 +:1000A000DC45FEFF1E44FEFF2844FEFF2844FEFF01 +:1000B0002844FEFF2844FEFF2844FEFF2844FEFF9C +:1000C0002844FEFF2844FEFF2844FEFFDC45FEFFD7 +:1000D000DC45FEFFDC45FEFFDC45FEFFDC45FEFFA8 +:1000E000DC45FEFFDC45FEFFDC45FEFFDC45FEFF98 +:1000F000DC45FEFFDC45FEFFDC45FEFFDC45FEFF88 +:10010000DC45FEFFDC45FEFFDC45FEFFDC45FEFF77 +:10011000DC45FEFFDC45FEFFDC45FEFFDC45FEFF67 +:10012000DC45FEFFDC45FEFFDC45FEFFDC45FEFF57 +:10013000DC45FEFFDC45FEFFDC45FEFFDC45FEFF47 +:10014000DC45FEFFDC45FEFFDC45FEFFDC45FEFF37 +:10015000DC45FEFFDC45FEFFDC45FEFFDC45FEFF27 +:10016000DC45FEFFDC45FEFFDC45FEFFDC45FEFF17 +:100170008E44FEFF3A45FEFFDC45FEFFDC45FEFFF8 +:10018000DC45FEFFDC45FEFFDC45FEFFDC45FEFFF7 +:10019000DC45FEFF8644FEFFDC45FEFFDC45FEFF3E +:1001A0008E45FEFF9445FEFFDC45FEFFDC45FEFF6D +:1001B000A244FEFFDC45FEFF8845FEFFDC45FEFF56 +:1001C000DC45FEFFAC45FEFF6D69616F750A0000FE +:1001D0006D69616F75320A0068756E6772790A0021 +:1001E0004572726F7220696E207265636D757465F9 +:1001F00078207461736B73200D0A00004368656397 +:100200006B54696D6572000052656375727369762F +:10021000655F4D75746578005265633100000000BC +:100220005265633200000000526563330000000035 +:10023000000102020303030304040404040404048D +:10024000050505050505050505050505050505055E +:10025000060606060606060606060606060606063E +:10026000060606060606060606060606060606062E +:10027000070707070707070707070707070707070E +:1002800007070707070707070707070707070707FE +:1002900007070707070707070707070707070707EE +:1002A00007070707070707070707070707070707DE +:1002B00008080808080808080808080808080808BE +:1002C00008080808080808080808080808080808AE +:1002D000080808080808080808080808080808089E +:1002E000080808080808080808080808080808088E +:1002F000080808080808080808080808080808087E +:10030000080808080808080808080808080808086D +:10031000080808080808080808080808080808085D +:10032000080808080808080808080808080808084D +:100330001000000000000000017A5200017C010161 +:100340001B0D02004C000000180000004C4BFEFF8B +:10035000DC05000000440E30708903950781018898 +:100360000292049305940696089709980A990B9AA5 +:100370000C0370020AC144C844C944D244D344D4D3 +:1003800044D544D644D744D844D944DA440E004432 +:100390000B0000005000000068000000D850FEFF75 +:1003A0000005000000440E507488028903930599EB +:1003B0000B810192049406950796089709980A9A6A +:1003C0000C9B0D0320010AC144C844C944D244D344 +:1003D00044D444D544D644D744D844D944DA44DB41 +:0803E000440E00440B00000074 +:1003F400AAAAAAAA08000000FC8F010009000000B4 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/recmutex_rv32ic_O3.hex b/VexRiscv/src/test/resources/freertos/recmutex_rv32ic_O3.hex new file mode 100644 index 0000000..b9da8f7 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/recmutex_rv32ic_O3.hex @@ -0,0 +1,1712 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000A1D4170502001305E55697950300938533 +:1000A000257E0146112217050200130565499705B3 +:1000B0000200938525520146C52817A503001305A4 +:1000C000657C97B503009385A57B37B6ABAB13066C +:1000D000B6BAD92817B103001301C17AEF60E04323 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2023423A02200F32210348A +:1002400096DEEF00002B170102000321A1320241CC +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E23523A0120023A29D +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40502717010200032138 +:1003300061240241F65273901234B7220000938276 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A22783A0A4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2021223A0220086DEEF2070 +:10046000602E170102000321E1100241F652739041 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF407007EF10900A01E5B7 +:10050000B24041018280B24041016F20A023930795 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30B0207E +:1005B0008280411122C406C62A8426C2EF2030124E +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF2040586DD5EF2020783C44FD173C +:1005F0003CC43C44E344F0FEFD573CC4EF20701083 +:10060000EF20F00D7C40635BF0021C4885CB930427 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2000546DD5EF20E0735C +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20B00B29CD0111E8 +:1006500022CC2A8406CE26CA4AC84EC62E89EF204E +:10066000100824404C5C832904002685EF50904CF0 +:100670007D57232C0402B3079540CE974E9578C042 +:1006800048C0232434015CC438C4631109021C48E7 +:100690008DE7EF201007F2406244D2444249B2494C +:1006A0000545056182807370043001A013050401C3 +:1006B000B93D13054402A13DE9BF13050401EF2034 +:1006C000804A8547E317F5FC9133E1B701E5737084 +:1006D000043001A0011126CA4AC84EC606CE22CC5B +:1006E000AA842E89B289A5C9EF50D04413055505B7 +:1006F000EF3020742A8435C5930745051CC144DCBE +:1007000023202405EF20A07D24404C5C0329040015 +:100710002685EF503042FD56232C0402B307954046 +:100720003307A900CA9774C058C05CC434C4130509 +:10073000040123242401E13B13054402C93BEF20BB +:10074000407C230834052285F2406244D244424969 +:10075000B2490561828013054005EF30806D2A841F +:1007600001E57370043001A000C051BF01E5737052 +:10077000043001A06375B5007370043001A041110D +:1007800022C409462E84814506C6893711C500DD7D +:10079000B2402244410182807370043001A05D7137 +:1007A00086C6A2C4A6C2CAC04EDE52DC56DA5AD8E9 +:1007B0005ED632C6630A051C6382051C89476399AD +:1007C000F600585D85476305F7007370043001A09B +:1007D0003689AE842A84EF20C05B11E5B24781C719 +:1007E0007370043001A0EF20806F185C5C5C014BDB +:1007F000894BFD59130A0401930A44026365F70CFF +:1008000063077919B2476381072463150B00280831 +:10081000EF208048EF20E06EEF10604FEF20206C5B +:100820007C4063943701232204043C4463943701E1 +:1008300023240404EF20E06C6C002808EF20E0473C +:10084000631E051EEF20A069185C5C5C630CF70A50 +:10085000EF20206BEF20A0683C44634FF00039A8E4 +:100860005685EF20403019C1EF2020503C44FD1741 +:100870003CC43C446354F0005C50FDF32324340535 +:10088000EF202068EF20A0657C40634FF00039A87E +:100890005285EF20402D19C1EF20204D7C40FD17DF +:1008A0007CC07C406354F0001C48FDF323223405D7 +:1008B000EF202065EF10A06A054BEF204062185C26 +:1008C0005C5CE37FF7F230406305060C631F09129E +:1008D0000844A685EF30206E1C4434405840B6973B +:1008E0001CC463EAE70A1C5C14405850850714C412 +:1008F0001CDC5DC713054402EF20E0268547631129 +:10090000F50AC9A8EF20E05FB2455285EF206007E5 +:10091000EF20E05C3C44634FF00039A85685EF209F +:10092000802419C1EF2060443C44FD173CC43C4482 +:100930006354F0005C50FDF323243405EF20605C29 +:10094000EF20E0597C40634FF00039A85285EF203A +:10095000802119C1EF2060417C40FD177CC07C40A4 +:100960006354F0001C48FDF323223405EF20605946 +:10097000EF10E05E31F1593C054B81B73C41E38F0C +:1009800007E27370043001A07370043001A030409E +:1009900021E61C4085C71C5C585085071CDC39FBD0 +:1009A000EF2020560545B640264496440649F259A4 +:1009B000625AD25A425BB25B616182804840EF204A +:1009C00060491C5C58502322040085071CDCE3139B +:1009D00007F279D5253CE9B74844A685EF30A05DFC +:1009E0003C4058441440B307F0403E9758C4637CE1 +:1009F000D7025840BA975CC409475C506306E902C5 +:100A0000185C050718DCC9DFF5B54844A685EF304A +:100A1000805A3C4058441440B307F0403E9758C4B5 +:100A2000E37CD7FCF9B75C50185C79DB185C7D1768 +:100A300018DC185C050718DCA5D76DBD2285953E2E +:100A4000EF10E051014585B7EF20A04B0145A1BF54 +:100A5000411126C2AA841305400522C406C6EF3000 +:100A6000403D2A8415CD232C0502FD577CC1054746 +:100A7000410558DC3CC42322040023200400232425 +:100A80000400232604002320040423089404413492 +:100A900013054402AD3C8146014681452285013360 +:100AA0002285B24022449244410182800DC5411109 +:100AB00022C426C206C64AC0032945002A84EF2064 +:100AC000802C8144630CA900B2402244268502494F +:100AD0009244410182807370043001A05C448544DB +:100AE000FD175CC4F5F381460146814522854539F1 +:100AF000E1BF31CDA1C9011122CC26CA4AC852C4D6 +:100B000006CE4EC68947B68432892E8A2A846399D6 +:100B1000F600585D8547630FF7047370043001A039 +:100B20006D32185C5C5CAA896366F70281444E856D +:100B3000513AF240624426854249D244B249224A9F +:100B4000056182803C41C5DB7370043001A0737085 +:100B5000043001A0304041E21C40D5CB1C5C7D57E5 +:100B600085071CDC3C446387E7083C4485448507D3 +:100B70003CC475BF993A185C5C5CAA89636DF70246 +:100B8000304079DA4844D285EF30E0423C40584466 +:100B90001440B307F0403E9758C46375D7005840DF +:100BA000BA975CC48947E39BF4FA1C5CC5DB1C5C08 +:100BB000FD171CDC65B7304045D24844D285EF3084 +:100BC000803F3C4058441440B307F0403E9758C41F +:100BD000E367D7FCD9BFDDF40844D285EF30A03DF0 +:100BE0001C4434405840B6971CC4E3E9E7F61C4067 +:100BF0001CC4ADB75C5085449DDB13054402EF1067 +:100C0000907615D5E30509F2232099000DB74840E9 +:100C1000EF2040242322040091B721CD3C4181C71D +:100C20007370043001A01C41A1CB011122CC26CA53 +:100C30002A844AC84EC606CE2E894138185C5C5CB0 +:100C4000AA898144637DF7001C5C7D5785071CDC05 +:100C50003C446388E7023C44854485073CC44E8598 +:100C60009530F240624426854249D244B24905613A +:100C700082807370043001A05C41C5DB7370043066 +:100C800001A05C5099E38544D9BF13054402EF10DD +:100C9000906D75D9E30909FE85472320F900E5B772 +:100CA000397106DE22DC26DA4AD84ED652D456D224 +:100CB00032C66302051C638A051AB68AAE892A8485 +:100CC000EF20200D11E5B24781C77370043001A0F9 +:100CD000EF20E0201C5C63920714B247638E070A82 +:100CE0002808EF10707BFD5413094402130A040115 +:100CF000EF202021EF10A001EF20601E7C406394C4 +:100D00009700232204043C446394970023240404A2 +:100D1000EF20201F6C002808EF10307A63130518AD +:100D2000EF20E01B1C5CADCFEF20A01DEF20201BAF +:100D30003C44634FF00039A84A85EF10D06219C1D6 +:100D4000EF20A0023C44FD173CC43C446354F00037 +:100D50005C50FDF324C4EF20C01AEF2040187C4003 +:100D6000634FF00039A85285EF10F05F19C1EF1002 +:100D7000D07F7C40FD177CC07C406354F0001C4851 +:100D8000FDF364C0EF20E017EF10601DEF20201589 +:100D90001C5CC1E7B247A9FFEF20A016014555A092 +:100DA000EF2020161C40DDC7B2454A85EF10703D8C +:100DB000EF20E0123C44634FF00039A84A85EF1061 +:100DC000905A19C1EF10707A3C44FD173CC43C4462 +:100DD0006354F0005C50FDF324C4EF208012EF2038 +:100DE00000107C40634FF00039A85285EF10B057D7 +:100DF00019C1EF1090777C40FD177CC07C40635494 +:100E0000F0001C48FDF364C0EF20A00FEF10201588 +:100E100031C9EF20C00C1C5CB5DFCE85228544446F +:100E2000EFF04FF7639C0A041C5C1840FD171CDCB4 +:100E300001E7EF20801148C01C48A1EFEF20600CB3 +:100E40000545F2506254D2544259B259225A925A2C +:100E500021618280EF20A0084840EF109075EF20BC +:100E6000400A99B7EFF08FDA6DB73C41E38707E4AA +:100E70007370043001A07370043001A05C5044C44E +:100E8000D5DF13054402EF10104E4DD9EFF00FD807 +:100E900075B713050401EF10104D8547E310F5FAFF +:100EA000F5B72285EFF0EFF0EF10600B014551BF71 +:100EB0001DC9411122C44AC006C626C244412E891A +:100EC0002A84EF10506C6383A40281464A868145D0 +:100ED0002285F93385476300F502B24022449244EB +:100EE0000249410182807370043001A05C440545D1 +:100EF00085075CC4DDB75C4485075CC4F9BF15CDCC +:100F0000ADC9011122CC26CA4AC84EC652C42A8491 +:100F100006CE3289AE89EFF04FDB1C5C2A8A814411 +:100F200085E35285EFF00FDAF240624426854249AC +:100F3000D244B249224A056182807370043001A014 +:100F4000304001CE4C445C40B2954CC463E4F500A3 +:100F50000C404CC44E85EF3000061C5C7D57FD17DD +:100F60001CDC7C40638CE7007C40854485077CC0AA +:100F70004DBF3C41D9D77370043001A01C4899E3A0 +:100F8000854445B713050401EF10F03D75D9E30919 +:100F900009FE85472320F900E5B721C93C41A1CDD1 +:100FA000A1CB011122CC26CA4AC852C42A8406CE3B +:100FB0004EC62E8AEFF06FD11C5C2A89814491CBFA +:100FC0008329C400D2852285EFF0CFDC8544232617 +:100FD00034014A85EFF00FCFF24062442685424942 +:100FE000D244B249224A056182807370043001A064 +:100FF0007370043001A0EDDF7370043001A001E5CF +:101000007370043001A0411122C42A8406C6EF1077 +:10101000106D005CEF10F06EB24022852244410159 +:10102000828001E57370043001A0411106C622C41C +:101030002A84EF10D06A1C5C405C1D8CEF10706C31 +:101040002285B24022444101828019C1085D82801C +:101050007370043001A01DCD978703009387877EAE +:10106000D843630CE502D847630CE504D84B63070B +:10107000E504D84F6302E504D853630DE502D85761 +:101080006308E502D85B6303E502D85F630EE50001 +:101090006F20506C7370043001A001470E07BA979F +:1010A00023A007006F20106B1D47CDBF1947FDB768 +:1010B0001547EDB71147DDB70D47CDB70947F9BF64 +:1010C0000547E9BF684582806CC5828003450505F8 +:1010D000828009C5085D1335150082807370043065 +:1010E00001A019C51C5D485D1D8D1335150082805A +:1010F0007370043001A0978703009387A774984307 +:1011000005C3984705C7984B05C7984F05C798531F +:1011100005C7985705C7985B15C7985F15C38280A8 +:1011200001470E07BA978CC3C8C382800547D5BF55 +:101130000947C5BF0D47F5B71147E5B71547D5B7FF +:101140001D47C5B71947F1BF978703009387876F7E +:10115000D8436308E502D847630BE502D84B630A1E +:10116000E502D84F6309E502D8536308E502D85772 +:101170006307E502D85B6308E502D85F6303A70253 +:10118000828001470E07BA9723A007008280054797 +:10119000D5BF0947C5BF0D47F5B71147E5B7154797 +:1011A000D5B71D47C5B71947F1BF411122C426C2A3 +:1011B0004AC02A8406C6AE843289EF105052784065 +:1011C000FD576314F700232204043844FD576314C9 +:1011D000F70023240404EF10D0521C5C99E74A86E0 +:1011E000A68513054402EF10D00CEF10504F3C447D +:1011F000635BF0025C5085CB9304440209A83C4435 +:10120000FD173CC43C446350F0025C5089CF2685F6 +:10121000EF1070156DD5EF1050353C44FD173CC4F0 +:101220003C44E344F0FEFD573CC4EF10904DEF10FA +:10123000104B7C40635BF0021C4885CB9304040197 +:1012400009A87C40FD177CC07C406350F0021C481C +:1012500089CF2685EF1030116DD5EF1010317C400D +:10126000FD177CC07C40E344F0FEFD577CC0224467 +:10127000B2409244024941016F10B0481DC17971DA +:101280004ECE52CC06D63E8A22D426D24AD056CA58 +:101290005AC85EC6A547BA8963F8E7007370043080 +:1012A00001A07370043001A02A8BAE843289B68B02 +:1012B000C28A6307082213050006EF2090372A84AC +:1012C000630B05200A09232855034A869305500A13 +:1012D0005685EF20505C03C704001C587119230A7F +:1012E000E40203C704003E991379C9FF79C783C795 +:1012F0001400A30AF40283C71400E1C383C72400C7 +:10130000230BF40283C72400CDCB83C73400A30B87 +:10131000F40283C73400D5C383C74400230CF4020E +:1013200083C74400D9CB83C75400A30CF40283C7FE +:101330005400C1C783C76400230DF40283C764004F +:10134000ADCF83C77400A30DF40283C77400B5C783 +:1013500083C78400230EF40283C78400B9CF83C7F8 +:101360009400A30EF40283C79400A1CB83C7A4000A +:10137000230FF40283C7A400A9C383C7B400A30F3B +:10138000F40283C7B40095CB83C7C4002300F404E0 +:1013900083C7C4009DC383C7D400A300F40483C7DC +:1013A000D40081CF83C7E4002301F40483C7E400A1 +:1013B00089C783C7F400A301F404930A4400568547 +:1013C000A30104042326340323283405232A040418 +:1013D000EFF02F9513058401EFF0AF94A947B38781 +:1013E0003741232C040400C81CCC40D02322040421 +:1013F000232E04045E86DA854A85EFF0AF8D08C09F +:1014000063040A0023208A007370043017F9010076 +:10141000130989148327090097F401009384841524 +:1014200091C798409C407C4385077CC317F7010017 +:10143000130747131C43850797F6010023A4F612F0 +:101440009C40C5CB8327090017FB0100130B4B23DE +:1014500089EB9C40DC5763E6F90097F7010023AB6A +:10146000871097F701009387270E9C4317F7010019 +:101470001307C70E48541843850797F6010023A5A4 +:10148000F60C3CC46376A70097F7010023A8A70CCD +:10149000D145EF40306AD6855A95EFF0EF88832723 +:1014A000090081C79C40FC43B5E38327090005443C +:1014B00099C79C40DC5763F43701EFE03FF5228584 +:1014C000B250225492540259F249624AD24A424BD3 +:1014D000B24B456182805685EF20D0277D54C5B739 +:1014E00013152600EF20F014AA8AE31605DC7D54BC +:1014F000F9B797F7010023AF8706184385476301C3 +:10150000F70217FB0100130BAB17A1BF98409440E3 +:101510007C43FD177CC3FC42C9FB7360043071B788 +:10152000014A17FB0100130BAB15A94B5285D1459E +:10153000EF405060050A5A95EFE07FFDE3187AFF0F +:1015400097FB0100938B4B205E85EFE05FFC17FA61 +:101550000100130AAA205285EFE07FFB17F5010076 +:1015600013050521EFE0BFFA17F5010013058521EA +:10157000EFE0FFF917F5010013050522EFE03FF951 +:1015800097F7010023A677FF97F7010023A047FFF5 +:10159000C9BD411122C406C626C24AC02A8473703E +:1015A000043097F40100938424FB9C40F9E311E498 +:1015B00097F70100938707FC8043130944004A858D +:1015C000EFE01FFB1C5489C713058401EFE05FFAAD +:1015D000CA8517F501001305E51AEFE0FFF497F748 +:1015E0000100938767F8984397F701009387C7F541 +:1015F0009C43050797F6010023A8E6F69840850767 +:1016000097F6010023A2F6F401CB97F70100938728 +:1016100067F69843784349EF9C40B1C797F70100BC +:10162000938747F59843630F870873700430984099 +:1016300039EB17F701001307A7F314439442A5E20F +:101640007D5797F6010023AFE6EE984009CF984307 +:10165000784311CB984394437C43FD177CC3FC42F1 +:1016600099E373600430B24022449244024941013C +:10167000828097F701009387E7EF98439C437C4370 +:1016800085077CC32DB794439843F8420507F8C2F9 +:1016900017F701001307C7ED14439442D5D218433E +:1016A00058475847584397F6010023ADE6E871BF05 +:1016B000984394437C43FD177CC3FC42B1FF7360A5 +:1016C000043099BF97F70100938787E79C4381C750 +:1016D0007370043001A02244B24092440249410197 +:1016E0006FE0DFD241C517F701001307A7E81443E5 +:1016F0008147638BA606411106C622C426C27370B9 +:10170000043097F60100938626E59C4291C710436A +:101710001C437C4285077CC29C42AA84404981C705 +:101720001C43FC43B9E797F70100938767E49C43A8 +:10173000638B870497F70100938747E39C43638497 +:10174000870497F70100938727056309F40297F749 +:101750000100938727036301F4028547B2402244C6 +:1017600092443E85410182803E85828073700430C0 +:1017700001A0EF40F04F45BF9147CDB79C5493B7C0 +:1017800017008907E1BF8947D1BF7370043097F70D +:101790000100938767DC984309EF15C59C434855C2 +:1017A00081CB97F701009387E7DC9843784315E3F3 +:1017B000828017F701001307E7DB14431843F84250 +:1017C0000507F8C261FD17F701001307A7DA084300 +:1017D000F1B7984394437C43FD177CC3FC42E9FB7B +:1017E000736004308280411122C42A8406C6EFE06F +:1017F000DFCD11E497F701009387C7D780434054AA +:10180000EFE05FCCB2402285224441018280A547AF +:1018100063F5B7007370043001A0011122CC06CE2D +:1018200026CA4AC84EC652C42A847370043017F9B7 +:101830000100130969D283270900CDEF71C43C4828 +:101840006388F50817F701001307C7D263FCB700D8 +:101850001443638C860C18434457B3B4950093C467 +:10186000140029A00443818C93B41400585463835A +:10187000E70A1C4C2CC863C60700A947B385B740CC +:101880000CCC93172700BA97584897F90100938911 +:1018900029DF8A07CE97631AF702130A440052859C +:1018A000EFE01FCD97F70100938747CB48549C4347 +:1018B00063F6A70097F7010023A2A7CAD145EF401E +:1018C0007027D2854E95EFE03FC699C0EFE01FB478 +:1018D0008327090081CB97F701009387A7C99C4311 +:1018E000FC439DEBF2406244D2444249B249224A51 +:1018F0000561828097F701009387C7C798439C438F +:101900007C4385077CC305FC97F70100938787C656 +:10191000804335B74CD4B1BF6244F240D24442490F +:10192000B249224A05616F40B034814481B725C174 +:10193000011126CA97F401009384C4C39C4006CECB +:1019400022CC4AC84EC6638DA7027370043017F9C3 +:101950000100130969C08327090091C798409C4082 +:101960007C4385077CC3584997F701009387C7E2FA +:101970006302F7028327090081C79C40FC43A5EB63 +:10198000F2406244D2444249B24905618280737098 +:10199000043001A01C5517F70100130767DDE38B26 +:1019A000E7FCE9FB930945002A844E85EFE05FBC24 +:1019B00097F70100938787BA48549C4363F6A700C2 +:1019C00097F7010023ACA7B8D145EF40B01697F7C1 +:1019D00001009387E7CA3E95CE85EFE0FFB49C40B7 +:1019E0005854DC57E368F7F8EFE05FA261B7624450 +:1019F000F240D2444249B24905616F40702715CD8B +:101A0000011122CC26CA4EC62A8406CE4AC8EFE06F +:101A1000DFAB584897F70100938707D8AA8981441C +:101A20006300F7024E85EFE0FFA9F240624426858D +:101A30004249D244B249056182807370043001A0EA +:101A40001C5417F501001305A5D2E38DA7FCF9FB83 +:101A500097F701009387C7AE9C43A9EB97F7010066 +:101A6000938747B19C43445413094400DC574A858B +:101A7000B3B4F400EFE0DFAF97F70100938707AE50 +:101A800048549C4393C4140063F6A70097F70100E1 +:101A900023A6A7ACD145EF40F00997F70100938743 +:101AA00027BECA853E95EFE03FA8ADBF93058401F0 +:101AB000EFE09FA785BF0111056506CE22CC26CA9F +:101AC0004AC84EC652C456C25AC0EF208036630E72 +:101AD000051AAA8413050006EF20A0352A84630A9C +:101AE000051C05669305500A04D92685EF20A05AE7 +:101AF00004588567F117BE94B7474C4593879744C0 +:101B0000130A44005CD85285230C0402A301040488 +:101B10002326040223280404232A0404EFE07FA0E0 +:101B200013058401EFE0FF9FA947232C0404F198DB +:101B300000C81CCC40D023220404232E04040146F8 +:101B4000971500009385E5B12685EFE0BF9808C0A2 +:101B50007370043017F90100130909A083270900E5 +:101B600097F40100938404A191C798409C407C4362 +:101B700085077CC317F701001307C79E1C43850721 +:101B800097F6010023A0F69E9C40C9C38327090055 +:101B900097F901009389C9AE81EB9C40DC5789E736 +:101BA00097F7010023A8879C97F701009387C799AF +:101BB000984397F601009386669A5C549442050711 +:101BC00017F601002322E69838C463F6F60017F7EB +:101BD00001002325F798139527003E950A05D28525 +:101BE0004E95EFE07F948327090081C79C40FC431A +:101BF000DDE78327090091C39C40EF10F04985473A +:101C00006305F50C59E17370043001A097F70100EA +:101C100023A287961843854797F90100938949A61F +:101C2000E314F7F8CE8A17FB0100130BEBB15685CE +:101C3000D10AEFE0DF8DE31C5BFF17F50100130510 +:101C4000A5B0EFE0DF8C97FA0100938A2AB15685A0 +:101C5000EFE0FF8B17F50100130585B1EFE03F8B37 +:101C600017F50100130505B2EFE07F8A17F50100B3 +:101C7000130585B2EFE0BF8997F7010023AA678FAC +:101C800097F7010023A4578F05B7F2406244D2446E +:101C90004249B249224A924A024B056182809840E9 +:101CA00094407C43FD177CC3FC42A1F77360043071 +:101CB00089B76244F2404249B249224A924A024BF1 +:101CC0002685D24405616F20E02873700430FD57EB +:101CD00017F701002328F786624497F7010023AD28 +:101CE000A786F240D2444249B249224A924A024B64 +:101CF00097F7010023A6078605616FE08FDA7370FE +:101D0000043097F7010023A907846FE0CFE717F7A6 +:101D100001001307E7821C4385071CC38280737090 +:101D2000043097F7010093872783984305E317F75B +:101D300001001307E78208439C4381CB97F701001A +:101D4000938747839843784301EF828017F7010018 +:101D50001307478214431843F8420507F8C2C1BF6E +:101D6000984394437C43FD177CC3FC42F9FF7360A6 +:101D700004308280411106C622C4EFE00FF597E7D8 +:101D800001009387E77D8043EFE0CFF3B2402285E7 +:101D900022444101828097E701009387A77C884312 +:101DA0008280797106D622D426D24AD04ECE52CC29 +:101DB00056CA5AC85EC697E70100938767789C4366 +:101DC000B1EF17E701001307A7791C43850797E6D2 +:101DD000010023A7F678832A0700638F0A0697E796 +:101DE0000100938727769C43814463F1FA0897F9B1 +:101DF00001009389E98817EA0100130AAA7783276B +:101E00000A008546D85793172700BA978A07BE99C4 +:101E100083A7090063FFF600854421A897E7010026 +:101E2000938747739C438144850717E70100232369 +:101E3000F77297E701009387A7719C4391C385448C +:101E4000B2502254268502599254F249624AD24A2B +:101E5000424BB24B4561828017E401001304447188 +:101E60001C409C43DDC37370043001A017E40100E3 +:101E70001304047097F901009389898017EA01001F +:101E8000130A4A6F17EB0100130B4B6D1C409C4368 +:101E9000B5C31C40DC4703A9C70083274900930B47 +:101EA00049005E8563EEFA04EFE08FEC8327890238 +:101EB0001305890199C3EFE0AFEB8327C90203271C +:101EC0000B00DE85139527003E950A054E95637637 +:101ED000F70017E701002323F768EFE0EFE483271B +:101EE0000A000327C902DC57E362F7FA1C40854465 +:101EF0009C43C5F3FD5717E701002325F76401B79D +:101F000017E701002320F764DDBD17E70100130781 +:101F1000E7651C40184397E6010023ABE66417E72A +:101F200001002325F76497E70100938727629C430C +:101F3000850717E70100232BF7601C409C4399E7B6 +:101F4000FD5717E70100232FF75E51BD1C40DC470A +:101F5000DC47DC4317E701002326F75E49B597E726 +:101F600001009387E75D984301E77370043001A097 +:101F7000011106CE22CC26CA4AC84EC652C456C249 +:101F80005AC07370043097E401009384E45C984075 +:101F900061EB98437D1797E6010023A3E65A9C4323 +:101FA000D9EB97E701009387E75B9C43C9C797EAA2 +:101FB0000100938AEA6C17EA0100130A2A5A17E90A +:101FC00001001309295B854919A83385EA00EFE070 +:101FD000AFD5832709005854DC576379F70483A7EA +:101FE0000A0FC1CF83A7CA0FC04713058401EFE0D2 +:101FF0002FD8130B44005A85EFE08FD75C5483260B +:102000000A00DA85139527003E950A052A8756951A +:10201000E3FDF6FA17E701002322F754EFE0CFD0F3 +:10202000832709005854DC57E36BF7FA97E7010060 +:1020300023A037536DB701449C4081CB97E7010043 +:10204000938747539C43FC4395E72285F2406244C3 +:10205000D2444249B249224A924A024B05618280E7 +:1020600017E701001307075114431843F842050707 +:10207000F8C205B7EF40C03FC9BF17E40100130421 +:10208000644D1C4095C31C40054999CF193B09C5B7 +:1020900097E7010023AE274B1C40FD1717E701000F +:1020A000232AF74A1C40FDF397E701009387474A2C +:1020B00098438547E311F7F8EFE04FB50544ADBF0E +:1020C00011CD81E57370043001A017E701001307FB +:1020D00027471C4399C77370043001A07370043004 +:1020E00001A0411122C406C614431841850617E613 +:1020F00001002327D64497E6010093866646944262 +:102100003304B70000C163F7E602637FE40063FDB8 +:10211000860097E701009387E74588431105EFE0C4 +:102120002FC52285EF40802E1D3D01C9B2402244BB +:1021300041018280E36FE4FCD9BF2244B2404101F7 +:102140006FE0CFAC11C917E701001307673F1C43CD +:1021500091C77370043001A06FE04FAB411106C608 +:1021600022C41843AA87050797E6010023AAE63C84 +:1021700097E601009386064017E701001307473EE4 +:10218000184388423304F7001105EFE06FBE228543 +:10219000EF40C027E93311E52244B24041016FE02E +:1021A000EFA6B240224441018280411122C406C6FA +:1021B00097E701009387C7389C430144850717E7D9 +:1021C0000100232FF73697E701009387A7399C4337 +:1021D00063E0F51217EE0100130E4E5697E2010070 +:1021E0009382424901440147854F1303500A21A0BD +:1021F000311E638DC20B83278EFFBA86F5DB8327E2 +:10220000CEFFDC43232EFEFE638AC70F03AFC70059 +:10221000DC432A97814E232EFEFE6385C70783A8E1 +:10222000C70093874803232017015CC383A7880452 +:102230002326F7011CC783A7C8021CCB83A7080568 +:10224000232C07005CCB03A8080383470800639096 +:102250006704C287850783C60700B3850741E38B00 +:1022600066FE8981C205C181231EB700850E130752 +:10227000070263061F038327CEFFDC43232EFEFEE7 +:10228000E39FC7F983274E00232EFEFE49BF8145F9 +:10229000231EB700850E13070702E31E1FFD769469 +:1022A00013175400311EBA86E397C2F597E7010071 +:1022B0009387072C03AE070083270E00639D070E4C +:1022C00097E701009387872A03AE070083270E0054 +:1022D0006392071817EE0100130E8E3A83274E10F3 +:1022E0009DE383278E116395072019C223200600E2 +:1022F000BD312285B24022444101828083274E00B5 +:10230000232EFEFE21B783278E1017EF0100130F37 +:10231000EF47DC4317E701002328F7466380E733E4 +:1023200083A2C700DC43AA96814E17E70100232D44 +:10233000F744914F1303500A6388E70783A8C70047 +:102340009387480323A01601DCC283A7880423A631 +:10235000F6019CC683A7C8029CCA83A7080523ACC4 +:102360000600DCCA03A80803834708006391672AB4 +:10237000C287850703C70700B3850741E30B67FEE4 +:1023800093D72500C207C183239EF600850E93864E +:1023900006026386122983278E10DC4317E70100AB +:1023A0002324F73EE39CE7F983270E1117E701008A +:1023B000232CF73C61B783274E00130F8E00D443C4 +:1023C0002322DE006388E629B307E500D84283A212 +:1023D000C600814E2322EE00894F1303500A630684 +:1023E000EF068328C7001387480323A01701D8C32B +:1023F00003A7880423A6F70198C703A7C80298CBB0 +:1024000003A7080523AC0700D8CB03A8080303479C +:1024100008006318671C4287050783460700B30559 +:102420000741E38B66FE13D7250042074183239EB5 +:10243000E700850E93870702638D121B83264E00EB +:10244000D8422322EE00E31EEFF80327CE0023221A +:10245000EE0041BF83274E00130F8E00DC43232282 +:10246000FE006384E71F83A2C700DC43AA96814E67 +:102470002322FE00894F1303500A6306FF0683A838 +:10248000C7009387480323A01601DCC283A78804F2 +:1024900023A6F6019CC683A7C8029CCA83A7080589 +:1024A00023AC0600DCCA03A8080383470800639630 +:1024B0006710C287850703C70700B3850741E30B91 +:1024C00067FE93D72500C207C183239EF600850EC1 +:1024D00093860602638B120F83274E00DC43232270 +:1024E000FE00E31EFFF88327CE002322FE0041BF3B +:1024F0008327CE1197EE0100938E8E2ADC4317E7D7 +:102500000100232DF7286384D71383AFC700DC4372 +:102510003307D500014397E6010023A1F6280D4FAC +:1025200089429308500A6387D707C8479307450332 +:1025300008C35CC33C452326E7011CC75C551CCB84 +:102540001C5599C3232657003C49232C07005CCB1C +:10255000032805038347080063951705C28785078D +:1025600083C60700B3850741E38B16FF93D7250089 +:10257000C207C183231EF700050313070702638AFE +:10258000AF028327CE11DC4397E6010023A8F62093 +:10259000E39DD7F983274E1297E6010023A0F6208A +:1025A00069B78147231EF700050313070702E39A63 +:1025B000AFFC1A94E31C06D225BB8147239EF6008C +:1025C000850E93860602E39912F117EE0100130EB1 +:1025D0002E0B83274E10769493165400E38307D076 +:1025E0001DB30147239EE700850E93870702E397FB +:1025F00012E597E70100938767F703AE070076942B +:102600009316540083270E00E38607CCA1B58147BB +:10261000239EF600850E93860602E39E12D783273B +:102620008E11769493165400E38107CCD1B583279D +:102630004E1217E701002323F716C1BD83270E11A1 +:1026400017E701002322F714E1B98327CE002322E4 +:10265000FE0011BD8326CE002322DE00B5B37971C2 +:1026600026D24AD04ECE52CC56CA5AC85EC606D6DC +:1026700022D497E901009389A9EC17E90100130915 +:10268000290017EA0100130A2AED97EA0100938A4C +:102690006AEE97EB0100938BEBEC97E401009384D7 +:1026A000A4EC054B21A883A7090003244910850742 +:1026B00017E701002326F7E85D3011E89C40E5F7B5 +:1026C00083270900E37CFBFEEFD05FD4C5BF7370A6 +:1026D000043083270A00B9E383270911C047130593 +:1026E0004400EFD0FFE883A70B00FD1717E70100B8 +:1026F000232AF7E69C40FD1717E701002326F7E69B +:1027000083270A0089C783A70A00FC4385E308588A +:10271000EF1050042285EF10F0034DB703A70A0015 +:1027200083A70A007C4385077CC37DB703A70A0003 +:1027300083A60A007C43FD177CC3FC42E9FB73605F +:102740000430F1B797E70100938787DF9C43DDE70B +:10275000411106C622C426C297E7010023AA07DE5C +:1027600017E70100130707E11C43B7A6A5A5938649 +:10277000565A9C5B8C43639BD500D0436318B600CC +:1027800094476395C600DC476388D70008430C4331 +:1027900093854503EF20607317E70100130707DCFB +:1027A000144317E401001304A4ED11A81C43A9CF9E +:1027B0001C43FD1797E6010023A2F6DA1443939712 +:1027C0002600B6978A07A2979C43EDD30843931738 +:1027D0002500AA978A073307F4005443A107A2975C +:1027E000C44244C36385F402DC44B240224417E788 +:1027F00001002321F7D8924441018280854717E7E1 +:1028000001002327F7D482807370043001A0C440F4 +:10281000D145EF303032229544C1F9B701114AC891 +:1028200006CE22CC26CA4EC62A897370043097E49D +:102830000100938464D29C4017E40100130484D304 +:10284000D9E76314090003290400930949004E8560 +:10285000EFD01FD28327890289C713058901EFD0E2 +:102860003FD1CE8517E50100130505F3EFD0DFCB8F +:102870009C4081C71C40FC43ADE31C40638B270791 +:102880009C409DCF737004309C40C1EB97E70100E2 +:10289000938707CE9843184325EBFD5717E70100B0 +:1028A0002322F7CA9C4089CF1C40FC4391CB18409F +:1028B00014407C43FD177CC3FC4299E373600430F1 +:1028C000F2406244D2444249B249056182801840D4 +:1028D0001C407C4385077CC3ADB7184014407C4343 +:1028E000FD177CC3FC42D1FB736004301C40E399AC +:1028F00027F99C40A1C397E70100938767C49C43D5 +:10290000ADCB7370043001A09C43DC47DC47DC4353 +:1029100017E701002328F7C271B718401C407C4319 +:1029200085077CC397E70100938787C498431843C2 +:102930002DD7D9BF97E701009387C7C217E70100DA +:10294000130707D49C4303278711631DF700F24048 +:10295000624497E7010023AF07C0D2444249B2491D +:10296000056182806244F240D2444249B249056125 +:10297000D1BB6244F240D2444249B24905616FD0B2 +:10298000FFA83DC9411126C297E40100938484BE8B +:102990004AC02E898C4006C622C4E105EFD05FBA3A +:1029A00088401105EFD0DFBCFD57630AF90497E7B3 +:1029B00001009387E7BA804398409C434A9440C300 +:1029C000636CF40497E70100938787BA88438C40CF +:1029D0009105EFD0FFB697E701009387A7B69C4318 +:1029E0006376F40097E7010023AE87B4B240224437 +:1029F00092440249410182807370043001A08C40EE +:102A00002244B2409244024917E501001305C5D89B +:102A1000910541016FD05FB197E70100938707B53A +:102A2000884322448C40B2409244024991054101BE +:102A30006FD01FB111C997E70100938767B09C431E +:102A400099E77370043001A07370043001A0411144 +:102A500026C297E401009384E4B19840AE878C408D +:102A6000B7060080D58F06C622C41CCFE10532848C +:102A7000EFD09FAB88401105EFD09FAFFD57630E9D +:102A8000F40097E701009387A7AD8843B2409244D2 +:102A90002295224441016F3070178C402244B2408D +:102AA000924417E50100130525CF910541016FD030 +:102AB000BFA725CD411126C297E40100938484ABC2 +:102AC0004AC02E898C4006C622C4E1053284EFD06C +:102AD000BFA588401105EFD0BFA98547630AF4045C +:102AE00097E701009387C7A7804398409C434A9487 +:102AF00040C3636CF40497E70100938767A788439A +:102B00008C409105EFD0DFA397E70100938787A35F +:102B10009C436376F40097E7010023A587A2B240A7 +:102B2000224492440249410182807370043001A022 +:102B30008C402244B2409244024917E5010013053B +:102B4000A5C5910541016FD03F9E97E7010093878E +:102B5000E7A1884322448C40B24092440249910547 +:102B600041016FD0FF9D411106C622C426C25C45BB +:102B7000C04735CC930484012685EFD07F9F97E72B +:102B800001009387E79B9C43B5E7930444002685A7 +:102B9000EFD01F9E97E701009387479C58549C43B2 +:102BA00063F6E70097E7010023AAE79A9317270047 +:102BB000BA978A0717E50100130585ACA6853E95EF +:102BC000EFD09F9697E701009387C79A9C4358548C +:102BD0000145DC5763F8E700854717E70100232923 +:102BE000F7960545B24022449244410182807370B9 +:102BF000043001A0A68517E50100130565B7EFD0E5 +:102C0000BF92C9B797E70100938787939C4381E7F9 +:102C10007370043001A0411106C622C426C2B70752 +:102C200000804045CD8F1CC12DC4EFD07F9493040C +:102C300044002685EFD0DF9397E701009387079242 +:102C400058549C4363F6E70097E7010023A8E790F8 +:102C500093172700BA978A0717E50100130545A2C5 +:102C60003E95A685EFD05F8C97E70100938787900C +:102C70009C4358540145DC5763F8E700854717E744 +:102C800001002327F78C0545B240224492444101BC +:102C900082807370043001A011CD97E70100938703 +:102CA000E78A984397E701009387878B9C4318C175 +:102CB0005CC182807370043001A055C1D1CD411137 +:102CC00006C622C42A877370043097E801009388EF +:102CD000A88883A7080091CB97E70100938787898D +:102CE00094439C43FC428507FCC217E30100130395 +:102CF00023879C4103260300FD560144638FD702BE +:102D000017EE0100130E8E8403250E000328070022 +:102D100054436305A80005446371D6023308D640C6 +:102D20000544637CF80003250E00918F0326030001 +:102D3000B6979CC108C350C3014483A7080081CB48 +:102D400097E70100938707839C43FC4381EF22852B +:102D5000B2402244410182807370043001A073703C +:102D6000043001A0EF30C070DDB7854717D70100F0 +:102D70002320F77E828019C16845828001458280C8 +:102D800011C16CC5828097D701009387A77E8843C5 +:102D9000828097D701009387277C9C43054589CB88 +:102DA00097D701009387C779884313351500060527 +:102DB000828031CD011126CA97D401009384847B8F +:102DC0009C4006CE22CC4AC84EC65855DC57637983 +:102DD000F7021C4D63C707009C40D457A947958F45 +:102DE0001CCD93172700BA97584917E9010013091A +:102DF00029898A07CA97630DF7009C40DC575CD588 +:102E0000F2406244D2444249B249056182808280E4 +:102E1000930945002A844E85EFD08FF59C4017D743 +:102E200001001307A7731843DC575CD46376F700DF +:102E300017D701002324F772139527003E956244AB +:102E40000A05F240D244CE854A95B249424905610D +:102E50006FD08FED19CD411106C622C426C297D777 +:102E600001009387277180436307A40073700430C7 +:102E700001A0014582807C4881E77370043001A085 +:102E800054543848FD177CC86383E60099C7014550 +:102E9000B24022449244410182809304440026853A +:102EA000EFD00FED284897D701009387276B984301 +:102EB000A947898F48D41CCC6376A70097D7010017 +:102EC00023AEA768D145EF30E04697D7010093873E +:102ED000277B3E95A685EFD02FE5054555BF73703E +:102EE000043097D70100938727679C4391CB97D7EE +:102EF00001009387276898439C437C4385077CC3E4 +:102F0000828097D70100938727659C438DC397D70D +:102F10000100938727669843784311CB98439443E5 +:102F20007C43FD177CC3FC4299E3736004308280CC +:102F300097D7010093870764904394439843A94728 +:102F4000D456084E958F1CCF828097D70100938767 +:102F50006762984309C79443F84A0507F8CA88434B +:102F6000828079714AD006D622D426D24ECE2A89C2 +:102F70007370043097D401009384045E9C4017D48E +:102F800001001304245FBDE31C40BC4F89E71C40D3 +:102F90000547F8CFD1E19C4081C71C40FC43F1EBD1 +:102FA000737004309C408DEF1C40A84F11C5630422 +:102FB00009021C4023AC07041C4023AE07049C40BC +:102FC00081C71C40FC438DEBB250225492540259ED +:102FD000F2494561828018403C4FFD173CCFE9BF64 +:102FE00018401C407C4385077CC37DBF18401C40B3 +:102FF0007C4385077CC349BF184014407C43FD17C0 +:103000007CC3FC42F1F373600430B25022549254FA +:103010000259F2494561828008402EC61105EFD061 +:103020002FD5B245FD576380F50697D701009387EA +:10303000275383A9070018409C43AE9923223701E8 +:1030400063ECF90497D701009387875288430C40BB +:103050009105EFD0EFCE97D701009387A74E9C4301 +:1030600063F6F90097D7010023AE374DEFD00FBAC2 +:103070001DB7184014407C43FD177CC3FC428DF300 +:103080007360043031BF0C4017D501001305C570C3 +:103090009105EFD06FC9D9BF97D701009387074D2E +:1030A00088430C409105EFD0AFC9C9B779714AD0B8 +:1030B0004ECE06D622D426D252CC2E89B289737037 +:1030C000043097D40100938424499C4017D4010014 +:1030D0001304444AF9EF18408947784F630CF7000E +:1030E0001C401345F5FFB84F798DA8CF1C4005470C +:1030F000F8CFADE69C4081C71C40FC43F5E37370FC +:1031000004309C40B1E7638609001C40BC4F23A0FB +:10311000F900184085470145784F630BF7001C40C4 +:103120001349F9FF0545B84F3379E90023AC27056A +:103130001C4023AE07049C4081C71C40FC43C1E3F4 +:10314000B250225492540259F249624A4561828037 +:1031500018401C407C4385077CC375B7084036C6C1 +:103160001105EFD0EFC0B246FD576385F60897D73B +:1031700001009387E73E03AA070018409C43369A54 +:10318000232247016363FA0897D701009387473EDC +:1031900088430C409105EFD0AFBA97D701009387D1 +:1031A000673A9C436370FA0697D7010023AC47390E +:1031B00091A818401C407C4385077CC329BF184058 +:1031C00014407C43FD177CC3FC42BDFB736004309C +:1031D000B250225492540259F249624A45618280A7 +:1031E000184014407C43FD177CC3FC4289FB73608C +:1031F000043031B70C4017D501001305E55991058E +:10320000EFD08FB2EFD08FA0F5B597D7010093879D +:10321000E73588430C409105EFD08FB2E5B751C137 +:10322000411106C622C426C24AC07370043097D426 +:103230000100938464329C40B9EB99C23C4D9CC21E +:10324000B2878946704D74CD2E872A846386D7064F +:1032500063FCF6048D456387B70091456395B7001D +:103260006300D60C38CC8547630FF60405449C40B8 +:1032700081CB97D701009387E72F9C43FC43A9E3B9 +:103280002285B2402244924402494101828097D76C +:1032900001009387272E98439C437C4385077CC37A +:1032A00069BF7370043001A08546E39ED7FA3C4D98 +:1032B00033E7B70038CD45BF3C4D85073CCD65B7FA +:1032C000EF30001B75BF130944004A85EFD04FAAA9 +:1032D00097D701009387872848549C4363F6A7003B +:1032E00097D7010023ACA726D145EF30A00497D78C +:1032F00001009387E7383E95CA85EFD0EFA21C54B2 +:1033000081C77370043001A097D701009387872687 +:103310009C435854DC57E3FBE7F4EFD02F8FB9B749 +:103320000144B1B739CD011122CC26CA4AC84EC6D4 +:1033300052C456C206CEBA89368AB2842E892A84ED +:10334000EFD0AF98AA8A63050A003C4C2320FA000C +:10335000784C89477CCC6389F40263F4970C8D46E2 +:103360006387D40091466396D400630AF70A232C3E +:1033700024058547631EF7061C5499CB73700430EF +:1033800001A07370043001A03C4C85073CCCD5B73C +:1033900097D701009387C71A9C43ADEB9304440071 +:1033A0002685EFD0EF9C97D701009387271B4854C1 +:1033B0009C4363F6A70097D7010023A1A71AD14524 +:1033C000EF20507797D701009387872BA6853E95EE +:1033D000EFD08F9597D701009387C7199C43585416 +:1033E000DC5763F7E70063850900854723A0F900F0 +:1033F00005445685EFD00F8D2285F2406244D244B9 +:103400004249B249224A924A056182809305840169 +:1034100017D501001305C535EFD00F9165BF0144E5 +:10342000C9BF8547E397F4F43C4C33E92701232CCB +:10343000240581B74DC1011122CC26CA2A844EC66B +:1034400006CE4AC8AE84EFD04F888947744C7CCCF6 +:103450003C4C0547AA8985073CCC6395E6061C547D +:1034600081C77370043001A097D701009387470D7F +:103470009C43ADE7130944004A85EFD06F8F97D77F +:1034800001009387A70D48549C4363F6A70097D784 +:10349000010023A5A70CD145EF20D06997D70100E3 +:1034A0009387071ECA853E95EFD00F8897D70100F6 +:1034B0009387470C9C435854DC5763F5E70099C049 +:1034C00085479CC06244F240D24442494E85B2498D +:1034D00005616FC03FFF7370043001A09305840144 +:1034E00017D501001305C528EFD00F84C1B7AA87EF +:1034F00029C57370043017D701001307E70514437B +:103500009DE2F04F894601456315D60023AE0704BE +:1035100005451C4381CB97D701009387A7059843A6 +:10352000784315E3828097D601009386A6049042E3 +:1035300094427442850674C2E9B797D70100938715 +:1035400067039C437DB7984394437C43FD177CC33A +:10355000FC42E9FB7360043082805D71A6C2CAC080 +:1035600052DC56DA5AD85ED686C6A2C44EDE62D483 +:1035700066D26AD017D901001309C90017DB010010 +:10358000130B8BFF97D40100938444FF97DA01005B +:10359000938A0AFF054AA54B8327090098436301D4 +:1035A0000712DC4783A90700EFE06FF6EFE02FF783 +:1035B00083270B002A8C6362F50A97D7010023AD9D +:1035C000A7FA01466370351B8840B3858941EFD067 +:1035D000DFBDEFE0DF9863010512884081460146B8 +:1035E0002C00EFD0EFEB4DD9A247E3C807FEC2494C +:1035F00083A7490189C713854900EFC07FF7EFE032 +:103600000FF283270B002A8C636DF508A24717D7AA +:103610000100232387F7E3E2FBFCB317FA0013F75B +:103620000721631A071613F7770C6318071E93F721 +:103630000702C5D74E85EF00F01145B7DC47C047FC +:1036400083AC0700930944004E85EFC07FF25C50C5 +:10365000228582975C4C6384470B8327090098433B +:1036600071FF03A70A0097D6010023A786F197D61A +:10367000010023A7F6F097D7010023A5E7F0EFE0BC +:103680001F8EA1BFDC47C04703AD0700930C440069 +:103690006685EFC0FFED5C50228582975C4C6386A7 +:1036A000470983270900984371FF03A70A0097D6AB +:1036B000010023A7F6EC97D7010023A5E7ECB9B7E3 +:1036C000EFE0EFE4EFE0AFE583270B002A8CE36641 +:1036D000F5F883A70A00884081499043B385894162 +:1036E00017D70100232A87E913361600EFD0FFAB66 +:1036F000EFE0FF86E31305EEEFC05FD1F9BD1C4C90 +:10370000CE85E69763E9FC049C4089CF02CA66CC6B +:1037100022CEEFF00FE88840814601464C08EFD0FA +:103720000F8805FD7370043001A01C4CE685EA97F4 +:10373000636CFD049C4089CF02CA6ACC22CEEFF0B4 +:103740004FE58840814601464C08EFD04F8531F95E +:103750007370043001A0032509005CC000C8EFC0ED +:103760003FDEE5BDEFE0AFFF83270900DC47C04740 +:10377000930C44006685EFC0BFDF5C4C638F47034A +:103780005C502285829791BD032509005CC000C86A +:10379000EFC01FDB39B7B24723ACF900A5CFE297E2 +:1037A00023A2F90023A8390193854900636FFC0423 +:1037B00003A50A00EFC0DFD80DB51C4C00C8338745 +:1037C000F90058C0636DEC02330C3C416363FC02AA +:1037D0009C4089CF02CA4ECC22CEEFF08FDB8840CE +:1037E000814601464C08EFC09FFB59F973700430C5 +:1037F00001A003A50A00E685EFC09FD451B70325B9 +:103800000900E685EFC0DFD3A5BF03250900EFC09F +:103810003FD3E1B37370043001A0B24783A689019E +:1038200023A839013387D70023A2E9006364EC049D +:10383000B307FC4063EBD70483A749024E85829708 +:1038400083A7C901E39B47D983A68901B247984062 +:10385000B69711CF02CA3ECC4ECEEFF08FD3884040 +:10386000814601464C08EFC09FF3E31805D67370FC +:10387000043001A06374FC00E370F7FC0325090029 +:1038800093854900EFC0DFCB89BB03A50A00938570 +:103890004900EFC0FFCA91B3411122C406C626C237 +:1038A0004AC017D40100130464CDEFF04FE31C406D +:1038B00095CBEFF00FE51C409DC38148014881473F +:1038C000094781461306004097D50100938505AF4F +:1038D000170500001305A5C8EFD05F9A39E973708A +:1038E000043001A017D90100130949EC4A85EFC043 +:1038F0001FC297D401009384A4EC2685EFC03FC17A +:103900000146B145114597D7010023AD27C797D789 +:10391000010023A797C6EFC07FDB17D70100232F35 +:10392000A7C411CD97D501009385C5A8EFD0AFFCF2 +:1039300049B7B240224492440249410182807370E7 +:10394000043001A0A5C9797156CAAA8A1305C0021C +:1039500022D426D24AD04ECE52CC06D65AC85EC603 +:103960003A89B689328AAE84E9212A8405C9EFF002 +:103970000FD797D70100938767C09C439DCFEFF087 +:103980004FD8130544002320540104CC232E4401B6 +:103990002320340323222403EFC0BFB82285B25072 +:1039A000225492540259F249624AD24A424BB24BD3 +:1039B000456182807370043001A097DB0100938B16 +:1039C000EBDE5E85EFC0BFB417DB0100130B4BDFEE +:1039D0005A85EFC0DFB30146B145114597D70100C5 +:1039E00023A277BB97D7010023AC67B9EFC01FCEE6 +:1039F00017D701002324A7B801C997D501009385E3 +:103A0000659BEFD04FEFA5BF7370043001A039C59F +:103A1000011122CC06CE26CA17D40100130404B625 +:103A2000832804002A88014563850802BA842EC2CF +:103A3000368732C442C6954663C5B602EFF06FB50D +:103A40008947630BF5020840814601464C00EFC0F0 +:103A50001FD5F2406244D244056182807370043005 +:103A600001A04C0081463A864685EFD08F88F2400F +:103A70006244D244056182800840814626864C001B +:103A8000EFC0FFD1F9B719C10841828073700430CB +:103A900001A001E57370043001A0411106C622C4E3 +:103AA0002A84EFF0CFC348483334A000EFF06FC54D +:103AB0002285B24022444101828001E573700430C6 +:103AC00001A0411122C42A8406C6EFF04FC1005064 +:103AD000EFF02FC3B240228522444101828001E5EC +:103AE0007370043001A0411122C426C22E84AA841E +:103AF00006C6EFF0CFBE80D02244B24092444101CE +:103B00006FF02FC04111714522C406C61D262A84BC +:103B100011C5232005001105EFC07F9F2285B2400B +:103B200022444101828001CDB70700FFED8F81C79C +:103B30007370043001A099E57370043001A07370B4 +:103B4000043001A0011122CC4AC84EC652C456C24C +:103B500006CE26CABA893689B28A2E842A8AEFF01E +:103B60004FA311E5638509007370043001A0EFE0F5 +:103B70000F9A83240A00B37794006316090295C74D +:103B800063870A009347F4FFE58F2320FA00EFE0F4 +:103B90000FBDF240624426854249D244B249224ACE +:103BA000924A05618280E30DF4FCE38209FEB3359D +:103BB0005001E20563050900B7070004DD8D4E865C +:103BC000C18D13054A00EFE0FFE6EFE04FB919E1C0 +:103BD000EFC0DF83EFF0CFB593176500AA8963D8F4 +:103BE0000700B7040001FD14B3F499005DB7EFF0CE +:103BF0000FAF83290A00B3773401631E090089CB14 +:103C000063880A009347F4FFB3F737012320FA00D3 +:103C1000EFF02FAFF9B7E31DF4FEDDB701C9B70729 +:103C200000FFED8F99C77370043001A073700430EA +:103C300001A0411122C42A8406C626C24AC02E8988 +:103C4000EFF0EFA904409345F9FFB3F795001CC0CE +:103C5000EFF02FABB2402244268502499244410145 +:103C60008280411122C42A8406C6EFC01F8600400C +:103C7000EFC05F85B240228522444101828009C99C +:103C8000370700FFB3F7E50099C77370043001A050 +:103C90007370043001A06F20607FB70700FFF18FC1 +:103CA00081C77370043001A001E67370043001A075 +:103CB000011122CC4AC84EC652C406CE26CA368945 +:103CC000AE892A8A3284EFF0CF8C21E163050900A6 +:103CD0007370043001A0EFE08F8383240A00CE8547 +:103CE0005285B3E49900613FB37794006307F4060B +:103CF00083240A00EFE0AFA6F240624426854249E1 +:103D0000D244B249224A05618280EFE04F80832489 +:103D10000A00CE855285B3E434019537B3F78400A9 +:103D2000638D8702E30609FCB70500054A86C18D4D +:103D300013054A00EFE01FD0EFE06FA219E1EFC0DA +:103D4000EFECEFF0EF9E93176500AA8463DF0700A6 +:103D5000B7070001FD17FD8C45B783270A00134400 +:103D6000F4FFE18F2320FA0071B7EFF04F9783241F +:103D70000A00B3779400639787009347F4FFE58FB9 +:103D80002320FA00EFF0EF97E1B7411122C406C6F5 +:103D90002A8426C2EFD0BFF75C4085C3084893044D +:103DA000C4006316950015A0084863009502B70586 +:103DB0000002EFE03FE55C40E5FB2285612A2244FA +:103DC000B240924441016FE08F997370043001A0BA +:103DD00009C9370700FFB3F7E50099C773700430CE +:103DE00001A07370043001A06F20406A01C9B707B9 +:103DF00000FFED8F99C77370043001A07370043019 +:103E000001A0411122C426C206C62A84AE84EFF066 +:103E10000F8D184093C7F4FFF98F1CC02244B240A5 +:103E2000924441016FF0EF8D19C1084D8280014528 +:103E30008280411122C406C62A84EFD05FED97C765 +:103E40000100938767749C43A1EBE56717D70100D6 +:103E500013078798F11797C6010023ADE67217C7BD +:103E600001002327F77217D701002329F79697C778 +:103E700001009387A77117D70100232FF794854777 +:103E800017C70100232A077017C7010023200770F6 +:103E900017C70100232AF76E19E8EFE04F8CEF10E7 +:103EA000400201442285B240224441018280130530 +:103EB000840093773500C1EFE5671307F5FFE91735 +:103EC000E3EDE7FC97C601009386C66C9C4229A0EF +:103ED000984311C7BE86BA87D843E36BA7FE17C7BE +:103EE00001001307A76AE38AE7FA9843414613845F +:103EF000870098C2D843B306A7406378D6023388B8 +:103F0000A7002322D800C8C38325480097C6010014 +:103F10009386466811A0BA8698425043E36DB6FE78 +:103F20002320E80023A00601D84397C70100938708 +:103F3000A76A9C43998F17C70100232FF768EFE00A +:103F40000F8231DC2285B240224441018280719986 +:103F500011059DB729C9411122C406C62A84EFD094 +:103F60001FDB930584FF0326C4FF17C70100130757 +:103F7000676211A03E871C43D443E3EDC6FE232CA9 +:103F8000F4FE0CC397C70100938707659843832706 +:103F9000C4FF2244B240BA9717C70100232EF7622C +:103FA00041016FD0DFFB828097C701009387C76212 +:103FB0008843828082803367B5000D8BAA871DE716 +:103FC0009308D6FFAA982A87AE866371150303A8C3 +:103FD000060011079106232E07FFE36A17FF934798 +:103FE000F5FFC697F19B9107BE95AA972A9663F3B2 +:103FF000C70A9386450013884700B3B6D70033B885 +:10400000050133E7F50093C61600134818000D8B21 +:10401000B3E6060113371700758F330EF64093860B +:10402000170029CF1337AE0031EB13532E002E8823 +:10403000BE860147832808000507110823A0160142 +:104040009106E36967FE1377CEFFBA95BA976302CC +:10405000EE0483C60500138717002380D700637A18 +:10406000C70283C6150013872700A380D700637299 +:10407000C70203C725002381E7008280B307F6400B +:10408000AE97850503C7F5FF8506238FE6FEE39A05 +:10409000F5FE82808280B367C5008D8BB308C500B2 +:1040A000E9C36371150FB307A0408D8B13873700E9 +:1040B000954693F5F50F130815003383A8407D1638 +:1040C0006373D70015476363E60CD5CF2300B500B3 +:1040D0000547638DE700A300B5000D4713082500D1 +:1040E0006396E700130835002301B5001396850099 +:1040F0004D8E13970501518F3303F340939685013D +:10410000D98E13562300AA97014794C30507910738 +:10411000E36DC7FE1377C3FFB307E8006307E30649 +:104120002380B70013871700637C1703A380B700B1 +:1041300013872700637617032381B700138737009F +:1041400063701703A381B70013874700637A1701D1 +:104150002382B7001387570063741701A382B70047 +:10416000828093F5F50F13978500D98D139705017C +:104170004D8FE37715FFAA87910723AEE7FEE3EDA6 +:1041800017FF828082802A8895B78280AA8749BFDC +:10419000834705002A8799C7050583470500EDFF7A +:1041A000198D82800145828005058347F5FF8505CD +:1041B00003C7F5FF91C7E389E7FE3385E7408280B7 +:1041C0008147E5BFAA87850503C7F5FF8507A38F4C +:1041D000E7FE75FB82808346050093070002639724 +:1041E000F600050583460500E38DF6FE938756FD30 +:1041F00093F7D70F85C7AA87814585CE01458507E7 +:10420000138606FD1317250083C607003A95060599 +:104210003295F5F699C13305A0408280938536FD2D +:104220008346150093B5150093071500E1FA014583 +:10423000D5B7014582809C412380A7009C4185071A +:104240009CC1828097C60100938646359C421757D1 +:1042500003001307275B13861700BA972380A70074 +:1042600097C5010023ACC532A9476308F500930741 +:1042700000046305F6000145828075DE370610F004 +:1042800005078347F7FF2320F6F09C42FD1797C5EB +:10429000010023A5F530EDF7014582804D71232201 +:1042A000911423202115232A51132324811323261B +:1042B000111423248114232E3113232C411323287A +:1042C000611323267113232291132320A113232E7C +:1042D000B111AA842E8932C6130C5002A54A834715 +:1042E000090063808703B9CB804011A0A1CB2300D4 +:1042F000F40080400509050480C083470900E39766 +:1043000087FF0346190093081900C686130D0002A3 +:10431000FD597D5A0148130550059307D6FD93F7C3 +:10432000F70F138916006363F52A17C701001307F7 +:1043300067CD8A07BA979C43BA9782878320C114B6 +:104340000324811483244114032901148329C113F4 +:10435000032A8113832A4113032B0113832BC112D8 +:10436000032C8112832C4112032D0112832DC111C4 +:1043700071618280A14C02C4854763D50715B2479D +:104380008040938B770093F68BFF03AB060083A9E5 +:104390004600938786003EC6668681465A85CE854E +:1043A000EF1050292AC8814763853723930D4101B7 +:1043B000854B668681465A85CE85EF10E049814659 +:1043C00066862A8BAE89EF10F026A24723A0AD00A7 +:1043D00093861B00910D63843701B68BD9BFE37EB2 +:1043E0009BFF63DD4601A2872380A70180407D1AE1 +:1043F000930714009CC03E84E3C846FF93962B00AD +:104400001C08BE9631A8938777052300F4008040EE +:10441000FD1B93871B00050480C0F116E351F0ECEF +:104420009C42E3E2FAFE93870703C5B79C409306DC +:10443000000332472380D7009C4093064700C14CBD +:104440001386170090C013068007A380C700804022 +:1044500002C4BA87050480C003AB0700814936C691 +:1044600025BFB24783AC0700938B470063840C1CC5 +:10447000635F40199307D0026319FD1683C70C00D0 +:1044800085C363DC09189440850C7D1A2380F600EF +:104490008040050480C083C70C00E5F7635D4001E0 +:1044A0008040930700022300F40080407D1A050439 +:1044B00080C0E31A0AFE5EC61DB502C48547A94C3A +:1044C000E3CF07EBB24780409386470071B703C63E +:1044D0001600CA8699B59C40930650022380D700E7 +:1044E0008040050480C0E5BBC14C02C471B5B24731 +:1044F00003C61600CA8683A9070091073EC6E35E7D +:104500000AE04E8AFD5911BD03C61600130DD002F4 +:10451000CA8621B59347FAFFFD8703C61600337A92 +:10452000FA00CA86DDBB03C61600130D0003CA8657 +:10453000EDB383C51600930906FDCA86938705FD72 +:104540002E86E3EEFAFA93972900BE99850686092E +:10455000AE9983C50600938909FD938705FD2E86D4 +:10456000E3F3FAFE69BF32479C40144311073AC691 +:104570002380D7008040050480C095B3854763D36E +:10458000070BB24793867700E19A938786003EC671 +:1045900083A946009C4263DC090A94401306D002BA +:1045A000B307F0402380C6008040B336F000B30963 +:1045B0003041050480C03E8BB389D940A94C02C468 +:1045C000E1BB03C616000508CA8681BB9C4046892C +:1045D00021B7E37D9BDD8547814B8546E3C547E1F8 +:1045E00031BD97CC0100938CECDDCE856685EF0064 +:1045F000F04E330AAA40635C400180402300A401CE +:1046000080407D1A050480C0E31A0AFE014A83C770 +:104610000C00E38207EAE3C809E6FD197D57E3943D +:10462000E9E6ADBDB247938647009C4336C693D9B1 +:10463000F74195B7635640019307D002E313FDFAA3 +:1046400097CC0100938C0CD8930780021DBD3E8B44 +:10465000A94C02C4804089B34D71232E3113B70990 +:1046600000409387F9FF2324811423229114232CE3 +:104670004113232A51132326111423202115232803 +:1046800061132326711323248113232291132320E2 +:10469000A113232EB1112A8A2EC417C401001304BA +:1046A000E4EF975A0300938AEA1597C401009384B4 +:1046B000E4AA3EC693055002294513080004B70634 +:1046C00010F083470A006386B704C1C71840050A83 +:1046D00013061700569797C8010023A1C8EC2300C2 +:1046E000F7006382A706E31E06FD175703001307B2 +:1046F000671105078347F7FF23A0F6F01C40FD175D +:1047000017C60100232CF6E8EDF783470A00E39E65 +:10471000B7FA83461A0013051A002A87930B000282 +:104720007D5B7D5C014893055005A5489387D6FDC8 +:1047300093F7F70F130A170063E7F5348A07A69774 +:104740009C43A6978287175703001307A70B55F2C0 +:104750008DBF8320C11403248114832441140329B1 +:1047600001148329C113032A8113832A4113032BC4 +:104770000113832BC112032C8112832C4112032DB0 +:104780000112832DC11171618280A14C014D8547B9 +:1047900063DB0719A2479D07E19B83AD070003ABCD +:1047A0004700138787003AC4668681466E85DA859E +:1047B000EF1040682AC863066D3513094101854929 +:1047C000668681466E85DA85EF1000096686814629 +:1047D000AA8D2E8BEF1000662320A90085091109F0 +:1047E000E3106DFFE3FE9DFD13060004B70610F015 +:1047F00063D189031C4013871700D69797C5010022 +:1048000023AEE5D823807701630CC7047D1CE3C386 +:1048100089FFB2476800A548B386F9008A061C08DC +:10482000BE96294813030004370610F0E384A6E877 +:104830009C429305000363F4F8009305700518404B +:10484000AE9793051700569717CE01002328BED4C4 +:104850002300F7006380072B638C6526F116F9B7F8 +:1048600017570300130707FA05078347F7FF23A02D +:10487000F6F01C40FD1797C5010023A1F5D2EDF716 +:104880007D1C71B71C40130600041387170097C5E1 +:10489000010023A5E5D0B386FA0093050003238029 +:1048A000B600630FC73C890797C6010023A8F6CE60 +:1048B0005697930680072300D70017570300130766 +:1048C00067F4B70610F0639EC70005078347F7FF3C +:1048D00023A0F6F01C40FD1717C601002320F6CCDC +:1048E000EDF7A247C14C014D91072247014B832DA3 +:1048F00007003EC455BDA24783AC0700138947009B +:10490000638E0C3A635480219307D002639EFB2E82 +:1049100003C70C00BA856310072025AC8547A94C56 +:10492000014DE3C907E7A2479107C1B7A2478346F4 +:104930001700528703AB070091073EC4E3580CDE13 +:104940005A8C7D5BE5B383461700930BD0025287E8 +:10495000F1BB9347FCFFFD8783461700337CFC00C7 +:104960005287E9B383461700930B000352877DBB40 +:1049700003461700138B06FD5287930706FDB28688 +:10498000E3EEF8FA93172B00DA9705078607B2973C +:1049900003460700138B07FD930706FDB286E3F37A +:1049A000F8FE69BFA2451C4029469841938617002E +:1049B000D69717C501002323D5BE2380E7001389AE +:1049C00045006300C72A930700046387F6204AC4A2 +:1049D000D5B1854763DE0729A24713877700619B1E +:1049E000930787003EC4032B47001C43635A0B30D8 +:1049F0001840130600049306170097C5010023AF63 +:104A0000D5B856979305D0022300B7006387C62C0C +:104A1000B307F0403337F000330B6041BE8D330BEA +:104A2000EB40A94C014D49B3834617000508528756 +:104A3000F5B9834617005287D5B91C409306000488 +:104A40001387170017C60100232AE6B4D69713066A +:104A500050022380C700E31FD7C417570300130772 +:104A600067DAB70610F005078347F7FF23A0F6F0D3 +:104A70001C40FD1717C601002322F6B2EDF71DB941 +:104A8000C14C014D29B31C40930600042A8A1387A8 +:104A9000170017C601002323E6B0D697130650026D +:104AA0002380C700E318D7C017570300130787D523 +:104AB000B70610F005078347F7FF23A0F6F01C4068 +:104AC000FD1717C60100232BF6ACEDF72A8ADDB6D9 +:104AD00017570300130707D305078347F7FF232062 +:104AE000F6F01C40FD1797C5010023A9F5AAEDF7C4 +:104AF000F1162DBB175703001307C7D0F1FDF116B0 +:104B000035B3E3FC9DCB8549C5B1014C03C70C000F +:104B1000BA85E30E07EAFD58294513080004B706D5 +:104B200010F063570B0A1C4013861700D69717C363 +:104B300001002325C3A62380E7006384A506630440 +:104B40000609850C03C70C007D1CBA8579FBE35070 +:104B500080E91C409305000213060004B70610F01C +:104B600021A07D1CE3050CE613871700D697238050 +:104B7000B70017C501002323E5A2BA87E313C7FED8 +:104B800017570300130707C805078347F7FF23A03C +:104B9000F6F01C40FD1717C501002321F5A0EDF725 +:104BA000C9B7175703001307E7C541DE0507834759 +:104BB000F7FF23A0F6F01C40FD1717C60100232FB6 +:104BC000F69CEDF7BDBF175703001307A7C3F9BF46 +:104BD0007D1BE31A1BF5A5BF17570300130787C2F8 +:104BE000B70610F005078347F7FF23A0F6F01C4037 +:104BF000FD1717C601002323F69AEDF74AC45DBCE2 +:104C000097BC0100938C0C7CDA856685C12D330C32 +:104C1000AC40E35D80EF1C4013060004B70610F0C3 +:104C200021A07D1CE3030CEE13871700D697238089 +:104C3000770197C5010023A3E596BA87E313C7FE62 +:104C400017570300130707BC05078347F7FF23A087 +:104C5000F6F01C40FD1797C5010023A1F594EDF770 +:104C6000C9B7175703001307E7B9BDFA4AC499B487 +:104C7000A247138747009C433AC413DBF741BDB3F7 +:104C800017570300130707B8B70610F00507834747 +:104C9000F7FF23A0F6F01C40FD1717C60100232FD5 +:104CA000F68EEDF7854717C701002329F78E930786 +:104CB0008007175703002307F7B425B16356800117 +:104CC0009307D002E39EFBF297BC0100938C8C6F9C +:104CD00013078002930580023DBD9756030093861B +:104CE00066B2370610F0850603C7F6FF2320E6F00C +:104CF00018407D1797C5010023A2E58A6DF709BB0F +:104D0000BE8DA94C014D4DB4B70710F023A0A7F2FA +:104D100001A03971130341022ED29A8506CE32D4F6 +:104D200036D63AD83EDA42DC46DE1AC63532F24092 +:104D30000145216182805D711303810322D42AC65B +:104D400032DC2A841A86680006D6BEC236DEBAC0B5 +:104D5000C2C4C6C61ACEEFF06FD4B2472380070094 +:104D60003245B250018D225461618280B70710F044 +:104D700083A647F403A607F403A747F4E31AD7FE74 +:104D80008566938606FAB29633B6C600B305E6008A +:104D900023A4D7F423A6B7F48280B70710F003A7A3 +:104DA00047F403A607F483A647F4E31AD7FE856603 +:104DB000938606FAB29633B6C60023A4D7F4B30599 +:104DC000E60023A6B7F49307000873A0473082805B +:104DD000411122C406C697B701009387A77C17B478 +:104DE00001001304E47B98431C401306F07C9306F7 +:104DF00047069387470697B5010023A5D57A97B54F +:104E0000010023AFF5786346D600B2402244410149 +:104E100082801307478997B7010023A5E778D52635 +:104E20008547631FF500184085679387F77601452E +:104E3000E3DDE7FCD13D2244B24041016FC03FECCD +:104E400017B5010013058558E9350945753D22441C +:104E5000B24041016FC0BFEA17B501001305C55844 +:104E60006FF03FEB17B50100130585586FF07FEA2F +:104E7000411122C426C206C6856417B4010013047A +:104E8000A457938784380100FD17F5FF2285EFF0C2 +:104E90005FE8C5BFE1A4411106C6A52605478147C5 +:104EA0006316E500B2403E854101828017B50100DE +:104EB0001305C551EFF0FFE5B24089473E8541013A +:104EC00082807370043001A082807370043001A06E +:104ED000797122D426D24AD04ECE52CC56CA5AC864 +:104EE0005EC662C406D697B401009384A46E97B9D7 +:104EF00001009389696C17BA0100130AAA6B97BA6B +:104F00000100938A6A6C97BB0100938B2B6C17BCD2 +:104F10000100130CAC6A05440D49094B88408145DA +:104F2000EFB01FF9E31C85FE03A50900EFC08FFB5E +:104F3000631C250B03250A00EFC0CFFA6313250B72 +:104F400083A70A006387870097B7010023A28768B9 +:104F5000F1B783A70B00E39987FE83270C00032595 +:104F60000A00850717B70100232AF764EFC03F9CAA +:104F700003A50900EFC0BF9B83A70A0063878704CE +:104F800083A70B00638387040145EFC01F8063176D +:104F9000650503A50900EFC0EFF4631D6505032552 +:104FA0000A00EFC02FF4631465058840EFB01FB00E +:104FB0006306850097B7010023AC87600145EFC009 +:104FC000CFFC29DD7370043001A0014597B70100C3 +:104FD00023A08760EFC06FFBE30D65FB73700430A7 +:104FE00001A07370043001A07370043001A07370CD +:104FF000043001A07370043001A0011122CC26CA34 +:105000004AC84EC652C406CE17B901001309895CBE +:1050100017BA0100130A8A5B97B401009384445ABB +:1050200097B901009389095A05442DA097B701004B +:1050300023A0875A9C4003A7090085076386E700E1 +:1050400097B7010023A687589C40850717B7010032 +:105050002328F75603250900F955EFB07FE5E3173C +:1050600085FC83270A00E39387FC03250900EFB042 +:10507000FFA36306850097B7010023AB8754014562 +:1050800097B7010023A28754EFD04FF997B70100DB +:1050900023AC075245B701114AC817B901001309DB +:1050A00069530325090022CC4EC606CE26CA054404 +:1050B00097B9010093890951EFB05F9F6305850897 +:1050C000A94403250900A145EFB09FDE63028508CE +:1050D000FD14514597B7010023AC874EEFD08F8662 +:1050E000EDF0A9445145EFD0EF8503250900FD14EB +:1050F000EFB0DF9B6306850097B7010023AA874CBA +:10510000F5F003250900EFB07F9A6316850097B785 +:10511000010023AF874A83A709000145850717B718 +:1051200001002321F74A97B7010023A1874AEFD056 +:10513000EFEE0325090097B7010023A90748EFB058 +:10514000FF96E31F85F697B7010023A387488DBF1D +:10515000FD145145EFC01FFFADF461B7411111457A +:1051600006C6EFB0FF8E97B501009385252A97B745 +:10517000010023A1A746EFB01FF897B7010093875E +:1051800067459C43A5CF8148014897B701009387A5 +:10519000A742094781461306004097B50100938551 +:1051A000E52717050000130545EFEFC02F8D814857 +:1051B000014897B701009387E73F054781461306EB +:1051C000004097B501009385E525170500001305FC +:1051D00005E3EFC0AF8AB2408148014881470147EB +:1051E00081461306004097B50100938525241705D5 +:1051F0000000130525CE41016FC04F88B240410128 +:10520000828097B701009387E73B97B601009386AA +:10521000263A984394426382E6069C4397B601007F +:105220009386C638944217B701002323F73897B7FF +:1052300001009387E73898436382E6069C4397B65C +:10524000010093866636944217B701002320F73693 +:1052500097B701009387873698436382E6069C439D +:1052600017B701002322F73497B701009387473619 +:1052700088437D153335A0008280854717B701002C +:105280002328F73497B601009386463297B701007A +:105290009387073398439442E392E6FA854717B71A +:1052A00001002327F73297B601009386E62F97B7C0 +:1052B00001009387A73098439442E392E6FA85472A +:1052C00017B701002326F73097B7010093874730BF +:1052D00088437D153335A00082800000B305B500FA +:1052E000930705006386B70003C70700631607002E +:1052F0003385A74067800000938717006FF09FFEFB +:10530000130101FB23229104232C41032322910347 +:10531000232611042324810423202105232E310375 +:10532000232A5103232861032326710323248103A5 +:105330002320A103232EB101930C0500138A05003D +:105340009304000063DE05003305A0403337A0005E +:10535000B305B040930C0500338AE5409304F0FF99 +:1053600063DA06003306C040B337C000B306D0404E +:10537000B386F640930A06009389060013840C0056 +:1053800013090A006396062817BB0100130B8BF262 +:105390006370CA16B70701006372F6149307F00F23 +:1053A00063F4C70093098000B3573601330BFB0049 +:1053B00083470B0013050002B3873701B309F5409B +:1053C000638C0900B3153A01B3D7FC00B31A360158 +:1053D00033E9B70033943C0113DB0A0193050B005A +:1053E00013050900EF00507C130A050093050B001C +:1053F000939B0A0113050900EF00907693DB0B01E4 +:105400009305050013850B00EF00D072131A0A01F3 +:1054100093570401B367FA0063FAA700B3875701F3 +:1054200063E6570163F4A700B38757013389A740A8 +:1054300093050B0013050900EF001077130A050010 +:1054400093050B0013050900EF009071131404017C +:1054500093050500131A0A0113850B001354040168 +:10546000EF00506D33648A00637AA4003304540162 +:10547000636654016374A400330454013304A440EC +:105480003354340193050000638A040033048040E0 +:10549000B3378000B305B040B385F5401305040071 +:1054A0008320C104032481048324410403290104CB +:1054B0008329C103032A8103832A4103032B0103A8 +:1054C000832BC102032C8102832C4102032D010294 +:1054D000832DC1011301010567800000B70700019A +:1054E00093090001E362F6EC930980016FF0DFEBB2 +:1054F000631A06009305000013051000EF005066C4 +:10550000930A0500B707010063FAFA0E9307F00F3C +:1055100063F4570193098000B3D73A01330BFB00C2 +:1055200083470B001305000233095A41B387370143 +:10553000B309F540E38209EAB39A3A01335BFA0012 +:10554000B3153A01B3D7FC0093DB0A0133E9B70086 +:1055500013050B0093850B00EF001065130A05007F +:1055600093850B00139C0A0113050B00EF00505F9D +:10557000135C0C019305050013050C00EF00905B14 +:10558000131A0A0193570901B367FA0033943C01D7 +:1055900063FAA700B387570163E6570163F4A700D6 +:1055A000B3875701338BA74093850B0013050B007E +:1055B000EF00905F130A050093850B0013050B00A5 +:1055C000EF00105A9305050013050C00EF009056EC +:1055D00093160901131A0A0193D60601B366DA007D +:1055E00063FAA600B386560163E6560163F4A6008B +:1055F000B38656013389A6406FF01FDEB70700015E +:1056000093090001E3EAFAF0930980016FF0DFF0FB +:105610006376DA0093050A006FF01FE7B707010011 +:1056200063FAF604930BF00F33B5DB001315350066 +:1056300033D7A60097B701009387C7C7B387E700A2 +:1056400083CB070093050002B38BAB00338B75410E +:10565000631C0B0263E4460163EACC003384CC4054 +:10566000B306DA4033B98C0033892641930509002B +:105670006FF09FE1B707000113050001E3EAF6FAB6 +:10568000130580016FF0DFFAB3966601335D760192 +:10569000336DDD00B35D7A01B3156A0133DC7C0143 +:1056A00013540D01336CBC0013850D0093050400E9 +:1056B000B3196601EF00504F930A050093050400EB +:1056C00013850D0033996C01931C0D01EF005049B7 +:1056D00093DC0C01130A05009305050013850C00EB +:1056E000EF005045939A0A0113570C0133E7EA0083 +:1056F000930D0A00637EA7003307A701930DFAFFFD +:105700006368A7016376A700930DEAFF3307A7013B +:10571000330AA7409305040013050A00EF00D048A0 +:1057200093050400930A050013050A00EF00504397 +:10573000930505001304050013850C00EF00903F4E +:1057400093150C01939A0A0193D50501B3E5BA00AC +:105750001307040063FEA500B385A5011307F4FF3A +:1057600063E8A50163F6A5001307E4FFB385A5016F +:10577000939D0D01B70C0100B3EDED001384FCFF08 +:10578000B3F78D0033F48900338AA54013850700F1 +:10579000930504002326F10093DD0D01EF009039FD +:1057A00093050400930A050013850D00EF0090385F +:1057B00013DC090193050C002324A10013850D00BF +:1057C000EF0050378327C10013040C009305040039 +:1057D000130C050013850700EF00D03583268100E8 +:1057E00013D70A013305D5003307A7006374D70028 +:1057F000330C9C01B70701009387F7FF935507010E +:105800003377F70013170701B3F7FA00B385850163 +:10581000B307F7006366BA00631EBA00637CF90041 +:1058200033863741B3B7C700B385A541B385F5408B +:1058300093070600B307F9403339F900B305BA40BE +:10584000B385254133947501B3D767013364F40000 +:10585000B3D565016FF05FC3130101FD23229102EF +:10586000232A51012326110223248102232021030C +:10587000232E3101232C41012328610123267101AC +:1058800023248101232291012320A101930A0500F1 +:1058900093840500639E06381304060093090500EF +:1058A00017B90100130909A163F8C512B707010070 +:1058B000138B05006378F6101307F00F3337C7001A +:1058C00013173700B357E6003309F9008346090080 +:1058D0003387E60093060002B386E640638C060039 +:1058E000B394D40033D7EA003314D600336B970057 +:1058F000B399DA00935A040193850A0013050B004B +:10590000EF00902A1309050093850A00931B0401F8 +:1059100013050B00EF00D02493DB0B01930405006B +:105920009305050013850B00EF00D0201319090122 +:1059300093D70901B367F900138A040063FEA70037 +:10594000B3878700138AF4FF63E8870063F6A70034 +:10595000138AE4FFB3878700B384A74093850A00C6 +:1059600013850400EF0050241309050093850A00F5 +:1059700013850400EF00D01E9399090193040500DC +:10598000930505001319090113850B0093D909012B +:10599000EF00501AB36939011386040063FCA900B3 +:1059A000B30934011386F4FF63E6890063F4A900A8 +:1059B0001386E4FF13140A013364C400130A0000C1 +:1059C0006F000013B707000113070001E36CF6EE48 +:1059D000130780016FF01FEF138A0600631A060099 +:1059E0009305000013051000EF0090171304050045 +:1059F000B7070100637EF4129307F00F63F487008A +:105A0000130A8000B35744013309F9000347090022 +:105A10009306000233074701B386E64063940612FB +:105A2000B3848440130A1000135B040193050B0038 +:105A300013850400EF0050171309050093050B00B0 +:105A400013850400931B0401EF00901193DB0B01FD +:105A5000930405009305050013850B00EF00900DDE +:105A60001319090193D70901B367F900938A040058 +:105A700063FEA700B3878700938AF4FF63E887007B +:105A800063F6A700938AE4FFB3878700B384A74037 +:105A900093050B0013850400EF0010111309050096 +:105AA00093050B0013850400EF00900B93990901F7 +:105AB00093040500930505001319090113850B00D4 +:105AC00093D90901EF001007B36939011386040067 +:105AD00063FCA900B30934011386F4FF63E689006F +:105AE00063F4A9001386E4FF13940A013364C4002D +:105AF0001305040093050A008320C10203248102D8 +:105B000083244102032901028329C101032A81015F +:105B1000832A4101032B0101832BC100032C810047 +:105B2000832C4100032D0100130101036780000055 +:105B3000B7070001130A0001E366F4EC130A8001C1 +:105B40006FF05FEC3314D40033DAE400B399DA0079 +:105B500033D7EA00935A0401B394D40093850A0022 +:105B600013050A00336B9700EF00100413090500BA +:105B700093850A0013050A00931B0401EF00407E81 +:105B800093DB0B01930405009305050013850B00BF +:105B9000EF00407A1319090113570B013367E9002D +:105BA000138A0400637EA70033078700138AF4FF7B +:105BB000636887006376A700138AE4FF33078700D2 +:105BC000B304A74093850A0013850400EF00C07D4D +:105BD0001309050093850A0013850400EF0040783F +:105BE000930405009305050013850B00EF008074F6 +:105BF00013170B011357070113190901B367E900C4 +:105C00001387040063FEA700B38787001387F4FFA0 +:105C100063E8870063F6A7001387E4FFB387870074 +:105C2000131A0A01B384A740336AEA006FF0DFDF7A +:105C300063ECD51EB707010063F4F6041307F00FF9 +:105C4000B335D7009395350033D7B60097A7010039 +:105C500093874766B387E70003C70700130A00026C +:105C60003307B700330AEA4063160A021304100030 +:105C7000E3E096E833B6CA00134416006FF05FE71E +:105C8000B707000193050001E3E0F6FC93058001EE +:105C90006FF09FFBB35CE600B3964601B3ECDC000B +:105CA00033D4E40093DB0C01B397440133D7EA000B +:105CB00093850B0013050400336BF700B3194601FD +:105CC000EF00806E1309050093850B001305040097 +:105CD000139C0C01EF00C068135C0C0193040500D9 +:105CE0009305050013050C00EF00C06413190901AA +:105CF00013570B013367E90013840400637EA70088 +:105D0000330797011384F4FF636897016376A70054 +:105D10001384E4FF33079701B304A74093850B0076 +:105D200013850400EF0040681309050093850B00FC +:105D300013850400EF00C06293040500930505007D +:105D400013050C00EF00005F93170B0113190901F5 +:105D500093D70701B367F9001386040063FEA70019 +:105D6000B38797011386F4FF63E8970163F6A700F2 +:105D70001386E4FFB387970113140401B70B0100E6 +:105D80003364C4001389FBFF337D240133F92901F7 +:105D9000B384A7409305090013050D00EF00805957 +:105DA000935C040193050900130B050013850C0097 +:105DB000EF00405893D90901130C050093850900A1 +:105DC00013850C00EF0000571309050093850900A7 +:105DD00013050D00EF0000563305850193570B01A5 +:105DE0003385A70063748501330979019357050151 +:105DF000B387270163E6F402E392F4BCB70701001E +:105E00009387F7FF3375F50013150501337BFB000E +:105E100033964A0133056501130A0000E37AA6CCE4 +:105E20001304F4FF6FF09FB9130A0000130400007D +:105E30006FF01FCC130101FB232481042322910462 +:105E4000232E31032322910323261104232021052D +:105E5000232C4103232A51032328610323267103A2 +:105E6000232481032320A103232EB101930C0500D9 +:105E7000938905001304050093840500639E06269C +:105E800013090600138A060097AA0100938A8A4222 +:105E900063F4C514B70701006376F6129307F00F99 +:105EA00063F4C700130A8000B3574601B38AFA00AF +:105EB00003C70A001305000233074701330AE54010 +:105EC000630C0A00B395490133D7EC00331946013E +:105ED000B364B70033944C01935A090193850A00C7 +:105EE00013850400EF00404C9309050093850A00D8 +:105EF000131B090113850400EF008046135B0B019F +:105F00009305050013050B00EF00C04293990901AA +:105F100093570401B3E7F90063FAA700B387270199 +:105F200063E6270163F4A700B3872701B384A74082 +:105F300093850A0013850400EF00004793090500CC +:105F400093850A0013850400EF00804113140401B7 +:105F5000930505009399090113050B0013540401DF +:105F6000EF00403D33E48900637AA4003304240148 +:105F7000636624016374A400330424013304A44041 +:105F800033554401930500008320C1040324810498 +:105F900083244104032901048329C103032A8103C3 +:105FA000832A4103032B0103832BC102032C8102AB +:105FB000832C4102032D0102832DC1011301010530 +:105FC00067800000B7070001130A0001E36EF6ECDA +:105FD000130A80016FF05FED631A0600930500005D +:105FE00013051000EF00C03713090500B7070100C3 +:105FF000637AF90E9307F00F63F42701130A800008 +:10600000B3574901B38AFA0003C70A001305000217 +:10601000B384294133074701330AE540E30E0AEA16 +:1060200033194901B3DAE900B395490133D7EC00DC +:1060300093540901336BB70013850A00938504005C +:10604000EF0080369309050093850400931B090136 +:1060500013850A00EF00C03093DB0B0193050500A8 +:1060600013850B00EF00002D9399090193570B0145 +:10607000B3E7F90033944C0163FAA700B387270113 +:1060800063E6270163F4A700B3872701B38AA7401B +:106090009385040013850A00EF0000319309050081 +:1060A0009385040013850A00EF00802B93050500FB +:1060B00013850B00EF00002893150B01939909013C +:1060C00093D50501B3E5B90063FAA500B3852501B1 +:1060D00063E6250163F4A500B3852501B384A540DB +:1060E0006FF09FDFB7070001130A0001E36AF9F0C0 +:1060F000130A80016FF0DFF0E3E8D5E8B70701008D +:1061000063FCF604930BF00F33B5DB001315350079 +:1061100033D7A60097A701009387C719B387E70075 +:1061200083CB070093050002B38BAB00338B754123 +:10613000631E0B0263E4360163EACC003384CC4077 +:10614000B386D94033B58C00B384A6401305040050 +:10615000938504006FF05FE3B707000113050001AA +:10616000E3E8F6FA130580016FF09FFAB396660133 +:10617000335D7601336DDD0033D47901B395690168 +:1061800033DC7C0193540D01336CBC001305040017 +:1061900093850400B31A6601EF000021130A05007D +:1061A000938504001305040033996C01931C0D01C1 +:1061B000EF00001B93DC0C011304050093050500A0 +:1061C00013850C00EF000017131A0A0113570C0176 +:1061D0003367EA00130A0400637EA7003307A701B0 +:1061E000130AF4FF6368A7016376A700130AE4FFAC +:1061F0003307A701B309A74093850400138509005D +:10620000EF00801A9385040013040500138509002C +:10621000EF000015930505009304050013850C009D +:10622000EF00401193150C011314040193D50501DF +:10623000B365B4001387040063FEA500B385A50110 +:106240001387F4FF63E8A50163F6A5001387E4FF55 +:10625000B385A501131A0A01B70C0100336AEA00DD +:106260001384FCFFB3778A0033F48A00B384A5401B +:1062700013850700930504002326F100135A0A0131 +:10628000EF00400B930905009305040013050A0075 +:10629000EF00400A13DC0A01930D050093050C0082 +:1062A00013050A00EF0000098327C100130A050047 +:1062B00093050C0013850700EF00C0073305B501F7 +:1062C00013D709013307A7006374B701330A9A0192 +:1062D000B70701009387F7FF935507013377F7005E +:1062E00013170701B3F7F900B3854501B307F700AA +:1062F00063E6B400639EB400637CF90033865741C3 +:10630000B3B7C700B385A541B385F5409307060031 +:10631000B307F9403339F900B385B440B38525415B +:1063200033947501B3D767013365F400B3D56501C4 +:106330006FF09FC5130605001305000093F61500C6 +:10634000638406003305C50093D5150013161600A7 +:10635000E39605FE678000006340050663C60506F8 +:1063600013860500930505001305F0FF630C060274 +:1063700093061000637AB6006358C0001316160027 +:1063800093961600E36AB6FE1305000063E6C500A7 +:10639000B385C5403365D50093D616001356160055 +:1063A000E39606FE6780000093820000EFF05FFB3B +:1063B00013850500678002003305A04063D80500FF +:1063C000B305B0406FF0DFF9B305B0409382000031 +:1063D000EFF01FF93305A0406780020093820000B0 +:1063E00063CA0500634C0500EFF09FF713850500B5 +:1063F00067800200B305B040E35805FE3305A040B6 +:10640000EFF01FF63305B0406780020097A7010048 +:1064100093874716944317A701001307671418437F +:10642000C8C2637BE50017A70100130727140843C0 +:106430008C4391056FA0CF90411122C406C617A7C7 +:1064400001001307E7122A8408438C439105EFA04B +:106450002F8F97A701009387E70E9C436376F40084 +:1064600097A7010023A0870EB240224441018280F9 +:1064700097A7010093870710984394437C43FD1727 +:106480007CC3FC4299E3736004308280011122CC0A +:1064900026CA2A84AE844AC84EC652C456C206CE04 +:1064A000EFB0FF861C4003290401B7090001B3E5E2 +:1064B0009700930AC4000CC08144FD19370A0002FA +:1064C0001840638C2A03032609004A85B3654701F7 +:1064D000B376360193175600758F0329490063C4BC +:1064E000070001E7F1BFE39DE6FC9317760063D355 +:1064F0000700D58CEFC00FF1E1B793C4F4FFF98C1E +:1065000004C0EFB0DFA50840F2406244D2444249E3 +:10651000B249224A924A05618280411106C622C4CC +:10652000EFE0DFC317F7FFFF1307C78A8146054671 +:106530009305400617A50100130585ECEFD08FC029 +:1065400019C92A84EFB0AFFD2A86014781468545E7 +:106550002285EFD0CFCBEFB00FD6B2402244014519 +:0465600041018280F3 +:02000004800278 +:10000000CE44FEFFCC45FEFFD644FEFFCC45FEFFAE +:10001000CC45FEFFCC45FEFFCC45FEFFEE44FEFF87 +:10002000CC45FEFFCC45FEFF0845FEFF1445FEFF14 +:10003000CC45FEFF2645FEFF3245FEFF3245FEFF62 +:100040003245FEFF3245FEFF3245FEFF3245FEFFE0 +:100050003245FEFF3245FEFF3245FEFFCC45FEFF36 +:10006000CC45FEFFCC45FEFFCC45FEFFCC45FEFF58 +:10007000CC45FEFFCC45FEFFCC45FEFFCC45FEFF48 +:10008000CC45FEFFCC45FEFFCC45FEFFCC45FEFF38 +:10009000CC45FEFFCC45FEFFCC45FEFFCC45FEFF28 +:1000A000CC45FEFFCC45FEFFCC45FEFFCC45FEFF18 +:1000B000CC45FEFFCC45FEFFCC45FEFFCC45FEFF08 +:1000C000CC45FEFFCC45FEFFCC45FEFFCC45FEFFF8 +:1000D000CC45FEFFCC45FEFFCC45FEFFCC45FEFFE8 +:1000E000CC45FEFFCC45FEFFCC45FEFFCC45FEFFD8 +:1000F000CC45FEFFCC45FEFFCC45FEFFCC45FEFFC8 +:100100006645FEFF7C45FEFFCC45FEFFCC45FEFF6D +:10011000CC45FEFFCC45FEFFCC45FEFFCC45FEFFA7 +:10012000CC45FEFFC245FEFFCC45FEFFCC45FEFFA1 +:100130007443FEFF2C44FEFFCC45FEFFCC45FEFF82 +:100140006244FEFFCC45FEFFBA44FEFFCC45FEFFF5 +:10015000CC45FEFFE844FEFFDA48FEFF2E49FEFFD5 +:10016000E248FEFF2E49FEFF2E49FEFF2E49FEFF0C +:100170002E49FEFFD447FEFF2E49FEFF2E49FEFF0B +:10018000EE47FEFFFA47FEFF2E49FEFF0C48FEFF3A +:100190001848FEFF1848FEFF1848FEFF1848FEFFEB +:1001A0001848FEFF1848FEFF1848FEFF1848FEFFDB +:1001B0001848FEFF2E49FEFF2E49FEFF2E49FEFF86 +:1001C0002E49FEFF2E49FEFF2E49FEFF2E49FEFF5F +:1001D0002E49FEFF2E49FEFF2E49FEFF2E49FEFF4F +:1001E0002E49FEFF2E49FEFF2E49FEFF2E49FEFF3F +:1001F0002E49FEFF2E49FEFF2E49FEFF2E49FEFF2F +:100200002E49FEFF2E49FEFF2E49FEFF2E49FEFF1E +:100210002E49FEFF2E49FEFF2E49FEFF2E49FEFF0E +:100220002E49FEFF2E49FEFF2E49FEFF2E49FEFFFE +:100230002E49FEFF2E49FEFF2E49FEFF2E49FEFFEE +:100240002E49FEFF2E49FEFF2E49FEFF2E49FEFFDE +:100250002E49FEFF2E49FEFF4C48FEFF7A48FEFF66 +:100260002E49FEFF2E49FEFF2E49FEFF2E49FEFFBE +:100270002E49FEFF2E49FEFF2E49FEFFD048FEFF0D +:100280002E49FEFF2E49FEFF3246FEFF2C47FEFFA1 +:100290002E49FEFF2E49FEFF9E47FEFF2E49FEFF20 +:1002A000C447FEFF2E49FEFF2E49FEFF2849FEFFF0 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D000207265636D75746578207461736B73202A +:1003E0000D0A00006D69616F750A00006D69616F2B +:1003F00075320A0068756E6772790A004368656332 +:100400006B54696D6572000052656375727369762D +:10041000655F4D75746578005265633100000000BA +:100420005265633200000000526563330000000033 +:100430001000000000000000017A5200017C010160 +:100440001B0D02005000000018000000B44EFEFF1B +:100450005805000000440E507489039406990B81DE +:1004600001880292049305950796089709980A9ABD +:100470000C9B0D036C010AC144C844C944D244D347 +:1004800044D444D544D644D744D844D944DA44DB90 +:10049000440E00440B0000004C0000006C00000003 +:1004A000B853FEFFDC05000000440E307089039550 +:1004B000078101880292049305940696089709988B +:1004C0000A990B9A0C0370020AC144C844C944D269 +:1004D00044D344D444D544D644D744D844D944DA48 +:1004E000440E00440B00000050000000BC0000005F +:1004F0004459FEFF0005000000440E507488028934 +:10050000039305990B810192049406950796089729 +:1005100009980A9A0C9B0D0320010AC144C844C9DA +:1005200044D244D344D444D544D644D744D844D9FF +:0C05300044DA44DB440E00440B000000E1 +:0805D400FC8F0100090000008A +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/recmutex_rv32im_O3.hex b/VexRiscv/src/test/resources/freertos/recmutex_rv32im_O3.hex new file mode 100644 index 0000000..87625d9 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/recmutex_rv32im_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/recmutex_rv32imac_O3.hex b/VexRiscv/src/test/resources/freertos/recmutex_rv32imac_O3.hex new file mode 100644 index 0000000..341d86c --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/recmutex_rv32imac_O3.hex @@ -0,0 +1,1588 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000E1C8170502001305E54A9795030093850B +:1000A000257201461122170502001305A53D97058B +:1000B0000200938565460146C52817A50300130570 +:1000C000657097B503009385A56F37B6ABAB130684 +:1000D000B6BAD92817B103001301C16EEF501054FE +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2422823A02200F322103456 +:1002400096DEEF00002B170102000321E126024198 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E22923A0120023A2A9 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40B025170102000321DA +:10033000A1180241F65273901234B7220000938242 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A21B83A0B0 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2420623A0220086DEEF203C +:10046000402D17010200032121050241F65273902D +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF40D005EF10700901E57A +:10050000B24041018280B24041016F2080229307B6 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30101F1F +:1005B0008280411122C406C62A8426C2EF209010F0 +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF20A0566DD5EF2080763C44FD1780 +:1005F0003CC43C44E344F0FEFD573CC4EF20D00E25 +:10060000EF20500C7C40635BF0021C4885CB9304C8 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2060526DD5EF2040729F +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20100A29C5411151 +:1006500022C426C22A8406C6AE84EF20B0063440E7 +:100660005C5C1840232C0402B387F6027D5670C0F0 +:1006700018C430C4B386D740BA9736975CC058C404 +:1006800091EC1C489DE3EF203006B2402244924496 +:100690000545410182807370043001A013050401F7 +:1006A000BD3513054402A535F9BF13050401EF203C +:1006B000E0498547E319F5FC913BF1B701E573701B +:1006C000043001A0011126CA4AC84EC606CE22CC6B +:1006D000B2892E89AA84A5C53305B502130555052F +:1006E000EF3080732A8435C1930745051CC144DC73 +:1006F00023202405EF20007D34405C5C18407D56AB +:10070000B387F602232C040270C018C430C413054A +:100710000401B386D740BA9736975CC058C4C53B2E +:1007200013054402ED33EF20207C23083405228595 +:10073000F2406244D2444249B249056182801305C5 +:100740004005EF30606D2A8401E57370043001A02C +:1007500000C071BF01E57370043001A06375B5007E +:100760007370043001A0411122C409462E848145D2 +:1007700006C6A93711C500DDB2402244410182807E +:100780007370043001A05D7186C6A2C4A6C2CAC03F +:100790004EDE52DC56DA5AD85ED632C6630A051CE3 +:1007A0006382051C89476399F600585D8547630598 +:1007B000F7007370043001A03689AE842A84EF20DC +:1007C000A05B11E5B24781C77370043001A0EF2030 +:1007D000606F185C5C5C014B894BFD59130A040186 +:1007E000930A44026365F70C63077919B247638182 +:1007F000072463150B002808EF206048EF20C06E27 +:10080000EF10C04FEF20006C7C406394370123222F +:1008100004043C446394370123240404EF20C06C97 +:100820006C002808EF20C047631E051EEF2080697A +:10083000185C5C5C630CF70AEF20006BEF208068AB +:100840003C44634FF00039A85685EF20203019C191 +:10085000EF2000503C44FD173CC43C446354F0007E +:100860005C50FDF323243405EF200068EF20806501 +:100870007C40634FF00039A85285EF20202D19C12C +:10088000EF20004D7C40FD177CC07C406354F0009D +:100890001C48FDF323223405EF200065EF10006BA8 +:1008A000054BEF202062185C5C5CE37FF7F2304080 +:1008B0006305060C631F09120844A685EF30006E1D +:1008C0001C4434405840B6971CC463EAE70A1C5CD9 +:1008D00014405850850714C41CDC5DC7130544023E +:1008E000EF20C02685476311F50AC9A8EF20C05F35 +:1008F000B2455285EF204007EF20C05C3C44634F77 +:10090000F00039A85685EF20602419C1EF2040443B +:100910003C44FD173CC43C446354F0005C50FDF380 +:1009200023243405EF20405CEF20C0597C40634F06 +:10093000F00039A85285EF20602119C1EF20404115 +:100940007C40FD177CC07C406354F0001C48FDF3E4 +:1009500023223405EF204059EF10405F31F17D3400 +:10096000054B81B73C41E38F07E27370043001A06F +:100970007370043001A0304021E61C4085C71C5C28 +:10098000585085071CDC39FBEF2000560545B64062 +:10099000264496440649F259625AD25A425BB25BE7 +:1009A000616182804840EF2040491C5C58502322FE +:1009B000040085071CDCE31307F279D5813CE9B715 +:1009C0004844A685EF30805D3C4058441440B3074E +:1009D000F0403E9758C4637CD7025840BA975CC435 +:1009E00009475C506306E902185C050718DCC9DF9B +:1009F000F5B54844A685EF30605A3C405844144051 +:100A0000B307F0403E9758C4E37CD7FCF9B75C507D +:100A1000185C79DB185C7D1718DC185C050718DC9E +:100A2000A5D76DBD22857136EF104052014585B7BF +:100A3000EF20804B0145A1BF411126C2AA841305B6 +:100A4000400522C406C6EF30203D2A8415CD232C54 +:100A50000502FD577CC10547410558DC3CC42322F3 +:100A60000400232004002324040023260400232060 +:100A7000040423089404613C13054402493C814664 +:100A800001468145228501332285B24022449244A9 +:100A9000410182800DC5411122C426C206C64AC04A +:100AA000032945002A84EF20602C8144630CA900AF +:100AB000B2402244268502499244410182807370EB +:100AC000043001A05C448544FD175CC4F5F3814605 +:100AD0000146814522854539E1BF31CDA1C90111CA +:100AE00022CC26CA4AC852C406CE4EC68947B6840E +:100AF00032892E8A2A846399F600585D8547630FF0 +:100B0000F7047370043001A0C932185C5C5CAA89D8 +:100B10006366F70281444E857532F2406244268551 +:100B20004249D244B249224A056182803C41C5DB38 +:100B30007370043001A07370043001A0304041E2B2 +:100B40001C40D5CB1C5C7D5785071CDC3C4463876F +:100B5000E7083C44854485073CC475BFBD32185C3A +:100B60005C5CAA89636DF702304079DA4844D2852B +:100B7000EF30C0423C4058441440B307F0403E9729 +:100B800058C46375D7005840BA975CC48947E39B43 +:100B9000F4FA1C5CC5DB1C5CFD171CDC65B730403F +:100BA00045D24844D285EF30603F3C405844144021 +:100BB000B307F0403E9758C4E367D7FCD9BFDDF4D4 +:100BC0000844D285EF30803D1C4434405840B697ED +:100BD0001CC4E3E9E7F61C401CC4ADB75C50854477 +:100BE0009DDB13054402EF10707615D5E30509F27D +:100BF000232099000DB74840EF2020242322040031 +:100C000091B721CD3C4181C77370043001A01C41D4 +:100C1000A1CB011122CC26CA2A844AC84EC606CED0 +:100C20002E896530185C5C5CAA898144637DF7007D +:100C30001C5C7D5785071CDC3C446388E7023C4410 +:100C4000854485073CC44E85B538F240624426850C +:100C50004249D244B249056182807370043001A0D8 +:100C60005C41C5DB7370043001A05C5099E385449E +:100C7000D9BF13054402EF10706D75D9E30909FE61 +:100C800085472320F900E5B7397106DE22DC26DA34 +:100C90004AD84ED652D456D232C66302051C638A55 +:100CA000051AB68AAE892A84EF20000D11E5B247F5 +:100CB00081C77370043001A0EF20C0201C5C6392D8 +:100CC0000714B247638E070A2808EF10507BFD54C3 +:100CD00013094402130A0401EF200021EF1000025F +:100CE000EF20401E7C4063949700232204043C4480 +:100CF0006394970023240404EF20001F6C0028084D +:100D0000EF10107A63130518EF20C01B1C5CADCFE9 +:100D1000EF20801DEF20001B3C44634FF00039A8FA +:100D20004A85EF10B06219C1EF2080023C44FD17E4 +:100D30003CC43C446354F0005C50FDF324C4EF20F9 +:100D4000A01AEF2020187C40634FF00039A852858C +:100D5000EF10D05F19C1EF10B07F7C40FD177CC051 +:100D60007C406354F0001C48FDF364C0EF20C017C2 +:100D7000EF10C01DEF2000151C5CC1E7B247A9FFB2 +:100D8000EF208016014555A0EF2000161C40DDC75E +:100D9000B2454A85EF10503DEF20C0123C44634FEE +:100DA000F00039A84A85EF10705A19C1EF10507A37 +:100DB0003C44FD173CC43C446354F0005C50FDF3DC +:100DC00024C4EF206012EF20E00F7C40634FF0005E +:100DD00039A85285EF10905719C1EF1070777C40F9 +:100DE000FD177CC07C406354F0001C48FDF364C0D8 +:100DF000EF20800FEF10801531C9EF20A00C1C5C94 +:100E0000B5DFCE8522854444EFF0CFF8639C0A0419 +:100E10001C5C1840FD171CDC01E7EF20601148C086 +:100E20001C48A1EFEF20400C0545F2506254D2540B +:100E30004259B259225A925A21618280EF20800889 +:100E40004840EF107075EF20200A99B7EFF00FDCE3 +:100E50006DB73C41E38707E47370043001A0737001 +:100E6000043001A05C5044C4D5DF13054402EF10E8 +:100E7000F04D4DD9EFF08FD975B713050401EF1080 +:100E8000F04C8547E310F5FAF5B72285EFF06FF2E5 +:100E9000EF10C00B014551BF1DC9411122C44AC00A +:100EA00006C626C244412E892A84EF10306C638323 +:100EB000A40281464A8681452285F933854763002D +:100EC000F502B2402244924402494101828073708B +:100ED000043001A05C44054585075CC4DDB75C4473 +:100EE00085075CC4F9BF15CDADC9011122CC26CA56 +:100EF0004AC84EC652C42A8406CE3289AE89EFF063 +:100F0000CFDC1C5C2A8A814485E35285EFF08FDBBD +:100F1000F240624426854249D244B249224A0561E0 +:100F200082807370043001A0304001CE4C445C409C +:100F3000B2954CC463E4F5000C404CC44E85EF30D0 +:100F4000E0051C5C7D57FD171CDC7C40638CE700D2 +:100F50007C40854485077CC04DBF3C41D9D7737028 +:100F6000043001A01C4899E3854445B713050401EA +:100F7000EF10D03D75D9E30909FE85472320F9001C +:100F8000E5B721C93C41A1CDA1CB011122CC26CA94 +:100F90004AC852C42A8406CE4EC62E8AEFF0EFD23B +:100FA0001C5C2A89814491CB8329C400D285228587 +:100FB000EFF04FDE8544232634014A85EFF08FD0D1 +:100FC000F240624426854249D244B249224A056130 +:100FD00082807370043001A07370043001A0EDDFD3 +:100FE0007370043001A001E57370043001A0411159 +:100FF00022C42A8406C6EF10F06C005CEF10D06E9D +:10100000B240228522444101828001E573700430A0 +:1010100001A0411106C622C42A84EF10B06A1C5CEC +:10102000405C1D8CEF10506C2285B240224441017F +:10103000828019C1085D82807370043001A01DCDCB +:101040009787030093870774D843630CE502D8475A +:10105000630CE504D84B6307E504D84F6302E5044D +:10106000D853630DE502D8576308E502D85B6303E4 +:10107000E502D85F630EE5006F20306C73700430BA +:1010800001A001470E07BA9723A007006F20F06A5E +:101090001D47CDBF1947FDB71547EDB71147DDB760 +:1010A0000D47CDB70947F9BF0547E9BF68458280BD +:1010B0006CC5828003450505828009C5085D13352E +:1010C000150082807370043001A019C51C5D485D55 +:1010D0001D8D1335150082807370043001A0978731 +:1010E00003009387276A984305C3984705C7984B21 +:1010F00005C7984F05C7985305C7985705C7985B0C +:1011000015C7985F15C3828001470E07BA978CC335 +:10111000C8C382800547D5BF0947C5BF0D47F5B78E +:101120001147E5B71547D5B71D47C5B71947F1BFF3 +:101130009787030093870765D8436308E502D8477C +:10114000630BE502D84B630AE502D84F6309E50259 +:10115000D8536308E502D8576307E502D85B6308F4 +:10116000E502D85F6303A702828001470E07BA97A2 +:1011700023A0070082800547D5BF0947C5BF0D479B +:10118000F5B71147E5B71547D5B71D47C5B7194797 +:10119000F1BF411122C426C24AC02A8406C6AE84C9 +:1011A0003289EF1030527840FD576314F700232244 +:1011B00004043844FD576314F70023240404EF109B +:1011C000B0521C5C99E74A86A68513054402EF10CD +:1011D000B00CEF10304F3C44635BF0025C5085CBA9 +:1011E0009304440209A83C44FD173CC43C446350AA +:1011F000F0025C5089CF2685EF1050156DD5EF10A9 +:1012000030353C44FD173CC43C44E344F0FEFD57FC +:101210003CC4EF10704DEF10F04A7C40635BF0026D +:101220001C4885CB9304040109A87C40FD177CC0B1 +:101230007C406350F0021C4889CF2685EF101011C6 +:101240006DD5EF10F0307C40FD177CC07C40E3444E +:10125000F0FEFD577CC02244B24092440249410155 +:101260006F10904805C579714ECE52CC06D63E8A95 +:1012700022D426D24AD056CA5AC85EC662C4A547EE +:10128000BA8963F8E7007370043001A0737004300A +:1012900001A0AA8AAE843289B68B428B63090822E8 +:1012A00013050006EF2050372A84630D05200A0934 +:1012B000232865034A869305500A5A85EF20105C5F +:1012C00003C704001C587119230AE40203C7040071 +:1012D0003E991379C9FF79C783C71400A30AF402A2 +:1012E00083C71400E1C383C72400230BF40283C720 +:1012F0002400CDCB83C73400A30BF40283C7340092 +:10130000D5C383C74400230CF40283C74400D9CB60 +:1013100083C75400A30CF40283C75400C1C783C71A +:101320006400230DF40283C76400ADCF83C774004B +:10133000A30DF40283C77400B5C783C78400230ECE +:10134000F40283C78400B9CF83C79400A30EF402CC +:1013500083C79400A1CB83C7A400230FF40283C7E3 +:10136000A400A9C383C7B400A30FF40283C7B400C9 +:1013700095CB83C7C4002300F40483C7C4009DC376 +:1013800083C7D400A300F40483C7D40081CF83C7EC +:10139000E4002301F40483C7E40089C783C7F40091 +:1013A000A301F404130B44005A85A301040423266B +:1013B000340323283405232A0404EFF08F96130501 +:1013C0008401EFF00F96A947B3873741232C04041B +:1013D00000C81CCC40D023220404232E04045E86C3 +:1013E000D6854A85EFF00F8F08C063040A002320DA +:1013F0008A007370043017F901001309290A832742 +:10140000090097F401009384240B91C798409C40F5 +:101410007C4385077CC317F701001307E7081C43CB +:10142000850797F6010023A1F6089C40D5CB8327BA +:10143000090017FA0100130AAA1889EB9C40DC572F +:1014400063E6F90097F7010023A8870697F70100E4 +:101450009387C703984397F60100938666045C540C +:101460009442050717F601002322E60238C463F60A +:10147000F60017F701002325F7025147B387E7026B +:10148000DA853305FA00EFF02F8A8327090081C738 +:101490009C40FC43BDE383270900054499C79C4059 +:1014A000DC5763F43701EFE07FF62285B250225417 +:1014B00092540259F249624AD24A424BB24B224CF0 +:1014C000456182805A85EF2050277D54F9BF13155E +:1014D0002600EF2070142A8BE31405DC7D54F1B74D +:1014E00097F7010023AA87FC184385476301F70299 +:1014F00017FA0100130ACA0C91BF984094407C432C +:10150000FD177CC3FC42C1FB7360043069B7814A9C +:1015100017FA0100130ACA0A514CA94B33858A03F2 +:10152000850A5295EFE0BFFEE39A7AFF97FB010030 +:10153000938B8B155E85EFE09FFD97FA0100938AF0 +:10154000EA155685EFE0BFFC17F5010013054516B7 +:10155000EFE0FFFB17F501001305C516EFE03FFBB9 +:1015600017F5010013054517EFE07FFA97F7010023 +:1015700023A277F597F7010023AC57F3C1BD4111C2 +:1015800022C406C626C24AC02A847370043097F467 +:1015900001009384A4F09C40F9E311E497F7010063 +:1015A000938787F18043130944004A85EFE05FFC8D +:1015B0001C5489C713058401EFE09FFBCA8517F50A +:1015C000010013052510EFE03FF697F70100938720 +:1015D000E7ED984397F70100938747EB9C43050796 +:1015E00097F6010023A4E6EC9840850797F60100E2 +:1015F00023AEF6E801CB97F701009387E7EB98431A +:10160000784349EF9C40B1C797F701009387C7EA39 +:101610009843630F870873700430984039EB17F7CD +:101620000100130727E914439442A5E27D5797F67A +:10163000010023ABE6E4984009CF9843784311CBEF +:10164000984394437C43FD177CC3FC4299E3736049 +:101650000430B2402244924402494101828097F70B +:101660000100938767E598439C437C4385077CC3CF +:101670002DB794439843F8420507F8C217F70100C5 +:10168000130747E314439442D5D2184358475847A9 +:10169000584397F6010023A9E6DE71BF98439443AF +:1016A0007C43FD177CC3FC42B1FF7360043099BFDB +:1016B00097F70100938707DD9C4381C7737004305F +:1016C00001A02244B2409244024941016FE01FD47C +:1016D00041C517F70100130727DE14438147638BC9 +:1016E000A606411106C622C426C27370043097F6BE +:1016F00001009386A6DA9C4291C710431C437C42AA +:1017000085077CC29C42AA84404981C71C43FC4394 +:10171000B9E797F701009387E7D99C43638B870468 +:1017200097F701009387C7D89C436384870497F792 +:101730000100938767FA6309F40297F70100938722 +:1017400067F86301F4028547B240224492443E8523 +:10175000410182803E8582807370043001A0EF4099 +:10176000406145BF9147CDB79C5493B71700890797 +:10177000E1BF8947D1BF7370043097F701009387A9 +:10178000E7D1984309EF15C59C43485581CB97F79E +:101790000100938767D29843784315E3828017F757 +:1017A0000100130767D114431843F8420507F8C234 +:1017B00061FD17F70100130727D00843F1B79843DD +:1017C00094437C43FD177CC3FC42E9FB7360043007 +:1017D0008280411122C42A8406C6EFE01FCF11E4A3 +:1017E00097F70100938747CD80434054EFE09FCDAA +:1017F000B2402285224441018280A54763F5B700AB +:101800007370043001A0011122CC06CE26CA4AC84A +:101810004EC652C42A847370043017F901001309AC +:10182000E9C783270900D5EF79C43C486389F508E7 +:1018300017F70100130747C863FCB7001443638D13 +:10184000860C18434457B3B4950093C4140029A0E0 +:101850000443818C93B4140058546384E70A1C4CED +:101860002CC863C60700A947B385B7400CCC9317B3 +:101870002700BA97584897F90100938969D48A07D5 +:10188000CE97631BF702130A44005285EFE05FCE48 +:1018900017F701001307C7C05C5418436376F700BD +:1018A00017F70100232EF7BE5147B387E702D28511 +:1018B0003385F900EFE05FC799C0EFE03FB58327BC +:1018C000090081CB97F70100938707BF9C43FC4336 +:1018D0009DEBF2406244D2444249B249224A05613A +:1018E000828097F70100938727BD98439C437C43F0 +:1018F00085077CC31DF897F701009387E7BB8043FA +:101900002DB74CD4A9BF6244F240D2444249B249F7 +:10191000224A05616F40E04581443DBF25C1011168 +:1019200026CA97F40100938424B99C4006CE22CCA9 +:101930004AC84EC6638DA7027370043017F90100C0 +:101940001309C9B58327090091C798409C407C437F +:1019500085077CC3584997F701009387E7D763024F +:10196000F7028327090081C79C40FC43A5EBF240A6 +:101970006244D2444249B2490561828073700430A6 +:1019800001A01C5517F70100130787D2E38BE7FC72 +:10199000E9FB930945002A844E85EFE07FBD17F7E8 +:1019A00001001307E7AF5C5418436376F70017F79D +:1019B00001002327F7AE5147B387E70217F501006F +:1019C000130505C0CE853E95EFE01FB69C405854E8 +:1019D000DC57E368F7F8EFE07FA361B76244F240B9 +:1019E000D2444249B24905616F40A03815CD01117A +:1019F00022CC26CA4AC82A8406CE4EC6EFE0FFACE7 +:101A0000584897F70100938727CD2A8981446300BE +:101A1000F7024A85EFE01FABF24062442685424957 +:101A2000D244B249056182807370043001A01C5415 +:101A300017F501001305C5C7E38DA7FCF9FB97F760 +:101A40000100938727A49C43A9EB97F70100938794 +:101A5000A7A69C43445493094400DC574E85B3B475 +:101A6000F400EFE0FFB017F70100130767A35C5421 +:101A7000184393C414006376F70017F7010023217D +:101A8000F7A25147B387E70217F50100130545B3E5 +:101A9000CE853E95EFE05FA9ADBF93058401EFE0F1 +:101AA000BFA885BF0111056506CE22CC26CA4AC84B +:101AB0004EC652C456C25AC0EF200036630E051AF5 +:101AC000AA8413050006EF2020352A84630A051C2A +:101AD00005669305500A04D92685EF20205A04583C +:101AE0008567F117BE94B7474C4593879744130A0F +:101AF00044005CD85285230C0402A301040423266D +:101B0000040223280404232A0404EFE09FA1130500 +:101B10008401EFE01FA1A947232C0404F19800C819 +:101B20001CCC40D023220404232E04040146971524 +:101B300000009385E5B12685EFE0DF9908C073705A +:101B4000043017F90100130969958327090097F4F8 +:101B500001009384649691C798409C407C4385071C +:101B60007CC317F70100130727941C43850797F6DA +:101B7000010023ABF6929C40C9C38327090097F963 +:101B800001009389E9A381EB9C40DC5789E797F733 +:101B9000010023A3879297F701009387278F98432B +:101BA00097F601009386C68F5C549442050717F69A +:101BB0000100232DE68C38C463F6F60017F7010008 +:101BC0002320F78E139527003E950A05D2854E9562 +:101BD000EFE09F958327090081C79C40FC43DDE728 +:101BE0008327090091C39C40EF1070498547630526 +:101BF000F50C59E17370043001A097F7010023AD93 +:101C0000878A1843854797F901009389699BE314F4 +:101C1000F7F8CE8A17FB0100130B0BA75685D10AE4 +:101C2000EFE0FF8EE31C5BFF17F501001305C5A570 +:101C3000EFE0FF8D97FA0100938A4AA65685EFE000 +:101C40001F8D17F501001305A5A6EFE05F8C17F5B2 +:101C50000100130525A7EFE09F8B17F50100130581 +:101C6000A5A7EFE0DF8A97F7010023A5678597F71F +:101C7000010023AF578305B7F2406244D244424982 +:101C8000B249224A924A024B0561828098409440B0 +:101C90007C43FD177CC3FC42A1F77360043089B715 +:101CA0006244F2404249B249224A924A024B268596 +:101CB000D24405616F20602873700430FD5717E728 +:101CC00001002323F77C624497E7010023A8A77C47 +:101CD000F240D2444249B249224A924A024B97E723 +:101CE000010023A1077C05616FE0AFDB7370043056 +:101CF00097E7010023A4077A6FE0EFE817E70100F8 +:101D0000130747781C4385071CC382807370043017 +:101D100097E7010093878778984305E317E7010069 +:101D20001307477808439C4381CB97E701009387CB +:101D3000A7789843784301EF828017E701001307E3 +:101D4000A77714431843F8420507F8C2C1BF984368 +:101D500094437C43FD177CC3FC42F9FF736004305D +:101D60008280411106C622C4EFE02FF697E70100FA +:101D7000938747738043EFE0EFF4B240228522441B +:101D80004101828097E70100938707728843828030 +:101D9000797106D622D426D24AD04ECE52CC56CA1B +:101DA0005AC85EC697E701009387C76D9C43B1EFA1 +:101DB00017E701001307076F1C43850797E601002B +:101DC00023A2F66E832A0700638F0A0697E70100B5 +:101DD0009387876B9C43814463F1FA0897E901007C +:101DE0009389097E17EA0100130A0A6D83270A0006 +:101DF0008546D85793172700BA978A07BE9983A7B5 +:101E0000090063FFF600854421A897E70100938746 +:101E1000A7689C438144850717E70100232EF766D6 +:101E200097E70100938707679C4391C38544B250AD +:101E30002254268502599254F249624AD24A424BB0 +:101E4000B24B4561828017E401001304A4661C4074 +:101E50009C43DDC37370043001A017E40100130438 +:101E6000646597E901009389A97517EA0100130ACF +:101E7000AA6417EB0100130BAB621C409C43B5C373 +:101E80001C40DC4703A9C70083274900930B490086 +:101E90005E8563EEFA04EFE0AFED83278902130558 +:101EA000890199C3EFE0CFEC8327C90203270B0018 +:101EB000DE85139527003E950A054E956376F7005B +:101EC00017E70100232EF75CEFE00FE683270A00F7 +:101ED0000327C902DC57E362F7FA1C4085449C43A0 +:101EE000C5F3FD5717E701002320F75A01B717E79D +:101EF0000100232BF758DDBD17E701001307475BEF +:101F00001C40184397E6010023A6E65A17E7010094 +:101F10002320F75A97E70100938787579C4385074B +:101F200017E701002326F7561C409C4399E7FD570D +:101F300017E70100232AF75451BD1C40DC47DC475A +:101F4000DC4317E701002321F75449B597E7010067 +:101F500093874753984301E77370043001A0011140 +:101F600006CE22CC26CA4AC84EC652C456C25AC051 +:101F70007370043097E4010093844452984061EBFD +:101F800098437D1797E6010023AEE64E9C43D9EBBC +:101F900097E70100938747519C43C9C797EA01001F +:101FA000938A0A6217EA0100130A8A4F17E90100AF +:101FB00013098950854919A83385EA00EFE0CFD687 +:101FC000832709005854DC576379F70483A70A0F65 +:101FD000C1CF83A7CA0FC04713058401EFE04FD9D3 +:101FE000130B44005A85EFE0AFD85C5483260A00F7 +:101FF000DA85139527003E950A052A875695E3FD55 +:10200000F6FA17E70100232DF748EFE0EFD1832719 +:1020100009005854DC57E36BF7FA97E7010023AB4C +:1020200037476DB701449C4081CB97E70100938708 +:10203000A7489C43FC4395E72285F2406244D24482 +:102040004249B249224A924A024B0561828017E70F +:1020500001001307674614431843F8420507F8C206 +:1020600005B7EF301051C9BF17E401001304C44293 +:102070001C4095C31C40054999CF193B09C597E7FA +:10208000010023A927411C40FD1717E70100232564 +:10209000F7401C40FDF397E701009387A73F984363 +:1020A0008547E311F7F8EFE06FB60544ADBF11CDFA +:1020B00081E57370043001A017E701001307873C26 +:1020C0001C4399C77370043001A07370043001A0E1 +:1020D000411122C406C614431841850617E60100C3 +:1020E0002322D63A97E601009386C63B94423304F6 +:1020F000B70000C163F7E602637FE40063FD86007A +:1021000097E701009387473B88431105EFE04FC6EF +:102110002285EF30D03F1D3D01C9B240224441012C +:102120008280E36FE4FCD9BF2244B24041016FE0FA +:10213000EFAD11C917E701001307C7341C4391C75E +:102140007370043001A06FE06FAC411106C622C469 +:102150001843AA87050797E6010023A5E63297E60C +:1021600001009386663517E701001307A73318436C +:1021700088423304F7001105EFE08FBF2285EF306E +:102180001039E93311E52244B24041016FE00FA854 +:10219000B240224441018280411122C406C697E721 +:1021A00001009387272E9C430144850717E7010010 +:1021B000232AF72C97E701009387072F9C4363E0BE +:1021C000F51217EE0100130E6E4B97E20100938299 +:1021D000623E01440147854F1303500A21A0311E7E +:1021E000638DC20B83278EFFBA86F5DB8327CEFF74 +:1021F000DC43232EFEFE638AC70F03AFC700DC4318 +:102200002A97814E232EFEFE6385C70783A8C70049 +:1022100093874803232017015CC383A788042326E0 +:10222000F7011CC783A7C8021CCB83A70805232C72 +:1022300007005CCB03A8080383470800639067048A +:10224000C287850783C60700B3850741E38B66FE17 +:102250008981C205C181231EB700850E13070702BD +:1022600063061F038327CEFFDC43232EFEFEE39F7E +:10227000C7F983274E00232EFEFE49BF8145231E4A +:10228000B700850E13070702E31E1FFD7694131790 +:102290005400311EBA86E397C2F597E70100938791 +:1022A000672103AE070083270E00639D070E97E7A3 +:1022B00001009387E71F03AE070083270E00639298 +:1022C000071817EE0100130EAE2F83274E109DE363 +:1022D00083278E116395072019C223200600BD3184 +:1022E0002285B24022444101828083274E00232E62 +:1022F000FEFE21B783278E1017EF0100130F0F3D4D +:10230000DC4317E701002321F73C6380E73383A216 +:10231000C700DC43AA96814E17E701002326F73A4F +:10232000914F1303500A6388E70783A8C700938778 +:10233000480323A01601DCC283A7880423A6F60164 +:102340009CC683A7C8029CCA83A7080523AC0600C5 +:10235000DCCA03A80803834708006391672AC28781 +:10236000850703C70700B3850741E30B67FE93D7D3 +:102370002500C207C183239EF600850E93860602C0 +:102380006386122983278E10DC4317E70100232D73 +:10239000F732E39CE7F983270E1117E701002325A5 +:1023A000F73261B783274E00130F8E00D4432322E8 +:1023B000DE006388E629B307E500D84283A2C600A1 +:1023C000814E2322EE00894F1303500A6306EF0665 +:1023D0008328C7001387480323A01701D8C303A786 +:1023E000880423A6F70198C703A7C80298CB03A7C0 +:1023F000080523AC0700D8CB03A80803034708004F +:102400006318671C4287050783460700B305074129 +:10241000E38B66FE13D7250042074183239EE70026 +:10242000850E93870702638D121B83264E00D842C8 +:102430002322EE00E31EEFF80327CE002322EE0056 +:1024400041BF83274E00130F8E00DC432322FE0082 +:102450006384E71F83A2C700DC43AA96814E232230 +:10246000FE00894F1303500A6306FF0683A8C700C6 +:102470009387480323A01601DCC283A7880423A600 +:10248000F6019CC683A7C8029CCA83A7080523AC93 +:102490000600DCCA03A80803834708006396671098 +:1024A000C287850703C70700B3850741E30B67FEB3 +:1024B00093D72500C207C183239EF600850E93861D +:1024C0000602638B120F83274E00DC432322FE009B +:1024D000E31EFFF88327CE002322FE0041BF83279F +:1024E000CE1197EE0100938EAE1FDC4317E701007B +:1024F0002326F71E6384D71383AFC700DC4333075B +:10250000D500014397E6010023AAF61C0D4F89422E +:102510009308500A6387D707C8479307450308C342 +:102520005CC33C452326E7011CC75C551CCB1C55EE +:1025300099C3232657003C49232C07005CCB032872 +:1025400005038347080063951705C287850783C67F +:102550000700B3850741E38B16FF93D72500C20719 +:10256000C183231EF700050313070702638AAF0226 +:102570008327CE11DC4397E6010023A1F616E39DE5 +:10258000D7F983274E1297E6010023A9F61469B7FD +:102590008147231EF700050313070702E39AAFFCE8 +:1025A0001A94E31C06D225BB8147239EF600850EB4 +:1025B00093860602E39912F117EE0100130E4E0006 +:1025C00083274E10769493165400E38307D01DB3EF +:1025D0000147239EE700850E93870702E39712E5E4 +:1025E00097E701009387C7EC03AE07007694931634 +:1025F000540083270E00E38607CCA1B58147239EB4 +:10260000F600850E93860602E39E12D783278E116D +:10261000769493165400E38107CCD1B583274E12EC +:1026200017E70100232CF70AC1BD83270E1117E716 +:102630000100232BF708E1B98327CE002322FE00F7 +:1026400011BD8326CE002322DE00B5B3797126D2D8 +:102650004AD04ECE52CC56CA5AC85EC606D622D4EE +:1026600097E90100938909E217E90100130949F587 +:1026700017EA0100130A8AE297EA0100938ACAE383 +:1026800097EB0100938B4BE297E40100938404E203 +:10269000054B21A883A7090003244910850717E7E4 +:1026A00001002321F7DE5D3011E89C40E5F7832728 +:1026B0000900E37CFBFEEFD07FD5C5BF737004300B +:1026C00083270A00B9E383270911C0471305440093 +:1026D000EFD01FEA83A70B00FD1717E701002325A2 +:1026E000F7DC9C40FD1717E701002321F7DC832767 +:1026F0000A0089C783A70A00FC4385E30858EF1046 +:10270000D0032285EF1070034DB703A70A0083A7FB +:102710000A007C4385077CC37DB703A70A0083A614 +:102720000A007C43FD177CC3FC42E9FB7360043064 +:10273000F1B797E701009387E7D49C43DDE34111AC +:1027400006C697E7010023A707D417E70100130780 +:10275000A7D61C43B7A6A5A59386565A9C5B8C4367 +:10276000639BD500D0436318B60094476395C600B9 +:10277000DC476388D70008430C4393854503EF206B +:10278000C07297E601009386A6D1904217E7010038 +:10279000130707E311A89C42B9CB9C42FD1717E62B +:1027A0000100232FF6CE904293172600B2978A0796 +:1027B000BA979C43EDD3904293172600B2978A07AD +:1027C000B305F700D441A107BA97D442D4C16383BB +:1027D000F602DC46B24017E70100232FF7CC410197 +:1027E0008280854717E701002326F7CA828073702D +:1027F000043001A0D1473306F602D442329754C3C5 +:10280000C9BF01114AC806CE22CC26CA4EC62A89A3 +:102810007370043097E40100938444C89C4017E42B +:102820000100130464C9D9E76314090003290400F3 +:10283000930949004E85EFD0BFD38327890289C70A +:1028400013058901EFD0DFD2CE8517E5010013050E +:10285000A5E8EFD07FCD9C4081C71C40FC43ADE391 +:102860001C40638B27079C409DCF737004309C40B5 +:10287000C1EB97E701009387E7C39843184325EB23 +:10288000FD5717E701002321F7C09C4089CF1C406A +:10289000FC4391CB184014407C43FD177CC3FC42A1 +:1028A00099E373600430F2406244D2444249B24931 +:1028B0000561828018401C407C4385077CC3ADB70E +:1028C000184014407C43FD177CC3FC42D1FB73606D +:1028D00004301C40E39927F99C40A1C397E701000D +:1028E000938747BA9C43ADCB7370043001A09C43DF +:1028F000DC47DC47DC4317E701002327F7B871B753 +:1029000018401C407C4385077CC397E701009387F0 +:1029100067BA984318432DD7D9BF97E7010093872B +:10292000A7B817E701001307A7C99C43032787111E +:10293000631DF700F240624497E7010023AE07B63B +:10294000D2444249B249056182806244F240D24495 +:102950004249B2490561F1BB6244F240D244424966 +:10296000B24905616FD09FAA3DC9411126C297E4C3 +:102970000100938464B44AC02E898C4006C622C4E8 +:10298000E105EFD0FFBB88401105EFD07FBEFD57BA +:10299000630AF90497E701009387C7B08043984022 +:1029A0009C434A9440C3636CF40497E70100938707 +:1029B00067B088438C409105EFD09FB897E701003E +:1029C000938787AC9C436376F40097E7010023ADBF +:1029D00087AAB24022449244024941018280737026 +:1029E000043001A08C402244B2409244024917E5D1 +:1029F0000100130565CE910541016FD0FFB297E745 +:102A000001009387E7AA884322448C40B240924455 +:102A10000249910541016FD0BFB211C997E701008A +:102A2000938747A69C4399E77370043001A07370A5 +:102A3000043001A0411126C297E401009384C4A789 +:102A40009840AE878C40B7060080D58F06C622C45A +:102A50001CCFE1053284EFD03FAD88401105EFD0A7 +:102A60003FB1FD57630EF40097E70100938787A3FA +:102A70008843B24092442295224441016F3020297C +:102A80008C402244B240924417E501001305C5C4AE +:102A9000910541016FD05FA925CD411126C297E470 +:102AA0000100938464A14AC02E898C4006C622C4CA +:102AB000E1053284EFD05FA788401105EFD05FAB0E +:102AC0008547630AF40497E701009387A79D804335 +:102AD00098409C434A9440C3636CF40497E7010018 +:102AE0009387479D88438C409105EFD07FA597E75A +:102AF0000100938767999C436376F40097E7010090 +:102B000023A48798B2402244924402494101828022 +:102B10007370043001A08C402244B24092440249B8 +:102B200017E50100130545BB910541016FD0DF9FFB +:102B300097E701009387C797884322448C40B240AF +:102B400092440249910541016FD09F9F411106C6F1 +:102B500022C426C25C45C04735CC93048401268537 +:102B6000EFD01FA197E701009387C7919C43B5E77A +:102B7000930444002685EFD0BF9F97E70100938719 +:102B8000279258549C4363F6E70097E7010023A976 +:102B9000E79093172700BA978A0717E501001305F6 +:102BA00025A2A6853E95EFD03F9897E70100938731 +:102BB000A7909C4358540145DC5763F8E7008547CC +:102BC00017E701002328F78C0545B24022449244C0 +:102BD000410182807370043001A0A68517E50100D1 +:102BE000130505ADEFD05F94C9B797E70100938750 +:102BF00067899C4381E77370043001A0411106C6C8 +:102C000022C426C2B70700804045CD8F1CC12DC409 +:102C1000EFD01F96930444002685EFD07F9597E769 +:102C200001009387E78758549C4363F6E70097E7D2 +:102C3000010023A7E78693172700BA978A0717E5AD +:102C400001001305E5973E95A685EFD0FF8D97E728 +:102C50000100938767869C4358540145DC5763F80D +:102C6000E700854717E701002326F7820545B240B4 +:102C700022449244410182807370043001A011CD3E +:102C800097E701009387C780984397E701009387F0 +:102C900067819C4318C15CC182807370043001A0BD +:102CA00055C1D1CD411106C622C42A8773700430A4 +:102CB00097D801009388887E83A7080091CB97D787 +:102CC00001009387677F94439C43FC428507FCC2C5 +:102CD00017D301001303037D9C4103260300FD5617 +:102CE0000144638FD70217DE0100130E6E7A0325AD +:102CF0000E000328070054436305A80005446371D0 +:102D0000D6023308D6400544637CF80003250E0044 +:102D1000918F03260300B6979CC108C350C301449A +:102D200083A7080081CB97D701009387E7789C435E +:102D3000FC4381EF2285B2402244410182807370BE +:102D4000043001A07370043001A0EF308002DDB7C1 +:102D5000854717D70100232FF772828019C1684574 +:102D600082800145828011C16CC5828097D70100A5 +:102D7000938787748843828097D70100938707726F +:102D80009C43054589CB97D701009387A76F88435C +:102D9000133515000605828031CD011126CA97D45E +:102DA0000100938464719C4006CE22CC4AC84EC672 +:102DB0005855DC576379F7021C4D63C707009C40E8 +:102DC000D457A947958F1CCD93172700BA97584918 +:102DD00017D901001309C97E8A07CA97630DF70046 +:102DE0009C40DC575CD5F2406244D2444249B2492F +:102DF000056182808280930945002A844E85EFD048 +:102E00002FF79C4017D70100130787691843DC5739 +:102E10005CD46376F70017D701002323F768139576 +:102E200027003E9562440A05F240D244CE854A9579 +:102E3000B249424905616FD02FEF19CD411106C645 +:102E400022C426C297D70100938707678043630790 +:102E5000A4007370043001A0014582807C4881E7A2 +:102E60007370043001A054543848FD177CC8638344 +:102E7000E60099C70145B240224492444101828054 +:102E8000930444002685EFD0AFEE3C4817D70100ED +:102E900013070761144329471D8F5CD418CC63F6D0 +:102EA000F60017D70100232DF75E5147B387E702DD +:102EB00017D501001305C570A6853E95EFD0CFE666 +:102EC000054555BF7370043097D701009387075DA0 +:102ED0009C4391CB97D701009387075E98439C430F +:102EE0007C4385077CC3828097D701009387075B6B +:102EF0009C438DC397D701009387075C9843784321 +:102F000011CB984394437C43FD177CC3FC4299E367 +:102F100073600430828097D701009387E75990430C +:102F200094439843A947D456084E958F1CCF82806E +:102F300097D7010093874758984309C79443F84AA5 +:102F40000507F8CA8843828079714AD006D622D410 +:102F500026D24ECE2A897370043097D40100938410 +:102F6000E4539C4017D4010013040455BDE31C40F6 +:102F7000BC4F89E71C400547F8CFD1E19C4081C791 +:102F80001C40FC43F1EB737004309C408DEF1C40FF +:102F9000A84F11C5630409021C4023AC07041C4060 +:102FA00023AE07049C4081C71C40FC438DEBB2500C +:102FB000225492540259F2494561828018403C4F94 +:102FC000FD173CCFE9BF18401C407C4385077CC3FC +:102FD0007DBF18401C407C4385077CC349BF184017 +:102FE00014407C43FD177CC3FC42F1F37360043052 +:102FF000B250225492540259F249456182800840ED +:103000002EC61105EFD0CFD6B245FD576380F50629 +:1030100097D701009387074983A9070018409C436D +:10302000AE992322370163ECF90497D70100938707 +:10303000674888430C409105EFD08FD097D70100A7 +:10304000938787449C4363F6F90097D7010023AD2B +:103050003743EFD0AFBB1DB7184014407C43FD177A +:103060007CC3FC428DF37360043031BF0C4017D534 +:103070000100130565669105EFD00FCBD9BF97D737 +:1030800001009387E74288430C409105EFD04FCB76 +:10309000C9B779714AD04ECE06D622D426D252CCA8 +:1030A0002E89B2897370043097D401009384043F51 +:1030B0009C4017D4010013042440F9EF18408947BD +:1030C000784F630CF7001C401345F5FFB84F798D1E +:1030D000A8CF1C400547F8CFADE69C4081C71C40F7 +:1030E000FC43F5E3737004309C40B1E7638609004C +:1030F0001C40BC4F23A0F900184085470145784F7C +:10310000630BF7001C401349F9FF0545B84F3379AD +:10311000E90023AC27051C4023AE07049C4081C76F +:103120001C40FC43C1E3B250225492540259F2496C +:10313000624A4561828018401C407C4385077CC3FD +:1031400075B7084036C61105EFD08FC2B246FD579D +:103150006385F60897D701009387C73403AA070051 +:1031600018409C43369A232247016363FA0897D795 +:1031700001009387273488430C409105EFD04FBC62 +:1031800097D70100938747309C436370FA0697D71F +:10319000010023AB472F91A818401C407C438507B2 +:1031A0007CC329BF184014407C43FD177CC3FC42FC +:1031B000BDFB73600430B250225492540259F2495C +:1031C000624A45618280184014407C43FD177CC3ED +:1031D000FC4289FB7360043031B70C4017D5010005 +:1031E0001305854F9105EFD02FB4EFD02FA2F5B581 +:1031F00097D701009387C72B88430C409105EFD0E8 +:103200002FB4E5B751C1411106C622C426C24AC037 +:103210007370043097D40100938444289C40B9EB28 +:1032200099C23C4D9CC2B2878946704D74CD2E87A1 +:103230002A846386D70663FCF6048D456387B7004E +:1032400091456395B7006300D60C38CC8547630F72 +:10325000F60405449C4081CB97D701009387C7258E +:103260009C43FC43A9E32285B24022449244024994 +:103270004101828097D701009387072498439C439C +:103280007C4385077CC369BF7370043001A0854609 +:10329000E39ED7FA3C4D33E7B70038CD45BF3C4DF0 +:1032A00085073CCD65B7EF20D02C75BF13094400CE +:1032B0004A85EFD0EFAB17D701001307671E5C54A8 +:1032C00018436376F70017D70100232BF71C5147EB +:1032D000B387E70217D501001305852ECA853E95F1 +:1032E000EFD08FA41C5481C77370043001A097D70E +:1032F00001009387671C9C435854DC57E3FBE7F4B9 +:10330000EFD0CF90B9B70144B1B739CD011122CC7C +:1033100026CA4AC84EC652C456C206CEBA89368A92 +:10332000B2842E892A84EFD04F9AAA8A63050A00B4 +:103330003C4C2320FA00784C89477CCC6389F4020A +:1033400063F4970C8D466387D40091466396D4004E +:10335000630AF70A232C24058547631EF7061C54CD +:1033600099CB7370043001A07370043001A03C4C01 +:1033700085073CCCD5B797D701009387A7109C430E +:10338000ADEB930444002685EFD08F9E17D7010044 +:10339000130707115C5418436376F70017D7010031 +:1033A0002320F7105147B387E70217D50100130513 +:1033B0002521A6853E95EFD02F9797D701009387BB +:1033C000A70F9C435854DC5763F7E7006385090057 +:1033D000854723A0F90005445685EFD0AF8E22859E +:1033E000F2406244D2444249B249224A924A0561BB +:1033F00082809305840117D501001305652BEFD05A +:10340000AF9265BF0144C9BF8547E397F4F43C4CD4 +:1034100033E92701232C240581B74DC1011122CCAA +:1034200026CA2A844AC806CE4EC6AE84EFD0EF899B +:103430008947744C7CCC3C4C05472A8985073CCC99 +:103440006395E6061C5481C77370043001A097D7BA +:103450000100938727039C43ADE7930944004E8501 +:10346000EFD00F9117D70100130787035C5418435F +:103470006376F70017D701002324F7025147B3877B +:10348000E70217D501001305A513CE853E95EFD0B1 +:10349000AF8997D70100938727029C435854DC5784 +:1034A00063F5E70099C085479CC06244F240D2446E +:1034B000B2494A85424905616FD0CF8073700430AC +:1034C00001A09305840117D501001305651EEFD0F7 +:1034D000AF85C1B7AA8729C57370043017D701001B +:1034E0001307C7FB14439DE2F04F8946014563155E +:1034F000D60023AE070405451C4381CB97D70100B6 +:10350000938787FB9843784315E3828097D6010021 +:10351000938686FA904294427442850674C2E9B753 +:1035200097D70100938747F99C437DB7984394430D +:103530007C43FD177CC3FC42E9FB7360043082804E +:103540005D71A6C2CAC052DC56DA5AD85ED686C6AB +:10355000A2C44EDE62D466D26AD017D90100130924 +:10356000A9F617DB0100130B6BF597D401009384C8 +:1035700024F597DA0100938AEAF4054AA54B8327DC +:103580000900984363010712DC4783A90700EFE0B5 +:10359000EFF6EFE0AFF783270B002A8C6362F50AA2 +:1035A00097D7010023ACA7F001466370351B884014 +:1035B000B3858941EFD0FFBDEFE05F99630105124C +:1035C0008840814601462C00EFD00FEC4DD9A24730 +:1035D000E3C807FEC24983A7490189C7138549008B +:1035E000EFC01FF9EFE08FF283270B002A8C636D89 +:1035F000F508A24717D70100232287EDE3E2FBFC81 +:10360000B317FA0013F70721631A071613F7770C9D +:103610006318071E93F70702C5D74E85EF00F01118 +:1036200045B7DC47C04783AC0700930944004E858B +:10363000EFC01FF45C50228582975C4C6384470B7B +:1036400083270900984371FF03A70A0097D601005A +:1036500023A686E797D6010023A6F6E697D70100B2 +:1036600023A4E7E6EFE09F8EA1BFDC47C04703AD90 +:103670000700930C44006685EFC09FEF5C502285E5 +:1036800082975C4C6386470983270900984371FF42 +:1036900003A70A0097D6010023A6F6E297D70100F8 +:1036A00023A4E7E2B9B7EFE06FE5EFE02FE6832769 +:1036B0000B002A8CE366F5F883A70A00884081494D +:1036C0009043B385894117D70100232987DF13363B +:1036D0001600EFD01FACEFE07F87E31305EEEFC0DD +:1036E000FFD2F9BD1C4CCE85E69763E9FC049C40F3 +:1036F00089CF02CA66CC22CEEFF00FE8884081461F +:1037000001464C08EFD02F8805FD7370043001A0EE +:103710001C4CE685EA97636CFD049C4089CF02CA85 +:103720006ACC22CEEFF04FE58840814601464C0836 +:10373000EFD06F8531F97370043001A003250900C3 +:103740005CC000C8EFC0DFDFE5BDEFE03F8083274E +:103750000900DC47C047930C44006685EFC05FE179 +:103760005C4C638F47035C502285829791BD032593 +:1037700009005CC000C8EFC0BFDC39B7B24723AC5A +:10378000F900A5CFE29723A2F90023A83901938578 +:103790004900636FFC0403A50A00EFC07FDA0DB592 +:1037A0001C4C00C83387F90058C0636DEC02330C21 +:1037B0003C416363FC029C4089CF02CA4ECC22CEBE +:1037C000EFF08FDB8840814601464C08EFC0BFFB1D +:1037D00059F97370043001A003A50A00E685EFC013 +:1037E0003FD651B703250900E685EFC07FD5A5BFB9 +:1037F00003250900EFC0DFD4E1B37370043001A0EA +:10380000B24783A6890123A839013387D70023A2B1 +:10381000E9006364EC04B307FC4063EBD70483A7BF +:1038200049024E85829783A7C901E39B47D983A6A6 +:103830008901B2479840B69711CF02CA3ECC4ECE0E +:10384000EFF08FD38840814601464C08EFC0BFF3AC +:10385000E31805D67370043001A06374FC00E370B4 +:10386000F7FC0325090093854900EFC07FCD89BB94 +:1038700003A50A0093854900EFC09FCC91B3411185 +:1038800022C406C626C24AC017D40100130444C38A +:10389000EFF04FE31C4095CBEFF00FE51C409DC3CC +:1038A000814801488147094781461306004097D562 +:1038B00001009385A5B0170500001305A5C8EFD03A +:1038C0007F9A39E97370043001A017D901001309F8 +:1038D000E9E14A85EFC0BFC397D40100938444E275 +:1038E0002685EFC0DFC20146B145114597D70100DB +:1038F00023AC27BD97D7010023A697BCEFC01FDCE0 +:1039000017D70100232EA7BA11CD97D501009385B3 +:1039100065AAEFD0CFFC49B7B24022449244024995 +:10392000410182807370043001A0A5C9797156CA23 +:10393000AA8A1305C00222D426D24AD04ECE52CC37 +:1039400006D65AC85EC63A89B689328AAE84E9215B +:103950002A8405C9EFF00FD797D70100938747B6A0 +:103960009C439DCFEFF04FD8130544002320540112 +:1039700004CC232E44012320340323222403EFC04C +:103980005FBA2285B250225492540259F249624AD7 +:10399000D24A424BB24B456182807370043001A021 +:1039A00097DB0100938B8BD45E85EFC05FB617DB8E +:1039B0000100130BEBD45A85EFC07FB50146B1452A +:1039C000114597D7010023A177B197D7010023AB09 +:1039D00067AFEFC0BFCE17D701002323A7AE01C941 +:1039E00097D501009385059DEFD06FEFA5BF73704C +:1039F000043001A039C5011122CC06CE26CA17D445 +:103A000001001304E4AB832804002A880145638580 +:103A10000802BA842EC2368732C442C6954663C5B0 +:103A2000B602EFF06FB58947630BF5020840814697 +:103A300001464C00EFC03FD5F2406244D2440561DC +:103A400082807370043001A04C0081463A8646851E +:103A5000EFD0AF88F2406244D244056182800840D2 +:103A6000814626864C00EFC01FD2F9B719C1084124 +:103A700082807370043001A001E57370043001A0EE +:103A8000411106C622C42A84EFF0CFC3484833341C +:103A9000A000EFF06FC52285B24022444101828030 +:103AA00001E57370043001A0411122C42A8406C6C6 +:103AB000EFF04FC10050EFF02FC3B24022852244F7 +:103AC0004101828001E57370043001A0411122C4DC +:103AD00026C22E84AA8406C6EFF0CFBE80D0224430 +:103AE000B240924441016FF02FC04111714522C490 +:103AF00006C61D262A8411C5232005001105EFC026 +:103B00001FA12285B24022444101828001CDB70726 +:103B100000FFED8F81C77370043001A099E57370C9 +:103B2000043001A07370043001A0011122CC4AC8F6 +:103B30004EC652C456C206CE26CABA893689B28A41 +:103B40002E842A8AEFF04FA311E563850900737074 +:103B5000043001A0EFE08F9A83240A00B377940029 +:103B60006316090295C763870A009347F4FFE58F40 +:103B70002320FA00EFE08FBDF240624426854249DF +:103B8000D244B249224A924A05618280E30DF4FC94 +:103B9000E38209FEB3355001E20563050900B7076A +:103BA0000004DD8D4E86C18D13054A00EFE0FFE66F +:103BB000EFE0CFB919E1EFC07F85EFF0CFB59317F4 +:103BC0006500AA8963D80700B7040001FD14B3F4A7 +:103BD00099005DB7EFF00FAF83290A00B377340186 +:103BE000631E090089CB63880A009347F4FFB3F78B +:103BF00037012320FA00EFF02FAFF9B7E31DF4FEF1 +:103C0000DDB701C9B70700FFED8F99C773700430A6 +:103C100001A07370043001A0411122C42A8406C699 +:103C200026C24AC02E89EFF0EFA904409345F9FF60 +:103C3000B3F795001CC0EFF02FABB24022442685AD +:103C40000249924441018280411122C42A8406C65D +:103C5000EFC0BF870040EFC0FF86B24022852244FC +:103C60004101828009C9370700FFB3F7E50099C712 +:103C70007370043001A07370043001A06F20201114 +:103C8000B70700FFF18F81C77370043001A001E610 +:103C90007370043001A0011122CC4AC84EC652C430 +:103CA00006CE26CA3689AE892A8A3284EFF0CF8CB6 +:103CB00021E1630509007370043001A0EFE00F8477 +:103CC00083240A00CE855285B3E49900613FB3771F +:103CD00094006307F40683240A00EFE02FA7F24064 +:103CE000624426854249D244B249224A0561828013 +:103CF000EFE0CF8083240A00CE855285B3E43401FF +:103D00009537B3F78400638D8702E30609FCB70596 +:103D100000054A86C18D13054A00EFE01FD0EFE091 +:103D2000EFA219E1EFC08FEEEFF0EF9E9317650061 +:103D3000AA8463DF0700B7070001FD17FD8C45B7B4 +:103D400083270A001344F4FFE18F2320FA0071B7A0 +:103D5000EFF04F9783240A00B377940063978700AE +:103D60009347F4FFE58F2320FA00EFF0EF97E1B7D8 +:103D7000411122C406C62A8426C2EFD03FF85C4017 +:103D800085C308489304C4006316950015A008482D +:103D900063009502B7050002EFE03FE55C40E5FBFC +:103DA0002285612A2244B240924441016FE00F9A79 +:103DB0007370043001A009C9370700FFB3F7E500AD +:103DC00099C77370043001A07370043001A06F10A4 +:103DD000107C01C9B70700FFED8F99C773700430DD +:103DE00001A07370043001A0411122C426C206C68E +:103DF0002A84AE84EFF00F8D184093C7F4FFF98F3B +:103E00001CC02244B240924441016FF0EF8D19C1B1 +:103E1000084D828001458280411122C406C62A8451 +:103E2000EFD0DFED97C701009387476A9C43A1EB72 +:103E3000E56717D701001307278EF11797C6010012 +:103E400023ACE66817C701002326F76817D70100DF +:103E50002326F78C97C701009387876717D7010040 +:103E6000232CF78A854717C701002329076617C740 +:103E70000100232F076417C701002329F76419E8FD +:103E8000EFE0CF8CEF10E00101442285B2402244E4 +:103E9000410182801305840093773500C1EFE56707 +:103EA0001307F5FFE917E3EDE7FC97C601009386DA +:103EB000A6629C4229A0984311C7BE86BA87D84300 +:103EC000E36BA7FE17C7010013078760E38AE7FAD1 +:103ED000984341461384870098C2D843B306A7404D +:103EE0006378D6023388A7002322D800C8C383256D +:103EF000480097C601009386265E11A0BA869842B4 +:103F00005043E36DB6FE2320E80023A00601D8430A +:103F100097C70100938787609C43998F17C701005B +:103F2000232EF75EEFE08F8231DC2285B2402244FF +:103F300041018280719911059DB729C9411122C49F +:103F400006C62A84EFD09FDB930584FF0326C4FFB7 +:103F500017C701001307475811A03E871C43D443DD +:103F6000E3EDC6FE232CF4FE0CC397C70100938734 +:103F7000E75A98438327C4FF2244B240BA9717C731 +:103F80000100232DF75841016FD05FFC828097C755 +:103F900001009387A7588843828082803367B500E9 +:103FA0000D8BAA871DE79308D6FFAA982A87AE86AD +:103FB0006371150303A8060011079106232E07FF5E +:103FC000E36A17FF9347F5FFC697F19B9107BE95EC +:103FD000AA972A9663F3C70A938645001388470079 +:103FE000B3B6D70033B8050133E7F50093C6160022 +:103FF000134818000D8BB3E6060113371700758FB1 +:10400000330EF6409386170029CF1337AE0031EBFD +:1040100013532E002E88BE8601478328080005070B +:10402000110823A016019106E36967FE1377CEFFFE +:10403000BA95BA976302EE0483C60500138717008A +:104040002380D700637AC70283C615001387270031 +:10405000A380D7006372C70203C725002381E7004E +:104060008280B307F640AE97850503C7F5FF850646 +:10407000238FE6FEE39AF5FE82808280B367C50057 +:104080008D8BB308C500E9C36371150FB307A0405A +:104090008D8B13873700954693F5F50F13081500A0 +:1040A0003383A8407D166373D70015476363E60C1E +:1040B000D5CF2300B5000547638DE700A300B50009 +:1040C0000D47130825006396E70013083500230108 +:1040D000B500139685004D8E13970501518F33035C +:1040E000F34093968501D98E13562300AA97014772 +:1040F00094C305079107E36DC7FE1377C3FFB307AA +:10410000E8006307E3062380B70013871700637C8A +:104110001703A380B7001387270063761703238153 +:10412000B7001387370063701703A381B7001387A5 +:104130004700637A17012382B7001387570063741F +:104140001701A382B700828093F5F50F13978500BE +:10415000D98D139705014D8FE37715FFAA87910736 +:1041600023AEE7FEE3ED17FF828082802A8895B7B1 +:104170008280AA8749BF834705002A8799C705051A +:1041800083470500EDFF198D82800145828005057A +:104190008347F5FF850503C7F5FF91C7E389E7FE70 +:1041A0003385E74082808147E5BFAA87850503C73D +:1041B000F5FF8507A38FE7FE75FB82808346050028 +:1041C000930700026397F600050583460500E38D1B +:1041D000F6FE938756FD93F7D70F85C7AA878145CB +:1041E00085CE01458507138606FD1317250083C676 +:1041F00007003A9506053295F5F699C13305A040BA +:104200008280938536FD8346150093B5150093078C +:104210001500E1FA0145D5B7014582809C41238014 +:10422000A7009C4185079CC1828097C601009386A8 +:10423000262B9C42175703001307C75013861700FD +:10424000BA972380A70097C5010023ABC528A947CB +:104250006308F500930700046305F60001458280BA +:1042600075DE370610F005078347F7FF2320F6F0C9 +:104270009C42FD1797C5010023A4F526EDF70145E3 +:1042800082804D712322911423202115232A51135A +:10429000232481132326111423248114232E311364 +:1042A000232C4113232861132326711323229113F6 +:1042B0002320A113232EB111AA842E8932C6130CF8 +:1042C0005002A54A8347090063808703B9CB804029 +:1042D00011A0A1CB2300F40080400509050480C093 +:1042E00083470900E39787FF0346190093081900E5 +:1042F000C686130D0002FD597D5A0148130550056D +:104300009307D6FD93F7F70F138916006363F52A19 +:1043100017C70100130707CF8A07BA979C43BA97BC +:1043200082878320C1140324811483244114032928 +:1043300001148329C113032A8113832A4113032BF8 +:104340000113832BC112032C8112832C4112032DE4 +:104350000112832DC11171618280A14C02C4854775 +:1043600063D50715B2478040938B770093F68BFF98 +:1043700003AB060083A94600938786003EC6668687 +:1043800081465A85CE85EF1040632AC881476385F0 +:104390003723930D4101854B668681465A85CE852C +:1043A000EF10C02B814666862A8BAE89EF10E06045 +:1043B000A24723A0AD0093861B00910D63843701B3 +:1043C000B68BD9BFE37E9BFF63DD4601A2872380C6 +:1043D000A70180407D1A930714009CC03E84E3C867 +:1043E00046FF93962B001C08BE9631A8938777054D +:1043F0002300F4008040FD1B93871B00050480C050 +:10440000F116E351F0EC9C42E3E2FAFE93870703D6 +:10441000C5B79C409306000332472380D7009C40D9 +:1044200093064700C14C1386170090C013068007FF +:10443000A380C700804002C4BA87050480C003ABD4 +:104440000700814936C625BFB24783AC0700938B6E +:10445000470063840C1C635F40199307D002631903 +:10446000FD1683C70C0085C363DC09189440850CD6 +:104470007D1A2380F6008040050480C083C70C00AD +:10448000E5F7635D40018040930700022300F400DC +:1044900080407D1A050480C0E31A0AFE5EC61DB581 +:1044A00002C48547A94CE3CF07EBB247804093860F +:1044B000470071B703C61600CA8699B59C4093069B +:1044C00050022380D7008040050480C0E5BBC14C6A +:1044D00002C471B5B24703C61600CA8683A9070095 +:1044E00091073EC6E35E0AE04E8AFD5911BD03C640 +:1044F0001600130DD002CA8621B59347FAFFFD8737 +:1045000003C61600337AFA00CA86DDBB03C616005E +:10451000130D0003CA86EDB383C51600930906FD8B +:10452000CA86938705FD2E86E3EEFAFA9397290053 +:10453000BE9985068609AE9983C50600938909FD53 +:10454000938705FD2E86E3F3FAFE69BF32479C4050 +:10455000144311073AC62380D7008040050480C069 +:1045600095B3854763D3070BB24793867700E19AEB +:10457000938786003EC683A946009C4263DC090AF5 +:1045800094401306D002B307F0402380C600804059 +:10459000B336F000B3093041050480C03E8BB389C7 +:1045A000D940A94C02C4E1BB03C616000508CA865F +:1045B00081BB9C40468921B7E37D9BDD8547814BCC +:1045C0008546E3C547E131BD97CC0100938C8CDF74 +:1045D000CE856685EF00704E330AAA40635C4001C9 +:1045E00080402300A40180407D1A050480C0E31AA6 +:1045F0000AFE014A83C70C00E38207EAE3C809E622 +:10460000FD197D57E394E9E6ADBDB24793864700B7 +:104610009C4336C693D9F74195B76356400193073B +:10462000D002E313FDFA97CC0100938CACD9930729 +:1046300080021DBD3E8BA94C02C4804089B34D71E0 +:10464000232E3113B70900409387F9FF23248114E7 +:1046500023229114232C4113232A511323261114AE +:10466000232021152328611323267113232481136A +:10467000232291132320A113232EB1112A8A2EC4A1 +:1046800017C401001304C4E5975A0300938A8A0BE8 +:1046900097C40100938484AC3EC69305500229451B +:1046A00013080004B70610F083470A006386B704B6 +:1046B000C1C71840050A13061700569797C801008E +:1046C00023A0C8E22300F7006382A706E31E06FDCD +:1046D000175703001307070705078347F7FF23A0B2 +:1046E000F6F01C40FD1717C60100232BF6DEEDF790 +:1046F00083470A00E39EB7FA83461A0013051A009F +:104700002A87930B00027D5B7D5C01489305500571 +:10471000A5489387D6FD93F7F70F130A170063E7B1 +:10472000F5348A07A6979C43A697828717570300FC +:104730001307470155F28DBF8320C1140324811450 +:1047400083244114032901148329C113032A8113EB +:10475000832A4113032B0113832BC112032C8112D3 +:10476000832C4112032D0112832DC11171618280AE +:10477000A14C014D854763DB0719A2479D07E19BCB +:1047800083AD070003AB4700138787003AC46686F2 +:1047900081466E85DA85EF1040222AC863066D35A2 +:1047A000130941018549668681466E85DA85EF00E9 +:1047B000F06A66868146AA8D2E8BEF10002023209A +:1047C000A90085091109E3106DFFE3FE9DFD1306A5 +:1047D0000004B70610F063D189031C40138717004B +:1047E000D69797C5010023ADE5CE23807701630CF2 +:1047F000C7047D1CE3C389FFB2476800A548B386A0 +:10480000F9008A061C08BE962948130300043706DF +:1048100010F0E384A6E89C429305000363F4F800DB +:10482000930570051840AE9793051700569717CE5D +:1048300001002327BECA2300F7006380072B638C87 +:104840006526F116F9B7175703001307A7EF0507F9 +:104850008347F7FF23A0F6F01C40FD1797C5010022 +:1048600023A0F5C8EDF77D1C71B71C4013060004AA +:104870001387170097C5010023A4E5C6B386FA0085 +:10488000930500032380B600630FC73C890797C6D2 +:10489000010023A7F6C45697930680072300D7008C +:1048A00017570300130707EAB70610F0639EC70007 +:1048B00005078347F7FF23A0F6F01C40FD1717C636 +:1048C0000100232FF6C0EDF7A247C14C014D91071F +:1048D0002247014B832D07003EC455BDA24783AC40 +:1048E000070013894700638E0C3A635480219307B5 +:1048F000D002639EFB2E03C70C00BA85631007200D +:1049000025AC8547A94C014DE3C907E7A2479107AC +:10491000C1B7A24783461700528703AB0700910730 +:104920003EC4E3580CDE5A8C7D5BE5B3834617002A +:10493000930BD0025287F1BB9347FCFFFD87834660 +:104940001700337CFC005287E9B383461700930BB2 +:10495000000352877DBB03461700138B06FD528769 +:10496000930706FDB286E3EEF8FA93172B00DA9769 +:1049700005078607B29703460700138B07FD9307C9 +:1049800006FDB286E3F3F8FE69BFA2451C40294646 +:10499000984193861700D69717C501002322D5B4F6 +:1049A0002380E700138945006300C72A93070004AA +:1049B0006387F6204AC4D5B1854763DE0729A2473D +:1049C00013877700619B930787003EC4032B470042 +:1049D0001C43635A0B30184013060004930617005B +:1049E00097C5010023AED5AE56979305D00223009C +:1049F000B7006387C62CB307F0403337F000330BA2 +:104A00006041BE8D330BEB40A94C014D49B3834649 +:104A1000170005085287F5B9834617005287D5B9A4 +:104A20001C40930600041387170017C601002329B2 +:104A3000E6AAD697130650022380C700E31FD7C407 +:104A400017570300130707D0B70610F00507834771 +:104A5000F7FF23A0F6F01C40FD1717C60100232125 +:104A6000F6A8EDF71DB9C14C014D29B31C409306C2 +:104A700000042A8A1387170017C601002322E6A61E +:104A8000D697130650022380C700E318D7C01757E4 +:104A90000300130727CBB70610F005078347F7FF7E +:104AA00023A0F6F01C40FD1717C60100232AF6A22A +:104AB000EDF72A8ADDB6175703001307A7C80507C5 +:104AC0008347F7FF2320F6F01C40FD1797C5010030 +:104AD00023A8F5A0EDF7F1162DBB17570300130718 +:104AE00067C6F1FDF11635B3E3FC9DCB8549C5B131 +:104AF000014C03C70C00BA85E30E07EAFD582945AF +:104B000013080004B70610F063570B0A1C40138605 +:104B10001700D69717C301002324C39C2380E70006 +:104B20006384A50663040609850C03C70C007D1C7D +:104B3000BA8579FBE35080E91C4093050002130617 +:104B40000004B70610F021A07D1CE3050CE61387D6 +:104B50001700D6972380B70017C501002322E598D8 +:104B6000BA87E313C7FE175703001307A7BD05074E +:104B70008347F7FF23A0F6F01C40FD1717C501007F +:104B80002320F596EDF7C9B717570300130787BB26 +:104B900041DE05078347F7FF23A0F6F01C40FD1711 +:104BA00017C60100232EF692EDF7BDBF175703007D +:104BB000130747B9F9BF7D1BE31A1BF5A5BF1757AC +:104BC0000300130727B8B70610F005078347F7FF60 +:104BD00023A0F6F01C40FD1717C601002322F69013 +:104BE000EDF74AC45DBC97BC0100938CAC7DDA85BF +:104BF0006685E125330CAC40E35D80EF1C40130675 +:104C00000004B70610F021A07D1CE3030CEE13870F +:104C10001700D6972380770197C5010023A2E58C62 +:104C2000BA87E313C7FE175703001307A7B1050799 +:104C30008347F7FF23A0F6F01C40FD1797C501003E +:104C400023A0F58AEDF7C9B717570300130787AFFD +:104C5000BDFA4AC499B4A247138747009C433AC49B +:104C600013DBF741BDB3175703001307A7ADB70612 +:104C700010F005078347F7FF23A0F6F01C40FD174F +:104C800017C60100232EF684EDF7854717C70100EC +:104C90002328F78493078007175703002304F7AAF4 +:104CA00025B1635680019307D002E39EFBF297BCC7 +:104CB0000100938C2C7113078002930580023DBD87 +:104CC00097560300938606A8370610F0850603C79B +:104CD000F6FF2320E6F018407D1797C5010023A1B9 +:104CE000E5806DF709BBBE8DA94C014D4DB4B707EA +:104CF00010F023A0A7F201A03971130341022ED2B4 +:104D00009A8506CE32D436D63AD83EDA42DC46DE32 +:104D10001AC63532F2400145216182805D7113036C +:104D2000810322D42AC632DC2A841A86680006D679 +:104D3000BEC236DEBAC0C2C4C6C61ACEEFF06FD449 +:104D4000B247238007003245B250018D2254616181 +:104D50008280B70710F083A647F403A607F403A7E1 +:104D600047F4E31AD7FE8566938606FAB29633B601 +:104D7000C600B305E60023A4D7F423A6B7F48280C7 +:104D8000B70710F003A747F403A607F483A647F478 +:104D9000E31AD7FE8566938606FAB29633B6C60046 +:104DA00023A4D7F4B305E60023A6B7F493070008BD +:104DB00073A047308280411122C406C697B7010014 +:104DC0009387877217B401001304C47198431C4081 +:104DD0001306F07C930647069387470697B50100B4 +:104DE00023A4D57097B5010023AEF56E6346D600B7 +:104DF000B2402244410182801307478997B70100DE +:104E000023A4E76EF92E8547631FF50018408567D8 +:104E10009387F7760145E3DDE7FCD13D2244B240BC +:104E200041016FC0BFEC17B501001305255AE935E4 +:104E30000945753D2244B24041016FC03FEB17B5B3 +:104E400001001305655A4DBD17B501001305455AFC +:104E500065B5411122C426C206C6856417B4010097 +:104E600013048459938784380100FD17F5FF2285C8 +:104E70006135CDBFE1A4411106C6A526054781478E +:104E80006316E500B2403E854101828017B50100FE +:104E90001305C553EFF05FE6B24089473E854101F7 +:104EA00082807370043001A082807370043001A08E +:104EB000797122D426D24AD04ECE52CC56CA5AC884 +:104EC0005EC662C406D697B401009384E46497B9C1 +:104ED00001009389A96217BA0100130AEA6197BA1F +:104EE0000100938AAA6297BB0100938B6B6217BC87 +:104EF0000100130CEC6005440D49094B88408145C5 +:104F0000EFB09FF9E31C85FE03A50900EFC04FFC3D +:104F1000631C250B03250A00EFC08FFB6313250BD1 +:104F200083A70A006387870097B7010023A4875EE1 +:104F3000F1B783A70B00E39987FE83270C000325B5 +:104F40000A00850717B70100232CF75AEFC01F9DF1 +:104F500003A50900EFC09F9C83A70A00638787040D +:104F600083A70B00638387040145EFC0DF806317CD +:104F7000650503A50900EFC0AFF5631D65050325B1 +:104F80000A00EFC0EFF4631465058840EFB09FB0EE +:104F90006306850097B7010023AE87560145EFC031 +:104FA0008FFD29DD7370043001A0014597B7010022 +:104FB00023A28756EFC02FFCE30D65FB737004300E +:104FC00001A07370043001A07370043001A07370ED +:104FD000043001A07370043001A0011122CC26CA54 +:104FE0004AC84EC652C406CE17B901001309C952A9 +:104FF00017BA0100130ACA5197B401009384845070 +:1050000097B901009389495005442DA097B7010035 +:1050100023A287509C4003A7090085076386E70009 +:1050200097B7010023A8874E9C40850717B701005A +:10503000232AF74C03250900F955EFB0FFE5E317E4 +:1050400085FC83270A00E39387FC03250900EFB062 +:105050007FA46306850097B7010023AD874A014509 +:1050600097B7010023A4874AEFD0AFF997B70100A3 +:1050700023AE074845B701114AC817B90100130903 +:10508000A9490325090022CC4EC606CE26CA0544EE +:1050900097B9010093894947EFB0DF9F6305850801 +:1050A000A94403250900A145EFB01FDF630285086D +:1050B000FD14514597B7010023AE8744EFD06F87A9 +:1050C000EDF0A9445145EFD0CF8603250900FD142A +:1050D000EFB05F9C6306850097B7010023AC874261 +:1050E000F5F003250900EFB0FF9A6316850097B726 +:1050F000010023A1874283A709000145850717B74F +:1051000001002323F74097B7010023A38740EFD086 +:105110004FEF0325090097B7010023AB073EEFB01F +:105120007F97E31F85F697B7010023A5873E8DBFC4 +:10513000FD145145EFC0FFFFADF461B741111145BA +:1051400006C6EFB07F8F97B501009385252C97B7E2 +:10515000010023A3A73CEFB09FF897B70100938706 +:10516000A73B9C43A5CF8148014897B7010093878F +:10517000E738094781461306004097B5010093853B +:10518000E52917050000130545EFEFC0AF8D8148F5 +:10519000014897B7010093872736054781461306D4 +:1051A000004097B501009385E5271705000013051A +:1051B00005E3EFC02F8BB24081480148814701478A +:1051C00081461306004097B50100938525261705F3 +:1051D0000000130525CE41016FC0CF88B2404101C8 +:1051E000828097B701009387273297B60100938694 +:1051F0006630984394426382E6069C4397B601006A +:105200009386062F944217B701002325F72E97B7F0 +:1052100001009387272F98436382E6069C4397B645 +:1052200001009386A62C944217B701002322F72C85 +:1052300097B701009387C72C98436382E6069C4387 +:1052400017B701002324F72A97B701009387872C0B +:1052500088437D153335A0008280854717B701004C +:10526000232AF72A97B601009386862897B701006C +:105270009387472998439442E392E6FA854717B704 +:1052800001002329F72897B601009386262697B7B1 +:1052900001009387E72698439442E392E6FA854714 +:1052A00017B701002328F72697B7010093878726B1 +:1052B00088437D153335A0008280AA95AA8763852F +:1052C000B70003C7070001E73385A7408280850741 +:1052D000FDB7814863DA05003305A040B337A0006D +:1052E000B305B0409D8DFD5863D906003306C0401C +:1052F000B337C000B306D0409D8E32883683AA876C +:105300002E87639F061C97B601009386A6FA63F169 +:10531000C50C416E6377C60B130EF00F6373CE009E +:105320002143335E6600F29603CE06001A9E1303F5 +:1053300000023303C341630C0300B3956500335E81 +:10534000C501331866003367BE00B3176500135EEE +:105350000801B355C70313150801418193D607010E +:105360003376C703B305B5024206D18E63F8B600A3 +:10537000C29663E5060163F3B600C2968D8E33F7DD +:10538000C603C207C183B3D6C603B306D50213153D +:105390000701C98F63F8D700C29763E5070163F37C +:1053A000D700C297958F33D567008145638A08007F +:1053B000B307A0403337F000B305B0403E85998D68 +:1053C0008280370E00014143E36DC6F5614391BF12 +:1053D00001E605483358680241676373E80813071C +:1053E000F00F63730701214333576800BA9603C671 +:1053F00006001A96130300023303C3406319030621 +:10540000B38505419356080113150801418113D650 +:10541000070133F7D502B3D5D5024207518FB30543 +:10542000B5026378B7004297636507016373B700FD +:105430004297B305B74033F7D502C207C183B3D54E +:10544000D502B305B50213150701C98F63F8B7007C +:10545000C29763E5070163F3B700C2978D8FA1B7C9 +:10546000370700014143E361E8F86143B5BF3318F2 +:10547000680033DEC500935E08013356C500B317DC +:1054800065003355DE03B39565004D8E9315080115 +:10549000C181935606013377DE033385A5024207A7 +:1054A000558F6378A7004297636507016373A70070 +:1054B0004297B306A74033F7D60342064182B3D6DC +:1054C000D6034207B386D502B365C70063F8D5009B +:1054D000C29563E5050163F3D500C295958D1DB7AF +:1054E000E3E6D5EC416863F50605930EF00F33B89B +:1054F000DE000E0833DE060117B30100130383DB61 +:105500007293834E0300130E0002C29E330EDE41DF +:1055100063170E0263E4B6006369C500B307C540B4 +:10552000958D3335F5003387A5403E85BA85BDBDE1 +:10553000370300014148E3EF66FA614865BF33571E +:10554000D601B396C601D98EB357D50133D7D5014D +:10555000B395C501CD8F93D506013373B702139F61 +:105560000601135F0F0113D807013316C601331567 +:10557000C5013357B702420333680301B30FEF028B +:105580003A83637CF80136981303F7FF6367D8000A +:105590006375F8011303E7FF36983308F841B37FCA +:1055A000B8023358B802C20FB3050F03139F0701A7 +:1055B000135F0F0133EFEF014287637CBF00369F1B +:1055C0001307F8FF6367DF006375BF001307E8FF89 +:1055D000369F4203C16F3363E3009387FFFF135885 +:1055E0000601330FBF40B375F30013530301F18F6E +:1055F0003387F502B307F302B385050333030303CF +:10560000BE95135807012E986373F8007E93C16707 +:10561000FD17935508013378F80042087D8F9A955D +:1056200042976366BF00631BBF006379E5003306E2 +:10563000C7403337C700958D998D32873307E540D2 +:105640003335E500B305BF40898DB397D501335796 +:10565000C70133E5E700B3D5C50189BBAE87328802 +:1056600036872A836396062097B80100938888C4FA +:1056700063FEC50A41676374E60A1307F00F333708 +:10568000C7000E07B356E600B69883C608003697E3 +:1056900093060002998E99CAB397D7003357E50055 +:1056A0003318D600B365F7003313D500135508013E +:1056B000B3D7A5021316080141829356030133F7AD +:1056C000A502B305F6024207D98E3E8763FCB600F9 +:1056D000C2961387F7FF63E7060163F5B6001387E9 +:1056E000E7FFC2968D8EB3F7A60242031353030160 +:1056F000B3D6A602C20733E36700B305D6023685E8 +:10570000637BB30042931385F6FF63660301637402 +:10571000B3001385E6FF4207498F81454DA8B706C0 +:1057200000014147E360D6F66147A9BF01E685461F +:1057300033D8C602C166637ED8089306F00F63F3C0 +:1057400006012147B356E800B69883C60800BA960A +:1057500013070002158F49E7B387074185451356A4 +:1057600008019318080193D808019356030133F7F1 +:10577000C702B3D7C7024207D98E3385F8023E87E6 +:1057800063FCA600C2961387F7FF63E7060163F583 +:10579000A6001387E7FFC296898EB3F7C6024203BD +:1057A00013530301B3D6C602C20733E36700B388BD +:1057B000D8023685637B130142931385F6FF636637 +:1057C0000301637413011385E6FF4207498F3A858D +:1057D0008280B70600014147E366D8F661479DB76E +:1057E0003318E800B3D5D7003313E500B356D5001E +:1057F00013550801B397E70033F7A502B3E8F600A5 +:1058000093170801C18313D60801B3D5A502420737 +:10581000518FB386B7022E86637CD70042971386DA +:10582000F5FF636707016375D7001386E5FF4297AD +:10583000B306D74033F7A602C20893D80801B3D6FF +:10584000A6024207B385D702B3671701368763FC08 +:10585000B700C2971387F6FF63E7070163F5B70048 +:105860001387E6FFC2978D8F93150601D98DC5BDAD +:1058700063E2D514416763FEE6021308F00F333785 +:10588000D8000E0733D8E60097B50100938585A2AE +:10589000C29503C80500930500023A98B3850541F7 +:1058A00085E10547E3E5F6F23335C50013471500FA +:1058B00039BFB70500014147E3E6B6FC6147D9B7F8 +:1058C00033570601B396B600D98E93DE060133D75F +:1058D0000701B378D703B397B70033580501336393 +:1058E000F80093970601C183135803013316B600DD +:1058F0003357D703C20833E80801338FE7023A8EE3 +:10590000637CE8013698130EF7FF6367D800637570 +:10591000E801130EE7FF36983308E841B378D8035F +:105920003358D803C208B38E070393170301C1830A +:10593000B3E7F800428763FCD701B6971307F8FF77 +:1059400063E7D70063F5D7011307E8FFB697420E68 +:10595000B387D741C16E3367EE001388FEFF337300 +:10596000070193580701337806014182330E030380 +:105970003388080393560E013303C30242939A9669 +:10598000B388C80263F30601F69813D60601B298ED +:1059900063E01703E39317D9C167FD17FD8EC206B5 +:1059A000337EFE003315B500F2968145E371D5E2F2 +:1059B0007D17A5B38145014719BDB2883687AA87EF +:1059C0002E886398061C97B601009386A68E63F80E +:1059D000C50A4163637E66081303F00F6373C30057 +:1059E00021473353E6009A9603CE060013030002C4 +:1059F0003A9E3303C341630C0300B3956500335EE5 +:105A0000C501B31866003368BE00B317650013D62E +:105A100008013377C80213950801418193D6070125 +:105A20003358C8024207D98E3308050363F80601CC +:105A3000C69663E5160163F30601C696B386064172 +:105A400033F7C602C207C183B3D6C602B306D50276 +:105A500013150701C98F63F8D700C69763E51701CF +:105A600063F3D700C697958F33D567008145828051 +:105A7000370300014147E36666F661479DB701E6DB +:105A80000546B3581603416663F3C8081306F00FC2 +:105A900063731601214733D6E800B29603CE0600A1 +:105AA000130300023A9E3303C34163190306B3850F +:105AB000154113D7080113950801418113D6070139 +:105AC000B3F6E502B3D5E502C206D18EB305B50241 +:105AD00063F8B600C69663E5160163F3B600C69692 +:105AE000B385B640B3F6E502C207C183B3D5E5027C +:105AF000B305B50213950601C98F63F8B700C697C1 +:105B000063E5170163F3B700C6978D8FB1BF370602 +:105B100000014147E3E1C8F86147B5BFB3986800A9 +:105B200033D7C501B3176500335EC50113D508012E +:105B3000B376A702B3956500336EBE009395080156 +:105B4000C18113560E013357A702C206D18E338787 +:105B5000E50263F8E600C69663E5160163F3E60026 +:105B6000C6963386E640B376A602420E135E0E0159 +:105B70003356A602C2063386C502B3E5C60163F8F2 +:105B8000C500C69563E5150163F3C500C695918D03 +:105B90000DB7E3EED5EC416763F5E604930EF00F25 +:105BA00033B7DE000E0733D3E60097A801009388D1 +:105BB00068709A9883CE0800130E0002BA9E330EC6 +:105BC000DE4163170E0263E4B6006369C500B307E4 +:105BD000C540958D3335F5003388A5403E85C28597 +:105BE00079B5B70800014147E3EF16FB614765BF90 +:105BF0003357D601B396C601D98EB357D50133D7E3 +:105C0000D501B395C501CD8F93D506013373B70286 +:105C1000139F0601135F0F0193D807013316C601C6 +:105C20003315C5013357B7024203B3681301330874 +:105C3000EF023A8363FC0801B6981303F7FF63E7AA +:105C4000D80063F508011303E7FFB698B38808414D +:105C5000B3FFB802B3D8B802C20FB3051F03139F36 +:105C60000701135F0F0133EFEF014687637CBF002D +:105C7000369F1387F8FF6367DF006375BF001387E4 +:105C8000E8FF369F4203C1683363E3009387F8FF60 +:105C900013580601330FBF40B375F30013530301CC +:105CA000F18F3387F502B307F302B385050333039E +:105CB0000303BE95135807012E986373F8004693AB +:105CC000C167FD17935508013378F80042087D8FAE +:105CD0009A9542976366BF00631BBF006379E50036 +:105CE0003306C7403337C700958D998D3287330708 +:105CF000E5403335E500B305BF40898DB397D50145 +:105D00003357C70133E5E700B3D5C5018DB397A776 +:105D100001009387677A944317A7010013078778D8 +:105D20001843C8C2637BE50017A701001307477833 +:105D300008438C4391056FA0BF80411122C406C661 +:105D400017A70100130707772A8408438C4391059E +:105D5000EFA00FFF97A70100938707739C4363761B +:105D6000F40097A7010023A18772B24022444101A9 +:105D7000828097A7010093872774984394437C43BC +:105D8000FD177CC3FC4299E37360043082800111EB +:105D900022CC26CA2A84AE844AC84EC652C456C2F1 +:105DA00006CEEFB0BFF51C4003290401B70900017E +:105DB000B3E59700930AC4000CC08144FD19370A6B +:105DC00000021840638C2A03032609004A85B36544 +:105DD0004701B376360193175600758F03294900A2 +:105DE00063C4070001E7F1BFE39DE6FC931776006B +:105DF00063D30700D58CEFC05FDFE1B793C4F4FF36 +:105E0000F98C04C0EFC08F940840F2406244D24441 +:105E10004249B249224A924A05618280411106C62E +:105E200022C4EFF0AFB117F7FFFF130707F9814660 +:105E300005469305400617A501001305655CEFD0E4 +:105E4000DFAE19C92A84EFB07FEC2A86014781466C +:105E500085452285EFD01FBAEFB0DFC4B24022449F +:065E6000014541018280B2 +:02000004800278 +:10000000B444FEFFB245FEFFBC44FEFFB245FEFF16 +:10001000B245FEFFB245FEFFB245FEFFD444FEFFEF +:10002000B245FEFFB245FEFFEE44FEFFFA44FEFF7E +:10003000B245FEFF0C45FEFF1845FEFF1845FEFFCA +:100040001845FEFF1845FEFF1845FEFF1845FEFF48 +:100050001845FEFF1845FEFF1845FEFFB245FEFF9E +:10006000B245FEFFB245FEFFB245FEFFB245FEFFC0 +:10007000B245FEFFB245FEFFB245FEFFB245FEFFB0 +:10008000B245FEFFB245FEFFB245FEFFB245FEFFA0 +:10009000B245FEFFB245FEFFB245FEFFB245FEFF90 +:1000A000B245FEFFB245FEFFB245FEFFB245FEFF80 +:1000B000B245FEFFB245FEFFB245FEFFB245FEFF70 +:1000C000B245FEFFB245FEFFB245FEFFB245FEFF60 +:1000D000B245FEFFB245FEFFB245FEFFB245FEFF50 +:1000E000B245FEFFB245FEFFB245FEFFB245FEFF40 +:1000F000B245FEFFB245FEFFB245FEFFB245FEFF30 +:100100004C45FEFF6245FEFFB245FEFFB245FEFFD5 +:10011000B245FEFFB245FEFFB245FEFFB245FEFF0F +:10012000B245FEFFA845FEFFB245FEFFB245FEFF09 +:100130005A43FEFF1244FEFFB245FEFFB245FEFFEA +:100140004844FEFFB245FEFFA044FEFFB245FEFF5D +:10015000B245FEFFCE44FEFFC048FEFF1449FEFF3D +:10016000C848FEFF1449FEFF1449FEFF1449FEFF74 +:100170001449FEFFBA47FEFF1449FEFF1449FEFF73 +:10018000D447FEFFE047FEFF1449FEFFF247FEFFA3 +:10019000FE47FEFFFE47FEFFFE47FEFFFE47FEFF57 +:1001A000FE47FEFFFE47FEFFFE47FEFFFE47FEFF47 +:1001B000FE47FEFF1449FEFF1449FEFF1449FEFFEF +:1001C0001449FEFF1449FEFF1449FEFF1449FEFFC7 +:1001D0001449FEFF1449FEFF1449FEFF1449FEFFB7 +:1001E0001449FEFF1449FEFF1449FEFF1449FEFFA7 +:1001F0001449FEFF1449FEFF1449FEFF1449FEFF97 +:100200001449FEFF1449FEFF1449FEFF1449FEFF86 +:100210001449FEFF1449FEFF1449FEFF1449FEFF76 +:100220001449FEFF1449FEFF1449FEFF1449FEFF66 +:100230001449FEFF1449FEFF1449FEFF1449FEFF56 +:100240001449FEFF1449FEFF1449FEFF1449FEFF46 +:100250001449FEFF1449FEFF3248FEFF6048FEFFCE +:100260001449FEFF1449FEFF1449FEFF1449FEFF26 +:100270001449FEFF1449FEFF1449FEFFB648FEFF75 +:100280001449FEFF1449FEFF1846FEFF1247FEFF09 +:100290001449FEFF1449FEFF8447FEFF1449FEFF88 +:1002A000AA47FEFF1449FEFF1449FEFF0E49FEFF58 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D000207265636D75746578207461736B73202A +:1003E0000D0A00006D69616F750A00006D69616F2B +:1003F00075320A0068756E6772790A004368656332 +:100400006B54696D6572000052656375727369762D +:10041000655F4D75746578005265633100000000BA +:100420005265633200000000526563330000000033 +:100430001000000000000000017A5200017C010160 +:100440001B0D02001000000018000000864EFEFF89 +:100450008A03000000000000100000002C000000D3 +:10046000FC51FEFF5E0300000000000010000000D1 +:10047000400000004655FEFF54030000000000004D +:08051800FC8F01000900000046 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/semtest_rv32i_O0.hex b/VexRiscv/src/test/resources/freertos/semtest_rv32i_O0.hex new file mode 100644 index 0000000..d2d633e --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/semtest_rv32i_O0.hex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diff --git a/VexRiscv/src/test/resources/freertos/semtest_rv32i_O3.hex b/VexRiscv/src/test/resources/freertos/semtest_rv32i_O3.hex new file mode 100644 index 0000000..a355329 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/semtest_rv32i_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/semtest_rv32ic_O0.hex b/VexRiscv/src/test/resources/freertos/semtest_rv32ic_O0.hex new file mode 100644 index 0000000..72e9bb2 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/semtest_rv32ic_O0.hex @@ -0,0 +1,1488 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000E1B7170502001305E53A9795030093852C +:1000A000656B01461122170502001305653597059A +:1000B0000200938565350146C52817A50300130581 +:1000C000A56997B503009385E56837B6ABAB130612 +:1000D000B6BAD92817B1030013010168EF40607D5B +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2C21C23A02200F3221034E2 +:1002400096DEEF006030170102000321611B0241BE +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E21923A0120023A2B9 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40804D170102000321E2 +:10033000210D0241F65273901234B72200009382CD +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A20B83A0C0 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2C2FA23A0220086DEEF10D8 +:10046000B040170102000321A1F90241F652739036 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F0961730020309707BF +:1004C00002009387A7F49843FD576305F700737004 +:1004D000043001A07370043001A041112AC6B24754 +:1004E000739047300100410182804111F327403071 +:1004F0003EC673F04330B2473E85410182804111D0 +:100500002AC62EC432C2B247F1173EC62247B247AE +:1005100098C3B247938787FA3EC61247B24798C33B +:10052000B247A1173EC68E873E87B24798C3B247EF +:10053000D1173EC6170700001307A7F8B24798C3A4 +:10054000B2473E8541018280411106C6EF40A0239B +:10055000EF10D014AA8799C3EF1010310100B240F8 +:100560004101828041112AC6B24713878700B247F2 +:10057000D8C3B2477D5798C7B24713878700B247A1 +:10058000D8C7B24713878700B24798CBB24723A09A +:10059000070001004101828041112AC6B24723A809 +:1005A000070001004101828001112AC62EC4B24712 +:1005B000DC433ECEA2477247D8C3F2479847A247D2 +:1005C00098C7F2479C472247D8C3F247224798C7AB +:1005D000A247324798CBB2479C4313871700B247D4 +:1005E00098C301000561828001112AC62EC4A2476A +:1005F0009C433ECC6247FD576316F700B2479C4BC5 +:100600003ECE31A8B247A1073ECE21A0F247DC433F +:100610003ECEF247DC439C436247E379F7FEF24764 +:10062000D843A247D8C3A247DC43224798C7A24772 +:10063000724798C7F2472247D8C3A247324798CBA0 +:10064000B2479C4313871700B24798C30100056166 +:10065000828001112AC6B2479C4B3ECEB247DC4392 +:100660003247184798C7B2479C4732475843D8C3C8 +:10067000F247DC4332476316F700B2479847F24728 +:10068000D8C3B24723A80700F2479C431387F7FF5C +:10069000F24798C3F2479C433E8505618280797199 +:1006A00006D622D42AC62EC4B2473ECEF24781E7F0 +:1006B0007370043001A0EF202057F2478043F247C7 +:1006C000D85FF247BC43BE853A85EF50A00FAA879A +:1006D0003307F400F247D8C3F24723AC0702F247CE +:1006E0009843F24798C7F2478043F247DC5F13878D +:1006F000F7FFF247BC43BE853A85EF50A00CAA87AE +:100700003307F400F247D8C7F2477D57F8C3F247E2 +:100710007D57B8C7A24799EFF2479C4B8DC7F24768 +:10072000C1073E85EF1090402A878547631DF7007B +:10073000F13911A8F247C1073E852D35F24793875D +:1007400047023E850535EF20005185473E85B25072 +:10075000225445618280797106D62AC62EC4B2879A +:10076000A303F10002CCB24781E77370043001A00B +:10077000A24799E302CE01A8A2453245EF5080047A +:10078000AA8785073ECEF247938747053E85EF301F +:10079000805C2ACAD24785CFA24789E7D247524711 +:1007A00098C331A0D24713874705D24798C3D24791 +:1007B0003247D8DFD2472247B8C385455245C535B1 +:1007C000D247034771002388E704D2473ECCE24773 +:1007D00081E77370043001A0E2473E85B250456165 +:1007E0008280797106D6AA87A307F1001305400518 +:1007F000EF3060562ACEF247B9CFF24723A2070066 +:10080000F24723A00700F24723A40700F24723A6DC +:100810000700F24723AC0702F2470547D8DFF2474B +:1008200023A00704F2477D57F8C3F2477D57B8C7A6 +:10083000F2470347F1002388E704F247C1073E85EA +:100840001533F247938747023E85293B814601468F +:10085000814572453122F2473E85B2504561828022 +:10086000797106D622D42AC6B2473ECCE24781E748 +:100870007370043001A0E247C043EF20001DAA8737 +:100880006313F402E247DC471387F7FFE247D8C758 +:10089000E247DC4791E78146014681456245C92030 +:1008A00085473ECE11A002CEF2473E85B25022547B +:1008B00045618280797106D622D42AC62EC4B247F9 +:1008C0003ECCE24781E77370043001A0E247C043A9 +:1008D000EF20A017AA87631BF400E247DC471387C9 +:1008E0001700E247D8C785473ECE0DA08146224675 +:1008F00081456245252E2ACE724785476318F70049 +:10090000E247DC4713871700E247D8C7F2473E8526 +:10091000B250225445618280797106D62AC62EC40F +:10092000B24781E77370043001A02247B24763F5F4 +:10093000E7007370043001A0094681453245213D2E +:100940002ACEF24781C7F247224798DFF24781E774 +:100950007370043001A0F2473E85B2504561828039 +:10096000397106DE2AC62EC432C236C002D6B2475C +:100970003ED4A25781E77370043001A0A24781E7FB +:10098000A257BC4399E3854711A0814781E7737063 +:10099000043001A0024789476317F700A257D85FC8 +:1009A00085476314F700854711A0814781E773707D +:1009B000043001A0EF20C00AAA8799E3924799E387 +:1009C000854711A0814781E77370043001A0EF20B3 +:1009D000A025A257985FA257DC5F6366F700024725 +:1009E0008947631DF7020246A245225565232AD294 +:1009F000A257DC5389CFA257938747023E85EF1059 +:100A0000F0122A8785476317F700093421A092570F +:100A100091C3ED3AEF202024854771A8924789E7DA +:100A2000EF206023814741A8B25799E77C083E85B3 +:100A3000EF10702785473ED6EF20E021EF10401AD7 +:100A4000EF20801EA257F843FD576315F700A25709 +:100A500023A20704A257B847FD576315F700A25712 +:100A600023A40704EF20201F58007C08BA853E8588 +:100A7000EF107026AA879DEB2255EF006071AA87C0 +:100A80008DC3A257C1071247BA853E85EF10E070AB +:100A900022551525EF108016AA87E39A07F2BD327A +:100AA0003DB72255092DEF10601515B722552125A8 +:100AB000EF10C01481473E85F25021618280797128 +:100AC00006D62AC62EC432C236C0B2473ECCE24752 +:100AD00081E77370043001A0A24781E7E247BC437D +:100AE00099E3854711A0814781E77370043001A025 +:100AF000024789476317F700E247D85F85476314C9 +:100B0000F700854711A0814781E77370043001A089 +:100B1000E93AAA873ECAE247985FE247DC5F63662C +:100B2000F700024789476315F7040246A24562456C +:100B30009521E247B847FD576313F702E247DC53BC +:100B40008DC7E247938747023E85EF10207EAA8734 +:100B500089CF924799CB9247054798C339A0E2477E +:100B6000BC4713871700E247B8C785473ECE11A0A0 +:100B700002CED2473E859532F2473E85B25045615E +:100B80008280797106D62AC62EC4B2473ECCE2478F +:100B900081E77370043001A0E247BC4381C77370E2 +:100BA000043001A0E2479C4381E7E247DC4399E33C +:100BB000854711A0814781E77370043001A0353269 +:100BC000AA873ECAE247985FE247DC5F6377F70493 +:100BD000E2479C5F13871700E24798DFE247B84778 +:100BE000FD576313F702E247DC538DC7E247938753 +:100BF00047023E85EF108073AA8789CFA24799CB21 +:100C0000A247054798C339A0E247BC47138717009E +:100C1000E247B8C785473ECE11A002CED2473E85F7 +:100C20006D38F2473E85B25045618280397106DEEB +:100C30002AC62EC432C236C002D6B2473ED4A2570C +:100C400081E77370043001A0A24781E7A257BC433B +:100C500099E3854711A0814781E77370043001A0B3 +:100C6000EF101060AA8799E3924799E3854711A096 +:100C7000814781E77370043001A0EF10F07AA2572A +:100C80009C5FB5CBA257DC473ED2A2452255F92E38 +:100C9000824795EFA2579C5F1387F7FFA25798DF13 +:100CA000A2579C4391E7EF2060022A87A257D8C33E +:100CB000A2579C4B8DCFA257C1073E85EF1000670E +:100CC0002A8785476315F702EFF04FF40DA0A2576E +:100CD0001257D8C7A257DC5399CBA2579387470224 +:100CE0003E85EF10A064AA8799C3EFF02FF2EF10B2 +:100CF000907685475DA8924789E7EF10D0758147C8 +:100D00006DA0B25799E77C083E85EF10C079854702 +:100D10003ED6EF105074EF00B06CEF10F070A25799 +:100D2000F843FD576315F700A25723A20704A25703 +:100D3000B847FD576315F700A25723A40704EF1027 +:100D4000907158007C08BA853E85EF10C078AA875C +:100D5000A1EB2255CD2EAA879DCFA2579C4391EBA4 +:100D6000EF10906CA257DC433E85EF107052EF10ED +:100D7000906EA257938747021247BA853E85EF10BF +:100D8000C04122550D2EEF007067AA87E39707EE4A +:100D9000EFF0CFE7DDB52255392EEF003066F1BD1B +:100DA0002255112EEF00906581473E85F25021615A +:100DB0008280797106D62AC62EC432C2B2473ECC92 +:100DC000E24781E77370043001A0A24781E7E24760 +:100DD000BC4399E3854711A0814781E773700430D4 +:100DE00001A0EFF08FF0AA873ECAE2479C5FA1CB3B +:100DF000A2456245A52CE2479C5F1387F7FFE247B7 +:100E000098DFE247F843FD576312F702E2479C4B35 +:100E100085C7E247C1073E85EF104051AA8789CFB9 +:100E2000924799CB9247054798C339A0E247FC43C4 +:100E300013871700E247F8C385473ECE11A002CEC4 +:100E4000D2473E85EFF06FE9F2473E85B2504561EB +:100E50008280797106D62AC62EC4B2473ECCE247BC +:100E600081E77370043001A0A24781E7E247BC43E9 +:100E700099E3854711A0814781E77370043001A091 +:100E8000E247BC4381E77370043001A0EFF0EFE567 +:100E9000AA873ECAE2479C5F89CFE247DC473EC84B +:100EA000A2456245E122E2474247D8C785473ECE88 +:100EB00011A002CED2473E85EFF02FE2F2473E85E9 +:100EC000B25045618280797106D62AC6B24781E761 +:100ED0007370043001A0EF103055B2479C5F3ECED6 +:100EE000EF107057F2473E85B250456182807971AC +:100EF00006D62AC6B2473ECEF24781E77370043069 +:100F000001A0EF107052F247D85FF2479C5FB30721 +:100F1000F7403ECCEF103054E2473E85B250456179 +:100F2000828001112AC6B24781E77370043001A0A4 +:100F3000B2479C5F3ECEF2473E8505618280797163 +:100F400006D62AC6B2473ECEF24781E77370043018 +:100F500001A07245E5247245EF20F0720100B25005 +:100F60004561828041112AC6B247FC473E85410156 +:100F7000828041112AC62EC4B2472247F8C7010019 +:100F80004101828041112AC6B24783C707053E85C9 +:100F900041018280797106D62AC62EC432C202CEA1 +:100FA000B247BC4391EFB2479C43D5E7B247DC431D +:100FB0003E85EF10903B2ACEB24723A2070061A8DE +:100FC000924785EFB2479847B247BC433E86A24559 +:100FD0003A85EF20F079B2479847B247BC433E9735 +:100FE000B24798C7B2479847B247DC436365F706F4 +:100FF000B2479843B24798C7B9A8B247D847B24753 +:10100000BC433E86A2453A85EF209076B247D8474A +:10101000B247BC43B307F0403E97B247D8C7B24788 +:10102000D847B2479C43637BF700B247D843B247E7 +:10103000BC43B307F0403E97B247D8C71247894731 +:10104000631BF700B2479C5F99C7B2479C5F138749 +:10105000F7FFB24798DFB2479C5F13871700B2478C +:1010600098DFF2473E85B25045618280011106CE7D +:101070002AC62EC4B247BC439DCBB247D847B2471D +:10108000BC433E97B247D8C7B247D847B247DC43C4 +:101090006366F700B2479843B247D8C7B247D8470C +:1010A000B247BC433E86BA852245EF20706C0100F2 +:1010B000F24005618280011106CE2AC6EF10D036BB +:1010C00025A0B247DC5395C7B247938747023E85B8 +:1010D000EF10C025AA8799C3EF104049B247BC471B +:1010E0001387F7FFB247B8C7B247BC47E34BF0FCE2 +:1010F00011A00100B2477D57B8C7EF10D035EF10EF +:10110000B0321DA0B2479C4B8DC7B247C1073E8588 +:10111000EF10C021AA8799C3EF104045B247FC43A6 +:101120001387F7FFB247F8C3B247FC43E34CF0FC28 +:1011300011A00100B2477D57F8C3EF10D031010074 +:10114000F24005618280797106D62AC6EF10D02D53 +:10115000B2479C5F81E785473ECE11A002CEEF10DB +:10116000902FF2473E85B2504561828001112AC618 +:10117000B24781E77370043001A0B2479C5F81E7FA +:1011800085473ECE11A002CEF2473E8505618280A2 +:10119000797106D62AC6EF103029B247985FB24758 +:1011A000DC5F6315F70085473ECE11A002CEEF103D +:1011B000902AF2473E85B2504561828001112AC6CD +:1011C000B24781E77370043001A0B247985FB2471D +:1011D000DC5F6315F70085473ECE11A002CEF247D3 +:1011E0003E850561828001112AC62EC402CE81A0EF +:1011F0001787030013074752F2478E07BA979C439D +:1012000085E71787030013072751F2478E07BA9720 +:10121000224798C31787030013070750F2478E072A +:10122000BA973247D8C301A8F24785073ECE724726 +:101230009D47E3FFE7FA01000561828001112AC69C +:1012400002CE0DA8178703001307074DF2478E073C +:10125000BA97DC433247631CF70017870300130774 +:10126000A74BF2478E07BA9723A0070001A8F247C1 +:1012700085073ECE72479D47E3F6E7FC0100056116 +:101280008280797106D62AC62EC432C2B2473ECEBB +:10129000EF109019F247F843FD576315F700F24736 +:1012A00023A20704F247B847FD576315F700F2473A +:1012B00023A40704EF10301AF2479C5F89EBF24732 +:1012C000938747021246A2453E85EF00907E724505 +:1012D000DD330100B25045618280397106DE2ACECD +:1012E0002ECC36C83AC63EC442C246C0B287231B83 +:1012F000F100F24781E77370043001A03247A5473F +:1013000063F5E7007370043001A0835761019245D3 +:101310003E85EF1080512AD4A257638F0712A2573F +:10132000985B83566101B7070040FD17B6978A079F +:10133000BA973ED29257F19B3ED292578D8B81C77E +:101340007370043001A0835761013E8782463246A4 +:10135000E2452255EF10E0274246F2451255EFF0E4 +:101360000F9A2A87A25798C3A24781C7A24722573C +:1013700098C3EF10700B97F701009387E7279C4302 +:101380001387170097F701009387072798C397F7EC +:1013900001009387E7069C4395E397F70100938745 +:1013A0002706225798C397F701009387E7249843AD +:1013B0008547631AF702EF10C02C35A097F701009C +:1013C000938747249C4385E397F70100938747035E +:1013D0009C43DC5732476368F70097F70100938717 +:1013E0002702225798C397F701009387A7229C43AF +:1013F0001387170097F701009387C72198C397F7C2 +:101400000100938727219843A257B8C7A257D857FE +:1014100097F701009387C71E9C4363F9E700A25723 +:10142000D85797F701009387A71D98C3A257D8579D +:10143000BA878A07BA978A0717F701001307470880 +:101440003E97A2579107BE853A85EFF0EF95854705 +:101450003ED6EF10500019A0FD573ED632578547B3 +:101460006313F70297F701009387C7199C4381CF55 +:1014700097F701009387C7F89C43DC57324763F422 +:10148000E700EFE0BFF8B2573E85F250216182805D +:10149000797106D62AC6EF102079B24799E797F7F7 +:1014A00001009387E7F59C4311A0B2473ECEF24777 +:1014B00091073E85EFF0EF99F2479C5791C7F247AD +:1014C000E1073E85EFF0EF98F2479107BE8517F5EB +:1014D00001001305A50FEFF02F8D97F701009387FB +:1014E00027109C431387170097F701009387470F36 +:1014F00098C397F701009387E7119C431387170060 +:1015000097F701009387071198C3EF10C07497F7FE +:1015100001009387270F9C439DCB97F7010093878A +:1015200027EE9C437247631EF70097F701009387ED +:10153000E70E9C4381C77370043001A0EFE01FEDFC +:1015400039A0EF10606EEF10A04BEF10C0700100DB +:10155000B25045618280797106D62AC62EC402CE69 +:10156000B24781E77370043001A0A24781E773702E +:10157000043001A097F701009387470A9C4381C775 +:101580007370043001A0A92D97F701009387070716 +:101590009C433ECCB2479C432247BA973ECAB247CF +:1015A0009C436247637EF700B2479C435247637493 +:1015B000F7025247E24763F0E70285473ECE21A893 +:1015C000B2479C4352476366F7005247E24763F4D1 +:1015D000E70085473ECEB247524798C3F24789CFCE +:1015E00097F701009387C7E19C4391073E85EFF091 +:1015F0004F865245EF10001B11252AC8C24799E3B8 +:10160000EFE0DFE00100B25045618280797106D6DB +:101610002AC602CEB247A9C397F7010093870700F5 +:101620009C4381C77370043001A05D2B97F70100C4 +:101630009387C7FC9C433247BA973ECC97F701008B +:10164000938707DC9C4391073E85EFF08F806245CE +:10165000EF10401565232ACEF24799E3EFE01FDB38 +:101660000100B25045618280797106D62AC6B24720 +:101670003ECCE24781E77370043001A097F7010088 +:10168000938707D89C4362476314F70002CEBDA03E +:10169000EF108059E247DC4B3ECAEF10C05B97F772 +:1016A00001009387E7F09C435247630AF70097F7DE +:1016B0000100938727F09C4352476315F700894741 +:1016C0003ECE2DA8524797F701009387A7F1631BE1 +:1016D000F700E2479C5781E78D473ECE05A089473A +:1016E0003ECE29A8524797F70100938727EE63154E +:1016F000F70091473ECE19A085473ECEF2473E8582 +:10170000B25045618280797106D62AC6EF10C05169 +:10171000B24799E797F70100938787CE9C4311A0C2 +:10172000B2473ECEF247DC573ECCEF10C052E24704 +:101730003E85B25045618280797106D62AC6EFE0B7 +:10174000DFDAAA873ECEB24799E797F70100938781 +:1017500027CB9C4311A0B2473ECCE247DC573ECAA0 +:10176000F2473E85EFE07FD7D2473E85B2504561D4 +:101770008280797106D62AC62EC402CE2247A5479A +:1017800063F5E7007370043001A02247A54763F4B6 +:10179000E700A5473EC4EF102049B24799E797F705 +:1017A00001009387E7C59C4311A0B2473ECCE247B6 +:1017B000BC4B3ECA5247A247630AF70E2247D247A4 +:1017C00063F6E70297F70100938787C39C4362475C +:1017D0006308F70297F70100938787C29C43DC57A1 +:1017E0002247636FF70085473ECE19A897F701009F +:1017F000938707C19C4362476314F70085473ECE39 +:10180000E247DC573EC8E247B84BE247DC57631576 +:10181000F700E2472247D8D7E2472247B8CBE24752 +:101820009C4F63C707002947A2471D8FE24798CF07 +:10183000E247D44B4247BA878A07BA978A0717F715 +:1018400001001307E7C7BA976394F600854711A014 +:101850008147B9C7E24791073E85EFE09FDFE24746 +:10186000D85797F701009387A7D99C4363F9E700FE +:10187000E247D85797F70100938787D898C3E24784 +:10188000D857BA878A07BA978A0717F7010013074C +:1018900027C33E97E2479107BE853A85EFE0DFD048 +:1018A000724785476314F700EFE05FB6EF10A03A88 +:1018B0000100B25045618280797106D62AC6EF10C8 +:1018C000A036B24799E797F70100938767B39C4327 +:1018D00011A0B2473ECEF24791073E85EFE07FD799 +:1018E000F2479C5791C7F247E1073E85EFE07FD66C +:1018F000F2479107BE8517F501001305A5CEEFE06D +:10190000BFCAEF10403597F70100938767AF9C433C +:1019100072476319F70497F701009387A7CE9C439A +:1019200089CF97F70100938767CF9C4381C7737076 +:10193000043001A0EFE09FAD91A097F701009387DD +:1019400067CA984397F70100938707CB9C436319B5 +:10195000F70097F701009387A7AA23A0070039A8EB +:10196000212729A897F701009387C7C99C4399C7E6 +:10197000EF10802BEF10C008EF10E02D0100B250E7 +:101980004561828001112AC602CEB2473ECCB247E1 +:1019900081E77370043001A0E247D84B97F701004C +:1019A000938747C46314F700854711A0814795C307 +:1019B000E247985797F70100938707C0630BF7003A +:1019C000E2479C5799E3854711A0814799C3854712 +:1019D0003ECEF2473E8505618280797106D62AC6E1 +:1019E000B2473ECEB24781E77370043001A0F247A0 +:1019F000C9C797F701009387A7A09C437247630E5E +:101A0000F706EF1060227245B53F2A8785476314B9 +:101A1000F706F24791073E85EFE0BFC3F247D8577C +:101A200097F701009387C7BD9C4363F9E700F2472E +:101A3000D85797F701009387A7BC98C3F247D857A8 +:101A4000BA878A07BA978A0717F70100130747A7CB +:101A50003E97F2479107BE853A85EFE0FFB4F24723 +:101A6000D85797F701009387A7999C43DC57636485 +:101A7000F700EFE0BF99EF10001E0100B250456182 +:101A80008280797106D62AC602CEB2473ECCB247D2 +:101A900081E77370043001A0EFE03FA5AA873ECA3A +:101AA0006245CD352A8785476314F70897F701000B +:101AB0009387C7B69C43A5E7E247D85797F701003D +:101AC000938707949C43DC576364F70085473ECEB9 +:101AD000E24791073E85EFE0DFB7E247D85797F737 +:101AE00001009387E7B19C4363F9E700E247D857C9 +:101AF00097F701009387C7B098C3E247D857BA87D2 +:101B00008A07BA978A0717F701001307679B3E9762 +:101B1000E2479107BE853A85EFE01FA911A8E24789 +:101B2000E107BE8517F50100130505A9EFE0DFA762 +:101B3000D2473E85EFE07F9AF2473E85B25045613D +:101B40008280011106CE81480148814701478146C4 +:101B50001306004097E501009385C54A171500005C +:101B6000130585A5EFF06FF72AC632478547631541 +:101B7000F700EF10C06D2AC6324785476319F70298 +:101B80007370043097F70100938707A97D5798C3B6 +:101B900097F70100938707A7054798C397F70100B8 +:101BA0009387C7A523A00700EFE0AFEF31A0B247AE +:101BB00081E77370043001A00100F240056182806A +:101BC000411106C67370043097F70100938787A30D +:101BD00023A00700EFE02FFB0100B240410182800B +:101BE00097F70100938787A39C431387170097F704 +:101BF00001009387A7A298C301008280011106CE3D +:101C000002C697F70100938767A19C4381E7737031 +:101C1000043001A0EF10400197F70100938707A05F +:101C20009C431387F7FF97F701009387279F98C37B +:101C300097F701009387879E9C436390071097F75F +:101C400001009387679B9C436389070E59A097F710 +:101C5000010093876796DC47DC473EC4A247E10753 +:101C60003E85EFE01F9FA24791073E85EFE07F9EF4 +:101C7000A247D85797F70100938787989C4363F949 +:101C8000E700A247D85797F701009387679798C353 +:101C9000A247D857BA878A07BA978A0717F7010069 +:101CA000130707823E97A2479107BE853A85EFE06A +:101CB000BF8FA247D85797E70100938767749C436B +:101CC000DC576368F70097F701009387279405476F +:101CD00098C397F701009387278E9C43ADFB97F736 +:101CE0000100938767929C438DCF35A0452AAA8730 +:101CF00099C797F7010093876791054798C397F7AE +:101D00000100938767909C431387F7FF97F70100C3 +:101D10009387878F98C397F701009387E78E9C433B +:101D2000F1F797F701009387678E9843854763160D +:101D3000F70085473EC6EFE06FEDEF00D071B24788 +:101D40003E85F24005618280011106CEEF00D06D24 +:101D500097F701009387878A9C433EC6EF00B06FD8 +:101D6000B2473E85F24005618280011106CEEFE068 +:101D7000CFF7AA873EC697F70100938727889C4331 +:101D80003EC4B2473E85EFE04FF5A2473E85F240A4 +:101D90000561828097F70100938707869C433E8503 +:101DA0008280797106D62AC62EC432C202CEA947D5 +:101DB0003ECC3D3597F70100938707849C4322472B +:101DC0006368F70CE247FD173ECCF24796073247AF +:101DD000B306F7006247BA878A07BA978A0717E7F8 +:101DE00001001307E76DBA970546BE853685EF00FB +:101DF000902A2A87F247BA973ECEE247E1F7F247A8 +:101E0000960732473E9797E701009387677A9C438E +:101E10000946BE853A85EF0010282A87F247BA970F +:101E20003ECEF247960732473E9797E701009387E9 +:101E300067789C430946BE853A85EF00D0252A87FE +:101E4000F247BA973ECEF24796073247BA97114605 +:101E500097E50100938585773E85EF00D0232A879B +:101E6000F247BA973ECEF24796073247BA970D46E9 +:101E700097E50100938505773E85EF00D0212A87FD +:101E8000F247BA973ECE924781C7924723A00700F8 +:101E9000B533F2473E85B25045618280797106D6EE +:101EA00002CE97E70100938767779C4363950718F5 +:101EB00097E70100938787749C431387170097E780 +:101EC00001009387A77398C397E70100938707736F +:101ED0009C433ECCE247B9EF97E701009387476DFB +:101EE0009C439C4381C77370043001A097E70100B5 +:101EF0009387076C9C433ECA97E701009387876BE3 +:101F0000984397E701009387A76A98C397E7010072 +:101F10009387476A524798C397E701009387476FB3 +:101F20009C431387170097E701009387676E98C358 +:101F3000EF00102D97E701009387076E9C436247DF +:101F40006365F70C97E70100938787669C439C4382 +:101F500099E3854711A0814781CB97E701009387DB +:101F6000A76B7D5798C355A097E7010093874764F7 +:101F70009C43DC47DC473EC8C247DC433EC6624761 +:101F8000B2476379F70097E701009387E768324724 +:101F900098C3A5A8C24791073E85EFE08FEBC247E3 +:101FA0009C5791C7C247E1073E85EFE08FEAC247E1 +:101FB000D85797E701009387A7649C4363F9E7002C +:101FC000C247D85797E701009387876398C3C247F2 +:101FD000D857BA878A07BA978A0717E70100130705 +:101FE000274E3E97C2479107BE853A85EFE0CFDB8B +:101FF000C247D85797E70100938787409C43DC5737 +:10200000E362F7F485473ECE35BF97E7010093873B +:10201000273F9C43D85797E601009386664ABA87C4 +:102020008A07BA978A07B6979843854763F1E7020C +:1020300085473ECE29A897E701009387E75C9C433C +:102040001387170097E701009387075C98C397E70A +:1020500001009387A75B9C4399C385473ECEF24717 +:102060003E85B25045618280011106CE97E701009E +:102070009387C75A9C4381CB97E701009387075901 +:10208000054798C339A297E701009387275823A0F3 +:10209000070097E701009387A7369C439C5B3EC6E9 +:1020A000B7A7A5A59387575A3EC4B2479C4322477A +:1020B0006314F702B24791079C432247631EF7005F +:1020C000B247A1079C4322476318F700B247B10704 +:1020D0009C4322476308F70497E701009387473240 +:1020E000984397E701009387A7319C439387470361 +:1020F000BE853A85EF20D00A35A097E70100938787 +:1021000027509C4381E77370043001A097E70100DA +:102110009387074F9C431387F7FF97E70100938747 +:10212000274E98C397E701009387874D984397E61A +:1021300001009386E638BA878A07BA978A07B69766 +:102140009C43C5DF97E701009387874B9843BA8785 +:102150008A07BA978A0717E7010013076736BA9705 +:102160003EC29247DC43D8439247D8C39247D843F4 +:102170009247A1076317F7009247DC43D843924781 +:10218000D8C39247DC43D84797E701009387472796 +:1021900098C30100F24005618280797106D62AC693 +:1021A0002EC4B24781E77370043001A097E70100A5 +:1021B000938707259C43E107BE853245EFE0CFC2F8 +:1021C00097E701009387C7239C4391073E85EFE083 +:1021D0004FC82247FD576310F70297E70100938726 +:1021E00027229C439107BE8517E501001305853F13 +:1021F000EFE08FBB19A897E70100938727409C4326 +:102200002247BA973ECE7245712B0100B25045610C +:102210008280797106D62AC62EC432C2B24781E7BF +:102220007370043001A097E701009387273F9C4318 +:1022300081E77370043001A097E701009387471C82 +:102240009C43A24637070080558F98CF97E701003F +:102250009387071B9C43E107BE853245EFE0CFB46F +:1022600097E701009387C7199C4391073E85EFE0EC +:102270004FBE1247FD576310F70297E7010093879F +:1022800027189C439107BE8517E501001305853586 +:10229000EFE08FB119A897E70100938727369C4399 +:1022A0001247BA973ECE7245F5290100B2504561FA +:1022B0008280797106D62AC62EC432C2B24781E71F +:1022C0007370043001A097E70100938767139C4364 +:1022D000E107BE853245EFE02FAD97E70100938718 +:1022E00027129C4391073E85EFE0AFB61247854722 +:1022F0006310F70297E70100938787109C439107CB +:10230000BE8517E501001305E52DEFE0EFA919A83B +:1023100097E701009387872E9C432247BA973ECECA +:10232000724549210100B25045618280797106D61B +:102330002AC6B247DC47DC473ECCE24781E77370F0 +:10234000043001A0E247E1073E85EFE08FB097E758 +:1023500001009387A72C9C43A1EBE24791073E85A0 +:10236000EFE02FAFE247D85797E70100938747295F +:102370009C4363F9E700E247D85797E7010093874A +:10238000272898C3E247D857BA878A07BA978A0797 +:1023900017E701001307C7123E97E2479107BE8572 +:1023A0003A85EFE06FA011A8E247E107BE8517E587 +:1023B000010013056520EFE02F9FE247D85797E70C +:1023C00001009387E7039C43DC5763FBE7008547E5 +:1023D0003ECE97E7010093876723054798C311A076 +:1023E00002CEF2473E85B25045618280797106D6B1 +:1023F0002AC62EC497E70100938747229C4381E7B2 +:102400007370043001A02247B70700805D8FB24788 +:1024100098C3B247DC473ECCE24781E77370043093 +:1024200001A03245EFE0EFA2E24791073E85EFE0E1 +:102430004FA2E247D85797E701009387671C9C4358 +:1024400063F9E700E247D85797E701009387471BF6 +:1024500098C3E247D857BA878A07BA978A0717E717 +:1024600001001307E7053E97E2479107BE853A85CD +:10247000EFE08F93E247D85797E70100938747F83B +:102480009C43DC5763FBE70085473ECE97E701009E +:102490009387C717054798C311A002CEF2473E8520 +:1024A000B2504561828041112AC6B24781E77370FC +:1024B000043001A097E70100938787159843B2473E +:1024C00098C397E70100938767139843B247D8C32F +:1024D000010041018280797106D62AC62EC4B24716 +:1024E00081E77370043001A0A24781E77370043064 +:1024F00001A01D2F97E70100938747109C433ECC16 +:10250000A2479843FD576314F70002CE91A8B24743 +:10251000984397E701009387A70F9C43630AF7004E +:10252000B247DC4362476365F70085473ECE0DA89E +:10253000B247DC4362471D8FA2479C436370F7029A +:10254000A2479843B247D443E247B387F6403E9749 +:10255000A24798C33245813F02CE19A085473ECE9F +:10256000DD2DF2473E85B2504561828097E701003C +:102570009387C709054798C30100828001112AC6C5 +:10258000B24799C7B2473ECCE247FC473ECE11A0C6 +:1025900002CEF2473E850561828001112AC62EC413 +:1025A000B24791C7B2473ECEF2472247F8C7010073 +:1025B00005618280011106CE2AC6852297E70100B7 +:1025C000938707F098438547E3F9E7FEEFD01FE4D0 +:1025D000EDB7397106DE2ACE2ECC32CA36C8BA879C +:1025E0002317F10002D625A06247B257BA9703C756 +:1025F0000700F246B257B697238AE7026247B257FE +:10260000BA9783C7070089CBB25785073ED63257A2 +:10261000BD47E3FBE7FC11A00100F247A3810704DB +:102620005247A54763F4E700A5473ECAF247524721 +:10263000D8D7F2475247B8CBF24723AA0704F2474C +:1026400091073E85EFD05FF5F247E1073E85EFD079 +:10265000BFF4F247724798CB2947D2471D8FF24704 +:1026600098CFF2477247D8D3F24723A20704F24724 +:1026700023AC0704F24723AE07040100F2502161A6 +:102680008280011106CE02C60DA03247BA878A07A2 +:10269000BA978A0717E70100130787E2BA973E85C2 +:1026A000EFD05FECB24785073EC63247A547E3FE51 +:1026B000E7FC17E50100130525EDEFD0BFEA17E5AC +:1026C00001001305A5EDEFD0FFE917E501001305A3 +:1026D000A5EEEFD03FE917E50100130525EFEFD098 +:1026E0007FE817E501001305E5EFEFD0BFE797E7B7 +:1026F00001009387E7EB17E701001307E7E898C3AA +:1027000097E70100938707EB17E70100130707E935 +:1027100098C30100F24005618280011106CE8DA8A8 +:10272000EFF00FCC97E70100938747EA9C4393B7FC +:10273000170093F7F70F3EC6EFF04FCCB247A9EB67 +:10274000E52197E70100938767E8DC47DC473EC453 +:10275000A24791073E85EFD0DFEF97E7010093870F +:10276000A7E99C431387F7FF97E701009387C7E822 +:1027700098C397E701009387A7E69C431387F7FF69 +:1027800097E701009387C7E598C3F1212245912C73 +:1027900097E701009387C7E49C43D9F30100F24017 +:1027A00005618280011106CE2AC697E70100938752 +:1027B00027C59C433247D8C397E70100938707E4B6 +:1027C0009C4332476372F70297E70100938787DEE5 +:1027D000984397E701009387A7C29C439107BE8562 +:1027E0003A85EFD07FE035A897E70100938747DC73 +:1027F000984397E701009387A7C09C439107BE8544 +:102800003A85EFD07FDE97E701009387E7E09C43AE +:1028100032476378F70097E701009387E7DF324795 +:1028200098C30100F24005618280797106D6AA87BB +:102830002EC42317F100A24789EB8357E1008A07D2 +:102840003E85EF104051AA8711A0A2473ECCE24737 +:1028500085C313050006EF1000502ACEF24789C742 +:10286000F247624798DB31A06245EF10C06111A0CA +:1028700002CEF24781CFF247985B8357E1008A0787 +:102880003E869305500A3A85EF104075F2473E8523 +:10289000B25045618280397106DE22DC2AC62EC420 +:1028A00032C202D6A2479C43638D070EA2473ED494 +:1028B000A257DC43D843A257D8C3A257D843A25744 +:1028C000A1076317F700A257DC43D843A257D8C328 +:1028D000A257DC43DC473ED2A2473ED08257DC43BE +:1028E000D8438257D8C38257D8438257A10763176A +:1028F000F7008257DC43D8438257D8C38257DC4362 +:10290000DC473ECEB25796073247BA97724798C314 +:10291000B25796073247BA97724713074703D8C38F +:10292000B25796073247BA977247384798C7B25797 +:1029300096073247BA971247D8C7B2579607324719 +:10294000BA977247585798CB12478D47631BF700C9 +:10295000F2479C5799C7B25796073247BA9709472B +:10296000D8C7B25796073247BA977247384BD8CB79 +:10297000B25796073247BA9723AC0700F247945BE9 +:10298000B257960732473304F70036850D20AA87E1 +:10299000231EF400B25785073ED672479257E31DB7 +:1029A000F7F2B2573E85F2506254216182800111E4 +:1029B0002AC602CE39A0B24785073EC6F247850730 +:1029C0003ECEB24703C707009307500AE305F7FE60 +:1029D000F24789833ECEF247C207C1833E85056137 +:1029E0008280011106CE2AC6B2479C5B3E85EF105D +:1029F00080493245EF1020490100F2400561828094 +:102A0000411197E701009387A7BA9C439C4399E340 +:102A1000854711A0814781CB97E701009387C7BF06 +:102A20007D5798C305A097E70100938767B89C433B +:102A3000DC47DC473EC6B247D84397E7010093879F +:102A4000A7BD98C3010041018280411197E70100B1 +:102A50009387079B9C433EC6B2473E8541018280D7 +:102A6000411197E701009387E7B99C4381E78547C8 +:102A70003EC619A897E70100938747BA9C4381E7B0 +:102A800089473EC611A002C6B2473E8541018280F9 +:102A9000797106D62AC6B2473ECEB247F9C3F2478D +:102AA000D85797E701009387A7959C43DC57637A33 +:102AB000F70AF2479C4F63CC070097E70100938722 +:102AC00027949C43DC5729471D8FF24798CFF24744 +:102AD000D44BF247D857BA878A07BA978A0717E7BD +:102AE00001001307E79DBA976394F600854711A08C +:102AF0008147A5C3F24791073E85EFD09FB597E781 +:102B000001009387E78F9C43D857F247D8D7F24705 +:102B1000D85797E701009387A7AE9C4363F9E70076 +:102B2000F247D85797E70100938787AD98C3F247DC +:102B3000D857BA878A07BA978A0717E70100130799 +:102B400027983E97F2479107BE853A85EFD0DFA5DB +:102B500009A897E701009387A78A9C43D857F247B3 +:102B6000D8D70100B25045618280797106D62AC655 +:102B7000B2473ECC02CEB247DDC397E70100938750 +:102B800027889C4362476305F7007370043001A0F7 +:102B9000E247FC4B81E77370043001A0E247FC4B35 +:102BA0001387F7FFE247F8CBE247D857E247BC4B21 +:102BB0006307F706E247FC4BBDE3E24791073E851A +:102BC000EFD03FA9E247B84BE247D8D7E247DC57FE +:102BD00029471D8FE24798CFE247D85797E7010072 +:102BE000938707A29C4363F9E700E247D85797E72A +:102BF00001009387E7A098C3E247D857BA878A07AE +:102C0000BA978A0717E701001307878B3E97E247B9 +:102C10009107BE853A85EFD03F9985473ECEF24772 +:102C20003E85B250456182807370043097E70100A1 +:102C30009387479D9C4391CF97D701009387477C0B +:102C40009C43F8430507F8C397D701009387477B58 +:102C50009C430100828097E701009387A79A9C43D9 +:102C60008DCB97D701009387A7799C43FC4395C3ED +:102C700097D701009387C7789C43F8437D17F8C323 +:102C800097D701009387C7779C43FC4399E3736010 +:102C9000043001008280411197D701009387477665 +:102CA0009C439C4F3EC697D70100938767759C4312 +:102CB000D85797D701009387A7749C43A9463387B9 +:102CC000E64098CFB2473E854101828097D7010008 +:102CD000938707739C4389CB97D7010093874772EB +:102CE0009C43F84B0507F8CB97D7010093874771B2 +:102CF0009C433E858280797106D62AC62EC42D3724 +:102D000097D701009387C76F9C43BC4FADE397D71C +:102D100001009387E76E9C430547F8CFA247A1CBFC +:102D200097D701009387C76D9C4391073E85EFD0ED +:102D30005F922247FD576310F70297D701009387F0 +:102D4000276C9C439107BE8517E501001305858913 +:102D5000EFD09F8519A897E701009387278A9C43A6 +:102D60002247BA973ECE7245353CEFD02FEAE53583 +:102D7000653D97D701009387A7689C43BC4F3ECC25 +:102D8000E2479DC3B24789CB97D701009387476736 +:102D90009C4323AC070409A897D701009387476693 +:102DA0009C43B84F7D17B8CF97D7010093874765ED +:102DB0009C4323AE07044535E2473E85B25045614A +:102DC0008280797106D62AC62EC432C236C0A93D89 +:102DD00097D701009387C7629C43F84F8947630DDB +:102DE000F70697D701009387A7619C43B44F3247FA +:102DF0001347F7FF758FB8CF97D7010093874760C8 +:102E00009C430547F8CF8247A1CB97D70100938712 +:102E1000275F9C4391073E85EFD0BF830247FD5754 +:102E20006310F70297D701009387875D9C43910752 +:102E3000BE8517D501001305E57AEFD0EFF619A886 +:102E400097D701009387877B9C430247BA973ECC74 +:102E50006245893AEFD08FDBFD3BF933924789CB4E +:102E600097D701009387C7599C43B84F924798C39F +:102E700097D701009387C7589C43F84F8547631441 +:102E8000F70002CE31A897D70100938767579C437C +:102E9000B44F22471347F7FF758FB8CF85473ECE13 +:102EA00097D701009387C7559C4323AE070465332A +:102EB000F2473E85B25045618280797106D62AC6B6 +:102EC0002EC432C236C085473ECEB24781E773700A +:102ED000043001A0B2473ECC813B824789C7E2471C +:102EE000B84F824798C3E247FC4F3ECAE2470947C2 +:102EF000F8CF1247914763EEE704924713972700F4 +:102F000097D7010093878710BA97984397D7010006 +:102F10009387C70FBA978287E247B84FA2475D8F62 +:102F2000E247B8CF3DA0E247BC4F13871700E24706 +:102F3000B8CF05A0E2472247B8CF21A8524789471A +:102F40006306F700E2472247B8CF21A002CE11A0C6 +:102F5000010052478547631AF706E24791073E850D +:102F6000EFD02FEFE247D85797D7010093874769F3 +:102F70009C4363F9E700E247D85797D7010093874E +:102F8000276898C3E247D857BA878A07BA978A074B +:102F900017D701001307C7523E97E2479107BE8536 +:102FA0003A85EFD06FE0E2479C5781C773700430D9 +:102FB00001A0E247D85797D70100938767449C4305 +:102FC000DC5763F4E700EFD06FC47131F2473E8500 +:102FD000B25045618280397106DE2ACE2ECC32CACB +:102FE00036C83AC685473ED6F24781E7737004304B +:102FF00001A0F2473ED4EFD04FCFAA873ED2C247BE +:1030000089C7A257B84FC24798C3A257FC4F3ED0BA +:10301000A2570947F8CF5247914763EEE704D247DA +:103020001397270097D70100938787FFBA97984394 +:1030300097D701009387C7FEBA978287A257B84FE8 +:10304000E2475D8FA257B8CF3DA0A257BC4F138770 +:103050001700A257B8CF05A0A2576247B8CF21A842 +:10306000025789476306F700A2576247B8CF21A0ED +:1030700002D611A0010002578547631DF708A25729 +:103080009C5781C77370043001A097D701009387C4 +:10309000E7589C43A1EBA25791073E85EFD06FDB29 +:1030A000A257D85797D70100938787559C4363F958 +:1030B000E700A257D85797D701009387675498C362 +:1030C000A257D857BA878A07BA978A0717D7010035 +:1030D0001307073F3E97A2579107BE853A85EFD069 +:1030E000AFCC11A8A257E107BE8517D50100130583 +:1030F000A54CEFD06FCBA257D85797D70100938735 +:1031000027309C43DC5763F7E700B24781C7B247DB +:10311000054798C392573E85EFD02FBCB2573E85E6 +:10312000F25021618280797106D62AC62EC4B24738 +:1031300081E77370043001A0B2473ECEEFD0EFBA02 +:10314000AA873ECCF247FC4F3ECAF2470947F8CF68 +:10315000F247BC4F13871700F247B8CF5247854755 +:10316000631DF708F2479C5781C77370043001A0B4 +:1031700097D701009387874A9C43A1EBF2479107B9 +:103180003E85EFD00FCDF247D85797D701009387F0 +:1031900027479C4363F9E700F247D85797D70100C8 +:1031A0009387074698C3F247D857BA878A07BA97D2 +:1031B0008A0717D701001307A7303E97F2479107F8 +:1031C000BE853A85EFD04FBE11A8F247E107BE8514 +:1031D00017D501001305453EEFD00FBDF247D85774 +:1031E00097D701009387C7219C43DC5763F7E7001B +:1031F000A24781C7A247054798C3E2473E85EFD063 +:10320000CFAD0100B25045618280797106D62AC6E1 +:10321000B2473ECCE24799E797D701009387471E14 +:103220009C4311A0E2473ECC0134E247F84F894766 +:103230006318F700E24723AE070485473ECE11A08E +:1032400002CE113CF2473E85B250456182800111A9 +:1032500006CE02C61D2B97D701009387673F9C437C +:103260009DC38148014881470947814613060040B4 +:1032700097D50100938505DC170500001305051B94 +:10328000EFE0AF852AC6B24781E77370043001A032 +:10329000B2473E85F24005618280397106DE2ACE52 +:1032A0002ECC32CA36C83AC6E24799E302D62DA8D8 +:1032B0001305C002EF00302A2AD6B25795C7F12174 +:1032C000B257724798C3B257624798CFB257524726 +:1032D000D8CFB257424798D3B2573247D8D3B25714 +:1032E00091073E85EFD04FABE24781E77370043022 +:1032F00001A0B2573E85F25021618280397106DE0D +:103300002ACE2ECC32CA36C83AC602D6F24781E758 +:103310007370043001A097D70100938767339C43F3 +:10332000B5CBE2473ED0D2473ED2F2473ED46247C9 +:10333000954763C4E704EFF0AFF22A87894763102B +:10334000F70297D701009387A7309C431810814656 +:103350003246BA853E85EFD0AFE02AD625A897D76A +:1033600001009387E72E9C43181081460146BA85D9 +:103370003E85EFD0EFDE2AD631A897D7010093879C +:10338000272D9C43181081464246BA853E85EFD0D2 +:103390000FF32AD6B2573E85F25021618280011187 +:1033A0002AC6B2473ECEB24781E77370043001A00F +:1033B000F2479C433E8505618280797106D62AC614 +:1033C0002EC497D70100938727289C43DC47DC470E +:1033D0003ECEF24791073E85EFD0AFA7F247D84FD8 +:1033E0008547631BF702F247984FB247BA97B24638 +:1033F0002246BE857245B9222A878547631EF7009B +:1034000001478146324681457245CD3D2ACCE2478F +:1034100081E77370043001A0F247DC537245829754 +:103420000100B25045618280797106D62AC63C08F7 +:103430003E8541282ACEE247BE8572451920692281 +:10344000FDB7797106D62AC62EC4EFE06FF93C08A5 +:103450003E8545282ACEE247B9EFA24799EB32478D +:10346000F24763E8E700EFE06FF9F2453245B13724 +:10347000A9A0A24781CF97D701009387271D9C431E +:103480009C4393B7170093F7F70F3EC497D70100FB +:103490009387071C94433247F247B307F74022460D +:1034A000BE853685EFD0FFDDEFE04FF5AA8791E7C7 +:1034B000EFC0DFF519A0EFE06FF40100B2504561F5 +:1034C000828001112AC697D701009387E7179C4392 +:1034D0009C4393B7170093F7F70F3E87B24798C303 +:1034E000B2479C4391EB97D701009387E7159C4324 +:1034F000DC479C433ECE11A002CEF2473E850561DB +:103500008280797106D62AC6EFE01F842ACE97D72B +:103510000100938727149C4372476377F700692A59 +:10352000B247054798C321A0B24723A0070097D709 +:10353000010093872712724798C3F2473E85B25025 +:1035400045618280797106D62AC62EC432C236C041 +:1035500002CEB2472247D8C3B247324798CB224760 +:10356000924763E8E702124782471D8FB2479C4F9C +:103570006365F70085473ECE99A097D701009387F2 +:10358000E70C9843B2479107BE853A85EFD0CF85C7 +:103590003DA0124782476379F7002247824763655F +:1035A000F70085473ECE21A897D701009387C7092A +:1035B0009843B2479107BE853A85EFD0EF82F24734 +:1035C0003E85B25045618280797106D6D9A0A24766 +:1035D00063C0070CC2473ECEF247DC4B91C7F247AF +:1035E00091073E85EFD0EF865C003E85193F2ACCDF +:1035F000A2472547636FF7081397270097D7010065 +:103600009387C7A3BA97984397D70100938707A3D7 +:10361000BA9782873247F2479C4FBA973247BA86A9 +:103620006246BE857245393F2A8785476313F70690 +:10363000F247DC5372458297F247D84F8547631AA9 +:10364000F7043247F2479C4FBA97014781463E86BE +:103650008145724565312ACAD24785EF73700430BF +:1036600001A03247F24798CFF2479C4F81E7737031 +:10367000043001A0F247984FE247BA97E24662460B +:10368000BE857245C13531A07245EF00C07F11A0E3 +:10369000010097D701009387A7FB9C433800814620 +:1036A0000146BA853E85EFD06FD8AA87E39107F22D +:1036B0000100B25045618280797106D671A097D71A +:1036C0000100938767F89C43DC479C433ECC97D727 +:1036D0000100938767F79C43DC47DC473ECAD2472B +:1036E00091073E85EFC0FFF6D247DC5352458297E3 +:1036F000D247D84F85476319F704D2479C4F62479A +:10370000BA973EC84247E24763F4E702D2474247CE +:10371000D8C3D247524798CB97D701009387C7F2B7 +:103720009843D2479107BE853A85EFC0FFEB29A8A1 +:1037300001478146624681455245C9362AC6B2478D +:1037400081E77370043001A097D701009387C7EF1A +:103750009C439C43ADF797D701009387E7EE9C43CA +:103760003ECE97D70100938767EE984397D7010025 +:10377000938787ED98C397D70100938727ED72470A +:1037800098C30100B25045618280411106C6EFF036 +:10379000AFC997D701009387A7EB9C43C9E717D51B +:1037A00001001305E5E7EFC0FFDB17D501001305A6 +:1037B00065E8EFC03FDB97D701009387E7E817D7AD +:1037C00001001307E7E598C397D70100938707E83F +:1037D00017D70100130707E698C30146B145114505 +:1037E000EFC07FF72A8797D70100938767E698C3D2 +:1037F00097D701009387C7E59C4381E77370043036 +:1038000001A097D701009387A7E49C4389CF97D75E +:1038100001009387E7E39C4397D501009385858456 +:103820003E85EFD05F9CEFF00FC30100B240410135 +:103830008280797106D62AC6B2473ECEB24781E76A +:103840007370043001A0EFF02FBEF247DC4BB337AA +:10385000F00093F7F70F3ECCEFF0EFBFE2473E8565 +:10386000B25045618280797106D62AC6B2473ECEF3 +:10387000B24781E77370043001A0EFF0EFBAF2476E +:103880009C533ECCEFF02FBDE2473E85B2504561E0 +:103890008280797106D62AC62EC4B2473ECEB24780 +:1038A00081E77370043001A0EFF00FB8F2472247B0 +:1038B00098D3EFF04FBA0100B250456182800111F8 +:1038C00006CE714549292AC6B24789CBB24723A003 +:1038D0000700B24791073E85EFC0DFC8B2473E857B +:1038E000F24005618280397106DE2AC62EC432C2DA +:1038F00036C0B2473ED402D21247B70700FFF98F55 +:1039000081C77370043001A0924781E7737004305F +:1039100001A0EFF0EF94AA8799E3824799E38547E6 +:1039200011A0814781E77370043001A0EFE04FAB35 +:10393000A2579C433ED0A245324585240257A24758 +:103940005D8F9247F98F12476310F7020257A24723 +:10395000D98F3ED6A2579843924793C7F7FF7D8FE2 +:10396000A25798C302C025A0824799CFA257938639 +:1039700047001247B7070005D98F0246BE85368536 +:10398000EFE03F8902D621A0A2579C433ED6EFE04C +:10399000EFA62ACE8247B1CBF24799E3EFC01FA72B +:1039A000EFF06FAF2AD63257B7070002F98F85EBD9 +:1039B000EFF08FA7A2579C433ED632579247F98F1C +:1039C0001247631AF700A2579843924793C7F7FF2D +:1039D0007D8FA25798C3EFF00FA885473ED232578C +:1039E000B7070001FD17F98F3ED6B2573E85F2505A +:1039F000216182805D7186C62ACE2ECC32CA36C83D +:103A00003AC6F2473EDA02DC02D8F24781E7737029 +:103A1000043001A06247B70700FFF98F81C77370B8 +:103A2000043001A0E24781E77370043001A0EFF099 +:103A30002F83AA8799E3B24799E3854711A081476D +:103A400081E77370043001A0EFE08F99D2579C4357 +:103A50003ED64246E245325575242AD4A25799CF24 +:103A6000B2573EDE02C6D247A9CBD2579843E247AF +:103A700093C7F7FF7D8FD25798C381A0B24781E7E4 +:103A8000B2573EDE1DA8D24791C76257B707000163 +:103A9000D98F3EDCC24791C76257B7070004D98F60 +:103AA0003EDCD257938647006247E257D98F3246B1 +:103AB000BE853685EFE0EFF502DEEFE02F942AD2E7 +:103AC000B247B9CB925799E3EFC05F94EFF0AF9C48 +:103AD0002ADE7257B7070002F98F8DEBEFF0CF9413 +:103AE000D2579C433EDE4246E2457255212CAA87BE +:103AF00099CBD24789CBD2579843E24793C7F7FF78 +:103B00007D8FD25798C3EFF00F9502D87257B70741 +:103B10000001FD17F98F3EDEF2573E85B640616128 +:103B20008280797106D62AC62EC4B2473ECEB247ED +:103B300081E77370043001A02247B70700FFF98FB7 +:103B400081C77370043001A0EFF00F8EF2479C43E1 +:103B50003ECCF2479843A24793C7F7FF7D8FF247C9 +:103B600098C3EFF04F8FE2473E85B25045618280A7 +:103B7000797106D62AC6B2473ECEEFC01F97AA87F4 +:103B80003ECCF2479C433ECAE2473E85EFC0FF94DD +:103B9000D2473E85B250456182805D7186C62AC695 +:103BA0002EC402DCB2473ED802DAB24781E7737016 +:103BB000043001A02247B70700FFF98F81C7737057 +:103BC000043001A0C25791073ED6B257A1073ED498 +:103BD000EFE00F81B257DC473EDEC2579843A24761 +:103BE0005D8FC25798C3BDA8F257DC433ED2F2574F +:103BF0009C433ED002DA0257B70700FFF98F3ECE52 +:103C00000257B7070001FD17F98F3ED07247B7077B +:103C10000004F98F89EBC25798438257F98F89CFF7 +:103C200085473EDA11A8C25798438257F98F025749 +:103C30006314F70085473EDAD2579DC37247B70732 +:103C40000001F98F89C762578257D98F3EDCC2576E +:103C50009843B7070002D98FBE857255EFE00FF980 +:103C600092573EDE7257A257E310F7F8C2579843B7 +:103C7000E25793C7F7FF7D8FC25798C3EFD01FF865 +:103C8000C2579C433E85B64061618280797106D6F9 +:103C90002AC6B2473ECEF24791073ECCEFD05FF442 +:103CA0000DA0E247D847E247A1076315F7007370FC +:103CB000043001A0E247DC47B70500023E85EFE093 +:103CC000EFF2E2479C43F1FF7245752AEFD01FF3F4 +:103CD0000100B25045618280011106CE2AC62EC471 +:103CE000A24532455D3D0100F2400561828001112F +:103CF00006CE2AC62EC4A245324525350100F24023 +:103D00000561828001112AC62EC432C202CE9247BA +:103D100081EB3247A247F98F81CF85473ECE09A874 +:103D20003247A247F98F22476314F70085473ECEFA +:103D3000F2473E850561828001112AC6B2473ECC1A +:103D4000B24799E302CE21A0E2479C4F3ECEF24714 +:103D50003E8505618280397106DE2AC602D2EFD027 +:103D60003FE897670300938727909C4381EB552298 +:103D7000976703009387478F054798C3B24789CF5A +:103D8000A1473E87B247BA973EC6B2478D8B89C7D7 +:103D9000B247F19B91073EC6B247F9CB3247E56780 +:103DA000ED1763E7E70C976703009387E78A3ED434 +:103DB000976703009387478A9C433ED631A0B2574A +:103DC0003ED4B2579C433ED6B257DC43324763F5EC +:103DD000E700B2579C43E5F7325797670300938794 +:103DE00027886307F708A2579C432147BA973ED21A +:103DF000B2579843A25798C3B257D843B247B307B4 +:103E0000F740214706076378F7043257B247BA975D +:103E10003ECEB257D843B2471D8FF247D8C3B257F0 +:103E20003247D8C3F247DC433ECC97670300938701 +:103E3000A7823ED021A082579C433ED082579C430C +:103E4000DC436247E3E9E7FE82579843F24798C3B1 +:103E50008257724798C397C701009387A75B98431F +:103E6000B257DC431D8F97C701009387A75A98C3A9 +:103E7000EFD0DFD8925799E3EF00F03192573E85AB +:103E8000F25021618280797106D62AC6B2473ECCB3 +:103E9000B247BDC3A147B307F0406247BA973ECCD3 +:103EA000E2473ECAEFD0DFD3D247DC433EC8975744 +:103EB00003009387677A3ECE21A0F2479C433ECE13 +:103EC000F2479C43DC434247E3E9E7FEF24798436D +:103ED000D24798C3F247524798C3D247D84397C7AF +:103EE0000100938727539C433E9797C70100938710 +:103EF000675298C3EFD09FD00100B25045618280D5 +:103F000097C70100938707519C433E85828001003B +:103F10008280411197C7010093874774F19B3EC689 +:103F20009757030093874773324798C39757030007 +:103F30009387877223A207009757030093874772DE +:103F400065677117D8C3975703009387677123A0DC +:103F50000700B2473EC4A24765677117D8C3A2479E +:103F6000175703001307C76F98C3010041018280F0 +:103F700001112AC62EC432C2A2473ECEB2473ECC61 +:103F80003247A247D98F8D8BA1E319A8F2479843F6 +:103F9000E24798C3E24791073ECCF24791073ECEF5 +:103FA0009247F5173247BA976247E361F7FE29A8AF +:103FB0007247930717003ECEE2479386170036CC30 +:103FC000034707002380E70032479247BA976247CA +:103FD000E360F7FEB2473E850561828001112AC683 +:103FE0002EC432C232479247D98F8D8B9DEFA247A4 +:103FF00093F7F70F3ECAD247A2075247D98F3ECA5E +:10400000D247C2075247D98F3ECAB2473ECE39A0E7 +:10401000F247138747003ACE524798C33247924738 +:10402000BA977247E366F7FE1DA0B2473ECC11A8CF +:10403000E247138717003ACC22471377F70F238004 +:10404000E70032479247BA976247E363F7FEB24709 +:104050003E850561828001112AC6B2473ECE21A06D +:10406000F24785073ECEF24783C70700F5FB72474C +:10407000B247B307F7403E850561828001112AC629 +:104080002EC4B247138717003AC683C70700A30F91 +:10409000F100A247138717003AC483C70700230F14 +:1040A000F1008347F10199C70347F1018347E1011B +:1040B000E309F7FC0347F1018347E101B307F74048 +:1040C0003E850561828001112AC62EC4B2473ECECC +:1040D00001002247930717003EC4F247938617005A +:1040E00036CE034707002380E70083C70700F5F3B8 +:1040F000B2473E850561828001112AC602CE02CCFC +:1041000021A0B24785073EC6B24703C70700930701 +:104110000002E308F7FEB24703C707009307D00287 +:104120006309F700B24703C707009307B0026311A2 +:10413000F704B24783C70700938737FD93B717008B +:1041400093F7F70F3ECCB24785073EC615A07247DE +:10415000BA878A07BA9786073ECEB24713871700F9 +:104160003AC683C70700938707FD7247BA973ECECA +:10417000B24783C70700E1FFE24789C7F247B307A9 +:10418000F04011A0F2473E850561828001112AC6E8 +:10419000975703009387074F9C4393861700175741 +:1041A00003001307274E14C332471377F70F9756B0 +:1041B000030093862651B6972380E7003247A9472C +:1041C000630BF700975703009387C74B98439307F8 +:1041D00000046315F704975703009387A74E3ECE5C +:1041E00005A8F247138717003ACE03C70700B707A1 +:1041F00010F0938707F098C3975703009387874879 +:104200009C431387F7FF975703009387A74798C3EB +:1042100097570300938707479C43E1F781473E8503 +:10422000056182804D7123261114232481142322D9 +:10423000911423202115232E3113232C4113232ADB +:1042400051132ACE2ECC32C836CA3AC63EC442C218 +:1042500023260112B2473E8A814AC24752485286FB +:10426000D6863E85C285EF10E005AA872E883E8659 +:10427000C2868327C112138717002326E1123287D3 +:104280008A07141AB69723AEE7EEB2473E848144FC +:10429000D247268763E8E702D24726876396E7007E +:1042A000C247228763E0E702B2473E8981494A86D6 +:1042B000CE864245D245EF003023AA872E883EC8DD +:1042C00042CA49BF010029A0F247E2451245829740 +:1042D000A2471387F7FF3AC40327C112E346F7FE4C +:1042E00025A88327C1128A07181ABA9703A7C7EF10 +:1042F0008327C1128A07141AB69783A6C7EFA5476A +:1043000063F5D7009307700519A093070003BA97C8 +:104310007247E2453E8502978327C1121387F7FF54 +:104320002326E112E34FF0FA01008320C114032495 +:10433000811483244114032901148329C113032AFE +:104340008113832A41137161828041112AC62EC4D0 +:10435000A2460547635ED700B2479C439D07E19B99 +:1043600093868700324714C303A847009C4335A0B7 +:10437000224719CB3247184313064700B24690C272 +:104380001843BA87014811A832471843130647005B +:10439000B24690C21843BA8701483E85C2854101A2 +:1043A000828041112AC62EC4A2460547635ED7000B +:1043B000B2479C439D07E19B93868700324714C315 +:1043C00003A847009C4305A8224701CF3247184362 +:1043D00013064700B24690C21843BA877D873A88D1 +:1043E00019A83247184313064700B24690C2184333 +:1043F000BA877D873A883E85C285410182803971BE +:1044000006DE22DC26DA2AC62EC432C236C011A845 +:1044100063070424924785073EC2B247A24522851E +:104420008297924783C707003E8493075002E311A7 +:10443000F4FE924785073EC292473EC893070002AA +:10444000A30BF100FD573ECEFD573ECC02D0924764 +:10445000138717003AC283C707003E849307D4FD31 +:10446000130750056364F71E1397270097C70100D1 +:10447000938747C0BA97984397C70100938787BF30 +:10448000BA9782879307D002A30BF100C9B79307AD +:104490000003A30BF10065BF02CC6247BA878A070D +:1044A000BA978607A297938707FD3ECC924783C7AA +:1044B00007003E849307F00263D6870293079003B8 +:1044C00063C28702924785073EC2C1BF82471387F6 +:1044D00047003AC09C433ECC39A0F247E3D907F6E7 +:1044E00002CEB5B70100F247E3D307F6E2473ECE6E +:1044F000FD573ECCA9BF825785073ED089BF824772 +:10450000138747003AC09C433247A2453E85029735 +:10451000B1A28247138747003AC0844389E497C415 +:1045200001009384A4B4F2476357F0040347710178 +:104530009307D0026301F704E247BE852685EF00AA +:1045400060782A87F247998F3ECE11A88347710180 +:104550003247A2453E850297F247FD173ECEF2470D +:10456000E346F0FE09A8B247A2452285829785045A +:10457000F247FD173ECE83C704003E841DC0E247CC +:10458000E3C307FEE247FD173ECCE247E3DD07FC4D +:1045900009A8B247A245130500028297F247FD170A +:1045A0003ECEF247E347F0FE55A88A8782553E8506 +:1045B000CD3BAA872E883ED442D6A2573258C28716 +:1045C00063D90702B247A2451305D0028297A255CC +:1045D000325681470148B386B740368533B5A700C8 +:1045E0003307C840B307A7403E87B6873A883ED412 +:1045F00042D6A9473ED21DA8A9473ED215A0A14741 +:104600003ED239A885473ED0B247A24513050003E4 +:104610008297B247A245130580078297C1473ED2D1 +:104620008A8782553E8515332AD42ED61257834762 +:1046300071013E88F2472256B256A2453245DD3618 +:1046400031A8B247A2452285829709A8B247A24560 +:10465000130550028297C2473EC20100D9B3010040 +:10466000F2506254D2542161828041112AC6B707A8 +:1046700010F0938707F2324798C301A05D7106D608 +:104680002AC62EDA32DC36DEBAC0BEC2C2C4C6C604 +:104690009C0891173ECEF247BE86324681451705EB +:1046A00000001305E5AEA13B81473E85B250616134 +:1046B000828001112AC62EC41EC2A2473ECEF247F6 +:1046C0009C4332471377F70F2380E700F2479C4360 +:1046D00013871700F24798C30100056182801D719E +:1046E00006DE2AC62EC4B2C4B6C6BAC8BECAC2CC7A +:1046F000C6CE9C103ED25C084C081706000013067C +:1047000086FB7D779346F7FF0565130505803388A3 +:10471000A5003378E8001368783B23A007013295A1 +:10472000698F13677733D8C333F7D50093154701E3 +:1047300037870300130737394D8F98C73377D60073 +:10474000931647013707030013077706558FD8C71D +:104750000F100000B2473ED69C10A1173ED422573E +:104760005C083E857C00BA862246BE854939B24740 +:1047700023800700B2473E87B257B307F7403E8514 +:10478000F250256182804111370510F0130545F480 +:1047900008412AC6370510F0130505F408412AC45C +:1047A000370510F0130545F40841B248E39EA8FC14 +:1047B00032452A8381431317030081462245AA8587 +:1047C0000146B3E7B6003368C700370710F013059A +:1047D00087F48965938585B50146B386B700B688A9 +:1047E000B3B8F8003307C800B387E8003E87B68740 +:1047F0003A881CC123220501010041018280411138 +:1048000006C651379307000873A047300100B24035 +:1048100041018280011106CE2AC617C50100130589 +:10482000E59AEFF0BFE50100F240056182800111D9 +:1048300006CE2AC617C501001305C599EFF01FE47F +:104840000100F24005618280797106D62AC602CE47 +:1048500029A00100F24785073ECE724785679387FE +:104860007738E3D8E7FE17C5010013052597EFF069 +:10487000FFE0F1BF411106C601450D2A0100B2401B +:1048800041018280011106CE02C6C1262A878547D2 +:10489000630CF70017C501001305C594EFF01FDE88 +:1048A000B24793E727003EC6B2473E85F240056116 +:1048B0008280011106CE02C6753F1707000013075C +:1048C0006704814605469305400617C50100130598 +:1048D000E592EFE09F9C2AC6B24799CBEFD0CFC6B6 +:1048E000AA87014781463E8685453245EFE01FA1F4 +:1048F00002C4EFD00FA581473E85F240056182805A +:10490000797106D62AC697C701009387A7AF9C4343 +:104910001387470697C701009387C7AE98C397C709 +:104920000100938767AE9C431387470697C7010032 +:10493000938787AD98C397C701009387A7AC984327 +:104940009307F07C63D3E70497C70100938787AB95 +:104950009C431387078397C701009387A7AA98C32F +:1049600015372ACEF24799EB97C701009387C7A95D +:10497000984385679387F77663DBE700F2473E85C8 +:10498000EFF0BFCEEFD0CFA321A0010011A0010016 +:10499000B250456182807370043001A001008280B2 +:1049A00041112AC62EC47370043001A0797106D655 +:1049B0002AC6930740063ECE3145EFF0CFB92ACC48 +:1049C000E247C1C70D4681450545EFB0DFD82A87CC +:1049D000E24798C3E2479C438146014681453E85B4 +:1049E000EFB01FF8E2479C43ADC31145EFF0AFB6FF +:1049F0002A87E247D8C3E247DC431307F00F98C386 +:104A0000E24723A407008148014881470147E24665 +:104A10001306004097B501009385057F1705000038 +:104A20001305C50EEFC07F8B8148014881470147C0 +:104A3000E2461306004097B501009385657D170592 +:104A400000001305A50CEFC05F893145EFF0AFB052 +:104A50002ACAD247C1C70D4681450545EFB0BFCF31 +:104A60002A87D24798C3D2479C4381460146814555 +:104A70003E85EFB0FFEED2479C43ADC31145EFF04A +:104A80008FAD2A87D247D8C3D247DC4305677D174D +:104A900098C3D247724798C7814801488147324737 +:104AA000D2461306004097B501009385E5761705B9 +:104AB00000001305A505EFC05F82814801488147CA +:104AC0003247D2461306004097B5010093854575DD +:104AD0001705000013058503EFC03F80E2479C43A4 +:104AE00097B50100938545743E85EFC0CFEFD2475F +:104AF0009C4397B50100938525743E85EFC0AFEECA +:104B00000100B25045618280397106DE2AC6231544 +:104B10000102EFE06F9197570300938727BF83D778 +:104B200007002314F10297570300938727BE83D70A +:104B30000700C207C187C207C1838507C207C183B7 +:104B400013970701418797570300938727BC23904A +:104B5000E700EFE04F90B2473ED29257DC433ED0A1 +:104B600092579C4789C78567FD173ECE21A09307C2 +:104B7000F00F3ECE9257984392579C4781463E860F +:104B800081453A85EFC08F8A2A8785476319F70ADE +:104B900082579843F2476305F70085472315F102D2 +:104BA00002D639A88257325798C382579C4332574E +:104BB0006305F70085472315F102B25785073ED6F6 +:104BC000F2473257E3F0E7FE92579C438146014695 +:104BD00081453E85EFB0DFD8AA8781E78547231559 +:104BE000F1028317A102B1E3031781028D4763CE5F +:104BF000E70283178102975603009386A6B01397A6 +:104C00001700369703570700420741874207418341 +:104C10000507420741834207418797560300938661 +:104C200066AE8607B6972390E70092579847BA87F3 +:104C30008A07BA9786073E85EFC05F9D25BF9257CA +:104C40009C478DFBEFB08FFC35B7411185473EC4C3 +:104C500002C685A017570300130787ABB247860724 +:104C6000BA9703970700975603009386A6A9B24701 +:104C70008607B69783D70700C207C1876313F7007B +:104C800002C4175703001307E7A7B2478607BA976E +:104C900083D7070013970701418797560300938630 +:104CA00026A7B2478607B6972390E700B247850745 +:104CB0003EC632478D47E3DFE7F8A2473E85410114 +:104CC00082800000B305B500930705006386B70036 +:104CD00003C70700631607003385A74067800000FD +:104CE000938717006FF09FFE130101FD23229102AD +:104CF000232A510123261102232481022320210388 +:104D0000232E3101232C4101232861012326710127 +:104D100023248101232291012320A101930A05006C +:104D200093840500639E063813040600930905006A +:104D300017B901001309495163F8C512B7070100FB +:104D4000138B05006378F6101307F00F3337C70095 +:104D500013173700B357E6003309F90083460900FB +:104D60003387E60093060002B386E640638C0600B4 +:104D7000B394D40033D7EA003314D600336B9700D2 +:104D8000B399DA00935A040193850A0013050B00C6 +:104D9000EF00902A1309050093850A00931B040174 +:104DA00013050B00EF00D02493DB0B0193040500E7 +:104DB0009305050013850B00EF00D020131909019E +:104DC00093D70901B367F900138A040063FEA700B3 +:104DD000B3878700138AF4FF63E8870063F6A700B0 +:104DE000138AE4FFB3878700B384A74093850A0042 +:104DF00013850400EF0050241309050093850A0071 +:104E000013850400EF00D01E939909019304050057 +:104E1000930505001319090113850B0093D90901A6 +:104E2000EF00501AB36939011386040063FCA9002E +:104E3000B30934011386F4FF63E6890063F4A90023 +:104E40001386E4FF13140A013364C400130A00003C +:104E50006F000013B707000113070001E36CF6EEC3 +:104E6000130780016FF01FEF138A0600631A060014 +:104E70009305000013051000EF00901713040500C0 +:104E8000B7070100637EF4129307F00F63F4870005 +:104E9000130A8000B35744013309F900034709009E +:104EA0009306000233074701B386E6406394061277 +:104EB000B3848440130A1000135B040193050B00B4 +:104EC00013850400EF0050171309050093050B002C +:104ED00013850400931B0401EF00901193DB0B0179 +:104EE000930405009305050013850B00EF00900D5A +:104EF0001319090193D70901B367F900938A0400D4 +:104F000063FEA700B3878700938AF4FF63E88700F6 +:104F100063F6A700938AE4FFB3878700B384A740B2 +:104F200093050B0013850400EF0010111309050011 +:104F300093050B0013850400EF00900B9399090172 +:104F400093040500930505001319090113850B004F +:104F500093D90901EF001007B369390113860400E2 +:104F600063FCA900B30934011386F4FF63E68900EA +:104F700063F4A9001386E4FF13940A013364C400A8 +:104F80001305040093050A008320C1020324810253 +:104F900083244102032901028329C101032A8101DB +:104FA000832A4101032B0101832BC100032C8100C3 +:104FB000832C4100032D01001301010367800000D1 +:104FC000B7070001130A0001E366F4EC130A80013D +:104FD0006FF05FEC3314D40033DAE400B399DA00F5 +:104FE00033D7EA00935A0401B394D40093850A009E +:104FF00013050A00336B9700EF0010041309050036 +:1050000093850A0013050A00931B0401EF00407EFC +:1050100093DB0B01930405009305050013850B003A +:10502000EF00407A1319090113570B013367E900A8 +:10503000138A0400637EA70033078700138AF4FFF6 +:10504000636887006376A700138AE4FF330787004D +:10505000B304A74093850A0013850400EF00C07DC8 +:105060001309050093850A0013850400EF004078BA +:10507000930405009305050013850B00EF00807471 +:1050800013170B011357070113190901B367E9003F +:105090001387040063FEA700B38787001387F4FF1C +:1050A00063E8870063F6A7001387E4FFB3878700F0 +:1050B000131A0A01B384A740336AEA006FF0DFDFF6 +:1050C00063ECD51EB707010063F4F6041307F00F75 +:1050D000B335D7009395350033D7B60097B70100A5 +:1050E00093878716B387E70003C70700130A0002F8 +:1050F0003307B700330AEA4063160A0213041000AC +:10510000E3E096E833B6CA00134416006FF05FE799 +:10511000B707000193050001E3E0F6FC9305800169 +:105120006FF09FFBB35CE600B3964601B3ECDC0086 +:1051300033D4E40093DB0C01B397440133D7EA0086 +:1051400093850B0013050400336BF700B319460178 +:10515000EF00806E1309050093850B001305040012 +:10516000139C0C01EF00C068135C0C019304050054 +:105170009305050013050C00EF00C0641319090125 +:1051800013570B013367E90013840400637EA70003 +:10519000330797011384F4FF636897016376A700D0 +:1051A0001384E4FF33079701B304A74093850B00F2 +:1051B00013850400EF0040681309050093850B0078 +:1051C00013850400EF00C0629304050093050500F9 +:1051D00013050C00EF00005F93170B011319090171 +:1051E00093D70701B367F9001386040063FEA70095 +:1051F000B38797011386F4FF63E8970163F6A7006E +:105200001386E4FFB387970113140401B70B010061 +:105210003364C4001389FBFF337D240133F9290172 +:10522000B384A7409305090013050D00EF008059D2 +:10523000935C040193050900130B050013850C0012 +:10524000EF00405893D90901130C0500938509001C +:1052500013850C00EF000057130905009385090022 +:1052600013050D00EF0000563305850193570B0120 +:105270003385A700637485013309790193570501CC +:10528000B387270163E6F402E392F4BCB707010099 +:105290009387F7FF3375F50013150501337BFB008A +:1052A00033964A0133056501130A0000E37AA6CC60 +:1052B0001304F4FF6FF09FB9130A000013040000F9 +:1052C0006FF01FCC130101FB2324810423229104DE +:1052D000232E3103232291032326110423202105A9 +:1052E000232C4103232A510323286103232671031E +:1052F000232481032320A103232EB101930C050055 +:10530000938905001304050093840500639E062617 +:1053100013090600138A060097BA0100938ACAF29D +:1053200063F4C514B70701006376F6129307F00F14 +:1053300063F4C700130A8000B3574601B38AFA002A +:1053400003C70A001305000233074701330AE5408B +:10535000630C0A00B395490133D7EC0033194601B9 +:10536000B364B70033944C01935A090193850A0042 +:1053700013850400EF00404C9309050093850A0053 +:10538000131B090113850400EF008046135B0B011A +:105390009305050013050B00EF00C0429399090126 +:1053A00093570401B3E7F90063FAA700B387270115 +:1053B00063E6270163F4A700B3872701B384A740FE +:1053C00093850A0013850400EF0000479309050048 +:1053D00093850A0013850400EF0080411314040133 +:1053E000930505009399090113050B00135404015B +:1053F000EF00403D33E48900637AA40033042401C4 +:10540000636624016374A400330424013304A440BC +:1054100033554401930500008320C1040324810413 +:1054200083244104032901048329C103032A81033E +:10543000832A4103032B0103832BC102032C810226 +:10544000832C4102032D0102832DC10113010105AB +:1054500067800000B7070001130A0001E36EF6EC55 +:10546000130A80016FF05FED631A060093050000D8 +:1054700013051000EF00C03713090500B70701003E +:10548000637AF90E9307F00F63F42701130A800083 +:10549000B3574901B38AFA0003C70A001305000293 +:1054A000B384294133074701330AE540E30E0AEA92 +:1054B00033194901B3DAE900B395490133D7EC0058 +:1054C00093540901336BB70013850A0093850400D8 +:1054D000EF0080369309050093850400931B0901B2 +:1054E00013850A00EF00C03093DB0B019305050024 +:1054F00013850B00EF00002D9399090193570B01C1 +:10550000B3E7F90033944C0163FAA700B38727018E +:1055100063E6270163F4A700B3872701B38AA74096 +:105520009385040013850A00EF00003193090500FC +:105530009385040013850A00EF00802B9305050076 +:1055400013850B00EF00002893150B0193990901B7 +:1055500093D50501B3E5B90063FAA500B38525012C +:1055600063E6250163F4A500B3852501B384A54056 +:105570006FF09FDFB7070001130A0001E36AF9F03B +:10558000130A80016FF0DFF0E3E8D5E8B707010008 +:1055900063FCF604930BF00F33B5DB0013153500F5 +:1055A00033D7A60097B70100938707CAB387E700F0 +:1055B00083CB070093050002B38BAB00338B75419F +:1055C000631E0B0263E4360163EACC003384CC40F3 +:1055D000B386D94033B58C00B384A64013050400CC +:1055E000938504006FF05FE3B70700011305000126 +:1055F000E3E8F6FA130580016FF09FFAB3966601AF +:10560000335D7601336DDD0033D47901B3956901E3 +:1056100033DC7C0193540D01336CBC001305040092 +:1056200093850400B31A6601EF000021130A0500F8 +:10563000938504001305040033996C01931C0D013C +:10564000EF00001B93DC0C0113040500930505001B +:1056500013850C00EF000017131A0A0113570C01F1 +:105660003367EA00130A0400637EA7003307A7012B +:10567000130AF4FF6368A7016376A700130AE4FF27 +:105680003307A701B309A7409385040013850900D8 +:10569000EF00801A938504001304050013850900A8 +:1056A000EF000015930505009304050013850C0019 +:1056B000EF00401193150C011314040193D505015B +:1056C000B365B4001387040063FEA500B385A5018C +:1056D0001387F4FF63E8A50163F6A5001387E4FFD1 +:1056E000B385A501131A0A01B70C0100336AEA0059 +:1056F0001384FCFFB3778A0033F48A00B384A54097 +:1057000013850700930504002326F100135A0A01AC +:10571000EF00400B930905009305040013050A00F0 +:10572000EF00400A13DC0A01930D050093050C00FD +:1057300013050A00EF0000098327C100130A0500C2 +:1057400093050C0013850700EF00C0073305B50172 +:1057500013D709013307A7006374B701330A9A010D +:10576000B70701009387F7FF935507013377F700D9 +:1057700013170701B3F7F900B3854501B307F70025 +:1057800063E6B400639EB400637CF900338657413E +:10579000B3B7C700B385A541B385F54093070600AD +:1057A000B307F9403339F900B385B440B3852541D7 +:1057B00033947501B3D767013365F400B3D5650140 +:1057C0006FF09FC5130605001305000093F6150042 +:1057D000638406003305C50093D515001316160023 +:1057E000E39605FE678000006340050663C6050674 +:1057F00013860500930505001305F0FF630C0602F0 +:1058000093061000637AB6006358C00013161600A2 +:1058100093961600E36AB6FE1305000063E6C50022 +:10582000B385C5403365D50093D6160013561600D0 +:10583000E39606FE6780000093820000EFF05FFBB6 +:1058400013850500678002003305A04063D805007A +:10585000B305B0406FF0DFF9B305B04093820000AC +:10586000EFF01FF93305A04067800200938200002B +:1058700063CA0500634C0500EFF09FF71385050030 +:1058800067800200B305B040E35805FE3305A04031 +:0C589000EFF01FF63305B0406780020007 +:02000004800278 +:1000000049444C4500000000482FFEFF102FFEFF22 +:100010001E2FFEFF2C2FFEFF342FFEFF5830FEFF59 +:100020002030FEFF2E30FEFF3C30FEFF4430FEFF4E +:10003000546D722053766300DC35FEFFDC35FEFF25 +:10004000DC35FEFF5A36FEFF2A36FEFF5036FEFF35 +:10005000DC35FEFFDC35FEFF5A36FEFF2A36FEFF9A +:10006000546D725100000000286E756C6C29000000 +:10007000DE43FEFFDC45FEFFD245FEFFDC45FEFF12 +:10008000DC45FEFFDC45FEFFDC45FEFF5C44FEFF79 +:10009000DC45FEFFDC45FEFF1444FEFF6A44FEFF24 +:1000A000DC45FEFF1E44FEFF2844FEFF2844FEFF01 +:1000B0002844FEFF2844FEFF2844FEFF2844FEFF9C +:1000C0002844FEFF2844FEFF2844FEFFDC45FEFFD7 +:1000D000DC45FEFFDC45FEFFDC45FEFFDC45FEFFA8 +:1000E000DC45FEFFDC45FEFFDC45FEFFDC45FEFF98 +:1000F000DC45FEFFDC45FEFFDC45FEFFDC45FEFF88 +:10010000DC45FEFFDC45FEFFDC45FEFFDC45FEFF77 +:10011000DC45FEFFDC45FEFFDC45FEFFDC45FEFF67 +:10012000DC45FEFFDC45FEFFDC45FEFFDC45FEFF57 +:10013000DC45FEFFDC45FEFFDC45FEFFDC45FEFF47 +:10014000DC45FEFFDC45FEFFDC45FEFFDC45FEFF37 +:10015000DC45FEFFDC45FEFFDC45FEFFDC45FEFF27 +:10016000DC45FEFFDC45FEFFDC45FEFFDC45FEFF17 +:100170008E44FEFF3A45FEFFDC45FEFFDC45FEFFF8 +:10018000DC45FEFFDC45FEFFDC45FEFFDC45FEFFF7 +:10019000DC45FEFF8644FEFFDC45FEFFDC45FEFF3E +:1001A0008E45FEFF9445FEFFDC45FEFFDC45FEFF6D +:1001B000A244FEFFDC45FEFF8845FEFFDC45FEFF56 +:1001C000DC45FEFFAC45FEFF6D69616F750A0000FE +:1001D0006D69616F75320A0068756E6772790A0021 +:1001E0004572726F7220696E20787878207461731E +:1001F0006B73200D0A000000436865636B54696DE2 +:1002000065720000506F6C53454D3100506F6C5358 +:10021000454D3200426C6B53454D3100426C6B537F +:10022000454D3200436F756E74696E675F53656D3F +:100230005F310000436F756E74696E675F53656D63 +:100240005F320000000102020303030304040404FC +:100250000404040405050505050505050505050552 +:100260000505050506060606060606060606060632 +:10027000060606060606060606060606060606061E +:100280000606060607070707070707070707070702 +:1002900007070707070707070707070707070707EE +:1002A00007070707070707070707070707070707DE +:1002B00007070707070707070707070707070707CE +:1002C00007070707080808080808080808080808B2 +:1002D000080808080808080808080808080808089E +:1002E000080808080808080808080808080808088E +:1002F000080808080808080808080808080808087E +:10030000080808080808080808080808080808086D +:10031000080808080808080808080808080808085D +:10032000080808080808080808080808080808084D +:10033000080808080808080808080808080808083D +:040340000808080899 +:100344001000000000000000017A5200017C01014D +:100354001B0D02004C000000180000008849FEFF3D +:10036400DC05000000440E30708903950781018884 +:100374000292049305940696089709980A990B9A91 +:100384000C0370020AC144C844C944D244D344D4BF +:1003940044D544D644D744D844D944DA440E00441E +:1003A4000B0000005000000068000000144FFEFF26 +:1003B4000005000000440E507488028903930599D7 +:1003C4000B810192049406950796089709980A9A56 +:1003D4000C9B0D0320010AC144C844C944D244D330 +:1003E40044D444D544D644D744D844D944DA44DB2D +:0803F400440E00440B00000060 +:10040800AAAAAAAA08000000FC8F0100090000009F +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/semtest_rv32ic_O3.hex b/VexRiscv/src/test/resources/freertos/semtest_rv32ic_O3.hex new file mode 100644 index 0000000..0fc7b93 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/semtest_rv32ic_O3.hex @@ -0,0 +1,1694 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900021D4170502001305E556979503009385B3 +:1000A000257E01461122170502001305A54A970572 +:1000B00002009385A5510146C52817A50300130525 +:1000C000657C97B503009385A57B37B6ABAB13066C +:1000D000B6BAD92817B103001301C17AEF60E02F37 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2423523A02200F322103449 +:1002400096DEEF00002B170102000321E13302418B +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E23523A0120023A29D +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40502717010200032138 +:10033000A1250241F65273901234B7220000938235 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A22783A0A4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2421323A0220086DEEF202F +:10046000602E17010200032121120241F6527390FF +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF407007EF10900A01E5B7 +:10050000B24041018280B24041016F20A023930795 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30B0207E +:1005B0008280411122C406C62A8426C2EF2030124E +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF2040586DD5EF2020783C44FD173C +:1005F0003CC43C44E344F0FEFD573CC4EF20701083 +:10060000EF20F00D7C40635BF0021C4885CB930427 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2000546DD5EF20E0735C +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20B00B29CD0111E8 +:1006500022CC2A8406CE26CA4AC84EC62E89EF204E +:10066000100824404C5C832904002685EF50903804 +:100670007D57232C0402B3079540CE974E9578C042 +:1006800048C0232434015CC438C4631109021C48E7 +:100690008DE7EF201007F2406244D2444249B2494C +:1006A0000545056182807370043001A013050401C3 +:1006B000B93D13054402A13DE9BF13050401EF2034 +:1006C000804A8547E317F5FC9133E1B701E5737084 +:1006D000043001A0011126CA4AC84EC606CE22CC5B +:1006E000AA842E89B289A5C9EF50D03013055505CB +:1006F000EF3020742A8435C5930745051CC144DCBE +:1007000023202405EF20A07D24404C5C0329040015 +:100710002685EF50302EFD56232C0402B30795405A +:100720003307A900CA9774C058C05CC434C4130509 +:10073000040123242401E13B13054402C93BEF20BB +:10074000407C230834052285F2406244D244424969 +:10075000B2490561828013054005EF30806D2A841F +:1007600001E57370043001A000C051BF01E5737052 +:10077000043001A06375B5007370043001A041110D +:1007800022C409462E84814506C6893711C500DD7D +:10079000B2402244410182807370043001A05D7137 +:1007A00086C6A2C4A6C2CAC04EDE52DC56DA5AD8E9 +:1007B0005ED632C6630A051C6382051C89476399AD +:1007C000F600585D85476305F7007370043001A09B +:1007D0003689AE842A84EF20C05B11E5B24781C719 +:1007E0007370043001A0EF20806F185C5C5C014BDB +:1007F000894BFD59130A0401930A44026365F70CFF +:1008000063077919B2476381072463150B00280831 +:10081000EF208048EF20E06EEF10604FEF20206C5B +:100820007C4063943701232204043C4463943701E1 +:1008300023240404EF20E06C6C002808EF20E0473C +:10084000631E051EEF20A069185C5C5C630CF70A50 +:10085000EF20206BEF20A0683C44634FF00039A8E4 +:100860005685EF20403019C1EF2020503C44FD1741 +:100870003CC43C446354F0005C50FDF32324340535 +:10088000EF202068EF20A0657C40634FF00039A87E +:100890005285EF20402D19C1EF20204D7C40FD17DF +:1008A0007CC07C406354F0001C48FDF323223405D7 +:1008B000EF202065EF10A06A054BEF204062185C26 +:1008C0005C5CE37FF7F230406305060C631F09129E +:1008D0000844A685EF30206E1C4434405840B6973B +:1008E0001CC463EAE70A1C5C14405850850714C412 +:1008F0001CDC5DC713054402EF20E0268547631129 +:10090000F50AC9A8EF20E05FB2455285EF206007E5 +:10091000EF20E05C3C44634FF00039A85685EF209F +:10092000802419C1EF2060443C44FD173CC43C4482 +:100930006354F0005C50FDF323243405EF20605C29 +:10094000EF20E0597C40634FF00039A85285EF203A +:10095000802119C1EF2060417C40FD177CC07C40A4 +:100960006354F0001C48FDF323223405EF20605946 +:10097000EF10E05E31F1593C054B81B73C41E38F0C +:1009800007E27370043001A07370043001A030409E +:1009900021E61C4085C71C5C585085071CDC39FBD0 +:1009A000EF2020560545B640264496440649F259A4 +:1009B000625AD25A425BB25B616182804840EF204A +:1009C00060491C5C58502322040085071CDCE3139B +:1009D00007F279D5253CE9B74844A685EF30A05DFC +:1009E0003C4058441440B307F0403E9758C4637CE1 +:1009F000D7025840BA975CC409475C506306E902C5 +:100A0000185C050718DCC9DFF5B54844A685EF304A +:100A1000805A3C4058441440B307F0403E9758C4B5 +:100A2000E37CD7FCF9B75C50185C79DB185C7D1768 +:100A300018DC185C050718DCA5D76DBD2285953E2E +:100A4000EF10E051014585B7EF20A04B0145A1BF54 +:100A5000411126C2AA841305400522C406C6EF3000 +:100A6000403D2A8415CD232C0502FD577CC1054746 +:100A7000410558DC3CC42322040023200400232425 +:100A80000400232604002320040423089404413492 +:100A900013054402AD3C8146014681452285013360 +:100AA0002285B24022449244410182800DC5411109 +:100AB00022C426C206C64AC0032945002A84EF2064 +:100AC000802C8144630CA900B2402244268502494F +:100AD0009244410182807370043001A05C448544DB +:100AE000FD175CC4F5F381460146814522854539F1 +:100AF000E1BF31CDA1C9011122CC26CA4AC852C4D6 +:100B000006CE4EC68947B68432892E8A2A846399D6 +:100B1000F600585D8547630FF7047370043001A039 +:100B20006D32185C5C5CAA896366F70281444E856D +:100B3000513AF240624426854249D244B249224A9F +:100B4000056182803C41C5DB7370043001A0737085 +:100B5000043001A0304041E21C40D5CB1C5C7D57E5 +:100B600085071CDC3C446387E7083C4485448507D3 +:100B70003CC475BF993A185C5C5CAA89636DF70246 +:100B8000304079DA4844D285EF30E0423C40584466 +:100B90001440B307F0403E9758C46375D7005840DF +:100BA000BA975CC48947E39BF4FA1C5CC5DB1C5C08 +:100BB000FD171CDC65B7304045D24844D285EF3084 +:100BC000803F3C4058441440B307F0403E9758C41F +:100BD000E367D7FCD9BFDDF40844D285EF30A03DF0 +:100BE0001C4434405840B6971CC4E3E9E7F61C4067 +:100BF0001CC4ADB75C5085449DDB13054402EF1067 +:100C0000907615D5E30509F2232099000DB74840E9 +:100C1000EF2040242322040091B721CD3C4181C71D +:100C20007370043001A01C41A1CB011122CC26CA53 +:100C30002A844AC84EC606CE2E894138185C5C5CB0 +:100C4000AA898144637DF7001C5C7D5785071CDC05 +:100C50003C446388E7023C44854485073CC44E8598 +:100C60009530F240624426854249D244B24905613A +:100C700082807370043001A05C41C5DB7370043066 +:100C800001A05C5099E38544D9BF13054402EF10DD +:100C9000906D75D9E30909FE85472320F900E5B772 +:100CA000397106DE22DC26DA4AD84ED652D456D224 +:100CB00032C66302051C638A051AB68AAE892A8485 +:100CC000EF20200D11E5B24781C77370043001A0F9 +:100CD000EF20E0201C5C63920714B247638E070A82 +:100CE0002808EF10707BFD5413094402130A040115 +:100CF000EF202021EF10A001EF20601E7C406394C4 +:100D00009700232204043C446394970023240404A2 +:100D1000EF20201F6C002808EF10307A63130518AD +:100D2000EF20E01B1C5CADCFEF20A01DEF20201BAF +:100D30003C44634FF00039A84A85EF10D06219C1D6 +:100D4000EF20A0023C44FD173CC43C446354F00037 +:100D50005C50FDF324C4EF20C01AEF2040187C4003 +:100D6000634FF00039A85285EF10F05F19C1EF1002 +:100D7000D07F7C40FD177CC07C406354F0001C4851 +:100D8000FDF364C0EF20E017EF10601DEF20201589 +:100D90001C5CC1E7B247A9FFEF20A016014555A092 +:100DA000EF2020161C40DDC7B2454A85EF10703D8C +:100DB000EF20E0123C44634FF00039A84A85EF1061 +:100DC000905A19C1EF10707A3C44FD173CC43C4462 +:100DD0006354F0005C50FDF324C4EF208012EF2038 +:100DE00000107C40634FF00039A85285EF10B057D7 +:100DF00019C1EF1090777C40FD177CC07C40635494 +:100E0000F0001C48FDF364C0EF20A00FEF10201588 +:100E100031C9EF20C00C1C5CB5DFCE85228544446F +:100E2000EFF04FF7639C0A041C5C1840FD171CDCB4 +:100E300001E7EF20801148C01C48A1EFEF20600CB3 +:100E40000545F2506254D2544259B259225A925A2C +:100E500021618280EF20A0084840EF109075EF20BC +:100E6000400A99B7EFF08FDA6DB73C41E38707E4AA +:100E70007370043001A07370043001A05C5044C44E +:100E8000D5DF13054402EF10104E4DD9EFF00FD807 +:100E900075B713050401EF10104D8547E310F5FAFF +:100EA000F5B72285EFF0EFF0EF10600B014551BF71 +:100EB0001DC9411122C44AC006C626C244412E891A +:100EC0002A84EF10506C6383A40281464A868145D0 +:100ED0002285F93385476300F502B24022449244EB +:100EE0000249410182807370043001A05C440545D1 +:100EF00085075CC4DDB75C4485075CC4F9BF15CDCC +:100F0000ADC9011122CC26CA4AC84EC652C42A8491 +:100F100006CE3289AE89EFF04FDB1C5C2A8A814411 +:100F200085E35285EFF00FDAF240624426854249AC +:100F3000D244B249224A056182807370043001A014 +:100F4000304001CE4C445C40B2954CC463E4F500A3 +:100F50000C404CC44E85EF3000061C5C7D57FD17DD +:100F60001CDC7C40638CE7007C40854485077CC0AA +:100F70004DBF3C41D9D77370043001A01C4899E3A0 +:100F8000854445B713050401EF10F03D75D9E30919 +:100F900009FE85472320F900E5B721C93C41A1CDD1 +:100FA000A1CB011122CC26CA4AC852C42A8406CE3B +:100FB0004EC62E8AEFF06FD11C5C2A89814491CBFA +:100FC0008329C400D2852285EFF0CFDC8544232617 +:100FD00034014A85EFF00FCFF24062442685424942 +:100FE000D244B249224A056182807370043001A064 +:100FF0007370043001A0EDDF7370043001A001E5CF +:101000007370043001A0411122C42A8406C6EF1077 +:10101000106D005CEF10F06EB24022852244410159 +:10102000828001E57370043001A0411106C622C41C +:101030002A84EF10D06A1C5C405C1D8CEF10706C31 +:101040002285B24022444101828019C1085D82801C +:101050007370043001A01DCD978703009387877EAE +:10106000D843630CE502D847630CE504D84B63070B +:10107000E504D84F6302E504D853630DE502D85761 +:101080006308E502D85B6303E502D85F630EE50001 +:101090006F20506C7370043001A001470E07BA979F +:1010A00023A007006F20106B1D47CDBF1947FDB768 +:1010B0001547EDB71147DDB70D47CDB70947F9BF64 +:1010C0000547E9BF684582806CC5828003450505F8 +:1010D000828009C5085D1335150082807370043065 +:1010E00001A019C51C5D485D1D8D1335150082805A +:1010F0007370043001A0978703009387A774984307 +:1011000005C3984705C7984B05C7984F05C798531F +:1011100005C7985705C7985B15C7985F15C38280A8 +:1011200001470E07BA978CC3C8C382800547D5BF55 +:101130000947C5BF0D47F5B71147E5B71547D5B7FF +:101140001D47C5B71947F1BF978703009387876F7E +:10115000D8436308E502D847630BE502D84B630A1E +:10116000E502D84F6309E502D8536308E502D85772 +:101170006307E502D85B6308E502D85F6303A70253 +:10118000828001470E07BA9723A007008280054797 +:10119000D5BF0947C5BF0D47F5B71147E5B7154797 +:1011A000D5B71D47C5B71947F1BF411122C426C2A3 +:1011B0004AC02A8406C6AE843289EF105052784065 +:1011C000FD576314F700232204043844FD576314C9 +:1011D000F70023240404EF10D0521C5C99E74A86E0 +:1011E000A68513054402EF10D00CEF10504F3C447D +:1011F000635BF0025C5085CB9304440209A83C4435 +:10120000FD173CC43C446350F0025C5089CF2685F6 +:10121000EF1070156DD5EF1050353C44FD173CC4F0 +:101220003C44E344F0FEFD573CC4EF10904DEF10FA +:10123000104B7C40635BF0021C4885CB9304040197 +:1012400009A87C40FD177CC07C406350F0021C481C +:1012500089CF2685EF1030116DD5EF1010317C400D +:10126000FD177CC07C40E344F0FEFD577CC0224467 +:10127000B2409244024941016F10B0481DC17971DA +:101280004ECE52CC06D63E8A22D426D24AD056CA58 +:101290005AC85EC6A547BA8963F8E7007370043080 +:1012A00001A07370043001A02A8BAE843289B68B02 +:1012B000C28A6307082213050006EF2090372A84AC +:1012C000630B05200A09232855034A869305500A13 +:1012D0005685EF20505C03C704001C587119230A7F +:1012E000E40203C704003E991379C9FF79C783C795 +:1012F0001400A30AF40283C71400E1C383C72400C7 +:10130000230BF40283C72400CDCB83C73400A30B87 +:10131000F40283C73400D5C383C74400230CF4020E +:1013200083C74400D9CB83C75400A30CF40283C7FE +:101330005400C1C783C76400230DF40283C764004F +:10134000ADCF83C77400A30DF40283C77400B5C783 +:1013500083C78400230EF40283C78400B9CF83C7F8 +:101360009400A30EF40283C79400A1CB83C7A4000A +:10137000230FF40283C7A400A9C383C7B400A30F3B +:10138000F40283C7B40095CB83C7C4002300F404E0 +:1013900083C7C4009DC383C7D400A300F40483C7DC +:1013A000D40081CF83C7E4002301F40483C7E400A1 +:1013B00089C783C7F400A301F404930A4400568547 +:1013C000A30104042326340323283405232A040418 +:1013D000EFF02F9513058401EFF0AF94A947B38781 +:1013E0003741232C040400C81CCC40D02322040421 +:1013F000232E04045E86DA854A85EFF0AF8D08C09F +:1014000063040A0023208A007370043017F9010076 +:101410001309C9158327090097F401009384C416A2 +:1014200091C798409C407C4385077CC317F7010017 +:10143000130787141C43850797F6010023AEF612A5 +:101440009C40C5CB8327090017FB0100130B4B23DE +:1014500089EB9C40DC5763E6F90097F7010023A570 +:10146000871297F701009387670F9C4317F70100D6 +:101470001307071048541843850797F6010023AF58 +:10148000F60C3CC46376A70097F7010023A2A70ED1 +:10149000D145EF403056D6855A95EFF0EF88832737 +:1014A000090081C79C40FC43B5E38327090005443C +:1014B00099C79C40DC5763F43701EFE03FF5228584 +:1014C000B250225492540259F249624AD24A424BD3 +:1014D000B24B456182805685EF20D0277D54C5B739 +:1014E00013152600EF20F014AA8AE31605DC7D54BC +:1014F000F9B797F7010023A98708184385476301C7 +:10150000F70217FB0100130BAB17A1BF98409440E3 +:101510007C43FD177CC3FC42C9FB7360043071B788 +:10152000014A17FB0100130BAB15A94B5285D1459E +:10153000EF40504C050A5A95EFE07FFDE3187AFF23 +:1015400097FB0100938B4B205E85EFE05FFC17FA61 +:101550000100130AAA205285EFE07FFB17F5010076 +:1015600013050521EFE0BFFA17F5010013058521EA +:10157000EFE0FFF917F5010013050522EFE03FF951 +:1015800097F7010023A0770197F7010023AA47FFEF +:10159000C9BD411122C406C626C24AC02A8473703E +:1015A000043097F40100938464FC9C40F9E311E457 +:1015B00097F70100938747FD8043130944004A854C +:1015C000EFE01FFB1C5489C713058401EFE05FFAAD +:1015D000CA8517F501001305E51AEFE0FFF497F748 +:1015E00001009387A7F9984397F70100938707F7BE +:1015F0009C43050797F6010023A2E6F8984085076B +:1016000097F6010023ACF6F401CB97F7010093871E +:10161000A7F79843784349EF9C40B1C797F701007B +:10162000938787F69843630F870873700430984058 +:1016300039EB17F701001307E7F414439442A5E2CE +:101640007D5797F6010023A9E6F0984009CF98430B +:10165000784311CB984394437C43FD177CC3FC42F1 +:1016600099E373600430B24022449244024941013C +:10167000828097F70100938727F198439C437C432E +:1016800085077CC32DB794439843F8420507F8C2F9 +:1016900017F70100130707EF14439442D5D21843FC +:1016A00058475847584397F6010023A7E6EA71BF09 +:1016B000984394437C43FD177CC3FC42B1FF7360A5 +:1016C000043099BF97F701009387C7E89C4381C70F +:1016D0007370043001A02244B24092440249410197 +:1016E0006FE0DFD241C517F701001307E7E91443A4 +:1016F0008147638BA606411106C622C426C27370B9 +:10170000043097F60100938666E69C4291C7104329 +:101710001C437C4285077CC29C42AA84404981C705 +:101720001C43FC43B9E797F701009387A7E59C4367 +:10173000638B870497F70100938787E49C43638456 +:10174000870497F70100938727056309F40297F749 +:101750000100938727036301F4028547B2402244C6 +:1017600092443E85410182803E85828073700430C0 +:1017700001A0EF40F03B45BF9147CDB79C5493B7D4 +:1017800017008907E1BF8947D1BF7370043097F70D +:1017900001009387A7DD984309EF15C59C43485581 +:1017A00081CB97F70100938727DE9843784315E3B1 +:1017B000828017F70100130727DD14431843F8420E +:1017C0000507F8C261FD17F701001307E7DB0843BF +:1017D000F1B7984394437C43FD177CC3FC42E9FB7B +:1017E000736004308280411122C42A8406C6EFE06F +:1017F000DFCD11E497F70100938707D98043405468 +:10180000EFE05FCCB2402285224441018280A547AF +:1018100063F5B7007370043001A0011122CC06CE2D +:1018200026CA4AC84EC652C42A847370043017F9B7 +:1018300001001309A9D383270900CDEF71C43C48E7 +:101840006388F50817F70100130707D463FCB70096 +:101850001443638C860C18434457B3B4950093C467 +:10186000140029A00443818C93B41400585463835A +:10187000E70A1C4C2CC863C60700A947B385B740CC +:101880000CCC93172700BA97584897F90100938911 +:1018900029DF8A07CE97631AF702130A440052859C +:1018A000EFE01FCD97F70100938787CC48549C4306 +:1018B00063F6A70097F7010023ACA7CAD145EF4014 +:1018C0007013D2854E95EFE03FC699C0EFE01FB48C +:1018D0008327090081CB97F701009387E7CA9C43D0 +:1018E000FC439DEBF2406244D2444249B249224A51 +:1018F0000561828097F70100938707C998439C434D +:101900007C4385077CC305FC97F701009387C7C715 +:10191000804335B74CD4B1BF6244F240D24442490F +:10192000B249224A05616F40B020814481B725C188 +:10193000011126CA97F40100938404C59C4006CE89 +:1019400022CC4AC84EC6638DA7027370043017F9C3 +:1019500001001309A9C18327090091C798409C4041 +:101960007C4385077CC3584997F701009387C7E2FA +:101970006302F7028327090081C79C40FC43A5EB63 +:10198000F2406244D2444249B24905618280737098 +:10199000043001A01C5517F70100130767DDE38B26 +:1019A000E7FCE9FB930945002A844E85EFE05FBC24 +:1019B00097F701009387C7BB48549C4363F6A70081 +:1019C00097F7010023A6A7BAD145EF40B00297F7D9 +:1019D00001009387E7CA3E95CE85EFE0FFB49C40B7 +:1019E0005854DC57E368F7F8EFE05FA261B7624450 +:1019F000F240D2444249B24905616F40701315CD9F +:101A0000011122CC26CA4EC62A8406CE4AC8EFE06F +:101A1000DFAB584897F70100938707D8AA8981441C +:101A20006300F7024E85EFE0FFA9F240624426858D +:101A30004249D244B249056182807370043001A0EA +:101A40001C5417F501001305A5D2E38DA7FCF9FB83 +:101A500097F70100938707B09C43A9EB97F7010024 +:101A6000938787B29C43445413094400DC574A854A +:101A7000B3B4F400EFE0DFAF97F70100938747AF0F +:101A800048549C4393C4140063F6A70097F70100E1 +:101A900023A0A7AED145EF40E07597F701009387EB +:101AA00027BECA853E95EFE03FA8ADBF93058401F0 +:101AB000EFE09FA785BF0111056506CE22CC26CA9F +:101AC0004AC84EC652C456C25AC0EF208036630E72 +:101AD000051AAA8413050006EF20A0352A84630A9C +:101AE000051C05669305500A04D92685EF20A05AE7 +:101AF00004588567F117BE94B7474C4593879744C0 +:101B0000130A44005CD85285230C0402A301040488 +:101B10002326040223280404232A0404EFE07FA0E0 +:101B200013058401EFE0FF9FA947232C0404F198DB +:101B300000C81CCC40D023220404232E04040146F8 +:101B4000971500009385E5B12685EFE0BF9808C0A2 +:101B50007370043017F90100130949A183270900A4 +:101B600097F40100938444A291C798409C407C4321 +:101B700085077CC317F70100130707A01C438507DF +:101B800097F6010023AAF69E9C40C9C3832709004B +:101B900097F901009389C9AE81EB9C40DC5789E736 +:101BA00097F7010023A2879E97F701009387079B71 +:101BB000984397F601009386A69B5C5494420507D0 +:101BC00017F60100232CE69838C463F6F60017F7E1 +:101BD0000100232FF798139527003E950A05D2851B +:101BE0004E95EFE07F948327090081C79C40FC431A +:101BF000DDE78327090091C39C40EF10F04985473A +:101C00006305F50C59E17370043001A097F70100EA +:101C100023AC87961843854797F90100938949A615 +:101C2000E314F7F8CE8A17FB0100130BEBB15685CE +:101C3000D10AEFE0DF8DE31C5BFF17F50100130510 +:101C4000A5B0EFE0DF8C97FA0100938A2AB15685A0 +:101C5000EFE0FF8B17F50100130585B1EFE03F8B37 +:101C600017F50100130505B2EFE07F8A17F50100B3 +:101C7000130585B2EFE0BF8997F7010023A46791B0 +:101C800097F7010023AE578F05B7F2406244D24464 +:101C90004249B249224A924A024B056182809840E9 +:101CA00094407C43FD177CC3FC42A1F77360043071 +:101CB00089B76244F2404249B249224A924A024BF1 +:101CC0002685D24405616F20E02873700430FD57EB +:101CD00017F701002322F788624497F7010023A732 +:101CE000A788F240D2444249B249224A924A024B62 +:101CF00097F7010023A0078805616FE08FDA737002 +:101D0000043097F7010023A307866FE0CFE717F7AA +:101D10000100130727841C4385071CC3828073704E +:101D2000043097F7010093876784984305E317F71A +:101D300001001307278408439C4381CB97F70100D8 +:101D4000938787849843784301EF828017F70100D7 +:101D50001307878314431843F8420507F8C2C1BF2D +:101D6000984394437C43FD177CC3FC42F9FF7360A6 +:101D700004308280411106C622C4EFE00FF597E7D8 +:101D800001009387277F8043EFE0CFF3B2402285A5 +:101D900022444101828097E701009387E77D8843D1 +:101DA0008280797106D622D426D24AD04ECE52CC29 +:101DB00056CA5AC85EC697E701009387A7799C4325 +:101DC000B1EF17E701001307E77A1C43850797E691 +:101DD000010023A1F67A832A0700638F0A0697E79A +:101DE0000100938767779C43814463F1FA0897F970 +:101DF00001009389E98817EA0100130AEA7883272A +:101E00000A008546D85793172700BA978A07BE99C4 +:101E100083A7090063FFF600854421A897E7010026 +:101E2000938787749C438144850717E70100232D1E +:101E3000F77297E701009387E7729C4391C385444B +:101E4000B2502254268502599254F249624AD24A2B +:101E5000424BB24B4561828017E401001304847247 +:101E60001C409C43DDC37370043001A017E40100E3 +:101E70001304447197F901009389898017EA0100DE +:101E8000130A8A7017EB0100130B8B6E1C409C43E6 +:101E9000B5C31C40DC4703A9C70083274900930B47 +:101EA00049005E8563EEFA04EFE08FEC8327890238 +:101EB0001305890199C3EFE0AFEB8327C90203271C +:101EC0000B00DE85139527003E950A054E95637637 +:101ED000F70017E70100232DF768EFE0EFE4832711 +:101EE0000A000327C902DC57E362F7FA1C40854465 +:101EF0009C43C5F3FD5717E70100232FF76401B793 +:101F000017E70100232AF764DDBD17E70100130777 +:101F100027671C40184397E6010023A5E66617E7EC +:101F20000100232FF76497E70100938767639C43C1 +:101F3000850717E701002325F7621C409C4399E7BA +:101F4000FD5717E701002329F76051BD1C40DC470E +:101F5000DC47DC4317E701002320F76049B597E72A +:101F600001009387275F984301E77370043001A055 +:101F7000011106CE22CC26CA4AC84EC652C456C249 +:101F80005AC07370043097E401009384245E984033 +:101F900061EB98437D1797E6010023ADE65A9C4319 +:101FA000D9EB97E701009387275D9C43C9C797EA60 +:101FB0000100938AEA6C17EA0100130A6A5B17E9C9 +:101FC00001001309695C854919A83385EA00EFE02F +:101FD000AFD5832709005854DC576379F70483A7EA +:101FE0000A0FC1CF83A7CA0FC04713058401EFE0D2 +:101FF0002FD8130B44005A85EFE08FD75C5483260B +:102000000A00DA85139527003E950A052A8756951A +:10201000E3FDF6FA17E70100232CF754EFE0CFD0E9 +:10202000832709005854DC57E36BF7FA97E7010060 +:1020300023AA37536DB701449C4081CB97E7010039 +:10204000938787549C43FC4395E72285F240624482 +:10205000D2444249B249224A924A024B05618280E7 +:1020600017E701001307475214431843F8420507C6 +:10207000F8C205B7EF40C02BC9BF17E40100130435 +:10208000A44E1C4095C31C40054999CF193B09C576 +:1020900097E7010023A8274D1C40FD1717E7010013 +:1020A0002324F74C1C40FDF397E701009387874BEF +:1020B00098438547E311F7F8EFE04FB50544ADBF0E +:1020C00011CD81E57370043001A017E701001307FB +:1020D00067481C4399C77370043001A073700430C3 +:1020E00001A0411122C406C614431841850617E613 +:1020F00001002321D64697E601009386A647944225 +:102100003304B70000C163F7E602637FE40063FDB8 +:10211000860097E701009387274788431105EFE082 +:102120002FC52285EF40801A1D3D01C9B2402244CF +:1021300041018280E36FE4FCD9BF2244B2404101F7 +:102140006FE0CFAC11C917E701001307A7401C438C +:1021500091C77370043001A06FE04FAB411106C608 +:1021600022C41843AA87050797E6010023A4E63E88 +:1021700097E601009386464117E701001307873F62 +:10218000184388423304F7001105EFE06FBE228543 +:10219000EF40C013E93311E52244B24041016FE042 +:1021A000EFA6B240224441018280411122C406C6FA +:1021B00097E701009387073A9C430144850717E797 +:1021C00001002329F73897E701009387E73A9C43FA +:1021D00063E0F51217EE0100130E4E5697E2010070 +:1021E0009382424901440147854F1303500A21A0BD +:1021F000311E638DC20B83278EFFBA86F5DB8327E2 +:10220000CEFFDC43232EFEFE638AC70F03AFC70059 +:10221000DC432A97814E232EFEFE6385C70783A8E1 +:10222000C70093874803232017015CC383A7880452 +:102230002326F7011CC783A7C8021CCB83A7080568 +:10224000232C07005CCB03A8080383470800639096 +:102250006704C287850783C60700B3850741E38B00 +:1022600066FE8981C205C181231EB700850E130752 +:10227000070263061F038327CEFFDC43232EFEFEE7 +:10228000E39FC7F983274E00232EFEFE49BF8145F9 +:10229000231EB700850E13070702E31E1FFD769469 +:1022A00013175400311EBA86E397C2F597E7010071 +:1022B0009387472D03AE070083270E00639D070E0B +:1022C00097E701009387C72B03AE070083270E0013 +:1022D0006392071817EE0100130E8E3A83274E10F3 +:1022E0009DE383278E116395072019C223200600E2 +:1022F000BD312285B24022444101828083274E00B5 +:10230000232EFEFE21B783278E1017EF0100130F37 +:10231000EF47DC4317E701002328F7466380E733E4 +:1023200083A2C700DC43AA96814E17E70100232D44 +:10233000F744914F1303500A6388E70783A8C70047 +:102340009387480323A01601DCC283A7880423A631 +:10235000F6019CC683A7C8029CCA83A7080523ACC4 +:102360000600DCCA03A80803834708006391672AB4 +:10237000C287850703C70700B3850741E30B67FEE4 +:1023800093D72500C207C183239EF600850E93864E +:1023900006026386122983278E10DC4317E70100AB +:1023A0002324F73EE39CE7F983270E1117E701008A +:1023B000232CF73C61B783274E00130F8E00D443C4 +:1023C0002322DE006388E629B307E500D84283A212 +:1023D000C600814E2322EE00894F1303500A630684 +:1023E000EF068328C7001387480323A01701D8C32B +:1023F00003A7880423A6F70198C703A7C80298CBB0 +:1024000003A7080523AC0700D8CB03A8080303479C +:1024100008006318671C4287050783460700B30559 +:102420000741E38B66FE13D7250042074183239EB5 +:10243000E700850E93870702638D121B83264E00EB +:10244000D8422322EE00E31EEFF80327CE0023221A +:10245000EE0041BF83274E00130F8E00DC43232282 +:10246000FE006384E71F83A2C700DC43AA96814E67 +:102470002322FE00894F1303500A6306FF0683A838 +:10248000C7009387480323A01601DCC283A78804F2 +:1024900023A6F6019CC683A7C8029CCA83A7080589 +:1024A00023AC0600DCCA03A8080383470800639630 +:1024B0006710C287850703C70700B3850741E30B91 +:1024C00067FE93D72500C207C183239EF600850EC1 +:1024D00093860602638B120F83274E00DC43232270 +:1024E000FE00E31EFFF88327CE002322FE0041BF3B +:1024F0008327CE1197EE0100938E8E2ADC4317E7D7 +:102500000100232DF7286384D71383AFC700DC4372 +:102510003307D500014397E6010023A1F6280D4FAC +:1025200089429308500A6387D707C8479307450332 +:1025300008C35CC33C452326E7011CC75C551CCB84 +:102540001C5599C3232657003C49232C07005CCB1C +:10255000032805038347080063951705C28785078D +:1025600083C60700B3850741E38B16FF93D7250089 +:10257000C207C183231EF700050313070702638AFE +:10258000AF028327CE11DC4397E6010023A8F62093 +:10259000E39DD7F983274E1297E6010023A0F6208A +:1025A00069B78147231EF700050313070702E39A63 +:1025B000AFFC1A94E31C06D225BB8147239EF6008C +:1025C000850E93860602E39912F117EE0100130EB1 +:1025D0002E0B83274E10769493165400E38307D076 +:1025E0001DB30147239EE700850E93870702E397FB +:1025F00012E597E701009387A7F803AE07007694EA +:102600009316540083270E00E38607CCA1B58147BB +:10261000239EF600850E93860602E39E12D783273B +:102620008E11769493165400E38107CCD1B583279D +:102630004E1217E701002323F716C1BD83270E11A1 +:1026400017E701002322F714E1B98327CE002322E4 +:10265000FE0011BD8326CE002322DE00B5B37971C2 +:1026600026D24AD04ECE52CC56CA5AC85EC606D6DC +:1026700022D497E901009389E9ED17E901001309D4 +:10268000290017EA0100130A6AEE97EA0100938A0B +:10269000AAEF97EB0100938B2BEE97E40100938454 +:1026A000E4ED054B21A883A7090003244910850701 +:1026B00017E701002320F7EA5D3011E89C40E5F7B9 +:1026C00083270900E37CFBFEEFD05FD4C5BF7370A6 +:1026D000043083270A00B9E383270911C047130593 +:1026E0004400EFD0FFE883A70B00FD1717E70100B8 +:1026F0002324F7E89C40FD1717E701002320F7E8A3 +:1027000083270A0089C783A70A00FC4385E308588A +:10271000EF1050042285EF10F0034DB703A70A0015 +:1027200083A70A007C4385077CC37DB703A70A0003 +:1027300083A60A007C43FD177CC3FC42E9FB73605F +:102740000430F1B797E701009387C7E09C43DDE7CA +:10275000411106C622C426C297E7010023A407E060 +:1027600017E70100130747E21C43B7A6A5A5938608 +:10277000565A9C5B8C43639BD500D0436318B600CC +:1027800094476395C600DC476388D70008430C4331 +:1027900093854503EF20807317E70100130747DD9A +:1027A000144317E401001304A4ED11A81C43A9CF9E +:1027B0001C43FD1797E6010023ACF6DA1443939708 +:1027C0002600B6978A07A2979C43EDD30843931738 +:1027D0002500AA978A073307F4005443A107A2975C +:1027E000C44244C36385F402DC44B240224417E788 +:1027F0000100232BF7D8924441018280854717E7D7 +:1028000001002321F7D682807370043001A0C440F8 +:10281000D145EF30301E229544C1F9B701114AC8A5 +:1028200006CE22CC26CA4EC62A897370043097E49D +:1028300001009384A4D39C4017E401001304C4D482 +:10284000D9E76314090003290400930949004E8560 +:10285000EFD01FD28327890289C713058901EFD0E2 +:102860003FD1CE8517E50100130505F3EFD0DFCB8F +:102870009C4081C71C40FC43ADE31C40638B270791 +:102880009C409DCF737004309C40C1EB97E70100E2 +:10289000938747CF9843184325EBFD5717E701006F +:1028A000232CF7CA9C4089CF1C40FC4391CB184095 +:1028B00014407C43FD177CC3FC4299E373600430F1 +:1028C000F2406244D2444249B249056182801840D4 +:1028D0001C407C4385077CC3ADB7184014407C4343 +:1028E000FD177CC3FC42D1FB736004301C40E399AC +:1028F00027F99C40A1C397E701009387A7C59C4394 +:10290000ADCB7370043001A09C43DC47DC47DC4353 +:1029100017E701002322F7C471B718401C407C431D +:1029200085077CC397E701009387C7C59843184381 +:102930002DD7D9BF97E70100938707C417E7010098 +:10294000130707D49C4303278711631DF700F24048 +:10295000624497E7010023A907C2D2444249B24921 +:10296000056182806244F240D2444249B249056125 +:10297000D1BB6244F240D2444249B24905616FD0B2 +:10298000FFA83DC9411126C297E401009384C4BF4A +:102990004AC02E898C4006C622C4E105EFD05FBA3A +:1029A00088401105EFD0DFBCFD57630AF90497E7B3 +:1029B0000100938727BC804398409C434A9440C3BE +:1029C000636CF40497E701009387C7BB88438C408E +:1029D0009105EFD0FFB697E701009387E7B79C43D7 +:1029E0006376F40097E7010023A887B6B24022443B +:1029F00092440249410182807370043001A08C40EE +:102A00002244B2409244024917E501001305C5D89B +:102A1000910541016FD05FB197E70100938747B6F9 +:102A2000884322448C40B2409244024991054101BE +:102A30006FD01FB111C997E701009387A7B19C43DD +:102A400099E77370043001A07370043001A0411144 +:102A500026C297E40100938424B39840AE878C404B +:102A6000B7060080D58F06C622C41CCFE10532848C +:102A7000EFD09FAB88401105EFD09FAFFD57630E9D +:102A8000F40097E701009387E7AE8843B240924491 +:102A90002295224441016F3070038C402244B240A1 +:102AA000924417E50100130525CF910541016FD030 +:102AB000BFA725CD411126C297E401009384C4AC81 +:102AC0004AC02E898C4006C622C4E1053284EFD06C +:102AD000BFA588401105EFD0BFA98547630AF4045C +:102AE00097E70100938707A9804398409C434A9445 +:102AF00040C3636CF40497E701009387A7A8884359 +:102B00008C409105EFD0DFA397E701009387C7A41E +:102B10009C436376F40097E7010023AF87A2B2409D +:102B2000224492440249410182807370043001A022 +:102B30008C402244B2409244024917E5010013053B +:102B4000A5C5910541016FD03F9E97E7010093878E +:102B500027A3884322448C40B24092440249910505 +:102B600041016FD0FF9D411106C622C426C25C45BB +:102B7000C04735CC930484012685EFD07F9F97E72B +:102B800001009387279D9C43B5E793044400268565 +:102B9000EFD01F9E97E701009387879D58549C4371 +:102BA00063F6E70097E7010023A4E79C931727004B +:102BB000BA978A0717E50100130585ACA6853E95EF +:102BC000EFD09F9697E701009387079C9C4358544A +:102BD0000145DC5763F8E700854717E70100232329 +:102BE000F7980545B24022449244410182807370B7 +:102BF000043001A0A68517E50100130565B7EFD0E5 +:102C0000BF92C9B797E701009387C7949C4381E7B8 +:102C10007370043001A0411106C622C426C2B70752 +:102C200000804045CD8F1CC12DC4EFD07F9493040C +:102C300044002685EFD0DF9397E701009387479301 +:102C400058549C4363F6E70097E7010023A2E792FC +:102C500093172700BA978A0717E50100130545A2C5 +:102C60003E95A685EFD05F8C97E701009387C791CB +:102C70009C4358540145DC5763F8E700854717E744 +:102C800001002321F78E0545B240224492444101C0 +:102C900082807370043001A011CD97E70100938703 +:102CA000278C984397E701009387C78C9C4318C1F2 +:102CB0005CC182807370043001A055C1D1CD411137 +:102CC00006C622C42A877370043097E801009388EF +:102CD000E88983A7080091CB97E701009387C78A0B +:102CE00094439C43FC428507FCC217E30100130395 +:102CF00063889C4103260300FD560144638FD7027D +:102D000017EE0100130ECE8503250E0003280700E1 +:102D100054436305A80005446371D6023308D640C6 +:102D20000544637CF80003250E00918F0326030001 +:102D3000B6979CC108C350C3014483A7080081CB48 +:102D400097E70100938747849C43FC4381EF2285EA +:102D5000B2402244410182807370043001A073703C +:102D6000043001A0EF30C05CDDB7854717D7010004 +:102D7000232AF77E828019C16845828001458280BE +:102D800011C16CC5828097D701009387E77F884384 +:102D9000828097D701009387677D9C43054589CB47 +:102DA00097D701009387077B8843133515000605E5 +:102DB000828031CD011126CA97D401009384C47C4E +:102DC0009C4006CE22CC4AC84EC65855DC57637983 +:102DD000F7021C4D63C707009C40D457A947958F45 +:102DE0001CCD93172700BA97584917E9010013091A +:102DF00029898A07CA97630DF7009C40DC575CD588 +:102E0000F2406244D2444249B249056182808280E4 +:102E1000930945002A844E85EFD08FF59C4017D743 +:102E200001001307E7741843DC575CD46376F7009E +:102E300017D70100232EF772139527003E956244A1 +:102E40000A05F240D244CE854A95B249424905610D +:102E50006FD08FED19CD411106C622C426C297D777 +:102E600001009387677280436307A4007370043086 +:102E700001A0014582807C4881E77370043001A085 +:102E800054543848FD177CC86383E60099C7014550 +:102E9000B24022449244410182809304440026853A +:102EA000EFD00FED284897D701009387676C9843C0 +:102EB000A947898F48D41CCC6376A70097D7010017 +:102EC00023A8A76AD145EF30E03297D70100938756 +:102ED000277B3E95A685EFD02FE5054555BF73703E +:102EE000043097D70100938767689C4391CB97D7AD +:102EF00001009387676998439C437C4385077CC3A3 +:102F0000828097D70100938767669C438DC397D7CC +:102F10000100938767679843784311CB98439443A4 +:102F20007C43FD177CC3FC4299E3736004308280CC +:102F300097D7010093874765904394439843A947E7 +:102F4000D456084E958F1CCF828097D70100938767 +:102F5000A763984309C79443F84A0507F8CA88430A +:102F6000828079714AD006D622D426D24ECE2A89C2 +:102F70007370043097D401009384445F9C4017D44D +:102F8000010013046460BDE31C40BC4F89E71C4092 +:102F90000547F8CFD1E19C4081C71C40FC43F1EBD1 +:102FA000737004309C408DEF1C40A84F11C5630422 +:102FB00009021C4023AC07041C4023AE07049C40BC +:102FC00081C71C40FC438DEBB250225492540259ED +:102FD000F2494561828018403C4FFD173CCFE9BF64 +:102FE00018401C407C4385077CC37DBF18401C40B3 +:102FF0007C4385077CC349BF184014407C43FD17C0 +:103000007CC3FC42F1F373600430B25022549254FA +:103010000259F2494561828008402EC61105EFD061 +:103020002FD5B245FD576380F50697D701009387EA +:10303000675483A9070018409C43AE9923223701A7 +:1030400063ECF90497D701009387C75388430C407A +:103050009105EFD0EFCE97D701009387E74F9C43C0 +:1030600063F6F90097D7010023A8374FEFD00FBAC6 +:103070001DB7184014407C43FD177CC3FC428DF300 +:103080007360043031BF0C4017D501001305C570C3 +:103090009105EFD06FC9D9BF97D701009387474EED +:1030A00088430C409105EFD0AFC9C9B779714AD0B8 +:1030B0004ECE06D622D426D252CC2E89B289737037 +:1030C000043097D401009384644A9C4017D40100D3 +:1030D0001304844BF9EF18408947784F630CF700CD +:1030E0001C401345F5FFB84F798DA8CF1C4005470C +:1030F000F8CFADE69C4081C71C40FC43F5E37370FC +:1031000004309C40B1E7638609001C40BC4F23A0FB +:10311000F900184085470145784F630BF7001C40C4 +:103120001349F9FF0545B84F3379E90023AC27056A +:103130001C4023AE07049C4081C71C40FC43C1E3F4 +:10314000B250225492540259F249624A4561828037 +:1031500018401C407C4385077CC375B7084036C6C1 +:103160001105EFD0EFC0B246FD576385F60897D73B +:1031700001009387274003AA070018409C43369A12 +:10318000232247016363FA0897D701009387873F9B +:1031900088430C409105EFD0AFBA97D701009387D1 +:1031A000A73B9C436370FA0697D7010023A6473BD1 +:1031B00091A818401C407C4385077CC329BF184058 +:1031C00014407C43FD177CC3FC42BDFB736004309C +:1031D000B250225492540259F249624A45618280A7 +:1031E000184014407C43FD177CC3FC4289FB73608C +:1031F000043031B70C4017D501001305E55991058E +:10320000EFD08FB2EFD08FA0F5B597D7010093879D +:10321000273788430C409105EFD08FB2E5B751C1F5 +:10322000411106C622C426C24AC07370043097D426 +:1032300001009384A4339C40B9EB99C23C4D9CC2DD +:10324000B2878946704D74CD2E872A846386D7064F +:1032500063FCF6048D456387B70091456395B7001D +:103260006300D60C38CC8547630FF60405449C40B8 +:1032700081CB97D70100938727319C43FC43A9E377 +:103280002285B2402244924402494101828097D76C +:1032900001009387672F98439C437C4385077CC339 +:1032A00069BF7370043001A08546E39ED7FA3C4D98 +:1032B00033E7B70038CD45BF3C4D85073CCD65B7FA +:1032C000EF30000775BF130944004A85EFD04FAABD +:1032D00097D701009387C72948549C4363F6A700FA +:1032E00097D7010023A6A728D145EF20B07097D724 +:1032F00001009387E7383E95CA85EFD0EFA21C54B2 +:1033000081C77370043001A097D701009387C72746 +:103310009C435854DC57E3FBE7F4EFD02F8FB9B749 +:103320000144B1B739CD011122CC26CA4AC84EC6D4 +:1033300052C456C206CEBA89368AB2842E892A84ED +:10334000EFD0AF98AA8A63050A003C4C2320FA000C +:10335000784C89477CCC6389F40263F4970C8D46E2 +:103360006387D40091466396D400630AF70A232C3E +:1033700024058547631EF7061C5499CB73700430EF +:1033800001A07370043001A03C4C85073CCCD5B73C +:1033900097D701009387071C9C43ADEB930444002F +:1033A0002685EFD0EF9C97D701009387671C485480 +:1033B0009C4363F6A70097D7010023ABA71AD1451A +:1033C000EF20506397D701009387872BA6853E9502 +:1033D000EFD08F9597D701009387071B9C435854D4 +:1033E000DC5763F7E70063850900854723A0F900F0 +:1033F00005445685EFD00F8D2285F2406244D244B9 +:103400004249B249224A924A056182809305840169 +:1034100017D501001305C535EFD00F9165BF0144E5 +:10342000C9BF8547E397F4F43C4C33E92701232CCB +:10343000240581B74DC1011122CC26CA2A844EC66B +:1034400006CE4AC8AE84EFD04F888947744C7CCCF6 +:103450003C4C0547AA8985073CCC6395E6061C547D +:1034600081C77370043001A097D701009387870E3E +:103470009C43ADE7130944004A85EFD06F8F97D77F +:1034800001009387E70E48549C4363F6A70097D743 +:10349000010023AFA70CD145EF20D05597D70100ED +:1034A0009387071ECA853E95EFD00F8897D70100F6 +:1034B0009387870D9C435854DC5763F5E70099C008 +:1034C00085479CC06244F240D24442494E85B2498D +:1034D00005616FC03FFF7370043001A09305840144 +:1034E00017D501001305C528EFD00F84C1B7AA87EF +:1034F00029C57370043017D7010013072707144339 +:103500009DE2F04F894601456315D60023AE0704BE +:1035100005451C4381CB97D701009387E706984365 +:10352000784315E3828097D601009386E6059042A2 +:1035300094427442850674C2E9B797D70100938715 +:10354000A7049C437DB7984394437C43FD177CC3F9 +:10355000FC42E9FB7360043082805D71A6C2CAC080 +:1035600052DC56DA5AD85ED686C6A2C44EDE62D483 +:1035700066D26AD017D901001309090217DB0100CE +:10358000130BCB0097D401009384840097DA0100D9 +:10359000938A4A00054AA54B832709009843630193 +:1035A0000712DC4783A90700EFE06FF6EFE02FF783 +:1035B00083270B002A8C6362F50A97D7010023A7A3 +:1035C000A7FC01466370351B8840B3858941EFD065 +:1035D000DFBDEFE0DF9863010512884081460146B8 +:1035E0002C00EFD0EFEB4DD9A247E3C807FEC2494C +:1035F00083A7490189C713854900EFC07FF7EFE032 +:103600000FF283270B002A8C636DF508A24717D7AA +:103610000100232D87F7E3E2FBFCB317FA0013F751 +:103620000721631A071613F7770C6318071E93F721 +:103630000702C5D74E85EF00F01145B7DC47C047FC +:1036400083AC0700930944004E85EFC07FF25C50C5 +:10365000228582975C4C6384470B8327090098433B +:1036600071FF03A70A0097D6010023A186F397D61E +:10367000010023A1F6F297D7010023AFE7F0EFE0B6 +:103680001F8EA1BFDC47C04703AD0700930C440069 +:103690006685EFC0FFED5C50228582975C4C6386A7 +:1036A000470983270900984371FF03A70A0097D6AB +:1036B000010023A1F6EE97D7010023AFE7ECB9B7DD +:1036C000EFE0EFE4EFE0AFE583270B002A8CE36641 +:1036D000F5F883A70A00884081499043B385894162 +:1036E00017D70100232487EB13361600EFD0FFAB6A +:1036F000EFE0FF86E31305EEEFC05FD1F9BD1C4C90 +:10370000CE85E69763E9FC049C4089CF02CA66CC6B +:1037100022CEEFF00FE88840814601464C08EFD0FA +:103720000F8805FD7370043001A01C4CE685EA97F4 +:10373000636CFD049C4089CF02CA6ACC22CEEFF0B4 +:103740004FE58840814601464C08EFD04F8531F95E +:103750007370043001A0032509005CC000C8EFC0ED +:103760003FDEE5BDEFE0AFFF83270900DC47C04740 +:10377000930C44006685EFC0BFDF5C4C638F47034A +:103780005C502285829791BD032509005CC000C86A +:10379000EFC01FDB39B7B24723ACF900A5CFE297E2 +:1037A00023A2F90023A8390193854900636FFC0423 +:1037B00003A50A00EFC0DFD80DB51C4C00C8338745 +:1037C000F90058C0636DEC02330C3C416363FC02AA +:1037D0009C4089CF02CA4ECC22CEEFF08FDB8840CE +:1037E000814601464C08EFC09FFB59F973700430C5 +:1037F00001A003A50A00E685EFC09FD451B70325B9 +:103800000900E685EFC0DFD3A5BF03250900EFC09F +:103810003FD3E1B37370043001A0B24783A689019E +:1038200023A839013387D70023A2E9006364EC049D +:10383000B307FC4063EBD70483A749024E85829708 +:1038400083A7C901E39B47D983A68901B247984062 +:10385000B69711CF02CA3ECC4ECEEFF08FD3884040 +:10386000814601464C08EFC09FF3E31805D67370FC +:10387000043001A06374FC00E370F7FC0325090029 +:1038800093854900EFC0DFCB89BB03A50A00938570 +:103890004900EFC0FFCA91B3411122C406C626C237 +:1038A0004AC017D401001304A4CEEFF04FE31C402C +:1038B00095CBEFF00FE51C409DC38148014881473F +:1038C000094781461306004097D50100938505AF4F +:1038D000170500001305A5C8EFD05F9A39E973708A +:1038E000043001A017D90100130949EC4A85EFC043 +:1038F0001FC297D401009384A4EC2685EFC03FC17A +:103900000146B145114597D7010023A727C997D78D +:10391000010023A197C8EFC07FDB17D7010023293F +:10392000A7C611CD97D501009385C5A8EFD0AFFCF0 +:1039300049B7B240224492440249410182807370E7 +:10394000043001A0A5C9797156CAAA8A1305C0021C +:1039500022D426D24AD04ECE52CC06D65AC85EC603 +:103960003A89B689328AAE84E9212A8405C9EFF002 +:103970000FD797D701009387A7C19C439DCFEFF046 +:103980004FD8130544002320540104CC232E4401B6 +:103990002320340323222403EFC0BFB82285B25072 +:1039A000225492540259F249624AD24A424BB24BD3 +:1039B000456182807370043001A097DB0100938B16 +:1039C000EBDE5E85EFC0BFB417DB0100130B4BDFEE +:1039D0005A85EFC0DFB30146B145114597D70100C5 +:1039E00023AC77BB97D7010023A667BBEFC01FCEE0 +:1039F00017D70100232EA7B801C997D501009385D9 +:103A0000659BEFD04FEFA5BF7370043001A039C59F +:103A1000011122CC06CE26CA17D40100130444B7E4 +:103A2000832804002A88014563850802BA842EC2CF +:103A3000368732C442C6954663C5B602EFF06FB50D +:103A40008947630BF5020840814601464C00EFC0F0 +:103A50001FD5F2406244D244056182807370043005 +:103A600001A04C0081463A864685EFD08F88F2400F +:103A70006244D244056182800840814626864C001B +:103A8000EFC0FFD1F9B719C10841828073700430CB +:103A900001A001E57370043001A0411106C622C4E3 +:103AA0002A84EFF0CFC348483334A000EFF06FC54D +:103AB0002285B24022444101828001E573700430C6 +:103AC00001A0411122C42A8406C6EFF04FC1005064 +:103AD000EFF02FC3B240228522444101828001E5EC +:103AE0007370043001A0411122C426C22E84AA841E +:103AF00006C6EFF0CFBE80D02244B24092444101CE +:103B00006FF02FC04111714522C406C61D262A84BC +:103B100011C5232005001105EFC07F9F2285B2400B +:103B200022444101828001CDB70700FFED8F81C79C +:103B30007370043001A099E57370043001A07370B4 +:103B4000043001A0011122CC4AC84EC652C456C24C +:103B500006CE26CABA893689B28A2E842A8AEFF01E +:103B60004FA311E5638509007370043001A0EFE0F5 +:103B70000F9A83240A00B37794006316090295C74D +:103B800063870A009347F4FFE58F2320FA00EFE0F4 +:103B90000FBDF240624426854249D244B249224ACE +:103BA000924A05618280E30DF4FCE38209FEB3359D +:103BB0005001E20563050900B7070004DD8D4E865C +:103BC000C18D13054A00EFE0FFE6EFE04FB919E1C0 +:103BD000EFC0DF83EFF0CFB593176500AA8963D8F4 +:103BE0000700B7040001FD14B3F499005DB7EFF0CE +:103BF0000FAF83290A00B3773401631E090089CB14 +:103C000063880A009347F4FFB3F737012320FA00D3 +:103C1000EFF02FAFF9B7E31DF4FEDDB701C9B70729 +:103C200000FFED8F99C77370043001A073700430EA +:103C300001A0411122C42A8406C626C24AC02E8988 +:103C4000EFF0EFA904409345F9FFB3F795001CC0CE +:103C5000EFF02FABB2402244268502499244410145 +:103C60008280411122C42A8406C6EFC01F8600400C +:103C7000EFC05F85B240228522444101828009C99C +:103C8000370700FFB3F7E50099C77370043001A050 +:103C90007370043001A06F20606BB70700FFF18FD5 +:103CA00081C77370043001A001E67370043001A075 +:103CB000011122CC4AC84EC652C406CE26CA368945 +:103CC000AE892A8A3284EFF0CF8C21E163050900A6 +:103CD0007370043001A0EFE08F8383240A00CE8547 +:103CE0005285B3E49900613FB37794006307F4060B +:103CF00083240A00EFE0AFA6F240624426854249E1 +:103D0000D244B249224A05618280EFE04F80832489 +:103D10000A00CE855285B3E434019537B3F78400A9 +:103D2000638D8702E30609FCB70500054A86C18D4D +:103D300013054A00EFE01FD0EFE06FA219E1EFC0DA +:103D4000EFECEFF0EF9E93176500AA8463DF0700A6 +:103D5000B7070001FD17FD8C45B783270A00134400 +:103D6000F4FFE18F2320FA0071B7EFF04F9783241F +:103D70000A00B3779400639787009347F4FFE58FB9 +:103D80002320FA00EFF0EF97E1B7411122C406C6F5 +:103D90002A8426C2EFD0BFF75C4085C3084893044D +:103DA000C4006316950015A0084863009502B70586 +:103DB0000002EFE03FE55C40E5FB2285612A2244FA +:103DC000B240924441016FE08F997370043001A0BA +:103DD00009C9370700FFB3F7E50099C773700430CE +:103DE00001A07370043001A06F20405601C9B707CD +:103DF00000FFED8F99C77370043001A07370043019 +:103E000001A0411122C426C206C62A84AE84EFF066 +:103E10000F8D184093C7F4FFF98F1CC02244B240A5 +:103E2000924441016FF0EF8D19C1084D8280014528 +:103E30008280411122C406C62A84EFD05FED97C765 +:103E400001009387A7759C43A1EBE56717D7010095 +:103E500013078798F11797C6010023A7E67417C7C1 +:103E600001002321F77417D701002329F79697C77C +:103E700001009387E77217D70100232FF794854736 +:103E800017C701002324077217C70100232A0770F0 +:103E900017C701002324F77019E8EFE04F8CEF10EB +:103EA000600201442285B240224441018280130510 +:103EB000840093773500C1EFE5671307F5FFE91735 +:103EC000E3EDE7FC97C601009386066E9C4229A0AD +:103ED000984311C7BE86BA87D843E36BA7FE17C7BE +:103EE00001001307E76BE38AE7FA9843414613841E +:103EF000870098C2D843B306A7406378D6023388B8 +:103F0000A7002322D800C8C38325480097C6010014 +:103F10009386866911A0BA8698425043E36DB6FE37 +:103F20002320E80023A00601D84397C70100938708 +:103F3000276A9C43998F17C70100232BF768EFE08E +:103F40000F8231DC2285B240224441018280719986 +:103F500011059DB729C9411122C406C62A84EFD094 +:103F60001FDB930584FF0326C4FF17C70100130757 +:103F7000A76311A03E871C43D443E3EDC6FE232C68 +:103F8000F4FE0CC397C70100938787649843832787 +:103F9000C4FF2244B240BA9717C70100232AF76230 +:103FA00041016FD0DFFB828097C701009387476292 +:103FB0008843828082803367B5000D8BAA871DE716 +:103FC0009308D6FFAA982A87AE866371150303A8C3 +:103FD000060011079106232E07FFE36A17FF934798 +:103FE000F5FFC697F19B9107BE95AA972A9663F3B2 +:103FF000C70A9386450013884700B3B6D70033B885 +:10400000050133E7F50093C61600134818000D8B21 +:10401000B3E6060113371700758F330EF64093860B +:10402000170029CF1337AE0031EB13532E002E8823 +:10403000BE860147832808000507110823A0160142 +:104040009106E36967FE1377CEFFBA95BA976302CC +:10405000EE0483C60500138717002380D700637A18 +:10406000C70283C6150013872700A380D700637299 +:10407000C70203C725002381E7008280B307F6400B +:10408000AE97850503C7F5FF8506238FE6FEE39A05 +:10409000F5FE82808280B367C5008D8BB308C500B2 +:1040A000E9C36371150FB307A0408D8B13873700E9 +:1040B000954693F5F50F130815003383A8407D1638 +:1040C0006373D70015476363E60CD5CF2300B500B3 +:1040D0000547638DE700A300B5000D4713082500D1 +:1040E0006396E700130835002301B5001396850099 +:1040F0004D8E13970501518F3303F340939685013D +:10410000D98E13562300AA97014794C30507910738 +:10411000E36DC7FE1377C3FFB307E8006307E30649 +:104120002380B70013871700637C1703A380B700B1 +:1041300013872700637617032381B700138737009F +:1041400063701703A381B70013874700637A1701D1 +:104150002382B7001387570063741701A382B70047 +:10416000828093F5F50F13978500D98D139705017C +:104170004D8FE37715FFAA87910723AEE7FEE3EDA6 +:1041800017FF828082802A8895B78280AA8749BFDC +:10419000834705002A8799C7050583470500EDFF7A +:1041A000198D82800145828005058347F5FF8505CD +:1041B00003C7F5FF91C7E389E7FE3385E7408280B7 +:1041C0008147E5BFAA87850503C7F5FF8507A38F4C +:1041D000E7FE75FB82808346050093070002639724 +:1041E000F600050583460500E38DF6FE938756FD30 +:1041F00093F7D70F85C7AA87814585CE01458507E7 +:10420000138606FD1317250083C607003A95060599 +:104210003295F5F699C13305A0408280938536FD2D +:104220008346150093B5150093071500E1FA014583 +:10423000D5B7014582809C412380A7009C4185071A +:104240009CC1828097C60100938686369C42175790 +:1042500003001307275B13861700BA972380A70074 +:1042600097C5010023A6C534A9476308F500930745 +:1042700000046305F6000145828075DE370610F004 +:1042800005078347F7FF2320F6F09C42FD1797C5EB +:10429000010023AFF530EDF7014582804D712322F7 +:1042A000911423202115232A51132324811323261B +:1042B000111423248114232E3113232C411323287A +:1042C000611323267113232291132320A113232E7C +:1042D000B111AA842E8932C6130C5002A54A834715 +:1042E000090063808703B9CB804011A0A1CB2300D4 +:1042F000F40080400509050480C083470900E39766 +:1043000087FF0346190093081900C686130D0002A3 +:10431000FD597D5A0148130550059307D6FD93F7C3 +:10432000F70F138916006363F52A17C701001307F7 +:1043300067CD8A07BA979C43BA9782878320C114B6 +:104340000324811483244114032901148329C113F4 +:10435000032A8113832A4113032B0113832BC112D8 +:10436000032C8112832C4112032D0112832DC111C4 +:1043700071618280A14C02C4854763D50715B2479D +:104380008040938B770093F68BFF03AB060083A9E5 +:104390004600938786003EC6668681465A85CE854E +:1043A000EF1050152AC8814763853723930D4101CB +:1043B000854B668681465A85CE85EF10E03581466D +:1043C00066862A8BAE89EF10F012A24723A0AD00BB +:1043D00093861B00910D63843701B68BD9BFE37EB2 +:1043E0009BFF63DD4601A2872380A70180407D1AE1 +:1043F000930714009CC03E84E3C846FF93962B00AD +:104400001C08BE9631A8938777052300F4008040EE +:10441000FD1B93871B00050480C0F116E351F0ECEF +:104420009C42E3E2FAFE93870703C5B79C409306DC +:10443000000332472380D7009C4093064700C14CBD +:104440001386170090C013068007A380C700804022 +:1044500002C4BA87050480C003AB0700814936C691 +:1044600025BFB24783AC0700938B470063840C1CC5 +:10447000635F40199307D0026319FD1683C70C00D0 +:1044800085C363DC09189440850C7D1A2380F600EF +:104490008040050480C083C70C00E5F7635D4001E0 +:1044A0008040930700022300F40080407D1A050439 +:1044B00080C0E31A0AFE5EC61DB502C48547A94C3A +:1044C000E3CF07EBB24780409386470071B703C63E +:1044D0001600CA8699B59C40930650022380D700E7 +:1044E0008040050480C0E5BBC14C02C471B5B24731 +:1044F00003C61600CA8683A9070091073EC6E35E7D +:104500000AE04E8AFD5911BD03C61600130DD002F4 +:10451000CA8621B59347FAFFFD8703C61600337A92 +:10452000FA00CA86DDBB03C61600130D0003CA8657 +:10453000EDB383C51600930906FDCA86938705FD72 +:104540002E86E3EEFAFA93972900BE99850686092E +:10455000AE9983C50600938909FD938705FD2E86D4 +:10456000E3F3FAFE69BF32479C40144311073AC691 +:104570002380D7008040050480C095B3854763D36E +:10458000070BB24793867700E19A938786003EC671 +:1045900083A946009C4263DC090A94401306D002BA +:1045A000B307F0402380C6008040B336F000B30963 +:1045B0003041050480C03E8BB389D940A94C02C468 +:1045C000E1BB03C616000508CA8681BB9C4046892C +:1045D00021B7E37D9BDD8547814B8546E3C547E1F8 +:1045E00031BD97CC0100938CECDDCE856685EF0064 +:1045F000F03A330AAA40635C400180402300A401E2 +:1046000080407D1A050480C0E31A0AFE014A83C770 +:104610000C00E38207EAE3C809E6FD197D57E3943D +:10462000E9E6ADBDB247938647009C4336C693D9B1 +:10463000F74195B7635640019307D002E313FDFAA3 +:1046400097CC0100938C0CD8930780021DBD3E8B44 +:10465000A94C02C4804089B34D71232E3113B70990 +:1046600000409387F9FF2324811423229114232CE3 +:104670004113232A51132326111423202115232803 +:1046800061132326711323248113232291132320E2 +:10469000A113232EB1112A8A2EC417C401001304BA +:1046A00024F1975A0300938AEA1597C40100938472 +:1046B000E4AA3EC693055002294513080004B70634 +:1046C00010F083470A006386B704C1C71840050A83 +:1046D00013061700569797C8010023ABC8EC2300B8 +:1046E000F7006382A706E31E06FD175703001307B2 +:1046F000671105078347F7FF23A0F6F01C40FD175D +:1047000017C601002326F6EAEDF783470A00E39E69 +:10471000B7FA83461A0013051A002A87930B000282 +:104720007D5B7D5C014893055005A5489387D6FDC8 +:1047300093F7F70F130A170063E7F5348A07A69774 +:104740009C43A6978287175703001307A70B55F2C0 +:104750008DBF8320C11403248114832441140329B1 +:1047600001148329C113032A8113832A4113032BC4 +:104770000113832BC112032C8112832C4112032DB0 +:104780000112832DC11171618280A14C014D8547B9 +:1047900063DB0719A2479D07E19B83AD070003ABCD +:1047A0004700138787003AC4668681466E85DA859E +:1047B000EF1040542AC863066D351309410185493D +:1047C000668681466E85DA85EF00107566868146BD +:1047D000AA8D2E8BEF1000522320A9008509110904 +:1047E000E3106DFFE3FE9DFD13060004B70610F015 +:1047F00063D189031C4013871700D69797C5010022 +:1048000023A8E5DA23807701630CC7047D1CE3C38A +:1048100089FFB2476800A548B386F9008A061C08DC +:10482000BE96294813030004370610F0E384A6E877 +:104830009C429305000363F4F8009305700518404B +:10484000AE9793051700569717CE01002322BED6C8 +:104850002300F7006380072B638C6526F116F9B7F8 +:1048600017570300130707FA05078347F7FF23A02D +:10487000F6F01C40FD1797C5010023ABF5D2EDF70C +:104880007D1C71B71C40130600041387170097C5E1 +:10489000010023AFE5D0B386FA009305000323801F +:1048A000B600630FC73C890797C6010023A2F6D064 +:1048B0005697930680072300D70017570300130766 +:1048C00067F4B70610F0639EC70005078347F7FF3C +:1048D00023A0F6F01C40FD1717C60100232AF6CCD2 +:1048E000EDF7A247C14C014D91072247014B832DA3 +:1048F00007003EC455BDA24783AC0700138947009B +:10490000638E0C3A635480219307D002639EFB2E82 +:1049100003C70C00BA856310072025AC8547A94C56 +:10492000014DE3C907E7A2479107C1B7A2478346F4 +:104930001700528703AB070091073EC4E3580CDE13 +:104940005A8C7D5BE5B383461700930BD0025287E8 +:10495000F1BB9347FCFFFD8783461700337CFC00C7 +:104960005287E9B383461700930B000352877DBB40 +:1049700003461700138B06FD5287930706FDB28688 +:10498000E3EEF8FA93172B00DA9705078607B2973C +:1049900003460700138B07FD930706FDB286E3F37A +:1049A000F8FE69BFA2451C4029469841938617002E +:1049B000D69717C50100232DD5BE2380E7001389A4 +:1049C00045006300C72A930700046387F6204AC4A2 +:1049D000D5B1854763DE0729A24713877700619B1E +:1049E000930787003EC4032B47001C43635A0B30D8 +:1049F0001840130600049306170097C5010023A969 +:104A0000D5BA56979305D0022300B7006387C62C0A +:104A1000B307F0403337F000330B6041BE8D330BEA +:104A2000EB40A94C014D49B3834617000508528756 +:104A3000F5B9834617005287D5B91C409306000488 +:104A40001387170017C601002324E6B6D69713066E +:104A500050022380C700E31FD7C417570300130772 +:104A600067DAB70610F005078347F7FF23A0F6F0D3 +:104A70001C40FD1717C60100232CF6B2EDF71DB937 +:104A8000C14C014D29B31C40930600042A8A1387A8 +:104A9000170017C60100232DE6B0D6971306500263 +:104AA0002380C700E318D7C017570300130787D523 +:104AB000B70610F005078347F7FF23A0F6F01C4068 +:104AC000FD1717C601002325F6AEEDF72A8ADDB6DD +:104AD00017570300130707D305078347F7FF232062 +:104AE000F6F01C40FD1797C5010023A3F5ACEDF7C8 +:104AF000F1162DBB175703001307C7D0F1FDF116B0 +:104B000035B3E3FC9DCB8549C5B1014C03C70C000F +:104B1000BA85E30E07EAFD58294513080004B706D5 +:104B200010F063570B0A1C4013861700D69717C363 +:104B30000100232FC3A62380E7006384A506630436 +:104B40000609850C03C70C007D1CBA8579FBE35070 +:104B500080E91C409305000213060004B70610F01C +:104B600021A07D1CE3050CE613871700D697238050 +:104B7000B70017C50100232DE5A2BA87E313C7FECE +:104B800017570300130707C805078347F7FF23A03C +:104B9000F6F01C40FD1717C50100232BF5A0EDF71B +:104BA000C9B7175703001307E7C541DE0507834759 +:104BB000F7FF23A0F6F01C40FD1717C601002329BC +:104BC000F69EEDF7BDBF175703001307A7C3F9BF44 +:104BD0007D1BE31A1BF5A5BF17570300130787C2F8 +:104BE000B70610F005078347F7FF23A0F6F01C4037 +:104BF000FD1717C60100232DF69AEDF74AC45DBCD8 +:104C000097BC0100938C0C7CDA856685412B330CB4 +:104C1000AC40E35D80EF1C4013060004B70610F0C3 +:104C200021A07D1CE3030CEE13871700D697238089 +:104C3000770197C5010023ADE596BA87E313C7FE58 +:104C400017570300130707BC05078347F7FF23A087 +:104C5000F6F01C40FD1797C5010023ABF594EDF766 +:104C6000C9B7175703001307E7B9BDFA4AC499B487 +:104C7000A247138747009C433AC413DBF741BDB3F7 +:104C800017570300130707B8B70610F00507834747 +:104C9000F7FF23A0F6F01C40FD1717C601002329DB +:104CA000F690EDF7854717C701002323F790930788 +:104CB0008007175703002307F7B425B16356800117 +:104CC0009307D002E39EFBF297BC0100938C8C6F9C +:104CD00013078002930580023DBD9756030093861B +:104CE00066B2370610F0850603C7F6FF2320E6F00C +:104CF00018407D1797C5010023ACE58A6DF709BB05 +:104D0000BE8DA94C014D4DB4B70710F023A0A7F2FA +:104D100001A03971130341022ED29A8506CE32D4F6 +:104D200036D63AD83EDA42DC46DE1AC63532F24092 +:104D30000145216182805D711303810322D42AC65B +:104D400032DC2A841A86680006D6BEC236DEBAC0B5 +:104D5000C2C4C6C61ACEEFF06FD4B2472380070094 +:104D60003245B250018D225461618280B70710F044 +:104D700083A647F403A607F403A747F4E31AD7FE74 +:104D80008966938686B5B29633B6C600B305E6004B +:104D900023A4D7F423A6B7F48280B70710F003A7A3 +:104DA00047F403A607F483A647F4E31AD7FE8966FF +:104DB000938686B5B29633B6C60023A4D7F4B3055E +:104DC000E60023A6B7F49307000873A0473082805B +:104DD000411122C406C697B701009387E77D17B437 +:104DE00001001304247D98431C401306F07C9306B5 +:104DF00047069387470697B5010023AFD57A97B545 +:104E0000010023A9F57A6346D600B240224441014D +:104E100082801307478997B7010023AFE778E12C19 +:104E20008547631FF500184085679387F77601452E +:104E3000E3DDE7FCD13D2244B24041016FC03FECCD +:104E400017B5010013058558E9350945753D22441C +:104E5000B24041016FC0BFEA17B501001305855884 +:104E60006FF03FEB17B50100130545586FF07FEA6F +:104E7000411122C426C206C6856417B4010013047A +:104E80006457938784380100FD17F5FF2285EFF002 +:104E90005FE8C5BF014525AA411106C6A92C0547F3 +:104EA00081476316E500B2403E854101828017B517 +:104EB00001001305A551EFF0DFE5B24089473E85BB +:104EC000410182807370043001A0828073700430CD +:104ED00001A0797106D622D426D22A8452CC4AD097 +:104EE0004ECE56CA5AC8EFD09FFF97B7010093879E +:104EF000676D03DA070083D70700420A850717B7F3 +:104F000001002311F76CEFD0DFFF1044135A0A4160 +:104F1000444055CA8567FD173EC693171A0097BAD5 +:104F20000100938A6A6A014985490D4BBE9A08407F +:104F300081468145EFB0DFD6630E3501104465FA36 +:104F4000EFB0CFCC1044084081468145EFB05FD52B +:104F5000E31635FF9840B2476303F7000549B247AF +:104F600081479CC098406387E7023247850705491F +:104F7000E379F7FE0840814601468145EFB03F8264 +:104F800005491C44139527003E950605EFD08F9BDD +:104F9000104471BF32478507E375F7FC084081462E +:104FA00001468145EFB0AFFF09CDE31C09FCE34AA0 +:104FB0004BFD83D70A008507C207C1872390FA00FB +:104FC000C9B705497DBF9307F00F3EC6B9B7411178 +:104FD0004AC02A89314526C206C622C4EFE07FE5D1 +:104FE000AA842DC90D4681450545EFB02FEE88C036 +:104FF000814601468145EFB08FFA9C40A1CF114513 +:10500000EFE03FE3C8C09307F00F1CC1814801489F +:1050100081470147A6861306004097B50100938596 +:10502000A53E23A40400170500001305C5EAEFC040 +:10503000EFA48148014881470147A6861306004036 +:1050400097B501009385C53C170500001305A5E839 +:10505000EFC0CFA23145EFE0DFDD2A8435C90D4630 +:1050600081450545EFB08FE608C081460146814580 +:10507000EFB0EFF21C40A9CF1145EFE09FDB856751 +:1050800048C0FD171CC113054006814801488147EF +:105090004A87A2861306004097B501009385C5375D +:1050A00008C417050000130505E3EFC02F9D8148D4 +:1050B000014881474A87A2861306004097B5010040 +:1050C00093850536170500001305E5E0EFC00F9B3B +:1050D000884097B5010093852535EFC0CF81084002 +:1050E0002244B2409244024997B501009385C534E9 +:1050F00041016FC04F8017B701001307E74C0353FE +:105100000700035F0700835F270097B501009385C1 +:10511000E54A835E2700039625008358470083975E +:105120000500839645000395650093950F01035E86 +:105130004700C185035867000D8E93950801C1850E +:105140004203135303418D8E93150801B3876740C3 +:10515000C1853336C0003306C040B336D0000D8D54 +:10516000B337F00083556700F18F3307D0403335F4 +:10517000A000F98F3305A04017B701002310E745C1 +:1051800017B70100231DD74317B70100231AC743E0 +:1051900017B701002317B7427D8D8280B305B50094 +:1051A000930705006386B70003C70700631607006F +:1051B0003385A74067800000938717006FF09FFE3C +:1051C000130101FB23229104232C41032322910389 +:1051D000232611042324810423202105232E3103B7 +:1051E000232A5103232861032326710323248103E7 +:1051F0002320A103232EB101930C0500138A05007F +:105200009304000063DE05003305A0403337A0009F +:10521000B305B040930C0500338AE5409304F0FFDA +:1052200063DA06003306C040B337C000B306D0408F +:10523000B386F640930A06009389060013840C0097 +:1052400013090A006396062817BB0100130B8B068F +:105250006370CA16B70701006372F6149307F00F64 +:1052600063F4C70093098000B3573601330BFB008A +:1052700083470B0013050002B3873701B309F540DC +:10528000638C0900B3153A01B3D7FC00B31A360199 +:1052900033E9B70033943C0113DB0A0193050B009B +:1052A00013050900EF00507C130A050093050B005D +:1052B000939B0A0113050900EF00907693DB0B0125 +:1052C0009305050013850B00EF00D072131A0A0135 +:1052D00093570401B367FA0063FAA700B387570135 +:1052E00063E6570163F4A700B38757013389A740EA +:1052F00093050B0013050900EF001077130A050052 +:1053000093050B0013050900EF00907113140401BD +:1053100093050500131A0A0113850B0013540401A9 +:10532000EF00506D33648A00637AA40033045401A3 +:10533000636654016374A400330454013304A4402D +:105340003354340193050000638A04003304804021 +:10535000B3378000B305B040B385F54013050400B2 +:105360008320C1040324810483244104032901040C +:105370008329C103032A8103832A4103032B0103E9 +:10538000832BC102032C8102832C4102032D0102D5 +:10539000832DC1011301010567800000B7070001DB +:1053A00093090001E362F6EC930980016FF0DFEBF3 +:1053B000631A06009305000013051000EF00506605 +:1053C000930A0500B707010063FAFA0E9307F00F7E +:1053D00063F4570193098000B3D73A01330BFB0004 +:1053E00083470B001305000233095A41B387370185 +:1053F000B309F540E38209EAB39A3A01335BFA0054 +:10540000B3153A01B3D7FC0093DB0A0133E9B700C7 +:1054100013050B0093850B00EF001065130A0500C0 +:1054200093850B00139C0A0113050B00EF00505FDE +:10543000135C0C019305050013050C00EF00905B55 +:10544000131A0A0193570901B367FA0033943C0118 +:1054500063FAA700B387570163E6570163F4A70017 +:10546000B3875701338BA74093850B0013050B00BF +:10547000EF00905F130A050093850B0013050B00E6 +:10548000EF00105A9305050013050C00EF0090562D +:1054900093160901131A0A0193D60601B366DA00BE +:1054A00063FAA600B386560163E6560163F4A600CC +:1054B000B38656013389A6406FF01FDEB70700019F +:1054C00093090001E3EAFAF0930980016FF0DFF03D +:1054D0006376DA0093050A006FF01FE7B707010053 +:1054E00063FAF604930BF00F33B5DB0013153500A8 +:1054F00033D7A60097B701009387C7DBB387E700D0 +:1055000083CB070093050002B38BAB00338B75414F +:10551000631C0B0263E4460163EACC003384CC4095 +:10552000B306DA4033B98C0033892641930509006C +:105530006FF09FE1B707000113050001E3EAF6FAF7 +:10554000130580016FF0DFFAB3966601335D7601D3 +:10555000336DDD00B35D7A01B3156A0133DC7C0184 +:1055600013540D01336CBC0013850D00930504002A +:10557000B3196601EF00504F930A0500930504002C +:1055800013850D0033996C01931C0D01EF005049F8 +:1055900093DC0C01130A05009305050013850C002C +:1055A000EF005045939A0A0113570C0133E7EA00C4 +:1055B000930D0A00637EA7003307A701930DFAFF3E +:1055C0006368A7016376A700930DEAFF3307A7017D +:1055D000330AA7409305040013050A00EF00D048E2 +:1055E00093050400930A050013050A00EF005043D9 +:1055F000930505001304050013850C00EF00903F90 +:1056000093150C01939A0A0193D50501B3E5BA00ED +:105610001307040063FEA500B385A5011307F4FF7B +:1056200063E8A50163F6A5001307E4FFB385A501B0 +:10563000939D0D01B70C0100B3EDED001384FCFF49 +:10564000B3F78D0033F48900338AA5401385070032 +:10565000930504002326F10093DD0D01EF0090393E +:1056600093050400930A050013850D00EF009038A0 +:1056700013DC090193050C002324A10013850D0000 +:10568000EF0050378327C10013040C00930504007A +:10569000130C050013850700EF00D0358326810029 +:1056A00013D70A013305D5003307A7006374D70069 +:1056B000330C9C01B70701009387F7FF935507014F +:1056C0003377F70013170701B3F7FA00B3858501A5 +:1056D000B307F7006366BA00631EBA00637CF90083 +:1056E00033863741B3B7C700B385A541B385F540CD +:1056F00093070600B307F9403339F900B305BA4000 +:10570000B385254133947501B3D767013364F40041 +:10571000B3D565016FF05FC3130101FD2322910230 +:10572000232A51012326110223248102232021034D +:10573000232E3101232C41012328610123267101ED +:1057400023248101232291012320A101930A050032 +:1057500093840500639E0638130406009309050030 +:1057600017B90100130909B563F8C512B70701009D +:10577000138B05006378F6101307F00F3337C7005B +:1057800013173700B357E6003309F90083460900C1 +:105790003387E60093060002B386E640638C06007A +:1057A000B394D40033D7EA003314D600336B970098 +:1057B000B399DA00935A040193850A0013050B008C +:1057C000EF00902A1309050093850A00931B04013A +:1057D00013050B00EF00D02493DB0B0193040500AD +:1057E0009305050013850B00EF00D0201319090164 +:1057F00093D70901B367F900138A040063FEA70079 +:10580000B3878700138AF4FF63E8870063F6A70075 +:10581000138AE4FFB3878700B384A74093850A0007 +:1058200013850400EF0050241309050093850A0036 +:1058300013850400EF00D01E93990901930405001D +:10584000930505001319090113850B0093D909016C +:10585000EF00501AB36939011386040063FCA900F4 +:10586000B30934011386F4FF63E6890063F4A900E9 +:105870001386E4FF13140A013364C400130A000002 +:105880006F000013B707000113070001E36CF6EE89 +:10589000130780016FF01FEF138A0600631A0600DA +:1058A0009305000013051000EF0090171304050086 +:1058B000B7070100637EF4129307F00F63F48700CB +:1058C000130A8000B35744013309F9000347090064 +:1058D0009306000233074701B386E640639406123D +:1058E000B3848440130A1000135B040193050B007A +:1058F00013850400EF0050171309050093050B00F2 +:1059000013850400931B0401EF00901193DB0B013E +:10591000930405009305050013850B00EF00900D1F +:105920001319090193D70901B367F900938A040099 +:1059300063FEA700B3878700938AF4FF63E88700BC +:1059400063F6A700938AE4FFB3878700B384A74078 +:1059500093050B0013850400EF00101113090500D7 +:1059600093050B0013850400EF00900B9399090138 +:1059700093040500930505001319090113850B0015 +:1059800093D90901EF001007B369390113860400A8 +:1059900063FCA900B30934011386F4FF63E68900B0 +:1059A00063F4A9001386E4FF13940A013364C4006E +:1059B0001305040093050A008320C1020324810219 +:1059C00083244102032901028329C101032A8101A1 +:1059D000832A4101032B0101832BC100032C810089 +:1059E000832C4100032D0100130101036780000097 +:1059F000B7070001130A0001E366F4EC130A800103 +:105A00006FF05FEC3314D40033DAE400B399DA00BA +:105A100033D7EA00935A0401B394D40093850A0063 +:105A200013050A00336B9700EF00100413090500FB +:105A300093850A0013050A00931B0401EF00407EC2 +:105A400093DB0B01930405009305050013850B0000 +:105A5000EF00407A1319090113570B013367E9006E +:105A6000138A0400637EA70033078700138AF4FFBC +:105A7000636887006376A700138AE4FF3307870013 +:105A8000B304A74093850A0013850400EF00C07D8E +:105A90001309050093850A0013850400EF00407880 +:105AA000930405009305050013850B00EF00807437 +:105AB00013170B011357070113190901B367E90005 +:105AC0001387040063FEA700B38787001387F4FFE2 +:105AD00063E8870063F6A7001387E4FFB3878700B6 +:105AE000131A0A01B384A740336AEA006FF0DFDFBC +:105AF00063ECD51EB707010063F4F6041307F00F3B +:105B0000B335D7009395350033D7B60097A701007A +:105B10009387477AB387E70003C70700130A000299 +:105B20003307B700330AEA4063160A021304100071 +:105B3000E3E096E833B6CA00134416006FF05FE75F +:105B4000B707000193050001E3E0F6FC930580012F +:105B50006FF09FFBB35CE600B3964601B3ECDC004C +:105B600033D4E40093DB0C01B397440133D7EA004C +:105B700093850B0013050400336BF700B31946013E +:105B8000EF00806E1309050093850B0013050400D8 +:105B9000139C0C01EF00C068135C0C01930405001A +:105BA0009305050013050C00EF00C06413190901EB +:105BB00013570B013367E90013840400637EA700C9 +:105BC000330797011384F4FF636897016376A70096 +:105BD0001384E4FF33079701B304A74093850B00B8 +:105BE00013850400EF0040681309050093850B003E +:105BF00013850400EF00C0629304050093050500BF +:105C000013050C00EF00005F93170B011319090136 +:105C100093D70701B367F9001386040063FEA7005A +:105C2000B38797011386F4FF63E8970163F6A70033 +:105C30001386E4FFB387970113140401B70B010027 +:105C40003364C4001389FBFF337D240133F9290138 +:105C5000B384A7409305090013050D00EF00805998 +:105C6000935C040193050900130B050013850C00D8 +:105C7000EF00405893D90901130C050093850900E2 +:105C800013850C00EF0000571309050093850900E8 +:105C900013050D00EF0000563305850193570B01E6 +:105CA0003385A70063748501330979019357050192 +:105CB000B387270163E6F402E392F4BCB70701005F +:105CC0009387F7FF3375F50013150501337BFB0050 +:105CD00033964A0133056501130A0000E37AA6CC26 +:105CE0001304F4FF6FF09FB9130A000013040000BF +:105CF0006FF01FCC130101FB2324810423229104A4 +:105D0000232E31032322910323261104232021056E +:105D1000232C4103232A51032328610323267103E3 +:105D2000232481032320A103232EB101930C05001A +:105D3000938905001304050093840500639E0626DD +:105D400013090600138A060097AA0100938A8A564F +:105D500063F4C514B70701006376F6129307F00FDA +:105D600063F4C700130A8000B3574601B38AFA00F0 +:105D700003C70A001305000233074701330AE54051 +:105D8000630C0A00B395490133D7EC00331946017F +:105D9000B364B70033944C01935A090193850A0008 +:105DA00013850400EF00404C9309050093850A0019 +:105DB000131B090113850400EF008046135B0B01E0 +:105DC0009305050013050B00EF00C04293990901EC +:105DD00093570401B3E7F90063FAA700B3872701DB +:105DE00063E6270163F4A700B3872701B384A740C4 +:105DF00093850A0013850400EF000047930905000E +:105E000093850A0013850400EF00804113140401F8 +:105E1000930505009399090113050B001354040120 +:105E2000EF00403D33E48900637AA4003304240189 +:105E3000636624016374A400330424013304A44082 +:105E400033554401930500008320C10403248104D9 +:105E500083244104032901048329C103032A810304 +:105E6000832A4103032B0103832BC102032C8102EC +:105E7000832C4102032D0102832DC1011301010571 +:105E800067800000B7070001130A0001E36EF6EC1B +:105E9000130A80016FF05FED631A0600930500009E +:105EA00013051000EF00C03713090500B707010004 +:105EB000637AF90E9307F00F63F42701130A800049 +:105EC000B3574901B38AFA0003C70A001305000259 +:105ED000B384294133074701330AE540E30E0AEA58 +:105EE00033194901B3DAE900B395490133D7EC001E +:105EF00093540901336BB70013850A00938504009E +:105F0000EF0080369309050093850400931B090177 +:105F100013850A00EF00C03093DB0B0193050500E9 +:105F200013850B00EF00002D9399090193570B0186 +:105F3000B3E7F90033944C0163FAA700B387270154 +:105F400063E6270163F4A700B3872701B38AA7405C +:105F50009385040013850A00EF00003193090500C2 +:105F60009385040013850A00EF00802B930505003C +:105F700013850B00EF00002893150B01939909017D +:105F800093D50501B3E5B90063FAA500B3852501F2 +:105F900063E6250163F4A500B3852501B384A5401C +:105FA0006FF09FDFB7070001130A0001E36AF9F001 +:105FB000130A80016FF0DFF0E3E8D5E8B7070100CE +:105FC00063FCF604930BF00F33B5DB0013153500BB +:105FD00033D7A60097A701009387C72DB387E700A3 +:105FE00083CB070093050002B38BAB00338B754165 +:105FF000631E0B0263E4360163EACC003384CC40B9 +:10600000B386D94033B58C00B384A6401305040091 +:10601000938504006FF05FE3B707000113050001EB +:10602000E3E8F6FA130580016FF09FFAB396660174 +:10603000335D7601336DDD0033D47901B3956901A9 +:1060400033DC7C0193540D01336CBC001305040058 +:1060500093850400B31A6601EF000021130A0500BE +:10606000938504001305040033996C01931C0D0102 +:10607000EF00001B93DC0C011304050093050500E1 +:1060800013850C00EF000017131A0A0113570C01B7 +:106090003367EA00130A0400637EA7003307A701F1 +:1060A000130AF4FF6368A7016376A700130AE4FFED +:1060B0003307A701B309A74093850400138509009E +:1060C000EF00801A9385040013040500138509006E +:1060D000EF000015930505009304050013850C00DF +:1060E000EF00401193150C011314040193D5050121 +:1060F000B365B4001387040063FEA500B385A50152 +:106100001387F4FF63E8A50163F6A5001387E4FF96 +:10611000B385A501131A0A01B70C0100336AEA001E +:106120001384FCFFB3778A0033F48A00B384A5405C +:1061300013850700930504002326F100135A0A0172 +:10614000EF00400B930905009305040013050A00B6 +:10615000EF00400A13DC0A01930D050093050C00C3 +:1061600013050A00EF0000098327C100130A050088 +:1061700093050C0013850700EF00C0073305B50138 +:1061800013D709013307A7006374B701330A9A01D3 +:10619000B70701009387F7FF935507013377F7009F +:1061A00013170701B3F7F900B3854501B307F700EB +:1061B00063E6B400639EB400637CF9003386574104 +:1061C000B3B7C700B385A541B385F5409307060073 +:1061D000B307F9403339F900B385B440B38525419D +:1061E00033947501B3D767013365F400B3D5650106 +:1061F0006FF09FC5130605001305000093F6150008 +:10620000638406003305C50093D5150013161600E8 +:10621000E39605FE678000006340050663C6050639 +:1062200013860500930505001305F0FF630C0602B5 +:1062300093061000637AB6006358C0001316160068 +:1062400093961600E36AB6FE1305000063E6C500E8 +:10625000B385C5403365D50093D616001356160096 +:10626000E39606FE6780000093820000EFF05FFB7C +:1062700013850500678002003305A04063D8050040 +:10628000B305B0406FF0DFF9B305B0409382000072 +:10629000EFF01FF93305A0406780020093820000F1 +:1062A00063CA0500634C0500EFF09FF713850500F6 +:1062B00067800200B305B040E35805FE3305A040F7 +:1062C000EFF01FF63305B0406780020097A701008A +:1062D0009387872B944317A701001307A729184317 +:1062E000C8C2637BE50017A70100130767290843AD +:1062F0008C4391056FA0CFA4411122C406C617A7F5 +:106300000100130727282A8408438C439105EFA036 +:106310002FA397A70100938727249C436376F4005B +:1063200097A7010023AA8722B2402244410182801C +:1063300097A7010093874725984394437C43FD1713 +:106340007CC3FC4299E3736004308280011122CC4B +:1063500026CA2A84AE844AC84EC652C456C206CE45 +:10636000EFB0FF9A1C4003290401B7090001B3E50F +:106370009700930AC4000CC08144FD19370A00023B +:106380001840638C2A03032609004A85B365470138 +:10639000B376360193175600758F0329490063C4FD +:1063A000070001E7F1BFE39DE6FC9317760063D396 +:1063B0000700D58CEFC01F85E1B793C4F4FFF98CBB +:1063C00004C0EFB0DFB90840F2406244D244424911 +:1063D000B249224A924A056182804111014506C6AE +:1063E00022C4EFE0DFBE17F7FFFF1307A79E814629 +:1063F00005469305400617A5010013052500EFD0BB +:106400006FD419C92A84EFB09F912A86014781462B +:1064100085452285EFD0AFDFEFB0EFE9B2402244EF +:06642000014541018280EC +:02000004800278 +:10000000CE44FEFFCC45FEFFD644FEFFCC45FEFFAE +:10001000CC45FEFFCC45FEFFCC45FEFFEE44FEFF87 +:10002000CC45FEFFCC45FEFF0845FEFF1445FEFF14 +:10003000CC45FEFF2645FEFF3245FEFF3245FEFF62 +:100040003245FEFF3245FEFF3245FEFF3245FEFFE0 +:100050003245FEFF3245FEFF3245FEFFCC45FEFF36 +:10006000CC45FEFFCC45FEFFCC45FEFFCC45FEFF58 +:10007000CC45FEFFCC45FEFFCC45FEFFCC45FEFF48 +:10008000CC45FEFFCC45FEFFCC45FEFFCC45FEFF38 +:10009000CC45FEFFCC45FEFFCC45FEFFCC45FEFF28 +:1000A000CC45FEFFCC45FEFFCC45FEFFCC45FEFF18 +:1000B000CC45FEFFCC45FEFFCC45FEFFCC45FEFF08 +:1000C000CC45FEFFCC45FEFFCC45FEFFCC45FEFFF8 +:1000D000CC45FEFFCC45FEFFCC45FEFFCC45FEFFE8 +:1000E000CC45FEFFCC45FEFFCC45FEFFCC45FEFFD8 +:1000F000CC45FEFFCC45FEFFCC45FEFFCC45FEFFC8 +:100100006645FEFF7C45FEFFCC45FEFFCC45FEFF6D +:10011000CC45FEFFCC45FEFFCC45FEFFCC45FEFFA7 +:10012000CC45FEFFC245FEFFCC45FEFFCC45FEFFA1 +:100130007443FEFF2C44FEFFCC45FEFFCC45FEFF82 +:100140006244FEFFCC45FEFFBA44FEFFCC45FEFFF5 +:10015000CC45FEFFE844FEFFDA48FEFF2E49FEFFD5 +:10016000E248FEFF2E49FEFF2E49FEFF2E49FEFF0C +:100170002E49FEFFD447FEFF2E49FEFF2E49FEFF0B +:10018000EE47FEFFFA47FEFF2E49FEFF0C48FEFF3A +:100190001848FEFF1848FEFF1848FEFF1848FEFFEB +:1001A0001848FEFF1848FEFF1848FEFF1848FEFFDB +:1001B0001848FEFF2E49FEFF2E49FEFF2E49FEFF86 +:1001C0002E49FEFF2E49FEFF2E49FEFF2E49FEFF5F +:1001D0002E49FEFF2E49FEFF2E49FEFF2E49FEFF4F +:1001E0002E49FEFF2E49FEFF2E49FEFF2E49FEFF3F +:1001F0002E49FEFF2E49FEFF2E49FEFF2E49FEFF2F +:100200002E49FEFF2E49FEFF2E49FEFF2E49FEFF1E +:100210002E49FEFF2E49FEFF2E49FEFF2E49FEFF0E +:100220002E49FEFF2E49FEFF2E49FEFF2E49FEFFFE +:100230002E49FEFF2E49FEFF2E49FEFF2E49FEFFEE +:100240002E49FEFF2E49FEFF2E49FEFF2E49FEFFDE +:100250002E49FEFF2E49FEFF4C48FEFF7A48FEFF66 +:100260002E49FEFF2E49FEFF2E49FEFF2E49FEFFBE +:100270002E49FEFF2E49FEFF2E49FEFFD048FEFF0D +:100280002E49FEFF2E49FEFF3246FEFF2C47FEFFA1 +:100290002E49FEFF2E49FEFF9E47FEFF2E49FEFF20 +:1002A000C447FEFF2E49FEFF2E49FEFF2849FEFFF0 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020787878207461736B73200D0A00000018 +:1003E0006D69616F750A00006D69616F75320A0091 +:1003F00068756E6772790A00436865636B54696D4E +:1004000065720000506F6C53454D3100506F6C5356 +:10041000454D3200426C6B53454D3100426C6B537D +:10042000454D3200436F756E74696E675F53656D3D +:100430005F310000436F756E74696E675F53656D61 +:040440005F32000027 +:100444001000000000000000017A5200017C01014C +:100454001B0D02005000000018000000604DFEFF5C +:100464005805000000440E507489039406990B81CA +:1004740001880292049305950796089709980A9AA9 +:100484000C9B0D036C010AC144C844C944D244D333 +:1004940044D444D544D644D744D844D944DA44DB7C +:1004A400440E00440B0000004C0000006C000000EF +:1004B4006452FEFFDC05000000440E307089039591 +:1004C4000781018802920493059406960897099877 +:1004D4000A990B9A0C0370020AC144C844C944D255 +:1004E40044D344D444D544D644D744D844D944DA34 +:1004F400440E00440B00000050000000BC0000004B +:10050400F057FEFF0005000000440E507488028975 +:10051400039305990B810192049406950796089715 +:1005240009980A9A0C9B0D0320010AC144C844C9C6 +:1005340044D244D344D444D544D644D744D844D9EB +:0C05440044DA44DB440E00440B000000CD +:0805CC00FC8F01000900000092 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/semtest_rv32im_O3.hex b/VexRiscv/src/test/resources/freertos/semtest_rv32im_O3.hex new file mode 100644 index 0000000..e23e308 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/semtest_rv32im_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/semtest_rv32imac_O3.hex b/VexRiscv/src/test/resources/freertos/semtest_rv32imac_O3.hex new file mode 100644 index 0000000..ae3b4ac --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/semtest_rv32imac_O3.hex @@ -0,0 +1,1570 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900061C8170502001305E54A9795030093858B +:1000A000257201461122170502001305E53E97054A +:1000B00002009385E5450146C52817A503001305F1 +:1000C000657097B503009385A56F37B6ABAB130684 +:1000D000B6BAD92817B103001301C16EEF503040F2 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2822923A02200F322103415 +:1002400096DEEF00002B1701020003212128024156 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E22923A0120023A2A9 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40B025170102000321DA +:10033000E1190241F65273901234B7220000938201 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A21B83A0B0 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2820723A0220086DEEF20FB +:10046000402D17010200032161060241F6527390EC +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF40D005EF10700901E57A +:10050000B24041018280B24041016F2080229307B6 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30101F1F +:1005B0008280411122C406C62A8426C2EF209010F0 +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF20A0566DD5EF2080763C44FD1780 +:1005F0003CC43C44E344F0FEFD573CC4EF20D00E25 +:10060000EF20500C7C40635BF0021C4885CB9304C8 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2060526DD5EF2040729F +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20100A29C5411151 +:1006500022C426C22A8406C6AE84EF20B0063440E7 +:100660005C5C1840232C0402B387F6027D5670C0F0 +:1006700018C430C4B386D740BA9736975CC058C404 +:1006800091EC1C489DE3EF203006B2402244924496 +:100690000545410182807370043001A013050401F7 +:1006A000BD3513054402A535F9BF13050401EF203C +:1006B000E0498547E319F5FC913BF1B701E573701B +:1006C000043001A0011126CA4AC84EC606CE22CC6B +:1006D000B2892E89AA84A5C53305B502130555052F +:1006E000EF3080732A8435C1930745051CC144DC73 +:1006F00023202405EF20007D34405C5C18407D56AB +:10070000B387F602232C040270C018C430C413054A +:100710000401B386D740BA9736975CC058C4C53B2E +:1007200013054402ED33EF20207C23083405228595 +:10073000F2406244D2444249B249056182801305C5 +:100740004005EF30606D2A8401E57370043001A02C +:1007500000C071BF01E57370043001A06375B5007E +:100760007370043001A0411122C409462E848145D2 +:1007700006C6A93711C500DDB2402244410182807E +:100780007370043001A05D7186C6A2C4A6C2CAC03F +:100790004EDE52DC56DA5AD85ED632C6630A051CE3 +:1007A0006382051C89476399F600585D8547630598 +:1007B000F7007370043001A03689AE842A84EF20DC +:1007C000A05B11E5B24781C77370043001A0EF2030 +:1007D000606F185C5C5C014B894BFD59130A040186 +:1007E000930A44026365F70C63077919B247638182 +:1007F000072463150B002808EF206048EF20C06E27 +:10080000EF10C04FEF20006C7C406394370123222F +:1008100004043C446394370123240404EF20C06C97 +:100820006C002808EF20C047631E051EEF2080697A +:10083000185C5C5C630CF70AEF20006BEF208068AB +:100840003C44634FF00039A85685EF20203019C191 +:10085000EF2000503C44FD173CC43C446354F0007E +:100860005C50FDF323243405EF200068EF20806501 +:100870007C40634FF00039A85285EF20202D19C12C +:10088000EF20004D7C40FD177CC07C406354F0009D +:100890001C48FDF323223405EF200065EF10006BA8 +:1008A000054BEF202062185C5C5CE37FF7F2304080 +:1008B0006305060C631F09120844A685EF30006E1D +:1008C0001C4434405840B6971CC463EAE70A1C5CD9 +:1008D00014405850850714C41CDC5DC7130544023E +:1008E000EF20C02685476311F50AC9A8EF20C05F35 +:1008F000B2455285EF204007EF20C05C3C44634F77 +:10090000F00039A85685EF20602419C1EF2040443B +:100910003C44FD173CC43C446354F0005C50FDF380 +:1009200023243405EF20405CEF20C0597C40634F06 +:10093000F00039A85285EF20602119C1EF20404115 +:100940007C40FD177CC07C406354F0001C48FDF3E4 +:1009500023223405EF204059EF10405F31F17D3400 +:10096000054B81B73C41E38F07E27370043001A06F +:100970007370043001A0304021E61C4085C71C5C28 +:10098000585085071CDC39FBEF2000560545B64062 +:10099000264496440649F259625AD25A425BB25BE7 +:1009A000616182804840EF2040491C5C58502322FE +:1009B000040085071CDCE31307F279D5813CE9B715 +:1009C0004844A685EF30805D3C4058441440B3074E +:1009D000F0403E9758C4637CD7025840BA975CC435 +:1009E00009475C506306E902185C050718DCC9DF9B +:1009F000F5B54844A685EF30605A3C405844144051 +:100A0000B307F0403E9758C4E37CD7FCF9B75C507D +:100A1000185C79DB185C7D1718DC185C050718DC9E +:100A2000A5D76DBD22857136EF104052014585B7BF +:100A3000EF20804B0145A1BF411126C2AA841305B6 +:100A4000400522C406C6EF30203D2A8415CD232C54 +:100A50000502FD577CC10547410558DC3CC42322F3 +:100A60000400232004002324040023260400232060 +:100A7000040423089404613C13054402493C814664 +:100A800001468145228501332285B24022449244A9 +:100A9000410182800DC5411122C426C206C64AC04A +:100AA000032945002A84EF20602C8144630CA900AF +:100AB000B2402244268502499244410182807370EB +:100AC000043001A05C448544FD175CC4F5F3814605 +:100AD0000146814522854539E1BF31CDA1C90111CA +:100AE00022CC26CA4AC852C406CE4EC68947B6840E +:100AF00032892E8A2A846399F600585D8547630FF0 +:100B0000F7047370043001A0C932185C5C5CAA89D8 +:100B10006366F70281444E857532F2406244268551 +:100B20004249D244B249224A056182803C41C5DB38 +:100B30007370043001A07370043001A0304041E2B2 +:100B40001C40D5CB1C5C7D5785071CDC3C4463876F +:100B5000E7083C44854485073CC475BFBD32185C3A +:100B60005C5CAA89636DF702304079DA4844D2852B +:100B7000EF30C0423C4058441440B307F0403E9729 +:100B800058C46375D7005840BA975CC48947E39B43 +:100B9000F4FA1C5CC5DB1C5CFD171CDC65B730403F +:100BA00045D24844D285EF30603F3C405844144021 +:100BB000B307F0403E9758C4E367D7FCD9BFDDF4D4 +:100BC0000844D285EF30803D1C4434405840B697ED +:100BD0001CC4E3E9E7F61C401CC4ADB75C50854477 +:100BE0009DDB13054402EF10707615D5E30509F27D +:100BF000232099000DB74840EF2020242322040031 +:100C000091B721CD3C4181C77370043001A01C41D4 +:100C1000A1CB011122CC26CA2A844AC84EC606CED0 +:100C20002E896530185C5C5CAA898144637DF7007D +:100C30001C5C7D5785071CDC3C446388E7023C4410 +:100C4000854485073CC44E85B538F240624426850C +:100C50004249D244B249056182807370043001A0D8 +:100C60005C41C5DB7370043001A05C5099E385449E +:100C7000D9BF13054402EF10706D75D9E30909FE61 +:100C800085472320F900E5B7397106DE22DC26DA34 +:100C90004AD84ED652D456D232C66302051C638A55 +:100CA000051AB68AAE892A84EF20000D11E5B247F5 +:100CB00081C77370043001A0EF20C0201C5C6392D8 +:100CC0000714B247638E070A2808EF10507BFD54C3 +:100CD00013094402130A0401EF200021EF1000025F +:100CE000EF20401E7C4063949700232204043C4480 +:100CF0006394970023240404EF20001F6C0028084D +:100D0000EF10107A63130518EF20C01B1C5CADCFE9 +:100D1000EF20801DEF20001B3C44634FF00039A8FA +:100D20004A85EF10B06219C1EF2080023C44FD17E4 +:100D30003CC43C446354F0005C50FDF324C4EF20F9 +:100D4000A01AEF2020187C40634FF00039A852858C +:100D5000EF10D05F19C1EF10B07F7C40FD177CC051 +:100D60007C406354F0001C48FDF364C0EF20C017C2 +:100D7000EF10C01DEF2000151C5CC1E7B247A9FFB2 +:100D8000EF208016014555A0EF2000161C40DDC75E +:100D9000B2454A85EF10503DEF20C0123C44634FEE +:100DA000F00039A84A85EF10705A19C1EF10507A37 +:100DB0003C44FD173CC43C446354F0005C50FDF3DC +:100DC00024C4EF206012EF20E00F7C40634FF0005E +:100DD00039A85285EF10905719C1EF1070777C40F9 +:100DE000FD177CC07C406354F0001C48FDF364C0D8 +:100DF000EF20800FEF10801531C9EF20A00C1C5C94 +:100E0000B5DFCE8522854444EFF0CFF8639C0A0419 +:100E10001C5C1840FD171CDC01E7EF20601148C086 +:100E20001C48A1EFEF20400C0545F2506254D2540B +:100E30004259B259225A925A21618280EF20800889 +:100E40004840EF107075EF20200A99B7EFF00FDCE3 +:100E50006DB73C41E38707E47370043001A0737001 +:100E6000043001A05C5044C4D5DF13054402EF10E8 +:100E7000F04D4DD9EFF08FD975B713050401EF1080 +:100E8000F04C8547E310F5FAF5B72285EFF06FF2E5 +:100E9000EF10C00B014551BF1DC9411122C44AC00A +:100EA00006C626C244412E892A84EF10306C638323 +:100EB000A40281464A8681452285F933854763002D +:100EC000F502B2402244924402494101828073708B +:100ED000043001A05C44054585075CC4DDB75C4473 +:100EE00085075CC4F9BF15CDADC9011122CC26CA56 +:100EF0004AC84EC652C42A8406CE3289AE89EFF063 +:100F0000CFDC1C5C2A8A814485E35285EFF08FDBBD +:100F1000F240624426854249D244B249224A0561E0 +:100F200082807370043001A0304001CE4C445C409C +:100F3000B2954CC463E4F5000C404CC44E85EF30D0 +:100F4000E0051C5C7D57FD171CDC7C40638CE700D2 +:100F50007C40854485077CC04DBF3C41D9D7737028 +:100F6000043001A01C4899E3854445B713050401EA +:100F7000EF10D03D75D9E30909FE85472320F9001C +:100F8000E5B721C93C41A1CDA1CB011122CC26CA94 +:100F90004AC852C42A8406CE4EC62E8AEFF0EFD23B +:100FA0001C5C2A89814491CB8329C400D285228587 +:100FB000EFF04FDE8544232634014A85EFF08FD0D1 +:100FC000F240624426854249D244B249224A056130 +:100FD00082807370043001A07370043001A0EDDFD3 +:100FE0007370043001A001E57370043001A0411159 +:100FF00022C42A8406C6EF10F06C005CEF10D06E9D +:10100000B240228522444101828001E573700430A0 +:1010100001A0411106C622C42A84EF10B06A1C5CEC +:10102000405C1D8CEF10506C2285B240224441017F +:10103000828019C1085D82807370043001A01DCDCB +:101040009787030093870774D843630CE502D8475A +:10105000630CE504D84B6307E504D84F6302E5044D +:10106000D853630DE502D8576308E502D85B6303E4 +:10107000E502D85F630EE5006F20306C73700430BA +:1010800001A001470E07BA9723A007006F20F06A5E +:101090001D47CDBF1947FDB71547EDB71147DDB760 +:1010A0000D47CDB70947F9BF0547E9BF68458280BD +:1010B0006CC5828003450505828009C5085D13352E +:1010C000150082807370043001A019C51C5D485D55 +:1010D0001D8D1335150082807370043001A0978731 +:1010E00003009387276A984305C3984705C7984B21 +:1010F00005C7984F05C7985305C7985705C7985B0C +:1011000015C7985F15C3828001470E07BA978CC335 +:10111000C8C382800547D5BF0947C5BF0D47F5B78E +:101120001147E5B71547D5B71D47C5B71947F1BFF3 +:101130009787030093870765D8436308E502D8477C +:10114000630BE502D84B630AE502D84F6309E50259 +:10115000D8536308E502D8576307E502D85B6308F4 +:10116000E502D85F6303A702828001470E07BA97A2 +:1011700023A0070082800547D5BF0947C5BF0D479B +:10118000F5B71147E5B71547D5B71D47C5B7194797 +:10119000F1BF411122C426C24AC02A8406C6AE84C9 +:1011A0003289EF1030527840FD576314F700232244 +:1011B00004043844FD576314F70023240404EF109B +:1011C000B0521C5C99E74A86A68513054402EF10CD +:1011D000B00CEF10304F3C44635BF0025C5085CBA9 +:1011E0009304440209A83C44FD173CC43C446350AA +:1011F000F0025C5089CF2685EF1050156DD5EF10A9 +:1012000030353C44FD173CC43C44E344F0FEFD57FC +:101210003CC4EF10704DEF10F04A7C40635BF0026D +:101220001C4885CB9304040109A87C40FD177CC0B1 +:101230007C406350F0021C4889CF2685EF101011C6 +:101240006DD5EF10F0307C40FD177CC07C40E3444E +:10125000F0FEFD577CC02244B24092440249410155 +:101260006F10904805C579714ECE52CC06D63E8A95 +:1012700022D426D24AD056CA5AC85EC662C4A547EE +:10128000BA8963F8E7007370043001A0737004300A +:1012900001A0AA8AAE843289B68B428B63090822E8 +:1012A00013050006EF2050372A84630D05200A0934 +:1012B000232865034A869305500A5A85EF20105C5F +:1012C00003C704001C587119230AE40203C7040071 +:1012D0003E991379C9FF79C783C71400A30AF402A2 +:1012E00083C71400E1C383C72400230BF40283C720 +:1012F0002400CDCB83C73400A30BF40283C7340092 +:10130000D5C383C74400230CF40283C74400D9CB60 +:1013100083C75400A30CF40283C75400C1C783C71A +:101320006400230DF40283C76400ADCF83C774004B +:10133000A30DF40283C77400B5C783C78400230ECE +:10134000F40283C78400B9CF83C79400A30EF402CC +:1013500083C79400A1CB83C7A400230FF40283C7E3 +:10136000A400A9C383C7B400A30FF40283C7B400C9 +:1013700095CB83C7C4002300F40483C7C4009DC376 +:1013800083C7D400A300F40483C7D40081CF83C7EC +:10139000E4002301F40483C7E40089C783C7F40091 +:1013A000A301F404130B44005A85A301040423266B +:1013B000340323283405232A0404EFF08F96130501 +:1013C0008401EFF00F96A947B3873741232C04041B +:1013D00000C81CCC40D023220404232E04045E86C3 +:1013E000D6854A85EFF00F8F08C063040A002320DA +:1013F0008A007370043017F901001309690B832701 +:10140000090097F401009384640C91C798409C40B4 +:101410007C4385077CC317F701001307270A1C4389 +:10142000850797F6010023ABF6089C40D5CB8327B0 +:10143000090017FA0100130AAA1889EB9C40DC572F +:1014400063E6F90097F7010023A2870897F70100E8 +:1014500093870705984397F601009386A6055C5489 +:101460009442050717F60100232CE60238C463F600 +:10147000F60017F70100232FF7025147B387E70261 +:10148000DA853305FA00EFF02F8A8327090081C738 +:101490009C40FC43BDE383270900054499C79C4059 +:1014A000DC5763F43701EFE07FF62285B250225417 +:1014B00092540259F249624AD24A424BB24B224CF0 +:1014C000456182805A85EF2050277D54F9BF13155E +:1014D0002600EF2070142A8BE31405DC7D54F1B74D +:1014E00097F7010023A487FE184385476301F7029D +:1014F00017FA0100130ACA0C91BF984094407C432C +:10150000FD177CC3FC42C1FB7360043069B7814A9C +:1015100017FA0100130ACA0A514CA94B33858A03F2 +:10152000850A5295EFE0BFFEE39A7AFF97FB010030 +:10153000938B8B155E85EFE09FFD97FA0100938AF0 +:10154000EA155685EFE0BFFC17F5010013054516B7 +:10155000EFE0FFFB17F501001305C516EFE03FFBB9 +:1015600017F5010013054517EFE07FFA97F7010023 +:1015700023AC77F597F7010023A657F5C1BD4111BC +:1015800022C406C626C24AC02A847370043097F467 +:1015900001009384E4F19C40F9E311E497F7010022 +:1015A0009387C7F28043130944004A85EFE05FFC4C +:1015B0001C5489C713058401EFE09FFBCA8517F50A +:1015C000010013052510EFE03FF697F70100938720 +:1015D00027EF984397F70100938787EC9C43050713 +:1015E00097F6010023AEE6EC9840850797F60100D8 +:1015F00023A8F6EA01CB97F70100938727ED9843DC +:10160000784349EF9C40B1C797F70100938707ECF7 +:101610009843630F870873700430984039EB17F7CD +:101620000100130767EA14439442A5E27D5797F639 +:10163000010023A5E6E6984009CF9843784311CBF3 +:10164000984394437C43FD177CC3FC4299E3736049 +:101650000430B2402244924402494101828097F70B +:1016600001009387A7E698439C437C4385077CC38E +:101670002DB794439843F8420507F8C217F70100C5 +:10168000130787E414439442D5D218435847584768 +:10169000584397F6010023A3E6E071BF98439443B3 +:1016A0007C43FD177CC3FC42B1FF7360043099BFDB +:1016B00097F70100938747DE9C4381C7737004301E +:1016C00001A02244B2409244024941016FE01FD47C +:1016D00041C517F70100130767DF14438147638B88 +:1016E000A606411106C622C426C27370043097F6BE +:1016F00001009386E6DB9C4291C710431C437C4269 +:1017000085077CC29C42AA84404981C71C43FC4394 +:10171000B9E797F70100938727DB9C43638B870426 +:1017200097F70100938707DA9C436384870497F750 +:101730000100938767FA6309F40297F70100938722 +:1017400067F86301F4028547B240224492443E8523 +:10175000410182803E8582807370043001A0EF4099 +:10176000604D45BF9147CDB79C5493B7170089078B +:10177000E1BF8947D1BF7370043097F701009387A9 +:1017800027D3984309EF15C59C43485581CB97F75C +:1017900001009387A7D39843784315E3828017F716 +:1017A00001001307A7D214431843F8420507F8C2F3 +:1017B00061FD17F70100130767D10843F1B798439C +:1017C00094437C43FD177CC3FC42E9FB7360043007 +:1017D0008280411122C42A8406C6EFE01FCF11E4A3 +:1017E00097F70100938787CE80434054EFE09FCD69 +:1017F000B2402285224441018280A54763F5B700AB +:101800007370043001A0011122CC06CE26CA4AC84A +:101810004EC652C42A847370043017F901001309AC +:1018200029C983270900D5EF79C43C486389F508A5 +:1018300017F70100130787C963FCB7001443638DD2 +:10184000860C18434457B3B4950093C4140029A0E0 +:101850000443818C93B4140058546384E70A1C4CED +:101860002CC863C60700A947B385B7400CCC9317B3 +:101870002700BA97584897F90100938969D48A07D5 +:10188000CE97631BF702130A44005285EFE05FCE48 +:1018900017F70100130707C25C5418436376F7007B +:1018A00017F701002328F7C05147B387E702D28515 +:1018B0003385F900EFE05FC799C0EFE03FB58327BC +:1018C000090081CB97F70100938747C09C43FC43F5 +:1018D0009DEBF2406244D2444249B249224A05613A +:1018E000828097F70100938767BE98439C437C43AF +:1018F00085077CC31DF897F70100938727BD8043B8 +:101900002DB74CD4A9BF6244F240D2444249B249F7 +:10191000224A05616F40003281443DBF25C101115B +:1019200026CA97F40100938464BA9C4006CE22CC68 +:101930004AC84EC6638DA7027370043017F90100C0 +:10194000130909B78327090091C798409C407C433D +:1019500085077CC3584997F701009387E7D763024F +:10196000F7028327090081C79C40FC43A5EBF240A6 +:101970006244D2444249B2490561828073700430A6 +:1019800001A01C5517F70100130787D2E38BE7FC72 +:10199000E9FB930945002A844E85EFE07FBD17F7E8 +:1019A0000100130727B15C5418436376F70017F75B +:1019B00001002321F7B05147B387E70217F5010073 +:1019C000130505C0CE853E95EFE01FB69C405854E8 +:1019D000DC57E368F7F8EFE07FA361B76244F240B9 +:1019E000D2444249B24905616F40C02415CD01116E +:1019F00022CC26CA4AC82A8406CE4EC6EFE0FFACE7 +:101A0000584897F70100938727CD2A8981446300BE +:101A1000F7024A85EFE01FABF24062442685424957 +:101A2000D244B249056182807370043001A01C5415 +:101A300017F501001305C5C7E38DA7FCF9FB97F760 +:101A40000100938767A59C43A9EB97F70100938753 +:101A5000E7A79C43445493094400DC574E85B3B434 +:101A6000F400EFE0FFB017F701001307A7A45C54E0 +:101A7000184393C414006376F70017F70100232B73 +:101A8000F7A25147B387E70217F50100130545B3E5 +:101A9000CE853E95EFE05FA9ADBF93058401EFE0F1 +:101AA000BFA885BF0111056506CE22CC26CA4AC84B +:101AB0004EC652C456C25AC0EF200036630E051AF5 +:101AC000AA8413050006EF2020352A84630A051C2A +:101AD00005669305500A04D92685EF20205A04583C +:101AE0008567F117BE94B7474C4593879744130A0F +:101AF00044005CD85285230C0402A301040423266D +:101B0000040223280404232A0404EFE09FA1130500 +:101B10008401EFE01FA1A947232C0404F19800C819 +:101B20001CCC40D023220404232E04040146971524 +:101B300000009385E5B12685EFE0DF9908C073705A +:101B4000043017F901001309A9968327090097F4B7 +:101B500001009384A49791C798409C407C438507DB +:101B60007CC317F70100130767951C43850797F699 +:101B7000010023A5F6949C40C9C38327090097F967 +:101B800001009389E9A381EB9C40DC5789E797F733 +:101B9000010023AD879297F70100938767909843E0 +:101BA00097F60100938606915C549442050717F658 +:101BB00001002327E68E38C463F6F60017F701000C +:101BC000232AF78E139527003E950A05D2854E9558 +:101BD000EFE09F958327090081C79C40FC43DDE728 +:101BE0008327090091C39C40EF1070498547630526 +:101BF000F50C59E17370043001A097F7010023A799 +:101C0000878C1843854797F901009389699BE314F2 +:101C1000F7F8CE8A17FB0100130B0BA75685D10AE4 +:101C2000EFE0FF8EE31C5BFF17F501001305C5A570 +:101C3000EFE0FF8D97FA0100938A4AA65685EFE000 +:101C40001F8D17F501001305A5A6EFE05F8C17F5B2 +:101C50000100130525A7EFE09F8B17F50100130581 +:101C6000A5A7EFE0DF8A97F7010023AF678597F715 +:101C7000010023A9578505B7F2406244D244424986 +:101C8000B249224A924A024B0561828098409440B0 +:101C90007C43FD177CC3FC42A1F77360043089B715 +:101CA0006244F2404249B249224A924A024B268596 +:101CB000D24405616F20602873700430FD5717E728 +:101CC0000100232DF77C624497E7010023A2A77E41 +:101CD000F240D2444249B249224A924A024B97E723 +:101CE000010023AB077C05616FE0AFDB737004304C +:101CF00097E7010023AE077A6FE0EFE817E70100EE +:101D0000130787791C4385071CC3828073700430D6 +:101D100097E701009387C779984305E317E7010028 +:101D20001307877908439C4381CB97E7010093878A +:101D3000E7799843784301EF828017E701001307A2 +:101D4000E77814431843F8420507F8C2C1BF984327 +:101D500094437C43FD177CC3FC42F9FF736004305D +:101D60008280411106C622C4EFE02FF697E70100FA +:101D7000938787748043EFE0EFF4B24022852244DA +:101D80004101828097E701009387477388438280EF +:101D9000797106D622D426D24AD04ECE52CC56CA1B +:101DA0005AC85EC697E701009387076F9C43B1EF5F +:101DB00017E70100130747701C43850797E60100EA +:101DC00023ACF66E832A0700638F0A0697E70100AB +:101DD0009387C76C9C43814463F1FA0897E901003B +:101DE0009389097E17EA0100130A4A6E83270A00C5 +:101DF0008546D85793172700BA978A07BE9983A7B5 +:101E0000090063FFF600854421A897E70100938746 +:101E1000E7699C438144850717E701002328F76899 +:101E200097E70100938747689C4391C38544B2506C +:101E30002254268502599254F249624AD24A424BB0 +:101E4000B24B4561828017E401001304E4671C4033 +:101E50009C43DDC37370043001A017E40100130438 +:101E6000A46697E901009389A97517EA0100130A8E +:101E7000EA6517EB0100130BEB631C409C43B5C3F1 +:101E80001C40DC4703A9C70083274900930B490086 +:101E90005E8563EEFA04EFE0AFED83278902130558 +:101EA000890199C3EFE0CFEC8327C90203270B0018 +:101EB000DE85139527003E950A054E956376F7005B +:101EC00017E701002328F75EEFE00FE683270A00FB +:101ED0000327C902DC57E362F7FA1C4085449C43A0 +:101EE000C5F3FD5717E70100232AF75A01B717E793 +:101EF00001002325F75ADDBD17E701001307875CB2 +:101F00001C40184397E6010023A0E65C17E7010098 +:101F1000232AF75A97E701009387C7589C43850700 +:101F200017E701002320F7581C409C4399E7FD5711 +:101F300017E701002324F75651BD1C40DC47DC475E +:101F4000DC4317E70100232BF75449B597E701005D +:101F500093878754984301E77370043001A00111FF +:101F600006CE22CC26CA4AC84EC652C456C25AC051 +:101F70007370043097E4010093848453984061EBBC +:101F800098437D1797E6010023A8E6509C43D9EBC0 +:101F900097E70100938787529C43C9C797EA0100DE +:101FA000938A0A6217EA0100130ACA5017E901006E +:101FB0001309C951854919A83385EA00EFE0CFD646 +:101FC000832709005854DC576379F70483A70A0F65 +:101FD000C1CF83A7CA0FC04713058401EFE04FD9D3 +:101FE000130B44005A85EFE0AFD85C5483260A00F7 +:101FF000DA85139527003E950A052A875695E3FD55 +:10200000F6FA17E701002327F74AEFE0EFD183271D +:1020100009005854DC57E36BF7FA97E7010023A552 +:1020200037496DB701449C4081CB97E70100938706 +:10203000E7499C43FC4395E72285F2406244D24441 +:102040004249B249224A924A024B0561828017E70F +:1020500001001307A74714431843F8420507F8C2C5 +:1020600005B7EF30303DC9BF17E401001304044445 +:102070001C4095C31C40054999CF193B09C597E7FA +:10208000010023A327431C40FD1717E70100232F5E +:10209000F7401C40FDF397E701009387E740984322 +:1020A0008547E311F7F8EFE06FB60544ADBF11CDFA +:1020B00081E57370043001A017E701001307C73DE5 +:1020C0001C4399C77370043001A07370043001A0E1 +:1020D000411122C406C614431841850617E60100C3 +:1020E000232CD63A97E601009386063D94423304AA +:1020F000B70000C163F7E602637FE40063FD86007A +:1021000097E701009387873C88431105EFE04FC6AE +:102110002285EF30F02B1D3D01C9B2402244410120 +:102120008280E36FE4FCD9BF2244B24041016FE0FA +:10213000EFAD11C917E70100130707361C4391C71C +:102140007370043001A06FE06FAC411106C622C469 +:102150001843AA87050797E6010023AFE63297E602 +:1021600001009386A63617E701001307E7341843EA +:1021700088423304F7001105EFE08FBF2285EF306E +:102180003025E93311E52244B24041016FE00FA848 +:10219000B240224441018280411122C406C697E721 +:1021A00001009387672F9C430144850717E70100CF +:1021B0002324F72E97E70100938747309C4363E081 +:1021C000F51217EE0100130E6E4B97E20100938299 +:1021D000623E01440147854F1303500A21A0311E7E +:1021E000638DC20B83278EFFBA86F5DB8327CEFF74 +:1021F000DC43232EFEFE638AC70F03AFC700DC4318 +:102200002A97814E232EFEFE6385C70783A8C70049 +:1022100093874803232017015CC383A788042326E0 +:10222000F7011CC783A7C8021CCB83A70805232C72 +:1022300007005CCB03A8080383470800639067048A +:10224000C287850783C60700B3850741E38B66FE17 +:102250008981C205C181231EB700850E13070702BD +:1022600063061F038327CEFFDC43232EFEFEE39F7E +:10227000C7F983274E00232EFEFE49BF8145231E4A +:10228000B700850E13070702E31E1FFD7694131790 +:102290005400311EBA86E397C2F597E70100938791 +:1022A000A72203AE070083270E00639D070E97E762 +:1022B00001009387272103AE070083270E00639256 +:1022C000071817EE0100130EAE2F83274E109DE363 +:1022D00083278E116395072019C223200600BD3184 +:1022E0002285B24022444101828083274E00232E62 +:1022F000FEFE21B783278E1017EF0100130F0F3D4D +:10230000DC4317E701002321F73C6380E73383A216 +:10231000C700DC43AA96814E17E701002326F73A4F +:10232000914F1303500A6388E70783A8C700938778 +:10233000480323A01601DCC283A7880423A6F60164 +:102340009CC683A7C8029CCA83A7080523AC0600C5 +:10235000DCCA03A80803834708006391672AC28781 +:10236000850703C70700B3850741E30B67FE93D7D3 +:102370002500C207C183239EF600850E93860602C0 +:102380006386122983278E10DC4317E70100232D73 +:10239000F732E39CE7F983270E1117E701002325A5 +:1023A000F73261B783274E00130F8E00D4432322E8 +:1023B000DE006388E629B307E500D84283A2C600A1 +:1023C000814E2322EE00894F1303500A6306EF0665 +:1023D0008328C7001387480323A01701D8C303A786 +:1023E000880423A6F70198C703A7C80298CB03A7C0 +:1023F000080523AC0700D8CB03A80803034708004F +:102400006318671C4287050783460700B305074129 +:10241000E38B66FE13D7250042074183239EE70026 +:10242000850E93870702638D121B83264E00D842C8 +:102430002322EE00E31EEFF80327CE002322EE0056 +:1024400041BF83274E00130F8E00DC432322FE0082 +:102450006384E71F83A2C700DC43AA96814E232230 +:10246000FE00894F1303500A6306FF0683A8C700C6 +:102470009387480323A01601DCC283A7880423A600 +:10248000F6019CC683A7C8029CCA83A7080523AC93 +:102490000600DCCA03A80803834708006396671098 +:1024A000C287850703C70700B3850741E30B67FEB3 +:1024B00093D72500C207C183239EF600850E93861D +:1024C0000602638B120F83274E00DC432322FE009B +:1024D000E31EFFF88327CE002322FE0041BF83279F +:1024E000CE1197EE0100938EAE1FDC4317E701007B +:1024F0002326F71E6384D71383AFC700DC4333075B +:10250000D500014397E6010023AAF61C0D4F89422E +:102510009308500A6387D707C8479307450308C342 +:102520005CC33C452326E7011CC75C551CCB1C55EE +:1025300099C3232657003C49232C07005CCB032872 +:1025400005038347080063951705C287850783C67F +:102550000700B3850741E38B16FF93D72500C20719 +:10256000C183231EF700050313070702638AAF0226 +:102570008327CE11DC4397E6010023A1F616E39DE5 +:10258000D7F983274E1297E6010023A9F61469B7FD +:102590008147231EF700050313070702E39AAFFCE8 +:1025A0001A94E31C06D225BB8147239EF600850EB4 +:1025B00093860602E39912F117EE0100130E4E0006 +:1025C00083274E10769493165400E38307D01DB3EF +:1025D0000147239EE700850E93870702E39712E5E4 +:1025E00097E70100938707EE03AE070076949316F2 +:1025F000540083270E00E38607CCA1B58147239EB4 +:10260000F600850E93860602E39E12D783278E116D +:10261000769493165400E38107CCD1B583274E12EC +:1026200017E70100232CF70AC1BD83270E1117E716 +:102630000100232BF708E1B98327CE002322FE00F7 +:1026400011BD8326CE002322DE00B5B3797126D2D8 +:102650004AD04ECE52CC56CA5AC85EC606D622D4EE +:1026600097E90100938949E317E90100130949F546 +:1026700017EA0100130ACAE397EA0100938A0AE500 +:1026800097EB0100938B8BE397E40100938444E381 +:10269000054B21A883A7090003244910850717E7E4 +:1026A0000100232BF7DE5D3011E89C40E5F783271E +:1026B0000900E37CFBFEEFD07FD5C5BF737004300B +:1026C00083270A00B9E383270911C0471305440093 +:1026D000EFD01FEA83A70B00FD1717E70100232F98 +:1026E000F7DC9C40FD1717E70100232BF7DC83275D +:1026F0000A0089C783A70A00FC4385E30858EF1046 +:10270000D0032285EF1070034DB703A70A0083A7FB +:102710000A007C4385077CC37DB703A70A0083A614 +:102720000A007C43FD177CC3FC42E9FB7360043064 +:10273000F1B797E70100938727D69C43DDE341116A +:1027400006C697E7010023A107D617E70100130784 +:10275000E7D71C43B7A6A5A59386565A9C5B8C4326 +:10276000639BD500D0436318B60094476395C600B9 +:10277000DC476388D70008430C4393854503EF206B +:10278000E07297E601009386E6D2904217E70100D7 +:10279000130707E311A89C42B9CB9C42FD1717E62B +:1027A00001002329F6D0904293172600B2978A079A +:1027B000BA979C43EDD3904293172600B2978A07AD +:1027C000B305F700D441A107BA97D442D4C16383BB +:1027D000F602DC46B24017E701002329F7CE41019B +:1027E0008280854717E701002320F7CC8280737031 +:1027F000043001A0D1473306F602D442329754C3C5 +:10280000C9BF01114AC806CE22CC26CA4EC62A89A3 +:102810007370043097E40100938484C99C4017E4EA +:1028200001001304A4CAD9E76314090003290400B2 +:10283000930949004E85EFD0BFD38327890289C70A +:1028400013058901EFD0DFD2CE8517E5010013050E +:10285000A5E8EFD07FCD9C4081C71C40FC43ADE391 +:102860001C40638B27079C409DCF737004309C40B5 +:10287000C1EB97E70100938727C59843184325EBE1 +:10288000FD5717E70100232BF7C09C4089CF1C4060 +:10289000FC4391CB184014407C43FD177CC3FC42A1 +:1028A00099E373600430F2406244D2444249B24931 +:1028B0000561828018401C407C4385077CC3ADB70E +:1028C000184014407C43FD177CC3FC42D1FB73606D +:1028D00004301C40E39927F99C40A1C397E701000D +:1028E000938787BB9C43ADCB7370043001A09C439E +:1028F000DC47DC47DC4317E701002321F7BA71B757 +:1029000018401C407C4385077CC397E701009387F0 +:10291000A7BB984318432DD7D9BF97E701009387EA +:10292000E7B917E701001307A7C99C4303278711DD +:10293000631DF700F240624497E7010023A807B83F +:10294000D2444249B249056182806244F240D24495 +:102950004249B2490561F1BB6244F240D244424966 +:10296000B24905616FD09FAA3DC9411126C297E4C3 +:1029700001009384A4B54AC02E898C4006C622C4A7 +:10298000E105EFD0FFBB88401105EFD07FBEFD57BA +:10299000630AF90497E70100938707B280439840E0 +:1029A0009C434A9440C3636CF40497E70100938707 +:1029B000A7B188438C409105EFD09FB897E70100FD +:1029C0009387C7AD9C436376F40097E7010023A784 +:1029D00087ACB24022449244024941018280737024 +:1029E000043001A08C402244B2409244024917E5D1 +:1029F0000100130565CE910541016FD0FFB297E745 +:102A00000100938727AC884322448C40B240924413 +:102A10000249910541016FD0BFB211C997E701008A +:102A2000938787A79C4399E77370043001A0737064 +:102A3000043001A0411126C297E40100938404A947 +:102A40009840AE878C40B7060080D58F06C622C45A +:102A50001CCFE1053284EFD03FAD88401105EFD0A7 +:102A60003FB1FD57630EF40097E701009387C7A4B9 +:102A70008843B24092442295224441016F30401570 +:102A80008C402244B240924417E501001305C5C4AE +:102A9000910541016FD05FA925CD411126C297E470 +:102AA00001009384A4A24AC02E898C4006C622C489 +:102AB000E1053284EFD05FA788401105EFD05FAB0E +:102AC0008547630AF40497E701009387E79E8043F4 +:102AD00098409C434A9440C3636CF40497E7010018 +:102AE0009387879E88438C409105EFD07FA597E719 +:102AF00001009387A79A9C436376F40097E701004F +:102B000023AE8798B2402244924402494101828018 +:102B10007370043001A08C402244B24092440249B8 +:102B200017E50100130545BB910541016FD0DF9FFB +:102B300097E7010093870799884322448C40B2406D +:102B400092440249910541016FD09F9F411106C6F1 +:102B500022C426C25C45C04735CC93048401268537 +:102B6000EFD01FA197E70100938707939C43B5E738 +:102B7000930444002685EFD0BF9F97E70100938719 +:102B8000679358549C4363F6E70097E7010023A33B +:102B9000E79293172700BA978A0717E501001305F4 +:102BA00025A2A6853E95EFD03F9897E70100938731 +:102BB000E7919C4358540145DC5763F8E70085478B +:102BC00017E701002322F78E0545B24022449244C4 +:102BD000410182807370043001A0A68517E50100D1 +:102BE000130505ADEFD05F94C9B797E70100938750 +:102BF000A78A9C4381E77370043001A0411106C687 +:102C000022C426C2B70700804045CD8F1CC12DC409 +:102C1000EFD01F96930444002685EFD07F9597E769 +:102C200001009387278958549C4363F6E70097E790 +:102C3000010023A1E78893172700BA978A0717E5B1 +:102C400001001305E5973E95A685EFD0FF8D97E728 +:102C500001009387A7879C4358540145DC5763F8CC +:102C6000E700854717E701002320F7840545B240B8 +:102C700022449244410182807370043001A011CD3E +:102C800097E7010093870782984397E701009387AE +:102C9000A7829C4318C15CC182807370043001A07C +:102CA00055C1D1CD411106C622C42A8773700430A4 +:102CB00097D801009388C87F83A7080091CB97E736 +:102CC00001009387A78094439C43FC428507FCC284 +:102CD00017D301001303437E9C4103260300FD56D6 +:102CE0000144638FD70217DE0100130EAE7B03256C +:102CF0000E000328070054436305A80005446371D0 +:102D0000D6023308D6400544637CF80003250E0044 +:102D1000918F03260300B6979CC108C350C301449A +:102D200083A7080081CB97D701009387277A9C431C +:102D3000FC4381EF2285B2402244410182807370BE +:102D4000043001A07370043001A0EF20B06EDDB735 +:102D5000854717D701002329F774828019C1684578 +:102D600082800145828011C16CC5828097D70100A5 +:102D70009387C7758843828097D7010093874773ED +:102D80009C43054589CB97D701009387E77088431B +:102D9000133515000605828031CD011126CA97D45E +:102DA00001009384A4729C4006CE22CC4AC84EC631 +:102DB0005855DC576379F7021C4D63C707009C40E8 +:102DC000D457A947958F1CCD93172700BA97584918 +:102DD00017D901001309C97E8A07CA97630DF70046 +:102DE0009C40DC575CD5F2406244D2444249B2492F +:102DF000056182808280930945002A844E85EFD048 +:102E00002FF79C4017D701001307C76A1843DC57F8 +:102E10005CD46376F70017D70100232DF76813956C +:102E200027003E9562440A05F240D244CE854A9579 +:102E3000B249424905616FD02FEF19CD411106C645 +:102E400022C426C297D7010093874768804363074F +:102E5000A4007370043001A0014582807C4881E7A2 +:102E60007370043001A054543848FD177CC8638344 +:102E7000E60099C70145B240224492444101828054 +:102E8000930444002685EFD0AFEE3C4817D70100ED +:102E900013074762144329471D8F5CD418CC63F68F +:102EA000F60017D701002327F7605147B387E702E1 +:102EB00017D501001305C570A6853E95EFD0CFE666 +:102EC000054555BF7370043097D701009387475E5F +:102ED0009C4391CB97D701009387475F98439C43CE +:102EE0007C4385077CC3828097D701009387475C2A +:102EF0009C438DC397D701009387475D98437843E0 +:102F000011CB984394437C43FD177CC3FC4299E367 +:102F100073600430828097D701009387275B9043CA +:102F200094439843A947D456084E958F1CCF82806E +:102F300097D7010093878759984309C79443F84A64 +:102F40000507F8CA8843828079714AD006D622D410 +:102F500026D24ECE2A897370043097D40100938410 +:102F600024559C4017D4010013044456BDE31C4073 +:102F7000BC4F89E71C400547F8CFD1E19C4081C791 +:102F80001C40FC43F1EB737004309C408DEF1C40FF +:102F9000A84F11C5630409021C4023AC07041C4060 +:102FA00023AE07049C4081C71C40FC438DEBB2500C +:102FB000225492540259F2494561828018403C4F94 +:102FC000FD173CCFE9BF18401C407C4385077CC3FC +:102FD0007DBF18401C407C4385077CC349BF184017 +:102FE00014407C43FD177CC3FC42F1F37360043052 +:102FF000B250225492540259F249456182800840ED +:103000002EC61105EFD0CFD6B245FD576380F50629 +:1030100097D701009387474A83A9070018409C432C +:10302000AE992322370163ECF90497D70100938707 +:10303000A74988430C409105EFD08FD097D7010066 +:103040009387C7459C4363F6F90097D7010023A7F0 +:103050003745EFD0AFBB1DB7184014407C43FD1778 +:103060007CC3FC428DF37360043031BF0C4017D534 +:103070000100130565669105EFD00FCBD9BF97D737 +:1030800001009387274488430C409105EFD04FCB34 +:10309000C9B779714AD04ECE06D622D426D252CCA8 +:1030A0002E89B2897370043097D401009384444010 +:1030B0009C4017D4010013046441F9EF184089477C +:1030C000784F630CF7001C401345F5FFB84F798D1E +:1030D000A8CF1C400547F8CFADE69C4081C71C40F7 +:1030E000FC43F5E3737004309C40B1E7638609004C +:1030F0001C40BC4F23A0F900184085470145784F7C +:10310000630BF7001C401349F9FF0545B84F3379AD +:10311000E90023AC27051C4023AE07049C4081C76F +:103120001C40FC43C1E3B250225492540259F2496C +:10313000624A4561828018401C407C4385077CC3FD +:1031400075B7084036C61105EFD08FC2B246FD579D +:103150006385F60897D701009387073603AA07000F +:1031600018409C43369A232247016363FA0897D795 +:1031700001009387673588430C409105EFD04FBC21 +:1031800097D70100938787319C436370FA0697D7DE +:10319000010023A5473191A818401C407C438507B6 +:1031A0007CC329BF184014407C43FD177CC3FC42FC +:1031B000BDFB73600430B250225492540259F2495C +:1031C000624A45618280184014407C43FD177CC3ED +:1031D000FC4289FB7360043031B70C4017D5010005 +:1031E0001305854F9105EFD02FB4EFD02FA2F5B581 +:1031F00097D701009387072D88430C409105EFD0A6 +:103200002FB4E5B751C1411106C622C426C24AC037 +:103210007370043097D40100938484299C40B9EBE7 +:1032200099C23C4D9CC2B2878946704D74CD2E87A1 +:103230002A846386D70663FCF6048D456387B7004E +:1032400091456395B7006300D60C38CC8547630F72 +:10325000F60405449C4081CB97D70100938707274C +:103260009C43FC43A9E32285B24022449244024994 +:103270004101828097D701009387472598439C435B +:103280007C4385077CC369BF7370043001A0854609 +:10329000E39ED7FA3C4D33E7B70038CD45BF3C4DF0 +:1032A00085073CCD65B7EF20F01875BF13094400C2 +:1032B0004A85EFD0EFAB17D701001307A71F5C5467 +:1032C00018436376F70017D701002325F71E5147EF +:1032D000B387E70217D501001305852ECA853E95F1 +:1032E000EFD08FA41C5481C77370043001A097D70E +:1032F00001009387A71D9C435854DC57E3FBE7F478 +:10330000EFD0CF90B9B70144B1B739CD011122CC7C +:1033100026CA4AC84EC652C456C206CEBA89368A92 +:10332000B2842E892A84EFD04F9AAA8A63050A00B4 +:103330003C4C2320FA00784C89477CCC6389F4020A +:1033400063F4970C8D466387D40091466396D4004E +:10335000630AF70A232C24058547631EF7061C54CD +:1033600099CB7370043001A07370043001A03C4C01 +:1033700085073CCCD5B797D701009387E7119C43CD +:10338000ADEB930444002685EFD08F9E17D7010044 +:10339000130747125C5418436376F70017D70100F0 +:1033A000232AF7105147B387E70217D50100130509 +:1033B0002521A6853E95EFD02F9797D701009387BB +:1033C000E7109C435854DC5763F7E7006385090016 +:1033D000854723A0F90005445685EFD0AF8E22859E +:1033E000F2406244D2444249B249224A924A0561BB +:1033F00082809305840117D501001305652BEFD05A +:10340000AF9265BF0144C9BF8547E397F4F43C4CD4 +:1034100033E92701232C240581B74DC1011122CCAA +:1034200026CA2A844AC806CE4EC6AE84EFD0EF899B +:103430008947744C7CCC3C4C05472A8985073CCC99 +:103440006395E6061C5481C77370043001A097D7BA +:103450000100938767049C43ADE7930944004E85C0 +:10346000EFD00F9117D701001307C7045C5418431E +:103470006376F70017D70100232EF7025147B38771 +:10348000E70217D501001305A513CE853E95EFD0B1 +:10349000AF8997D70100938767039C435854DC5743 +:1034A00063F5E70099C085479CC06244F240D2446E +:1034B000B2494A85424905616FD0CF8073700430AC +:1034C00001A09305840117D501001305651EEFD0F7 +:1034D000AF85C1B7AA8729C57370043017D701001B +:1034E000130707FD14439DE2F04F8946014563151C +:1034F000D60023AE070405451C4381CB97D70100B6 +:103500009387C7FC9843784315E3828097D60100E0 +:103510009386C6FB904294427442850674C2E9B712 +:1035200097D70100938787FA9C437DB798439443CC +:103530007C43FD177CC3FC42E9FB7360043082804E +:103540005D71A6C2CAC052DC56DA5AD85ED686C6AB +:10355000A2C44EDE62D466D26AD017D90100130924 +:10356000E9F717DB0100130BABF697D40100938446 +:1035700064F697DA0100938A2AF6054AA54B832759 +:103580000900984363010712DC4783A90700EFE0B5 +:10359000EFF6EFE0AFF783270B002A8C6362F50AA2 +:1035A00097D7010023A6A7F201466370351B884018 +:1035B000B3858941EFD0FFBDEFE05F99630105124C +:1035C0008840814601462C00EFD00FEC4DD9A24730 +:1035D000E3C807FEC24983A7490189C7138549008B +:1035E000EFC01FF9EFE08FF283270B002A8C636D89 +:1035F000F508A24717D70100232C87EDE3E2FBFC77 +:10360000B317FA0013F70721631A071613F7770C9D +:103610006318071E93F70702C5D74E85EF00F01118 +:1036200045B7DC47C04783AC0700930944004E858B +:10363000EFC01FF45C50228582975C4C6384470B7B +:1036400083270900984371FF03A70A0097D601005A +:1036500023A086E997D6010023A0F6E897D70100BA +:1036600023AEE7E6EFE09F8EA1BFDC47C04703AD86 +:103670000700930C44006685EFC09FEF5C502285E5 +:1036800082975C4C6386470983270900984371FF42 +:1036900003A70A0097D6010023A0F6E497D70100FC +:1036A00023AEE7E2B9B7EFE06FE5EFE02FE683275F +:1036B0000B002A8CE366F5F883A70A00884081494D +:1036C0009043B385894117D70100232387E113363F +:1036D0001600EFD01FACEFE07F87E31305EEEFC0DD +:1036E000FFD2F9BD1C4CCE85E69763E9FC049C40F3 +:1036F00089CF02CA66CC22CEEFF00FE8884081461F +:1037000001464C08EFD02F8805FD7370043001A0EE +:103710001C4CE685EA97636CFD049C4089CF02CA85 +:103720006ACC22CEEFF04FE58840814601464C0836 +:10373000EFD06F8531F97370043001A003250900C3 +:103740005CC000C8EFC0DFDFE5BDEFE03F8083274E +:103750000900DC47C047930C44006685EFC05FE179 +:103760005C4C638F47035C502285829791BD032593 +:1037700009005CC000C8EFC0BFDC39B7B24723AC5A +:10378000F900A5CFE29723A2F90023A83901938578 +:103790004900636FFC0403A50A00EFC07FDA0DB592 +:1037A0001C4C00C83387F90058C0636DEC02330C21 +:1037B0003C416363FC029C4089CF02CA4ECC22CEBE +:1037C000EFF08FDB8840814601464C08EFC0BFFB1D +:1037D00059F97370043001A003A50A00E685EFC013 +:1037E0003FD651B703250900E685EFC07FD5A5BFB9 +:1037F00003250900EFC0DFD4E1B37370043001A0EA +:10380000B24783A6890123A839013387D70023A2B1 +:10381000E9006364EC04B307FC4063EBD70483A7BF +:1038200049024E85829783A7C901E39B47D983A6A6 +:103830008901B2479840B69711CF02CA3ECC4ECE0E +:10384000EFF08FD38840814601464C08EFC0BFF3AC +:10385000E31805D67370043001A06374FC00E370B4 +:10386000F7FC0325090093854900EFC07FCD89BB94 +:1038700003A50A0093854900EFC09FCC91B3411185 +:1038800022C406C626C24AC017D40100130484C449 +:10389000EFF04FE31C4095CBEFF00FE51C409DC3CC +:1038A000814801488147094781461306004097D562 +:1038B00001009385A5B0170500001305A5C8EFD03A +:1038C0007F9A39E97370043001A017D901001309F8 +:1038D000E9E14A85EFC0BFC397D40100938444E275 +:1038E0002685EFC0DFC20146B145114597D70100DB +:1038F00023A627BF97D7010023A097BEEFC01FDCE8 +:1039000017D701002328A7BC11CD97D501009385B7 +:1039100065AAEFD0CFFC49B7B24022449244024995 +:10392000410182807370043001A0A5C9797156CA23 +:10393000AA8A1305C00222D426D24AD04ECE52CC37 +:1039400006D65AC85EC63A89B689328AAE84E9215B +:103950002A8405C9EFF00FD797D70100938787B75F +:103960009C439DCFEFF04FD8130544002320540112 +:1039700004CC232E44012320340323222403EFC04C +:103980005FBA2285B250225492540259F249624AD7 +:10399000D24A424BB24B456182807370043001A021 +:1039A00097DB0100938B8BD45E85EFC05FB617DB8E +:1039B0000100130BEBD45A85EFC07FB50146B1452A +:1039C000114597D7010023AB77B197D7010023A505 +:1039D00067B1EFC0BFCE17D70100232DA7AE01C935 +:1039E00097D501009385059DEFD06FEFA5BF73704C +:1039F000043001A039C5011122CC06CE26CA17D445 +:103A00000100130424AD832804002A88014563853E +:103A10000802BA842EC2368732C442C6954663C5B0 +:103A2000B602EFF06FB58947630BF5020840814697 +:103A300001464C00EFC03FD5F2406244D2440561DC +:103A400082807370043001A04C0081463A8646851E +:103A5000EFD0AF88F2406244D244056182800840D2 +:103A6000814626864C00EFC01FD2F9B719C1084124 +:103A700082807370043001A001E57370043001A0EE +:103A8000411106C622C42A84EFF0CFC3484833341C +:103A9000A000EFF06FC52285B24022444101828030 +:103AA00001E57370043001A0411122C42A8406C6C6 +:103AB000EFF04FC10050EFF02FC3B24022852244F7 +:103AC0004101828001E57370043001A0411122C4DC +:103AD00026C22E84AA8406C6EFF0CFBE80D0224430 +:103AE000B240924441016FF02FC04111714522C490 +:103AF00006C61D262A8411C5232005001105EFC026 +:103B00001FA12285B24022444101828001CDB70726 +:103B100000FFED8F81C77370043001A099E57370C9 +:103B2000043001A07370043001A0011122CC4AC8F6 +:103B30004EC652C456C206CE26CABA893689B28A41 +:103B40002E842A8AEFF04FA311E563850900737074 +:103B5000043001A0EFE08F9A83240A00B377940029 +:103B60006316090295C763870A009347F4FFE58F40 +:103B70002320FA00EFE08FBDF240624426854249DF +:103B8000D244B249224A924A05618280E30DF4FC94 +:103B9000E38209FEB3355001E20563050900B7076A +:103BA0000004DD8D4E86C18D13054A00EFE0FFE66F +:103BB000EFE0CFB919E1EFC07F85EFF0CFB59317F4 +:103BC0006500AA8963D80700B7040001FD14B3F4A7 +:103BD00099005DB7EFF00FAF83290A00B377340186 +:103BE000631E090089CB63880A009347F4FFB3F78B +:103BF00037012320FA00EFF02FAFF9B7E31DF4FEF1 +:103C0000DDB701C9B70700FFED8F99C773700430A6 +:103C100001A07370043001A0411122C42A8406C699 +:103C200026C24AC02E89EFF0EFA904409345F9FF60 +:103C3000B3F795001CC0EFF02FABB24022442685AD +:103C40000249924441018280411122C42A8406C65D +:103C5000EFC0BF870040EFC0FF86B24022852244FC +:103C60004101828009C9370700FFB3F7E50099C712 +:103C70007370043001A07370043001A06F10507D88 +:103C8000B70700FFF18F81C77370043001A001E610 +:103C90007370043001A0011122CC4AC84EC652C430 +:103CA00006CE26CA3689AE892A8A3284EFF0CF8CB6 +:103CB00021E1630509007370043001A0EFE00F8477 +:103CC00083240A00CE855285B3E49900613FB3771F +:103CD00094006307F40683240A00EFE02FA7F24064 +:103CE000624426854249D244B249224A0561828013 +:103CF000EFE0CF8083240A00CE855285B3E43401FF +:103D00009537B3F78400638D8702E30609FCB70596 +:103D100000054A86C18D13054A00EFE01FD0EFE091 +:103D2000EFA219E1EFC08FEEEFF0EF9E9317650061 +:103D3000AA8463DF0700B7070001FD17FD8C45B7B4 +:103D400083270A001344F4FFE18F2320FA0071B7A0 +:103D5000EFF04F9783240A00B377940063978700AE +:103D60009347F4FFE58F2320FA00EFF0EF97E1B7D8 +:103D7000411122C406C62A8426C2EFD03FF85C4017 +:103D800085C308489304C4006316950015A008482D +:103D900063009502B7050002EFE03FE55C40E5FBFC +:103DA0002285612A2244B240924441016FE00F9A79 +:103DB0007370043001A009C9370700FFB3F7E500AD +:103DC00099C77370043001A07370043001A06F10A4 +:103DD000306801C9B70700FFED8F99C773700430D1 +:103DE00001A07370043001A0411122C426C206C68E +:103DF0002A84AE84EFF00F8D184093C7F4FFF98F3B +:103E00001CC02244B240924441016FF0EF8D19C1B1 +:103E1000084D828001458280411122C406C62A8451 +:103E2000EFD0DFED97C701009387876B9C43A1EB31 +:103E3000E56717D701001307278EF11797C6010012 +:103E400023A6E66A17C701002320F76A17D70100E7 +:103E50002326F78C97C701009387C76817D70100FF +:103E6000232CF78A854717C701002323076817C744 +:103E700001002329076617C701002323F76619E805 +:103E8000EFE0CF8CEF10000201442285B2402244C3 +:103E9000410182801305840093773500C1EFE56707 +:103EA0001307F5FFE917E3EDE7FC97C601009386DA +:103EB000E6639C4229A0984311C7BE86BA87D843BF +:103EC000E36BA7FE17C701001307C761E38AE7FA90 +:103ED000984341461384870098C2D843B306A7404D +:103EE0006378D6023388A7002322D800C8C383256D +:103EF000480097C601009386665F11A0BA86984273 +:103F00005043E36DB6FE2320E80023A00601D8430A +:103F100097C70100938707609C43998F17C70100DB +:103F2000232AF75EEFE08F8231DC2285B240224403 +:103F300041018280719911059DB729C9411122C49F +:103F400006C62A84EFD09FDB930584FF0326C4FFB7 +:103F500017C701001307875911A03E871C43D4439C +:103F6000E3EDC6FE232CF4FE0CC397C70100938734 +:103F7000675A98438327C4FF2244B240BA9717C7B1 +:103F800001002329F75841016FD05FFC828097C759 +:103F90000100938727588843828082803367B50069 +:103FA0000D8BAA871DE79308D6FFAA982A87AE86AD +:103FB0006371150303A8060011079106232E07FF5E +:103FC000E36A17FF9347F5FFC697F19B9107BE95EC +:103FD000AA972A9663F3C70A938645001388470079 +:103FE000B3B6D70033B8050133E7F50093C6160022 +:103FF000134818000D8BB3E6060113371700758FB1 +:10400000330EF6409386170029CF1337AE0031EBFD +:1040100013532E002E88BE8601478328080005070B +:10402000110823A016019106E36967FE1377CEFFFE +:10403000BA95BA976302EE0483C60500138717008A +:104040002380D700637AC70283C615001387270031 +:10405000A380D7006372C70203C725002381E7004E +:104060008280B307F640AE97850503C7F5FF850646 +:10407000238FE6FEE39AF5FE82808280B367C50057 +:104080008D8BB308C500E9C36371150FB307A0405A +:104090008D8B13873700954693F5F50F13081500A0 +:1040A0003383A8407D166373D70015476363E60C1E +:1040B000D5CF2300B5000547638DE700A300B50009 +:1040C0000D47130825006396E70013083500230108 +:1040D000B500139685004D8E13970501518F33035C +:1040E000F34093968501D98E13562300AA97014772 +:1040F00094C305079107E36DC7FE1377C3FFB307AA +:10410000E8006307E3062380B70013871700637C8A +:104110001703A380B7001387270063761703238153 +:10412000B7001387370063701703A381B7001387A5 +:104130004700637A17012382B7001387570063741F +:104140001701A382B700828093F5F50F13978500BE +:10415000D98D139705014D8FE37715FFAA87910736 +:1041600023AEE7FEE3ED17FF828082802A8895B7B1 +:104170008280AA8749BF834705002A8799C705051A +:1041800083470500EDFF198D82800145828005057A +:104190008347F5FF850503C7F5FF91C7E389E7FE70 +:1041A0003385E74082808147E5BFAA87850503C73D +:1041B000F5FF8507A38FE7FE75FB82808346050028 +:1041C000930700026397F600050583460500E38D1B +:1041D000F6FE938756FD93F7D70F85C7AA878145CB +:1041E00085CE01458507138606FD1317250083C676 +:1041F00007003A9506053295F5F699C13305A040BA +:104200008280938536FD8346150093B5150093078C +:104210001500E1FA0145D5B7014582809C41238014 +:10422000A7009C4185079CC1828097C601009386A8 +:10423000662C9C42175703001307C75013861700BC +:10424000BA972380A70097C5010023A5C52AA947CF +:104250006308F500930700046305F60001458280BA +:1042600075DE370610F005078347F7FF2320F6F0C9 +:104270009C42FD1797C5010023AEF526EDF70145D9 +:1042800082804D712322911423202115232A51135A +:10429000232481132326111423248114232E311364 +:1042A000232C4113232861132326711323229113F6 +:1042B0002320A113232EB111AA842E8932C6130CF8 +:1042C0005002A54A8347090063808703B9CB804029 +:1042D00011A0A1CB2300F40080400509050480C093 +:1042E00083470900E39787FF0346190093081900E5 +:1042F000C686130D0002FD597D5A0148130550056D +:104300009307D6FD93F7F70F138916006363F52A19 +:1043100017C70100130707CF8A07BA979C43BA97BC +:1043200082878320C1140324811483244114032928 +:1043300001148329C113032A8113832A4113032BF8 +:104340000113832BC112032C8112832C4112032DE4 +:104350000112832DC11171618280A14C02C4854775 +:1043600063D50715B2478040938B770093F68BFF98 +:1043700003AB060083A94600938786003EC6668687 +:1043800081465A85CE85EF10604F2AC881476385E4 +:104390003723930D4101854B668681465A85CE852C +:1043A000EF10E017814666862A8BAE89EF10004D2C +:1043B000A24723A0AD0093861B00910D63843701B3 +:1043C000B68BD9BFE37E9BFF63DD4601A2872380C6 +:1043D000A70180407D1A930714009CC03E84E3C867 +:1043E00046FF93962B001C08BE9631A8938777054D +:1043F0002300F4008040FD1B93871B00050480C050 +:10440000F116E351F0EC9C42E3E2FAFE93870703D6 +:10441000C5B79C409306000332472380D7009C40D9 +:1044200093064700C14C1386170090C013068007FF +:10443000A380C700804002C4BA87050480C003ABD4 +:104440000700814936C625BFB24783AC0700938B6E +:10445000470063840C1C635F40199307D002631903 +:10446000FD1683C70C0085C363DC09189440850CD6 +:104470007D1A2380F6008040050480C083C70C00AD +:10448000E5F7635D40018040930700022300F400DC +:1044900080407D1A050480C0E31A0AFE5EC61DB581 +:1044A00002C48547A94CE3CF07EBB247804093860F +:1044B000470071B703C61600CA8699B59C4093069B +:1044C00050022380D7008040050480C0E5BBC14C6A +:1044D00002C471B5B24703C61600CA8683A9070095 +:1044E00091073EC6E35E0AE04E8AFD5911BD03C640 +:1044F0001600130DD002CA8621B59347FAFFFD8737 +:1045000003C61600337AFA00CA86DDBB03C616005E +:10451000130D0003CA86EDB383C51600930906FD8B +:10452000CA86938705FD2E86E3EEFAFA9397290053 +:10453000BE9985068609AE9983C50600938909FD53 +:10454000938705FD2E86E3F3FAFE69BF32479C4050 +:10455000144311073AC62380D7008040050480C069 +:1045600095B3854763D3070BB24793867700E19AEB +:10457000938786003EC683A946009C4263DC090AF5 +:1045800094401306D002B307F0402380C600804059 +:10459000B336F000B3093041050480C03E8BB389C7 +:1045A000D940A94C02C4E1BB03C616000508CA865F +:1045B00081BB9C40468921B7E37D9BDD8547814BCC +:1045C0008546E3C547E131BD97CC0100938C8CDF74 +:1045D000CE856685EF00903A330AAA40635C4001BD +:1045E00080402300A40180407D1A050480C0E31AA6 +:1045F0000AFE014A83C70C00E38207EAE3C809E622 +:10460000FD197D57E394E9E6ADBDB24793864700B7 +:104610009C4336C693D9F74195B76356400193073B +:10462000D002E313FDFA97CC0100938CACD9930729 +:1046300080021DBD3E8BA94C02C4804089B34D71E0 +:10464000232E3113B70900409387F9FF23248114E7 +:1046500023229114232C4113232A511323261114AE +:10466000232021152328611323267113232481136A +:10467000232291132320A113232EB1112A8A2EC4A1 +:1046800017C40100130404E7975A0300938A8A0BA6 +:1046900097C40100938484AC3EC69305500229451B +:1046A00013080004B70610F083470A006386B704B6 +:1046B000C1C71840050A13061700569797C801008E +:1046C00023AAC8E22300F7006382A706E31E06FDC3 +:1046D000175703001307070705078347F7FF23A0B2 +:1046E000F6F01C40FD1717C601002325F6E0EDF794 +:1046F00083470A00E39EB7FA83461A0013051A009F +:104700002A87930B00027D5B7D5C01489305500571 +:10471000A5489387D6FD93F7F70F130A170063E7B1 +:10472000F5348A07A6979C43A697828717570300FC +:104730001307470155F28DBF8320C1140324811450 +:1047400083244114032901148329C113032A8113EB +:10475000832A4113032B0113832BC112032C8112D3 +:10476000832C4112032D0112832DC11171618280AE +:10477000A14C014D854763DB0719A2479D07E19BCB +:1047800083AD070003AB4700138787003AC46686F2 +:1047900081466E85DA85EF10600E2AC863066D3596 +:1047A000130941018549668681466E85DA85EF00E9 +:1047B000105766868146AA8D2E8BEF10200C232081 +:1047C000A90085091109E3106DFFE3FE9DFD1306A5 +:1047D0000004B70610F063D189031C40138717004B +:1047E000D69797C5010023A7E5D023807701630CF6 +:1047F000C7047D1CE3C389FFB2476800A548B386A0 +:10480000F9008A061C08BE962948130300043706DF +:1048100010F0E384A6E89C429305000363F4F800DB +:10482000930570051840AE9793051700569717CE5D +:1048300001002321BECC2300F7006380072B638C8B +:104840006526F116F9B7175703001307A7EF0507F9 +:104850008347F7FF23A0F6F01C40FD1797C5010022 +:1048600023AAF5C8EDF77D1C71B71C4013060004A0 +:104870001387170097C5010023AEE5C6B386FA007B +:10488000930500032380B600630FC73C890797C6D2 +:10489000010023A1F6C65697930680072300D70090 +:1048A00017570300130707EAB70610F0639EC70007 +:1048B00005078347F7FF23A0F6F01C40FD1717C636 +:1048C00001002329F6C2EDF7A247C14C014D910723 +:1048D0002247014B832D07003EC455BDA24783AC40 +:1048E000070013894700638E0C3A635480219307B5 +:1048F000D002639EFB2E03C70C00BA85631007200D +:1049000025AC8547A94C014DE3C907E7A2479107AC +:10491000C1B7A24783461700528703AB0700910730 +:104920003EC4E3580CDE5A8C7D5BE5B3834617002A +:10493000930BD0025287F1BB9347FCFFFD87834660 +:104940001700337CFC005287E9B383461700930BB2 +:10495000000352877DBB03461700138B06FD528769 +:10496000930706FDB286E3EEF8FA93172B00DA9769 +:1049700005078607B29703460700138B07FD9307C9 +:1049800006FDB286E3F3F8FE69BFA2451C40294646 +:10499000984193861700D69717C50100232CD5B4EC +:1049A0002380E700138945006300C72A93070004AA +:1049B0006387F6204AC4D5B1854763DE0729A2473D +:1049C00013877700619B930787003EC4032B470042 +:1049D0001C43635A0B30184013060004930617005B +:1049E00097C5010023A8D5B056979305D0022300A0 +:1049F000B7006387C62CB307F0403337F000330BA2 +:104A00006041BE8D330BEB40A94C014D49B3834649 +:104A1000170005085287F5B9834617005287D5B9A4 +:104A20001C40930600041387170017C601002323B8 +:104A3000E6ACD697130650022380C700E31FD7C405 +:104A400017570300130707D0B70610F00507834771 +:104A5000F7FF23A0F6F01C40FD1717C60100232B1B +:104A6000F6A8EDF71DB9C14C014D29B31C409306C2 +:104A700000042A8A1387170017C60100232CE6A614 +:104A8000D697130650022380C700E318D7C01757E4 +:104A90000300130727CBB70610F005078347F7FF7E +:104AA00023A0F6F01C40FD1717C601002324F6A42E +:104AB000EDF72A8ADDB6175703001307A7C80507C5 +:104AC0008347F7FF2320F6F01C40FD1797C5010030 +:104AD00023A2F5A2EDF7F1162DBB1757030013071C +:104AE00067C6F1FDF11635B3E3FC9DCB8549C5B131 +:104AF000014C03C70C00BA85E30E07EAFD582945AF +:104B000013080004B70610F063570B0A1C40138605 +:104B10001700D69717C30100232EC39C2380E700FC +:104B20006384A50663040609850C03C70C007D1C7D +:104B3000BA8579FBE35080E91C4093050002130617 +:104B40000004B70610F021A07D1CE3050CE61387D6 +:104B50001700D6972380B70017C50100232CE598CE +:104B6000BA87E313C7FE175703001307A7BD05074E +:104B70008347F7FF23A0F6F01C40FD1717C501007F +:104B8000232AF596EDF7C9B717570300130787BB1C +:104B900041DE05078347F7FF23A0F6F01C40FD1711 +:104BA00017C601002328F694EDF7BDBF1757030081 +:104BB000130747B9F9BF7D1BE31A1BF5A5BF1757AC +:104BC0000300130727B8B70610F005078347F7FF60 +:104BD00023A0F6F01C40FD1717C60100232CF69009 +:104BE000EDF74AC45DBC97BC0100938CAC7DDA85BF +:104BF00066856923330CAC40E35D80EF1C401306EF +:104C00000004B70610F021A07D1CE3030CEE13870F +:104C10001700D6972380770197C5010023ACE58C58 +:104C2000BA87E313C7FE175703001307A7B1050799 +:104C30008347F7FF23A0F6F01C40FD1797C501003E +:104C400023AAF58AEDF7C9B717570300130787AFF3 +:104C5000BDFA4AC499B4A247138747009C433AC49B +:104C600013DBF741BDB3175703001307A7ADB70612 +:104C700010F005078347F7FF23A0F6F01C40FD174F +:104C800017C601002328F686EDF7854717C70100F0 +:104C90002322F78693078007175703002304F7AAF8 +:104CA00025B1635680019307D002E39EFBF297BCC7 +:104CB0000100938C2C7113078002930580023DBD87 +:104CC00097560300938606A8370610F0850603C79B +:104CD000F6FF2320E6F018407D1797C5010023ABAF +:104CE000E5806DF709BBBE8DA94C014D4DB4B707EA +:104CF00010F023A0A7F201A03971130341022ED2B4 +:104D00009A8506CE32D436D63AD83EDA42DC46DE32 +:104D10001AC63532F2400145216182805D7113036C +:104D2000810322D42AC632DC2A841A86680006D679 +:104D3000BEC236DEBAC0C2C4C6C61ACEEFF06FD449 +:104D4000B247238007003245B250018D2254616181 +:104D50008280B70710F083A647F403A607F403A7E1 +:104D600047F4E31AD7FE8966938686B5B29633B6C2 +:104D7000C600B305E60023A4D7F423A6B7F48280C7 +:104D8000B70710F003A747F403A607F483A647F478 +:104D9000E31AD7FE8966938686B5B29633B6C60007 +:104DA00023A4D7F4B305E60023A6B7F493070008BD +:104DB00073A047308280411122C406C697B7010014 +:104DC0009387C77317B401001304047398431C40FE +:104DD0001306F07C930647069387470697B50100B4 +:104DE00023AED57097B5010023A8F5706346D600B1 +:104DF000B2402244410182801307478997B70100DE +:104E000023AEE76EC92C8547631FF5001840856700 +:104E10009387F7760145E3DDE7FCD13D2244B240BC +:104E200041016FC0BFEC17B501001305255AE935E4 +:104E30000945753D2244B24041016FC03FEB17B5B3 +:104E400001001305255A4DBD17B501001305055A7C +:104E500065B5411122C426C206C6856417B4010097 +:104E600013044459938784380100FD17F5FF228508 +:104E70006135CDBF014525AA411106C6A92C0547BC +:104E800081476316E500B2403E854101828017B537 +:104E900001001305A553EFF03FE6B24089473E8578 +:104EA000410182807370043001A0828073700430ED +:104EB00001A0797106D622D426D22A8452CC4AD0B7 +:104EC0004ECE56CA5AC8EFD0FFFF97B7010093875E +:104ED000A76303DA070083D70700420A850717B7DD +:104EE00001002313F762EFE02F801044135A0A41A8 +:104EF000444055CA8567FD173EC693171A0097BAF6 +:104F00000100938AAA60014985490D4BBE9A084069 +:104F100081468145EFB05FD7630E3501104465FAD5 +:104F2000EFB0CFCE1044084081468145EFB0DFD5C9 +:104F3000E31635FF9840B2476303F7000549B247CF +:104F400081479CC098406387E7023247850705493F +:104F5000E379F7FE0840814601468145EFB0BF8204 +:104F600005491C44139527003E950605EFD06F9C1C +:104F7000104471BF32478507E375F7FC084081464E +:104F800001468145EFB03F8009CDE31C09FCE34AAF +:104F90004BFD83D70A008507C207C1872390FA001B +:104FA000C9B705497DBF9307F00F3EC6B9B7411198 +:104FB0004AC02A89314526C206C622C4EFE0DFE591 +:104FC000AA842DC90D4681450545EFB02FEF88C055 +:104FD000814601468145EFB00FFB9C40A1CF1145B2 +:104FE000EFE09FE3C8C09307F00F1CC18148014860 +:104FF00081470147A6861306004097B501009385B7 +:10500000A54023A40400170500001305C5EAEFC05E +:105010006FA58148014881470147A68613060040D5 +:1050200097B501009385C53E170500001305A5E857 +:10503000EFC04FA33145EFE03FDE2A8435C90D466E +:1050400081450545EFB08FE708C08146014681459F +:10505000EFB06FF31C40A9CF1145EFE0FFDB856790 +:1050600048C0FD171CC1130540068148014881470F +:105070004A87A2861306004097B501009385C5397B +:1050800008C417050000130505E3EFC0AF9D814874 +:10509000014881474A87A2861306004097B5010060 +:1050A00093850538170500001305E5E0EFC08F9BD9 +:1050B000884097B5010093852537EFC04F8208409F +:1050C0002244B2409244024997B501009385C53607 +:1050D00041016FC0CF8017B7010013072743035367 +:1050E0000700035F0700835F270097B501009385E2 +:1050F0002541835E27000396250083584700839748 +:105100000500839645000395650093950F01035EA6 +:105110004700C185035867000D8E93950801C1852E +:105120004203135303418D8E93150801B3876740E3 +:10513000C1853336C0003306C040B336D0000D8D74 +:10514000B337F00083556700F18F3307D040333514 +:10515000A000F98F3305A04017B701002312E73BE9 +:1051600017B70100231FD73917B70100231CC73910 +:1051700017B701002319B7387D8D8280AA95AA87B9 +:105180006385B70003C7070001E73385A740828026 +:105190008507FDB7814863DA05003305A040B337C2 +:1051A000A000B305B0409D8DFD5863D906003306BD +:1051B000C040B337C000B306D0409D8E32883683DE +:1051C000AA872E87639F061C97B601009386860EDA +:1051D00063F1C50C416E6377C60B130EF00F63735A +:1051E000CE002143335E6600F29603CE06001A9E7F +:1051F000130300023303C341630C0300B39565003E +:10520000335EC501331866003367BE00B31765000F +:10521000135E0801B355C70313150801418193D6E6 +:1052200007013376C703B305B5024206D18E63F892 +:10523000B600C29663E5060163F3B600C2968D8E92 +:1052400033F7C603C207C183B3D6C603B306D5027C +:1052500013150701C98F63F8D700C29763E50701EB +:1052600063F3D700C297958F33D567008145638A72 +:105270000800B307A0403337F000B305B0403E85C7 +:10528000998D8280370E00014143E36DC6F561437D +:1052900091BF01E605483358680241676373E80827 +:1052A0001307F00F63730701214333576800BA9661 +:1052B00003C606001A96130300023303C3406319A2 +:1052C0000306B38505419356080113150801418172 +:1052D00013D6070133F7D502B3D5D5024207518F54 +:1052E000B305B5026378B70042976365070163733E +:1052F000B7004297B305B74033F7D502C207C18361 +:10530000B3D5D502B305B50213150701C98F63F8EC +:10531000B700C29763E5070163F3B700C2978D8FAB +:10532000A1B7370700014143E361E8F86143B5BF26 +:105330003318680033DEC500935E08013356C5009C +:10534000B31765003355DE03B39565004D8E931595 +:105350000801C181935606013377DE033385A50228 +:105360004207558F6378A70042976365070163730F +:10537000A7004297B306A74033F7D60342064182FF +:10538000B3D6D6034207B386D502B365C70063F828 +:10539000D500C29563E5050163F3D500C295958DEF +:1053A0001DB7E3E6D5EC416863F50605930EF00FF3 +:1053B00033B8DE000E0833DE060117B30100130315 +:1053C00063EF7293834E0300130E0002C29E330EEE +:1053D000DE4163170E0263E4B6006369C500B307DC +:1053E000C540958D3335F5003387A5403E85BA8598 +:1053F000BDBD370300014148E3EF66FA614865BF70 +:105400003357D601B396C601D98EB357D50133D7DA +:10541000D501B395C501CD8F93D506013373B7027E +:10542000139F0601135F0F0113D807013316C6013E +:105430003315C5013357B702420333680301B30F75 +:10544000EF023A83637CF80136981303F7FF636732 +:10545000D8006375F8011303E7FF36983308F84165 +:10546000B37FB8023358B802C20FB3050F03139FBE +:105470000701135F0F0133EFEF014287637CBF0029 +:10548000369F1307F8FF6367DF006375BF001307DC +:10549000E8FF369F4203C16F3363E3009387FFFF4A +:1054A00013580601330FBF40B375F30013530301C4 +:1054B000F18F3387F502B307F302B3850503330396 +:1054C0000303BE95135807012E986373F8007E936B +:1054D000C167FD17935508013378F80042087D8FA6 +:1054E0009A9542976366BF00631BBF006379E5002E +:1054F0003306C7403337C700958D998D3287330700 +:10550000E5403335E500B305BF40898DB397D5013C +:105510003357C70133E5E700B3D5C50189BBAE8773 +:10552000328836872A836396062097B801009388CD +:1055300068D863FEC50A41676374E60A1307F00F73 +:105540003337C7000E07B356E600B69883C6080087 +:10555000369793060002998E99CAB397D7003357AE +:10556000E5003318D600B365F7003313D5001355A3 +:105570000801B3D7A502131608014182935603010F +:1055800033F7A502B305F6024207D98E3E8763FCC6 +:10559000B600C2961387F7FF63E7060163F5B6000E +:1055A0001387E7FFC2968D8EB3F7A602420313530B +:1055B0000301B3D6A602C20733E36700B305D602E0 +:1055C0003685637BB30042931385F6FF6366030160 +:1055D0006374B3001385E6FF4207498F81454DA8E8 +:1055E000B70600014147E360D6F66147A9BF01E66F +:1055F000854633D8C602C166637ED8089306F00F8D +:1056000063F306012147B356E800B69883C6080045 +:10561000BA9613070002158F49E7B38707418545FE +:10562000135608019318080193D8080193560301F3 +:1056300033F7C702B3D7C7024207D98E3385F802C2 +:105640003E8763FCA600C2961387F7FF63E7060157 +:1056500063F5A6001387E7FFC296898EB3F7C602EB +:10566000420313530301B3D6C602C20733E36700F4 +:10567000B388D8023685637B130142931385F6FF06 +:1056800063660301637413011385E6FF4207498FC4 +:105690003A858280B70600014147E366D8F6614744 +:1056A0009DB73318E800B3D5D7003313E500B356E0 +:1056B000D50013550801B397E70033F7A502B3E807 +:1056C000F60093170801C18313D60801B3D5A502CC +:1056D0004207518FB386B7022E86637CD70042976C +:1056E0001386F5FF636707016375D7001386E5FF2F +:1056F0004297B306D74033F7A602C20893D80801F1 +:10570000B3D6A6024207B385D702B367170136871F +:1057100063FCB700C2971387F6FF63E7070163F5E1 +:10572000B7001387E6FFC2978D8F93150601D98DB9 +:10573000C5BD63E2D514416763FEE6021308F00FAE +:105740003337D8000E0733D8E60097B501009385AC +:1057500065B6C29503C80500930500023A98B38563 +:10576000054185E10547E3E5F6F23335C50013470A +:10577000150039BFB70500014147E3E6B6FC6147B4 +:10578000D9B733570601B396B600D98E93DE06011A +:1057900033D70701B378D703B397B7003358050160 +:1057A0003363F80093970601C1831358030133163E +:1057B000B6003357D703C20833E80801338FE70236 +:1057C0003A8E637CE8013698130EF7FF6367D800C2 +:1057D0006375E801130EE7FF36983308E841B378A4 +:1057E000D8033358D803C208B38E070393170301B5 +:1057F000C183B3E7F800428763FCD701B69713076C +:10580000F8FF63E7D70063F5D7011307E8FFB69702 +:10581000420EB387D741C16E3367EE001388FEFF97 +:105820003373070193580701337806014182330E21 +:1058300003033388080393560E013303C3024293D4 +:105840009A96B388C80263F30601F69813D6060148 +:10585000B29863E01703E39317D9C167FD17FD8E74 +:10586000C206337EFE003315B500F2968145E37122 +:10587000D5E27D17A5B38145014719BDB2883687AA +:10588000AA872E886398061C97B60100938686A285 +:1058900063F8C50A4163637E66081303F00F637300 +:1058A000C30021473353E6009A9603CE0600130344 +:1058B00000023A9E3303C341630C0300B3956500B5 +:1058C000335EC501B31866003368BE00B3176500C8 +:1058D00013D608013377C80213950801418193D686 +:1058E00007013358C8024207D98E3308050363F80D +:1058F0000601C69663E5160163F30601C696B386F4 +:10590000064133F7C602C207C183B3D6C602B30647 +:10591000D50213150701C98F63F8D700C69763E551 +:10592000170163F3D700C697958F33D5670081457C +:105930008280370300014147E36666F661479DB701 +:1059400001E60546B3581603416663F3C80813061B +:10595000F00F63731601214733D6E800B29603CEE9 +:105960000600130300023A9E3303C3416319030682 +:10597000B385154113D7080113950801418113D64A +:105980000701B3F6E502B3D5E502C206D18EB30531 +:10599000B50263F8B600C69663E5160163F3B60078 +:1059A000C696B385B640B3F6E502C207C183B3D548 +:1059B000E502B305B50213950601C98F63F8B70078 +:1059C000C69763E5170163F3B700C6978D8FB1BF24 +:1059D000370600014147E3E1C8F86147B5BFB39816 +:1059E000680033D7C501B3176500335EC50113D511 +:1059F0000801B376A702B3956500336EBE00939598 +:105A00000801C18113560E013357A702C206D18E79 +:105A10003387E50263F8E600C69663E5160163F393 +:105A2000E600C6963386E640B376A602420E135EC3 +:105A30000E013356A602C2063386C502B3E5C6017F +:105A400063F8C500C69563E5150163F3C500C69507 +:105A5000918D0DB7E3EED5EC416763F5E604930E47 +:105A6000F00F33B7DE000E0733D3E60097B801001E +:105A7000938848849A9883CE0800130E0002BA9E39 +:105A8000330EDE4163170E0263E4B6006369C5009E +:105A9000B307C540958D3335F5003388A5403E8565 +:105AA000C28579B5B70800014147E3EF16FB6147AE +:105AB00065BF3357D601B396C601D98EB357D5010A +:105AC00033D7D501B395C501CD8F93D50601337377 +:105AD000B702139F0601135F0F0193D80701331616 +:105AE000C6013315C5013357B7024203B36813012A +:105AF0003308EF023A8363FC0801B6981303F7FFFB +:105B000063E7D80063F508011303E7FFB698B3888D +:105B10000841B3FFB802B3D8B802C20FB3051F03E0 +:105B2000139F0701135F0F0133EFEF014687637C7B +:105B3000BF00369F1387F8FF6367DF006375BF0000 +:105B40001387E8FF369F4203C1683363E3009387FE +:105B5000F8FF13580601330FBF40B375F30013531A +:105B60000301F18F3387F502B307F302B385050311 +:105B700033030303BE95135807012E986373F8008F +:105B80004693C167FD17935508013378F800420822 +:105B90007D8F9A9542976366BF00631BBF00637950 +:105BA000E5003306C7403337C700958D998D32879E +:105BB0003307E5403335E500B305BF40898DB39722 +:105BC000D5013357C70133E5E700B3D5C5018DB320 +:105BD00097B701009387878F944317B70100130786 +:105BE000A78D1843C8C2637BE50017B701001307F0 +:105BF000678D08438C4391056FA09F94411122C487 +:105C000006C617B701001307278C2A8408438C4364 +:105C10009105EFA0FF9297B70100938727889C43D7 +:105C20006376F40097B7010023AA8786B240224426 +:105C30004101828097B70100938747899843944335 +:105C40007C43FD177CC3FC4299E37360043082807F +:105C5000011122CC26CA2A84AE844AC84EC652C438 +:105C600056C206CEEFC08F891C4003290401B70934 +:105C70000001B3E59700930AC4000CC08144FD19EC +:105C8000370A00021840638C2A03032609004A855C +:105C9000B3654701B376360193175600758F032914 +:105CA000490063C4070001E7F1BFE39DE6FC9317D9 +:105CB000760063D30700D58CEFC03FF3E1B793C400 +:105CC000F4FFF98C04C0EFC06FA80840F2406244B2 +:105CD000D2444249B249224A924A05618280411126 +:105CE000014506C622C4EFF08FAC17F7FFFF13077C +:105CF000C70C814605469305400617A5010013050C +:105D0000E56FEFD09FC219C92A84EFC02F802A8681 +:105D10000147814685452285EFD0DFCDEFB09FD882 +:0A5D2000B240224401454101828097 +:02000004800278 +:10000000B444FEFFB245FEFFBC44FEFFB245FEFF16 +:10001000B245FEFFB245FEFFB245FEFFD444FEFFEF +:10002000B245FEFFB245FEFFEE44FEFFFA44FEFF7E +:10003000B245FEFF0C45FEFF1845FEFF1845FEFFCA +:100040001845FEFF1845FEFF1845FEFF1845FEFF48 +:100050001845FEFF1845FEFF1845FEFFB245FEFF9E +:10006000B245FEFFB245FEFFB245FEFFB245FEFFC0 +:10007000B245FEFFB245FEFFB245FEFFB245FEFFB0 +:10008000B245FEFFB245FEFFB245FEFFB245FEFFA0 +:10009000B245FEFFB245FEFFB245FEFFB245FEFF90 +:1000A000B245FEFFB245FEFFB245FEFFB245FEFF80 +:1000B000B245FEFFB245FEFFB245FEFFB245FEFF70 +:1000C000B245FEFFB245FEFFB245FEFFB245FEFF60 +:1000D000B245FEFFB245FEFFB245FEFFB245FEFF50 +:1000E000B245FEFFB245FEFFB245FEFFB245FEFF40 +:1000F000B245FEFFB245FEFFB245FEFFB245FEFF30 +:100100004C45FEFF6245FEFFB245FEFFB245FEFFD5 +:10011000B245FEFFB245FEFFB245FEFFB245FEFF0F +:10012000B245FEFFA845FEFFB245FEFFB245FEFF09 +:100130005A43FEFF1244FEFFB245FEFFB245FEFFEA +:100140004844FEFFB245FEFFA044FEFFB245FEFF5D +:10015000B245FEFFCE44FEFFC048FEFF1449FEFF3D +:10016000C848FEFF1449FEFF1449FEFF1449FEFF74 +:100170001449FEFFBA47FEFF1449FEFF1449FEFF73 +:10018000D447FEFFE047FEFF1449FEFFF247FEFFA3 +:10019000FE47FEFFFE47FEFFFE47FEFFFE47FEFF57 +:1001A000FE47FEFFFE47FEFFFE47FEFFFE47FEFF47 +:1001B000FE47FEFF1449FEFF1449FEFF1449FEFFEF +:1001C0001449FEFF1449FEFF1449FEFF1449FEFFC7 +:1001D0001449FEFF1449FEFF1449FEFF1449FEFFB7 +:1001E0001449FEFF1449FEFF1449FEFF1449FEFFA7 +:1001F0001449FEFF1449FEFF1449FEFF1449FEFF97 +:100200001449FEFF1449FEFF1449FEFF1449FEFF86 +:100210001449FEFF1449FEFF1449FEFF1449FEFF76 +:100220001449FEFF1449FEFF1449FEFF1449FEFF66 +:100230001449FEFF1449FEFF1449FEFF1449FEFF56 +:100240001449FEFF1449FEFF1449FEFF1449FEFF46 +:100250001449FEFF1449FEFF3248FEFF6048FEFFCE +:100260001449FEFF1449FEFF1449FEFF1449FEFF26 +:100270001449FEFF1449FEFF1449FEFFB648FEFF75 +:100280001449FEFF1449FEFF1846FEFF1247FEFF09 +:100290001449FEFF1449FEFF8447FEFF1449FEFF88 +:1002A000AA47FEFF1449FEFF1449FEFF0E49FEFF58 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020787878207461736B73200D0A00000018 +:1003E0006D69616F750A00006D69616F75320A0091 +:1003F00068756E6772790A00436865636B54696D4E +:1004000065720000506F6C53454D3100506F6C5356 +:10041000454D3200426C6B53454D3100426C6B537D +:10042000454D3200436F756E74696E675F53656D3D +:100430005F310000436F756E74696E675F53656D61 +:040440005F32000027 +:100444001000000000000000017A5200017C01014C +:100454001B0D02001000000018000000344DFEFFC8 +:100464008A03000000000000100000002C000000BF +:10047400AA50FEFF5E030000000000001000000010 +:1004840040000000F453FEFF54030000000000008D +:08051000FC8F0100090000004E +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/sp_flop_rv32i_O0.hex b/VexRiscv/src/test/resources/freertos/sp_flop_rv32i_O0.hex new file mode 100644 index 0000000..5009c88 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/sp_flop_rv32i_O0.hex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diff --git a/VexRiscv/src/test/resources/freertos/sp_flop_rv32i_O3.hex b/VexRiscv/src/test/resources/freertos/sp_flop_rv32i_O3.hex new file mode 100644 index 0000000..de0cd84 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/sp_flop_rv32i_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/sp_flop_rv32ic_O0.hex b/VexRiscv/src/test/resources/freertos/sp_flop_rv32ic_O0.hex new file mode 100644 index 0000000..dcc6982 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/sp_flop_rv32ic_O0.hex @@ -0,0 +1,1840 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900021C2170502001305E542979503009385D9 +:1000A000257401461122170502001305A53F970587 +:1000B00002009385A53F0146C52817A50300130537 +:1000C000657297B503009385A57137B6ABAB130680 +:1000D000B6BAD92817B103001301C170EF40807D73 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2022723A02200F322103497 +:1002400096DEEF006030170102000321A125024174 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E22123A0120023A2B1 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40804D170102000321E2 +:1003300061170241F65273901234B7220000938283 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A21383A0B8 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2020523A0220086DEEF108D +:10046000B040170102000321E1030241F6527390EC +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F0961730020309707BF +:1004C00002009387E7FE9843FD576305F7007370BA +:1004D000043001A07370043001A041112AC6B24754 +:1004E000739047300100410182804111F327403071 +:1004F0003EC673F04330B2473E85410182804111D0 +:100500002AC62EC432C2B247F1173EC62247B247AE +:1005100098C3B247938787FA3EC61247B24798C33B +:10052000B247A1173EC68E873E87B24798C3B247EF +:10053000D1173EC6170700001307A7F8B24798C3A4 +:10054000B2473E8541018280411106C6EF40A0239B +:10055000EF10D014AA8799C3EF1010310100B240F8 +:100560004101828041112AC6B24713878700B247F2 +:10057000D8C3B2477D5798C7B24713878700B247A1 +:10058000D8C7B24713878700B24798CBB24723A09A +:10059000070001004101828041112AC6B24723A809 +:1005A000070001004101828001112AC62EC4B24712 +:1005B000DC433ECEA2477247D8C3F2479847A247D2 +:1005C00098C7F2479C472247D8C3F247224798C7AB +:1005D000A247324798CBB2479C4313871700B247D4 +:1005E00098C301000561828001112AC62EC4A2476A +:1005F0009C433ECC6247FD576316F700B2479C4BC5 +:100600003ECE31A8B247A1073ECE21A0F247DC433F +:100610003ECEF247DC439C436247E379F7FEF24764 +:10062000D843A247D8C3A247DC43224798C7A24772 +:10063000724798C7F2472247D8C3A247324798CBA0 +:10064000B2479C4313871700B24798C30100056166 +:10065000828001112AC6B2479C4B3ECEB247DC4392 +:100660003247184798C7B2479C4732475843D8C3C8 +:10067000F247DC4332476316F700B2479847F24728 +:10068000D8C3B24723A80700F2479C431387F7FF5C +:10069000F24798C3F2479C433E8505618280797199 +:1006A00006D622D42AC62EC4B2473ECEF24781E7F0 +:1006B0007370043001A0EF202057F2478043F247C7 +:1006C000D85FF247BC43BE853A85EF606060AA8779 +:1006D0003307F400F247D8C3F24723AC0702F247CE +:1006E0009843F24798C7F2478043F247DC5F13878D +:1006F000F7FFF247BC43BE853A85EF60605DAA878D +:100700003307F400F247D8C7F2477D57F8C3F247E2 +:100710007D57B8C7A24799EFF2479C4B8DC7F24768 +:10072000C1073E85EF1090402A878547631DF7007B +:10073000F13911A8F247C1073E852D35F24793875D +:1007400047023E850535EF20005185473E85B25072 +:10075000225445618280797106D62AC62EC4B2879A +:10076000A303F10002CCB24781E77370043001A00B +:10077000A24799E302CE01A8A2453245EF60405559 +:10078000AA8785073ECEF247938747053E85EF301F +:10079000805C2ACAD24785CFA24789E7D247524711 +:1007A00098C331A0D24713874705D24798C3D24791 +:1007B0003247D8DFD2472247B8C385455245C535B1 +:1007C000D247034771002388E704D2473ECCE24773 +:1007D00081E77370043001A0E2473E85B250456165 +:1007E0008280797106D6AA87A307F1001305400518 +:1007F000EF3060562ACEF247B9CFF24723A2070066 +:10080000F24723A00700F24723A40700F24723A6DC +:100810000700F24723AC0702F2470547D8DFF2474B +:1008200023A00704F2477D57F8C3F2477D57B8C7A6 +:10083000F2470347F1002388E704F247C1073E85EA +:100840001533F247938747023E85293B814601468F +:10085000814572453122F2473E85B2504561828022 +:10086000797106D622D42AC6B2473ECCE24781E748 +:100870007370043001A0E247C043EF20001DAA8737 +:100880006313F402E247DC471387F7FFE247D8C758 +:10089000E247DC4791E78146014681456245C92030 +:1008A00085473ECE11A002CEF2473E85B25022547B +:1008B00045618280797106D622D42AC62EC4B247F9 +:1008C0003ECCE24781E77370043001A0E247C043A9 +:1008D000EF20A017AA87631BF400E247DC471387C9 +:1008E0001700E247D8C785473ECE0DA08146224675 +:1008F00081456245252E2ACE724785476318F70049 +:10090000E247DC4713871700E247D8C7F2473E8526 +:10091000B250225445618280797106D62AC62EC40F +:10092000B24781E77370043001A02247B24763F5F4 +:10093000E7007370043001A0094681453245213D2E +:100940002ACEF24781C7F247224798DFF24781E774 +:100950007370043001A0F2473E85B2504561828039 +:10096000397106DE2AC62EC432C236C002D6B2475C +:100970003ED4A25781E77370043001A0A24781E7FB +:10098000A257BC4399E3854711A0814781E7737063 +:10099000043001A0024789476317F700A257D85FC8 +:1009A00085476314F700854711A0814781E773707D +:1009B000043001A0EF20C00AAA8799E3924799E387 +:1009C000854711A0814781E77370043001A0EF20B3 +:1009D000A025A257985FA257DC5F6366F700024725 +:1009E0008947631DF7020246A245225565232AD294 +:1009F000A257DC5389CFA257938747023E85EF1059 +:100A0000F0122A8785476317F700093421A092570F +:100A100091C3ED3AEF202024854771A8924789E7DA +:100A2000EF206023814741A8B25799E77C083E85B3 +:100A3000EF10702785473ED6EF20E021EF10401AD7 +:100A4000EF20801EA257F843FD576315F700A25709 +:100A500023A20704A257B847FD576315F700A25712 +:100A600023A40704EF20201F58007C08BA853E8588 +:100A7000EF107026AA879DEB2255EF006071AA87C0 +:100A80008DC3A257C1071247BA853E85EF10E070AB +:100A900022551525EF108016AA87E39A07F2BD327A +:100AA0003DB72255092DEF10601515B722552125A8 +:100AB000EF10C01481473E85F25021618280797128 +:100AC00006D62AC62EC432C236C0B2473ECCE24752 +:100AD00081E77370043001A0A24781E7E247BC437D +:100AE00099E3854711A0814781E77370043001A025 +:100AF000024789476317F700E247D85F85476314C9 +:100B0000F700854711A0814781E77370043001A089 +:100B1000E93AAA873ECAE247985FE247DC5F63662C +:100B2000F700024789476315F7040246A24562456C +:100B30009521E247B847FD576313F702E247DC53BC +:100B40008DC7E247938747023E85EF10207EAA8734 +:100B500089CF924799CB9247054798C339A0E2477E +:100B6000BC4713871700E247B8C785473ECE11A0A0 +:100B700002CED2473E859532F2473E85B25045615E +:100B80008280797106D62AC62EC4B2473ECCE2478F +:100B900081E77370043001A0E247BC4381C77370E2 +:100BA000043001A0E2479C4381E7E247DC4399E33C +:100BB000854711A0814781E77370043001A0353269 +:100BC000AA873ECAE247985FE247DC5F6377F70493 +:100BD000E2479C5F13871700E24798DFE247B84778 +:100BE000FD576313F702E247DC538DC7E247938753 +:100BF00047023E85EF108073AA8789CFA24799CB21 +:100C0000A247054798C339A0E247BC47138717009E +:100C1000E247B8C785473ECE11A002CED2473E85F7 +:100C20006D38F2473E85B25045618280397106DEEB +:100C30002AC62EC432C236C002D6B2473ED4A2570C +:100C400081E77370043001A0A24781E7A257BC433B +:100C500099E3854711A0814781E77370043001A0B3 +:100C6000EF101060AA8799E3924799E3854711A096 +:100C7000814781E77370043001A0EF10F07AA2572A +:100C80009C5FB5CBA257DC473ED2A2452255F92E38 +:100C9000824795EFA2579C5F1387F7FFA25798DF13 +:100CA000A2579C4391E7EF2060022A87A257D8C33E +:100CB000A2579C4B8DCFA257C1073E85EF1000670E +:100CC0002A8785476315F702EFF04FF40DA0A2576E +:100CD0001257D8C7A257DC5399CBA2579387470224 +:100CE0003E85EF10A064AA8799C3EFF02FF2EF10B2 +:100CF000907685475DA8924789E7EF10D0758147C8 +:100D00006DA0B25799E77C083E85EF10C079854702 +:100D10003ED6EF105074EF00B06CEF10F070A25799 +:100D2000F843FD576315F700A25723A20704A25703 +:100D3000B847FD576315F700A25723A40704EF1027 +:100D4000907158007C08BA853E85EF10C078AA875C +:100D5000A1EB2255CD2EAA879DCFA2579C4391EBA4 +:100D6000EF10906CA257DC433E85EF107052EF10ED +:100D7000906EA257938747021247BA853E85EF10BF +:100D8000C04122550D2EEF007067AA87E39707EE4A +:100D9000EFF0CFE7DDB52255392EEF003066F1BD1B +:100DA0002255112EEF00906581473E85F25021615A +:100DB0008280797106D62AC62EC432C2B2473ECC92 +:100DC000E24781E77370043001A0A24781E7E24760 +:100DD000BC4399E3854711A0814781E773700430D4 +:100DE00001A0EFF08FF0AA873ECAE2479C5FA1CB3B +:100DF000A2456245A52CE2479C5F1387F7FFE247B7 +:100E000098DFE247F843FD576312F702E2479C4B35 +:100E100085C7E247C1073E85EF104051AA8789CFB9 +:100E2000924799CB9247054798C339A0E247FC43C4 +:100E300013871700E247F8C385473ECE11A002CEC4 +:100E4000D2473E85EFF06FE9F2473E85B2504561EB +:100E50008280797106D62AC62EC4B2473ECCE247BC +:100E600081E77370043001A0A24781E7E247BC43E9 +:100E700099E3854711A0814781E77370043001A091 +:100E8000E247BC4381E77370043001A0EFF0EFE567 +:100E9000AA873ECAE2479C5F89CFE247DC473EC84B +:100EA000A2456245E122E2474247D8C785473ECE88 +:100EB00011A002CED2473E85EFF02FE2F2473E85E9 +:100EC000B25045618280797106D62AC6B24781E761 +:100ED0007370043001A0EF103055B2479C5F3ECED6 +:100EE000EF107057F2473E85B250456182807971AC +:100EF00006D62AC6B2473ECEF24781E77370043069 +:100F000001A0EF107052F247D85FF2479C5FB30721 +:100F1000F7403ECCEF103054E2473E85B250456179 +:100F2000828001112AC6B24781E77370043001A0A4 +:100F3000B2479C5F3ECEF2473E8505618280797163 +:100F400006D62AC6B2473ECEF24781E77370043018 +:100F500001A07245E5247245EF20F0720100B25005 +:100F60004561828041112AC6B247FC473E85410156 +:100F7000828041112AC62EC4B2472247F8C7010019 +:100F80004101828041112AC6B24783C707053E85C9 +:100F900041018280797106D62AC62EC432C202CEA1 +:100FA000B247BC4391EFB2479C43D5E7B247DC431D +:100FB0003E85EF10903B2ACEB24723A2070061A8DE +:100FC000924785EFB2479847B247BC433E86A24559 +:100FD0003A85EF20F079B2479847B247BC433E9735 +:100FE000B24798C7B2479847B247DC436365F706F4 +:100FF000B2479843B24798C7B9A8B247D847B24753 +:10100000BC433E86A2453A85EF209076B247D8474A +:10101000B247BC43B307F0403E97B247D8C7B24788 +:10102000D847B2479C43637BF700B247D843B247E7 +:10103000BC43B307F0403E97B247D8C71247894731 +:10104000631BF700B2479C5F99C7B2479C5F138749 +:10105000F7FFB24798DFB2479C5F13871700B2478C +:1010600098DFF2473E85B25045618280011106CE7D +:101070002AC62EC4B247BC439DCBB247D847B2471D +:10108000BC433E97B247D8C7B247D847B247DC43C4 +:101090006366F700B2479843B247D8C7B247D8470C +:1010A000B247BC433E86BA852245EF20706C0100F2 +:1010B000F24005618280011106CE2AC6EF10D036BB +:1010C00025A0B247DC5395C7B247938747023E85B8 +:1010D000EF10C025AA8799C3EF104049B247BC471B +:1010E0001387F7FFB247B8C7B247BC47E34BF0FCE2 +:1010F00011A00100B2477D57B8C7EF10D035EF10EF +:10110000B0321DA0B2479C4B8DC7B247C1073E8588 +:10111000EF10C021AA8799C3EF104045B247FC43A6 +:101120001387F7FFB247F8C3B247FC43E34CF0FC28 +:1011300011A00100B2477D57F8C3EF10D031010074 +:10114000F24005618280797106D62AC6EF10D02D53 +:10115000B2479C5F81E785473ECE11A002CEEF10DB +:10116000902FF2473E85B2504561828001112AC618 +:10117000B24781E77370043001A0B2479C5F81E7FA +:1011800085473ECE11A002CEF2473E8505618280A2 +:10119000797106D62AC6EF103029B247985FB24758 +:1011A000DC5F6315F70085473ECE11A002CEEF103D +:1011B000902AF2473E85B2504561828001112AC6CD +:1011C000B24781E77370043001A0B247985FB2471D +:1011D000DC5F6315F70085473ECE11A002CEF247D3 +:1011E0003E850561828001112AC62EC402CE81A0EF +:1011F000178703001307075BF2478E07BA979C43D4 +:1012000085E7178703001307E759F2478E07BA9758 +:10121000224798C3178703001307C758F2478E0762 +:10122000BA973247D8C301A8F24785073ECE724726 +:101230009D47E3FFE7FA01000561828001112AC69C +:1012400002CE0DA8178703001307C755F2478E0774 +:10125000BA97DC433247631CF70017870300130774 +:101260006754F2478E07BA9723A0070001A8F247F8 +:1012700085073ECE72479D47E3F6E7FC0100056116 +:101280008280797106D62AC62EC432C2B2473ECEBB +:10129000EF109019F247F843FD576315F700F24736 +:1012A00023A20704F247B847FD576315F700F2473A +:1012B00023A40704EF10301AF2479C5F89EBF24732 +:1012C000938747021246A2453E85EF00907E724505 +:1012D000DD330100B25045618280397106DE2ACECD +:1012E0002ECC36C83AC63EC442C246C0B287231B83 +:1012F000F100F24781E77370043001A03247A5473F +:1013000063F5E7007370043001A0835761019245D3 +:101310003E85EF1080512AD4A257638F0712A2573F +:10132000985B83566101B7070040FD17B6978A079F +:10133000BA973ED29257F19B3ED292578D8B81C77E +:101340007370043001A0835761013E8782463246A4 +:10135000E2452255EF10E0274246F2451255EFF0E4 +:101360000F9A2A87A25798C3A24781C7A24722573C +:1013700098C3EF10700B97F701009387E72F9C43FA +:101380001387170097F701009387072F98C397F7E4 +:101390000100938727119C4395E397F701009387FA +:1013A0006710225798C397F701009387E72C98435B +:1013B0008547631AF702EF10C02C35A097F701009C +:1013C0009387472C9C4385E397F701009387870D0C +:1013D0009C43DC5732476368F70097F70100938717 +:1013E000670C225798C397F701009387A72A9C435D +:1013F0001387170097F701009387C72998C397F7BA +:101400000100938727299843A257B8C7A257D857F6 +:1014100097F701009387C7269C4363F9E700A2571B +:10142000D85797F701009387A72598C3A257D85795 +:10143000BA878A07BA978A0717F701001307471078 +:101440003E97A2579107BE853A85EFF0EF95854705 +:101450003ED6EF10500019A0FD573ED632578547B3 +:101460006313F70297F701009387C7219C4381CF4D +:1014700097F70100938707039C43DC57324763F4D7 +:10148000E700EFE0BFF8B2573E85F250216182805D +:10149000797106D62AC6EF102079B24799E797F7F7 +:1014A0000100938727009C4311A0B2473ECEF2472C +:1014B00091073E85EFF0EF99F2479C5791C7F247AD +:1014C000E1073E85EFF0EF98F2479107BE8517F5EB +:1014D00001001305A517EFF02F8D97F701009387F3 +:1014E00027189C431387170097F701009387471726 +:1014F00098C397F701009387E7199C431387170058 +:1015000097F701009387071998C3EF10C07497F7F6 +:101510000100938727179C439DCB97F70100938782 +:1015200067F89C437247631EF70097F701009387A3 +:10153000E7169C4381C77370043001A0EFE01FEDF4 +:1015400039A0EF10606EEF10A04BEF10C0700100DB +:10155000B25045618280797106D62AC62EC402CE69 +:10156000B24781E77370043001A0A24781E773702E +:10157000043001A097F70100938747129C4381C76D +:101580007370043001A0A92D97F701009387070F0E +:101590009C433ECCB2479C432247BA973ECAB247CF +:1015A0009C436247637EF700B2479C435247637493 +:1015B000F7025247E24763F0E70285473ECE21A893 +:1015C000B2479C4352476366F7005247E24763F4D1 +:1015D000E70085473ECEB247524798C3F24789CFCE +:1015E00097F70100938707EC9C4391073E85EFF046 +:1015F0004F865245EF10001B11252AC8C24799E3B8 +:10160000EFE0DFE00100B25045618280797106D6DB +:101610002AC602CEB247A9C397F7010093870708ED +:101620009C4381C77370043001A05D2B97F70100C4 +:101630009387C7049C433247BA973ECC97F7010083 +:10164000938747E69C4391073E85EFF08F80624584 +:10165000EF10401565232ACEF24799E3EFE01FDB38 +:101660000100B25045618280797106D62AC6B24720 +:101670003ECCE24781E77370043001A097F7010088 +:10168000938747E29C4362476314F70002CEBDA0F4 +:10169000EF108059E247DC4B3ECAEF10C05B97F772 +:1016A00001009387E7F89C435247630AF70097F7D6 +:1016B0000100938727F89C4352476315F700894739 +:1016C0003ECE2DA8524797F701009387A7F9631BD9 +:1016D000F700E2479C5781E78D473ECE05A089473A +:1016E0003ECE29A8524797F70100938727F6631546 +:1016F000F70091473ECE19A085473ECEF2473E8582 +:10170000B25045618280797106D62AC6EF10C05169 +:10171000B24799E797F701009387C7D89C4311A078 +:10172000B2473ECEF247DC573ECCEF10C052E24704 +:101730003E85B25045618280797106D62AC6EFE0B7 +:10174000DFDAAA873ECEB24799E797F70100938781 +:1017500067D59C4311A0B2473ECCE247DC573ECA56 +:10176000F2473E85EFE07FD7D2473E85B2504561D4 +:101770008280797106D62AC62EC402CE2247A5479A +:1017800063F5E7007370043001A02247A54763F4B6 +:10179000E700A5473EC4EF102049B24799E797F705 +:1017A0000100938727D09C4311A0B2473ECCE2476B +:1017B000BC4B3ECA5247A247630AF70E2247D247A4 +:1017C00063F6E70297F701009387C7CD9C43624712 +:1017D0006308F70297F701009387C7CC9C43DC5757 +:1017E0002247636FF70085473ECE19A897F701009F +:1017F000938747CB9C4362476314F70085473ECEEF +:10180000E247DC573EC8E247B84BE247DC57631576 +:10181000F700E2472247D8D7E2472247B8CBE24752 +:101820009C4F63C707002947A2471D8FE24798CF07 +:10183000E247D44B4247BA878A07BA978A0717F715 +:1018400001001307E7CFBA976394F600854711A00C +:101850008147B9C7E24791073E85EFE09FDFE24746 +:10186000D85797F701009387A7E19C4363F9E700F6 +:10187000E247D85797F70100938787E098C3E2477C +:10188000D857BA878A07BA978A0717F7010013074C +:1018900027CB3E97E2479107BE853A85EFE0DFD040 +:1018A000724785476314F700EFE05FB6EF10A03A88 +:1018B0000100B25045618280797106D62AC6EF10C8 +:1018C000A036B24799E797F701009387A7BD9C43DD +:1018D00011A0B2473ECEF24791073E85EFE07FD799 +:1018E000F2479C5791C7F247E1073E85EFE07FD66C +:1018F000F2479107BE8517F501001305A5D6EFE065 +:10190000BFCAEF10403597F701009387A7B99C43F2 +:1019100072476319F70497F701009387A7D69C4392 +:1019200089CF97F70100938767D79C4381C773706E +:10193000043001A0EFE09FAD91A097F701009387DD +:1019400067D2984397F70100938707D39C436319A5 +:10195000F70097F701009387E7B423A0070039A8A1 +:10196000212729A897F701009387C7D19C4399C7DE +:10197000EF10802BEF10C008EF10E02D0100B250E7 +:101980004561828001112AC602CEB2473ECCB247E1 +:1019900081E77370043001A0E247D84B97F701004C +:1019A000938747CC6314F700854711A0814795C3FF +:1019B000E247985797F70100938707C8630BF70032 +:1019C000E2479C5799E3854711A0814799C3854712 +:1019D0003ECEF2473E8505618280797106D62AC6E1 +:1019E000B2473ECEB24781E77370043001A0F247A0 +:1019F000C9C797F701009387E7AA9C437247630E14 +:101A0000F706EF1060227245B53F2A8785476314B9 +:101A1000F706F24791073E85EFE0BFC3F247D8577C +:101A200097F701009387C7C59C4363F9E700F24726 +:101A3000D85797F701009387A7C498C3F247D857A0 +:101A4000BA878A07BA978A0717F70100130747AFC3 +:101A50003E97F2479107BE853A85EFE0FFB4F24723 +:101A6000D85797F701009387E7A39C43DC5763643B +:101A7000F700EFE0BF99EF10001E0100B250456182 +:101A80008280797106D62AC602CEB2473ECCB247D2 +:101A900081E77370043001A0EFE03FA5AA873ECA3A +:101AA0006245CD352A8785476314F70897F701000B +:101AB0009387C7BE9C43A5E7E247D85797F7010035 +:101AC0009387479E9C43DC576364F70085473ECE6F +:101AD000E24791073E85EFE0DFB7E247D85797F737 +:101AE00001009387E7B99C4363F9E700E247D857C1 +:101AF00097F701009387C7B898C3E247D857BA87CA +:101B00008A07BA978A0717F70100130767A33E975A +:101B1000E2479107BE853A85EFE01FA911A8E24789 +:101B2000E107BE8517F50100130505B1EFE0DFA75A +:101B3000D2473E85EFE07F9AF2473E85B25045613D +:101B40008280011106CE81480148814701478146C4 +:101B50001306004097E501009385C54A171500005C +:101B6000130585A5EFF06FF72AC632478547631541 +:101B7000F700EF10C06D2AC6324785476319F70298 +:101B80007370043097F70100938707B17D5798C3AE +:101B900097F70100938707AF054798C397F70100B0 +:101BA0009387C7AD23A00700EFE0AFEF31A0B247A6 +:101BB00081E77370043001A00100F240056182806A +:101BC000411106C67370043097F70100938787AB05 +:101BD00023A00700EFE02FFB0100B240410182800B +:101BE00097F70100938787AB9C431387170097F7FC +:101BF00001009387A7AA98C301008280011106CE35 +:101C000002C697F70100938767A99C4381E7737029 +:101C1000043001A0EF10400197F70100938707A857 +:101C20009C431387F7FF97F70100938727A798C373 +:101C300097F70100938787A69C436390071097F757 +:101C40000100938767A39C436389070E59A097F708 +:101C500001009387679EDC47DC473EC4A247E1074B +:101C60003E85EFE01F9FA24791073E85EFE07F9EF4 +:101C7000A247D85797F70100938787A09C4363F941 +:101C8000E700A247D85797F701009387679F98C34B +:101C9000A247D857BA878A07BA978A0717F7010069 +:101CA0001307078A3E97A2479107BE853A85EFE062 +:101CB000BF8FA247D85797E701009387A77E9C4321 +:101CC000DC576368F70097F701009387279C054767 +:101CD00098C397F70100938727969C43ADFB97F72E +:101CE00001009387679A9C438DCF35A0452AAA8728 +:101CF00099C797F7010093876799054798C397F7A6 +:101D00000100938767989C431387F7FF97F70100BB +:101D10009387879798C397F701009387E7969C432B +:101D2000F1F797F701009387679698438547631605 +:101D3000F70085473EC6EFE06FEDEF00D071B24788 +:101D40003E85F24005618280011106CEEF00D06D24 +:101D500097F70100938787929C433EC6EF00B06FD0 +:101D6000B2473E85F24005618280011106CEEFE068 +:101D7000CFF7AA873EC697F70100938727909C4329 +:101D80003EC4B2473E85EFE04FF5A2473E85F240A4 +:101D90000561828097F701009387078E9C433E85FB +:101DA0008280797106D62AC62EC432C202CEA947D5 +:101DB0003ECC3D3597F701009387078C9C43224723 +:101DC0006368F70CE247FD173ECCF24796073247AF +:101DD000B306F7006247BA878A07BA978A0717E7F8 +:101DE00001001307E775BA970546BE853685EF00F3 +:101DF000902A2A87F247BA973ECEE247E1F7F247A8 +:101E0000960732473E9797F70100938767829C4376 +:101E10000946BE853A85EF0010282A87F247BA970F +:101E20003ECEF247960732473E9797F701009387D9 +:101E300067809C430946BE853A85EF00D0252A87F6 +:101E4000F247BA973ECEF24796073247BA97114605 +:101E500097E501009385857F3E85EF00D0232A8793 +:101E6000F247BA973ECEF24796073247BA970D46E9 +:101E700097E501009385057F3E85EF00D0212A87F5 +:101E8000F247BA973ECE924781C7924723A00700F8 +:101E9000B533F2473E85B25045618280797106D6EE +:101EA00002CE97E701009387677F9C4363950718ED +:101EB00097E701009387877C9C431387170097E778 +:101EC00001009387A77B98C397E701009387077B5F +:101ED0009C433ECCE247B9EF97E7010093874775F3 +:101EE0009C439C4381C77370043001A097E70100B5 +:101EF000938707749C433ECA97E7010093878773D3 +:101F0000984397E701009387A77298C397E701006A +:101F100093874772524798C397E7010093874777A3 +:101F20009C431387170097E701009387677698C350 +:101F3000EF00102D97E70100938707769C436247D7 +:101F40006365F70C97E701009387876E9C439C437A +:101F500099E3854711A0814781CB97E701009387DB +:101F6000A7737D5798C355A097E701009387476CE7 +:101F70009C43DC47DC473EC8C247DC433EC6624761 +:101F8000B2476379F70097E701009387E77032471C +:101F900098C3A5A8C24791073E85EFE08FEBC247E3 +:101FA0009C5791C7C247E1073E85EFE08FEAC247E1 +:101FB000D85797E701009387A76C9C4363F9E70024 +:101FC000C247D85797E701009387876B98C3C247EA +:101FD000D857BA878A07BA978A0717E70100130705 +:101FE00027563E97C2479107BE853A85EFE0CFDB83 +:101FF000C247D85797E701009387C74A9C43DC57ED +:10200000E362F7F485473ECE35BF97E7010093873B +:1020100067499C43D85797E6010093866652BA8772 +:102020008A07BA978A07B6979843854763F1E7020C +:1020300085473ECE29A897E701009387E7649C4334 +:102040001387170097E701009387076498C397E702 +:1020500001009387A7639C4399C385473ECEF2470F +:102060003E85B25045618280011106CE97E701009E +:102070009387C7629C4381CB97E7010093870761F1 +:10208000054798C339A297E701009387276023A0EB +:10209000070097E701009387E7409C439C5B3EC69F +:1020A000B7A7A5A59387575A3EC4B2479C4322477A +:1020B0006314F702B24791079C432247631EF7005F +:1020C000B247A1079C4322476318F700B247B10704 +:1020D0009C4322476308F70497E701009387873CF6 +:1020E000984397E701009387E73B9C439387470317 +:1020F000BE853A85EF20F00A35A097E70100938767 +:1021000027589C4381E77370043001A097E70100D2 +:10211000938707579C431387F7FF97E7010093873F +:10212000275698C397E7010093878755984397E60A +:1021300001009386E640BA878A07BA978A07B6975E +:102140009C43C5DF97E70100938787539843BA877D +:102150008A07BA978A0717E701001307673EBA97FD +:102160003EC29247DC43D8439247D8C39247D843F4 +:102170009247A1076317F7009247DC43D843924781 +:10218000D8C39247DC43D84797E70100938787314C +:1021900098C30100F24005618280797106D62AC693 +:1021A0002EC4B24781E77370043001A097E70100A5 +:1021B0009387472F9C43E107BE853245EFE0CFC2AE +:1021C00097E701009387072E9C4391073E85EFE038 +:1021D0004FC82247FD576310F70297E70100938726 +:1021E000672C9C439107BE8517E5010013058547C1 +:1021F000EFE08FBB19A897E70100938727489C431E +:102200002247BA973ECE7245712B0100B25045610C +:102210008280797106D62AC62EC432C2B24781E7BF +:102220007370043001A097E70100938727479C4310 +:1022300081E77370043001A097E701009387872638 +:102240009C43A24637070080558F98CF97E701003F +:10225000938747259C43E107BE853245EFE0CFB425 +:1022600097E70100938707249C4391073E85EFE0A1 +:102270004FBE1247FD576310F70297E7010093879F +:1022800067229C439107BE8517E501001305853D34 +:10229000EFE08FB119A897E701009387273E9C4391 +:1022A0001247BA973ECE7245F5290100B2504561FA +:1022B0008280797106D62AC62EC432C2B24781E71F +:1022C0007370043001A097E701009387A71D9C431A +:1022D000E107BE853245EFE02FAD97E70100938718 +:1022E000671C9C4391073E85EFE0AFB612478547D8 +:1022F0006310F70297E701009387C71A9C43910781 +:10230000BE8517E501001305E535EFE0EFA919A833 +:1023100097E70100938787369C432247BA973ECEC2 +:10232000724549210100B25045618280797106D61B +:102330002AC6B247DC47DC473ECCE24781E77370F0 +:10234000043001A0E247E1073E85EFE08FB097E758 +:1023500001009387A7349C43A1EBE24791073E8598 +:10236000EFE02FAFE247D85797E701009387473157 +:102370009C4363F9E700E247D85797E7010093874A +:10238000273098C3E247D857BA878A07BA978A078F +:1023900017E701001307C71A3E97E2479107BE856A +:1023A0003A85EFE06FA011A8E247E107BE8517E587 +:1023B000010013056528EFE02F9FE247D85797E704 +:1023C00001009387270E9C43DC5763FBE70085479A +:1023D0003ECE97E701009387672B054798C311A06E +:1023E00002CEF2473E85B25045618280797106D6B1 +:1023F0002AC62EC497E701009387472A9C4381E7AA +:102400007370043001A02247B70700805D8FB24788 +:1024100098C3B247DC473ECCE24781E77370043093 +:1024200001A03245EFE0EFA2E24791073E85EFE0E1 +:102430004FA2E247D85797E70100938767249C4350 +:1024400063F9E700E247D85797E7010093874723EE +:1024500098C3E247D857BA878A07BA978A0717E717 +:1024600001001307E70D3E97E2479107BE853A85C5 +:10247000EFE08F93E247D85797E7010093878702F1 +:102480009C43DC5763FBE70085473ECE97E701009E +:102490009387C71F054798C311A002CEF2473E8518 +:1024A000B2504561828041112AC6B24781E77370FC +:1024B000043001A097E701009387871D9843B24736 +:1024C00098C397E701009387671B9843B247D8C327 +:1024D000010041018280797106D62AC62EC4B24716 +:1024E00081E77370043001A0A24781E77370043064 +:1024F00001A01D2F97E70100938747189C433ECC0E +:10250000A2479843FD576314F70002CE91A8B24743 +:10251000984397E701009387A7179C43630AF70046 +:10252000B247DC4362476365F70085473ECE0DA89E +:10253000B247DC4362471D8FA2479C436370F7029A +:10254000A2479843B247D443E247B387F6403E9749 +:10255000A24798C33245813F02CE19A085473ECE9F +:10256000DD2DF2473E85B2504561828097E701003C +:102570009387C711054798C30100828001112AC6BD +:10258000B24799C7B2473ECCE247FC473ECE11A0C6 +:1025900002CEF2473E850561828001112AC62EC413 +:1025A000B24791C7B2473ECEF2472247F8C7010073 +:1025B00005618280011106CE2AC6852297E70100B7 +:1025C000938707F898438547E3F9E7FEEFD01FE4C8 +:1025D000EDB7397106DE2ACE2ECC32CA36C8BA879C +:1025E0002317F10002D625A06247B257BA9703C756 +:1025F0000700F246B257B697238AE7026247B257FE +:10260000BA9783C7070089CBB25785073ED63257A2 +:10261000BD47E3FBE7FC11A00100F247A3810704DB +:102620005247A54763F4E700A5473ECAF247524721 +:10263000D8D7F2475247B8CBF24723AA0704F2474C +:1026400091073E85EFD05FF5F247E1073E85EFD079 +:10265000BFF4F247724798CB2947D2471D8FF24704 +:1026600098CFF2477247D8D3F24723A20704F24724 +:1026700023AC0704F24723AE07040100F2502161A6 +:102680008280011106CE02C60DA03247BA878A07A2 +:10269000BA978A0717E70100130787EABA973E85BA +:1026A000EFD05FECB24785073EC63247A547E3FE51 +:1026B000E7FC17E50100130525F5EFD0BFEA17E5A4 +:1026C00001001305A5F5EFD0FFE917E5010013059B +:1026D000A5F6EFD03FE917E50100130525F7EFD088 +:1026E0007FE817E501001305E5F7EFD0BFE797E7AF +:1026F00001009387E7F317E701001307E7F098C39A +:1027000097E70100938707F317E70100130707F125 +:1027100098C30100F24005618280011106CE8DA8A8 +:10272000EFF00FCC97E70100938747F29C4393B7F4 +:10273000170093F7F70F3EC6EFF04FCCB247A9EB67 +:10274000E52197E70100938767F0DC47DC473EC44B +:10275000A24791073E85EFD0DFEF97E7010093870F +:10276000A7F19C431387F7FF97E701009387C7F012 +:1027700098C397E701009387A7EE9C431387F7FF61 +:1027800097E701009387C7ED98C3F1212245912C6B +:1027900097E701009387C7EC9C43D9F30100F2400F +:1027A00005618280011106CE2AC697E70100938752 +:1027B00067CF9C433247D8C397E70100938707EC64 +:1027C0009C4332476372F70297E70100938787E6DD +:1027D000984397E701009387E7CC9C439107BE8518 +:1027E0003A85EFD07FE035A897E70100938747E46B +:1027F000984397E701009387E7CA9C439107BE85FA +:102800003A85EFD07FDE97E701009387E7E89C43A6 +:1028100032476378F70097E701009387E7E732478D +:1028200098C30100F24005618280797106D6AA87BB +:102830002EC42317F100A24789EB8357E1008A07D2 +:102840003E85EF104051AA8711A0A2473ECCE24737 +:1028500085C313050006EF1000502ACEF24789C742 +:10286000F247624798DB31A06245EF10C06111A0CA +:1028700002CEF24781CFF247985B8357E1008A0787 +:102880003E869305500A3A85EF104075F2473E8523 +:10289000B25045618280397106DE22DC2AC62EC420 +:1028A00032C202D6A2479C43638D070EA2473ED494 +:1028B000A257DC43D843A257D8C3A257D843A25744 +:1028C000A1076317F700A257DC43D843A257D8C328 +:1028D000A257DC43DC473ED2A2473ED08257DC43BE +:1028E000D8438257D8C38257D8438257A10763176A +:1028F000F7008257DC43D8438257D8C38257DC4362 +:10290000DC473ECEB25796073247BA97724798C314 +:10291000B25796073247BA97724713074703D8C38F +:10292000B25796073247BA977247384798C7B25797 +:1029300096073247BA971247D8C7B2579607324719 +:10294000BA977247585798CB12478D47631BF700C9 +:10295000F2479C5799C7B25796073247BA9709472B +:10296000D8C7B25796073247BA977247384BD8CB79 +:10297000B25796073247BA9723AC0700F247945BE9 +:10298000B257960732473304F70036850D20AA87E1 +:10299000231EF400B25785073ED672479257E31DB7 +:1029A000F7F2B2573E85F2506254216182800111E4 +:1029B0002AC602CE39A0B24785073EC6F247850730 +:1029C0003ECEB24703C707009307500AE305F7FE60 +:1029D000F24789833ECEF247C207C1833E85056137 +:1029E0008280011106CE2AC6B2479C5B3E85EF105D +:1029F00080493245EF1020490100F2400561828094 +:102A0000411197E701009387A7C29C439C4399E338 +:102A1000854711A0814781CB97E701009387C7C7FE +:102A20007D5798C305A097E70100938767C09C4333 +:102A3000DC47DC473EC6B247D84397E7010093879F +:102A4000A7C598C3010041018280411197E70100A9 +:102A5000938747A59C433EC6B2473E85410182808D +:102A6000411197E701009387E7C19C4381E78547C0 +:102A70003EC619A897E70100938747C29C4381E7A8 +:102A800089473EC611A002C6B2473E8541018280F9 +:102A9000797106D62AC6B2473ECEB247F9C3F2478D +:102AA000D85797E701009387E79F9C43DC57637AE9 +:102AB000F70AF2479C4F63CC070097E70100938722 +:102AC000679E9C43DC5729471D8FF24798CFF247FA +:102AD000D44BF247D857BA878A07BA978A0717E7BD +:102AE00001001307E7A5BA976394F600854711A084 +:102AF0008147A5C3F24791073E85EFD09FB597E781 +:102B000001009387279A9C43D857F247D8D7F247BA +:102B1000D85797E701009387A7B69C4363F9E7006E +:102B2000F247D85797E70100938787B598C3F247D4 +:102B3000D857BA878A07BA978A0717E70100130799 +:102B400027A03E97F2479107BE853A85EFD0DFA5D3 +:102B500009A897E701009387E7949C43D857F24769 +:102B6000D8D70100B25045618280797106D62AC655 +:102B7000B2473ECC02CEB247DDC397E70100938750 +:102B800067929C4362476305F7007370043001A0AD +:102B9000E247FC4B81E77370043001A0E247FC4B35 +:102BA0001387F7FFE247F8CBE247D857E247BC4B21 +:102BB0006307F706E247FC4BBDE3E24791073E851A +:102BC000EFD03FA9E247B84BE247D8D7E247DC57FE +:102BD00029471D8FE24798CFE247D85797E7010072 +:102BE000938707AA9C4363F9E700E247D85797E722 +:102BF00001009387E7A898C3E247D857BA878A07A6 +:102C0000BA978A0717E70100130787933E97E247B1 +:102C10009107BE853A85EFD03F9985473ECEF24772 +:102C20003E85B250456182807370043097E70100A1 +:102C3000938747A59C4391CF97E7010093878786A9 +:102C40009C43F8430507F8C397E7010093878785FE +:102C50009C430100828097E701009387A7A29C43D1 +:102C60008DCB97E701009387E7839C43FC4395C393 +:102C700097E70100938707839C43F8437D17F8C3C8 +:102C800097E70100938707829C43FC4399E37360B5 +:102C9000043001008280411197E70100938787800B +:102CA0009C439C4F3EC697D701009387A77F9C43C8 +:102CB000D85797D701009387E77E9C43A94633876F +:102CC000E64098CFB2473E854101828097D7010008 +:102CD0009387477D9C4389CB97D701009387877C57 +:102CE0009C43F84B0507F8CB97D701009387877B68 +:102CF0009C433E858280797106D62AC62EC42D3724 +:102D000097D701009387077A9C43BC4FADE397D7D1 +:102D10000100938727799C430547F8CFA247A1CBB1 +:102D200097D70100938707789C4391073E85EFD0A2 +:102D30005F922247FD576310F70297D701009387F0 +:102D400067769C439107BE8517E5010013058591C1 +:102D5000EFD09F8519A897E70100938727929C439E +:102D60002247BA973ECE7245353CEFD02FEAE53583 +:102D7000653D97D701009387E7729C43BC4F3ECCDB +:102D8000E2479DC3B24789CB97D7010093878771EC +:102D90009C4323AC070409A897D701009387877049 +:102DA0009C43B84F7D17B8CF97D701009387876FA3 +:102DB0009C4323AE07044535E2473E85B25045614A +:102DC0008280797106D62AC62EC432C236C0A93D89 +:102DD00097D701009387076D9C43F84F8947630D90 +:102DE000F70697D701009387E76B9C43B44F3247B0 +:102DF0001347F7FF758FB8CF97D701009387876A7E +:102E00009C430547F8CF8247A1CB97D70100938712 +:102E100067699C4391073E85EFD0BF830247FD570A +:102E20006310F70297D701009387C7679C43910708 +:102E3000BE8517E501001305E582EFD0EFF619A86E +:102E400097E70100938787839C430247BA973ECC5C +:102E50006245893AEFD08FDBFD3BF933924789CB4E +:102E600097D70100938707649C43B84F924798C354 +:102E700097D70100938707639C43F84F85476314F6 +:102E8000F70002CE31A897D701009387A7619C4332 +:102E9000B44F22471347F7FF758FB8CF85473ECE13 +:102EA00097D70100938707609C4323AE07046533DF +:102EB000F2473E85B25045618280797106D62AC6B6 +:102EC0002EC432C236C085473ECEB24781E773700A +:102ED000043001A0B2473ECC813B824789C7E2471C +:102EE000B84F824798C3E247FC4F3ECAE2470947C2 +:102EF000F8CF1247914763EEE704924713972700F4 +:102F000097D7010093878710BA97984397D7010006 +:102F10009387C70FBA978287E247B84FA2475D8F62 +:102F2000E247B8CF3DA0E247BC4F13871700E24706 +:102F3000B8CF05A0E2472247B8CF21A8524789471A +:102F40006306F700E2472247B8CF21A002CE11A0C6 +:102F5000010052478547631AF706E24791073E850D +:102F6000EFD02FEFE247D85797D7010093874771EB +:102F70009C4363F9E700E247D85797D7010093874E +:102F8000277098C3E247D857BA878A07BA978A0743 +:102F900017D701001307C75A3E97E2479107BE852E +:102FA0003A85EFD06FE0E2479C5781C773700430D9 +:102FB00001A0E247D85797D701009387A74E9C43BB +:102FC000DC5763F4E700EFD06FC47131F2473E8500 +:102FD000B25045618280397106DE2ACE2ECC32CACB +:102FE00036C83AC685473ED6F24781E7737004304B +:102FF00001A0F2473ED4EFD04FCFAA873ED2C247BE +:1030000089C7A257B84FC24798C3A257FC4F3ED0BA +:10301000A2570947F8CF5247914763EEE704D247DA +:103020001397270097D70100938787FFBA97984394 +:1030300097D701009387C7FEBA978287A257B84FE8 +:10304000E2475D8FA257B8CF3DA0A257BC4F138770 +:103050001700A257B8CF05A0A2576247B8CF21A842 +:10306000025789476306F700A2576247B8CF21A0ED +:1030700002D611A0010002578547631DF708A25729 +:103080009C5781C77370043001A097D701009387C4 +:10309000E7609C43A1EBA25791073E85EFD06FDB21 +:1030A000A257D85797D701009387875D9C4363F950 +:1030B000E700A257D85797D701009387675C98C35A +:1030C000A257D857BA878A07BA978A0717D7010035 +:1030D000130707473E97A2579107BE853A85EFD061 +:1030E000AFCC11A8A257E107BE8517D50100130583 +:1030F000A554EFD06FCBA257D85797D7010093872D +:10310000673A9C43DC5763F7E700B24781C7B24791 +:10311000054798C392573E85EFD02FBCB2573E85E6 +:10312000F25021618280797106D62AC62EC4B24738 +:1031300081E77370043001A0B2473ECEEFD0EFBA02 +:10314000AA873ECCF247FC4F3ECAF2470947F8CF68 +:10315000F247BC4F13871700F247B8CF5247854755 +:10316000631DF708F2479C5781C77370043001A0B4 +:1031700097D70100938787529C43A1EBF2479107B1 +:103180003E85EFD00FCDF247D85797D701009387F0 +:10319000274F9C4363F9E700F247D85797D70100C0 +:1031A0009387074E98C3F247D857BA878A07BA97CA +:1031B0008A0717D701001307A7383E97F2479107F0 +:1031C000BE853A85EFD04FBE11A8F247E107BE8514 +:1031D00017D5010013054546EFD00FBDF247D8576C +:1031E00097D701009387072C9C43DC5763F7E700D0 +:1031F000A24781C7A247054798C3E2473E85EFD063 +:10320000CFAD0100B25045618280797106D62AC6E1 +:10321000B2473ECCE24799E797D7010093878728CA +:103220009C4311A0E2473ECC0134E247F84F894766 +:103230006318F700E24723AE070485473ECE11A08E +:1032400002CE113CF2473E85B250456182800111A9 +:1032500006CE02C61D2B97D70100938767479C4374 +:103260009DC38148014881470947814613060040B4 +:1032700097D50100938505DC170500001305051B94 +:10328000EFE0AF852AC6B24781E77370043001A032 +:10329000B2473E85F24005618280397106DE2ACE52 +:1032A0002ECC32CA36C83AC6E24799E302D62DA8D8 +:1032B0001305C002EF00302A2AD6B25795C7F12174 +:1032C000B257724798C3B257624798CFB257524726 +:1032D000D8CFB257424798D3B2573247D8D3B25714 +:1032E00091073E85EFD04FABE24781E77370043022 +:1032F00001A0B2573E85F25021618280397106DE0D +:103300002ACE2ECC32CA36C83AC602D6F24781E758 +:103310007370043001A097D701009387673B9C43EB +:10332000B5CBE2473ED0D2473ED2F2473ED46247C9 +:10333000954763C4E704EFF0AFF22A87894763102B +:10334000F70297D701009387A7389C43181081464E +:103350003246BA853E85EFD0AFE02AD625A897D76A +:1033600001009387E7369C43181081460146BA85D1 +:103370003E85EFD0EFDE2AD631A897D7010093879C +:1033800027359C43181081464246BA853E85EFD0CA +:103390000FF32AD6B2573E85F25021618280011187 +:1033A0002AC6B2473ECEB24781E77370043001A00F +:1033B000F2479C433E8505618280797106D62AC614 +:1033C0002EC497D70100938727309C43DC47DC4706 +:1033D0003ECEF24791073E85EFD0AFA7F247D84FD8 +:1033E0008547631BF702F247984FB247BA97B24638 +:1033F0002246BE857245B9222A878547631EF7009B +:1034000001478146324681457245CD3D2ACCE2478F +:1034100081E77370043001A0F247DC537245829754 +:103420000100B25045618280797106D62AC63C08F7 +:103430003E8541282ACEE247BE8572451920692281 +:10344000FDB7797106D62AC62EC4EFE06FF93C08A5 +:103450003E8545282ACEE247B9EFA24799EB32478D +:10346000F24763E8E700EFE06FF9F2453245B13724 +:10347000A9A0A24781CF97D70100938727259C4316 +:103480009C4393B7170093F7F70F3EC497D70100FB +:103490009387072494433247F247B307F740224605 +:1034A000BE853685EFD0FFDDEFE04FF5AA8791E7C7 +:1034B000EFC0DFF519A0EFE06FF40100B2504561F5 +:1034C000828001112AC697D701009387E71F9C438A +:1034D0009C4393B7170093F7F70F3E87B24798C303 +:1034E000B2479C4391EB97D701009387E71D9C431C +:1034F000DC479C433ECE11A002CEF2473E850561DB +:103500008280797106D62AC6EFE01F842ACE97D72B +:1035100001009387271C9C4372476377F700692A51 +:10352000B247054798C321A0B24723A0070097D709 +:1035300001009387271A724798C3F2473E85B2501D +:1035400045618280797106D62AC62EC432C236C041 +:1035500002CEB2472247D8C3B247324798CB224760 +:10356000924763E8E702124782471D8FB2479C4F9C +:103570006365F70085473ECE99A097D701009387F2 +:10358000E7149843B2479107BE853A85EFD0CF85BF +:103590003DA0124782476379F7002247824763655F +:1035A000F70085473ECE21A897D701009387C71122 +:1035B0009843B2479107BE853A85EFD0EF82F24734 +:1035C0003E85B25045618280797106D6D9A0A24766 +:1035D00063C0070CC2473ECEF247DC4B91C7F247AF +:1035E00091073E85EFD0EF865C003E85193F2ACCDF +:1035F000A2472547636FF7081397270097D7010065 +:103600009387C7A3BA97984397D70100938707A3D7 +:10361000BA9782873247F2479C4FBA973247BA86A9 +:103620006246BE857245393F2A8785476313F70690 +:10363000F247DC5372458297F247D84F8547631AA9 +:10364000F7043247F2479C4FBA97014781463E86BE +:103650008145724565312ACAD24785EF73700430BF +:1036600001A03247F24798CFF2479C4F81E7737031 +:10367000043001A0F247984FE247BA97E24662460B +:10368000BE857245C13531A07245EF00C07F11A0E3 +:10369000010097D701009387A7039C433800814618 +:1036A0000146BA853E85EFD06FD8AA87E39107F22D +:1036B0000100B25045618280797106D671A097D71A +:1036C0000100938767009C43DC479C433ECC97D71F +:1036D0000100938767FF9C43DC47DC473ECAD24723 +:1036E00091073E85EFC0FFF6D247DC5352458297E3 +:1036F000D247D84F85476319F704D2479C4F62479A +:10370000BA973EC84247E24763F4E702D2474247CE +:10371000D8C3D247524798CB97D701009387C7FAAF +:103720009843D2479107BE853A85EFC0FFEB29A8A1 +:1037300001478146624681455245C9362AC6B2478D +:1037400081E77370043001A097D701009387C7F712 +:103750009C439C43ADF797D701009387E7F69C43C2 +:103760003ECE97D70100938767F6984397D701001D +:10377000938787F598C397D70100938727F57247FA +:1037800098C30100B25045618280411106C6EFF036 +:10379000AFC997D701009387A7F39C43C9E717D513 +:1037A00001001305E5EFEFC0FFDB17D5010013059E +:1037B00065F0EFC03FDB97D701009387E7F017D79D +:1037C00001001307E7ED98C397D70100938707F02F +:1037D00017D70100130707EE98C30146B1451145FD +:1037E000EFC07FF72A8797D70100938767EE98C3CA +:1037F00097D701009387C7ED9C4381E7737004302E +:1038000001A097D701009387A7EC9C4389CF97D756 +:1038100001009387E7EB9C4397D50100938585844E +:103820003E85EFD05F9CEFF00FC30100B240410135 +:103830008280797106D62AC6B2473ECEB24781E76A +:103840007370043001A0EFF02FBEF247DC4BB337AA +:10385000F00093F7F70F3ECCEFF0EFBFE2473E8565 +:10386000B25045618280797106D62AC6B2473ECEF3 +:10387000B24781E77370043001A0EFF0EFBAF2476E +:103880009C533ECCEFF02FBDE2473E85B2504561E0 +:103890008280797106D62AC62EC4B2473ECEB24780 +:1038A00081E77370043001A0EFF00FB8F2472247B0 +:1038B00098D3EFF04FBA0100B250456182800111F8 +:1038C00006CE714549292AC6B24789CBB24723A003 +:1038D0000700B24791073E85EFC0DFC8B2473E857B +:1038E000F24005618280397106DE2AC62EC432C2DA +:1038F00036C0B2473ED402D21247B70700FFF98F55 +:1039000081C77370043001A0924781E7737004305F +:1039100001A0EFF0EF94AA8799E3824799E38547E6 +:1039200011A0814781E77370043001A0EFE04FAB35 +:10393000A2579C433ED0A245324585240257A24758 +:103940005D8F9247F98F12476310F7020257A24723 +:10395000D98F3ED6A2579843924793C7F7FF7D8FE2 +:10396000A25798C302C025A0824799CFA257938639 +:1039700047001247B7070005D98F0246BE85368536 +:10398000EFE03F8902D621A0A2579C433ED6EFE04C +:10399000EFA62ACE8247B1CBF24799E3EFC01FA72B +:1039A000EFF06FAF2AD63257B7070002F98F85EBD9 +:1039B000EFF08FA7A2579C433ED632579247F98F1C +:1039C0001247631AF700A2579843924793C7F7FF2D +:1039D0007D8FA25798C3EFF00FA885473ED232578C +:1039E000B7070001FD17F98F3ED6B2573E85F2505A +:1039F000216182805D7186C62ACE2ECC32CA36C83D +:103A00003AC6F2473EDA02DC02D8F24781E7737029 +:103A1000043001A06247B70700FFF98F81C77370B8 +:103A2000043001A0E24781E77370043001A0EFF099 +:103A30002F83AA8799E3B24799E3854711A081476D +:103A400081E77370043001A0EFE08F99D2579C4357 +:103A50003ED64246E245325575242AD4A25799CF24 +:103A6000B2573EDE02C6D247A9CBD2579843E247AF +:103A700093C7F7FF7D8FD25798C381A0B24781E7E4 +:103A8000B2573EDE1DA8D24791C76257B707000163 +:103A9000D98F3EDCC24791C76257B7070004D98F60 +:103AA0003EDCD257938647006247E257D98F3246B1 +:103AB000BE853685EFE0EFF502DEEFE02F942AD2E7 +:103AC000B247B9CB925799E3EFC05F94EFF0AF9C48 +:103AD0002ADE7257B7070002F98F8DEBEFF0CF9413 +:103AE000D2579C433EDE4246E2457255212CAA87BE +:103AF00099CBD24789CBD2579843E24793C7F7FF78 +:103B00007D8FD25798C3EFF00F9502D87257B70741 +:103B10000001FD17F98F3EDEF2573E85B640616128 +:103B20008280797106D62AC62EC4B2473ECEB247ED +:103B300081E77370043001A02247B70700FFF98FB7 +:103B400081C77370043001A0EFF00F8EF2479C43E1 +:103B50003ECCF2479843A24793C7F7FF7D8FF247C9 +:103B600098C3EFF04F8FE2473E85B25045618280A7 +:103B7000797106D62AC6B2473ECEEFC01F97AA87F4 +:103B80003ECCF2479C433ECAE2473E85EFC0FF94DD +:103B9000D2473E85B250456182805D7186C62AC695 +:103BA0002EC402DCB2473ED802DAB24781E7737016 +:103BB000043001A02247B70700FFF98F81C7737057 +:103BC000043001A0C25791073ED6B257A1073ED498 +:103BD000EFE00F81B257DC473EDEC2579843A24761 +:103BE0005D8FC25798C3BDA8F257DC433ED2F2574F +:103BF0009C433ED002DA0257B70700FFF98F3ECE52 +:103C00000257B7070001FD17F98F3ED07247B7077B +:103C10000004F98F89EBC25798438257F98F89CFF7 +:103C200085473EDA11A8C25798438257F98F025749 +:103C30006314F70085473EDAD2579DC37247B70732 +:103C40000001F98F89C762578257D98F3EDCC2576E +:103C50009843B7070002D98FBE857255EFE00FF980 +:103C600092573EDE7257A257E310F7F8C2579843B7 +:103C7000E25793C7F7FF7D8FC25798C3EFD01FF865 +:103C8000C2579C433E85B64061618280797106D6F9 +:103C90002AC6B2473ECEF24791073ECCEFD05FF442 +:103CA0000DA0E247D847E247A1076315F7007370FC +:103CB000043001A0E247DC47B70500023E85EFE093 +:103CC000EFF2E2479C43F1FF7245752AEFD01FF3F4 +:103CD0000100B25045618280011106CE2AC62EC471 +:103CE000A24532455D3D0100F2400561828001112F +:103CF00006CE2AC62EC4A245324525350100F24023 +:103D00000561828001112AC62EC432C202CE9247BA +:103D100081EB3247A247F98F81CF85473ECE09A874 +:103D20003247A247F98F22476314F70085473ECEFA +:103D3000F2473E850561828001112AC6B2473ECC1A +:103D4000B24799E302CE21A0E2479C4F3ECEF24714 +:103D50003E8505618280397106DE2AC602D2EFD027 +:103D60003FE897670300938727989C4381EB552290 +:103D70009767030093874797054798C3B24789CF52 +:103D8000A1473E87B247BA973EC6B2478D8B89C7D7 +:103D9000B247F19B91073EC6B247F9CB3247E56780 +:103DA000ED1763E7E70C976703009387E7923ED42C +:103DB00097670300938747929C433ED631A0B25742 +:103DC0003ED4B2579C433ED6B257DC43324763F5EC +:103DD000E700B2579C43E5F7325797670300938794 +:103DE00027906307F708A2579C432147BA973ED212 +:103DF000B2579843A25798C3B257D843B247B307B4 +:103E0000F740214706076378F7043257B247BA975D +:103E10003ECEB257D843B2471D8FF247D8C3B257F0 +:103E20003247D8C3F247DC433ECC97670300938701 +:103E3000A78A3ED021A082579C433ED082579C4304 +:103E4000DC436247E3E9E7FE82579843F24798C3B1 +:103E50008257724798C397C701009387E7659843D5 +:103E6000B257DC431D8F97C701009387E76498C35F +:103E7000EFD0DFD8925799E3EF00103292573E858A +:103E8000F25021618280797106D62AC6B2473ECCB3 +:103E9000B247BDC3A147B307F0406247BA973ECCD3 +:103EA000E2473ECAEFD0DFD3D247DC433EC8976734 +:103EB0000300938767823ECE21A0F2479C433ECE0B +:103EC000F2479C43DC434247E3E9E7FEF24798436D +:103ED000D24798C3F247524798C3D247D84397C7AF +:103EE00001009387675D9C433E9797C701009387C6 +:103EF000A75C98C3EFD09FD00100B250456182808B +:103F000097C701009387475B9C433E8582800100F1 +:103F10008280411197C701009387477CF19B3EC681 +:103F2000975703009387477B324798C397570300FF +:103F30009387877A23A20700975703009387477ACE +:103F400065677117D8C3975703009387677923A0D4 +:103F50000700B2473EC4A24765677117D8C3A2479E +:103F6000175703001307C77798C3010041018280E8 +:103F700001112AC62EC432C2A2473ECEB2473ECC61 +:103F80003247A247D98F8D8BA1E319A8F2479843F6 +:103F9000E24798C3E24791073ECCF24791073ECEF5 +:103FA0009247F5173247BA976247E361F7FE29A8AF +:103FB0007247930717003ECEE2479386170036CC30 +:103FC000034707002380E70032479247BA976247CA +:103FD000E360F7FEB2473E850561828001112AC683 +:103FE0002EC432C232479247D98F8D8B9DEFA247A4 +:103FF00093F7F70F3ECAD247A2075247D98F3ECA5E +:10400000D247C2075247D98F3ECAB2473ECE39A0E7 +:10401000F247138747003ACE524798C33247924738 +:10402000BA977247E366F7FE1DA0B2473ECC11A8CF +:10403000E247138717003ACC22471377F70F238004 +:10404000E70032479247BA976247E363F7FEB24709 +:104050003E850561828001112AC6B2473ECE21A06D +:10406000F24785073ECEF24783C70700F5FB72474C +:10407000B247B307F7403E850561828001112AC629 +:104080002EC4B247138717003AC683C70700A30F91 +:10409000F100A247138717003AC483C70700230F14 +:1040A000F1008347F10199C70347F1018347E1011B +:1040B000E309F7FC0347F1018347E101B307F74048 +:1040C0003E850561828001112AC62EC4B2473ECECC +:1040D00001002247930717003EC4F247938617005A +:1040E00036CE034707002380E70083C70700F5F3B8 +:1040F000B2473E850561828001112AC602CE02CCFC +:1041000021A0B24785073EC6B24703C70700930701 +:104110000002E308F7FEB24703C707009307D00287 +:104120006309F700B24703C707009307B0026311A2 +:10413000F704B24783C70700938737FD93B717008B +:1041400093F7F70F3ECCB24785073EC615A07247DE +:10415000BA878A07BA9786073ECEB24713871700F9 +:104160003AC683C70700938707FD7247BA973ECECA +:10417000B24783C70700E1FFE24789C7F247B307A9 +:10418000F04011A0F2473E850561828001112AC6E8 +:1041900097570300938707579C4393861700175739 +:1041A00003001307275614C332471377F70F9756A8 +:1041B000030093862659B6972380E7003247A94724 +:1041C000630BF700975703009387C75398439307F0 +:1041D00000046315F704975703009387A7563ECE54 +:1041E00005A8F247138717003ACE03C70700B707A1 +:1041F00010F0938707F098C3975703009387875071 +:104200009C431387F7FF975703009387A74F98C3E3 +:10421000975703009387074F9C43E1F781473E85FB +:10422000056182804D7123261114232481142322D9 +:10423000911423202115232E3113232C4113232ADB +:1042400051132ACE2ECC32C836CA3AC63EC442C218 +:1042500023260112B2473E8A814AC24752485286FB +:10426000D6863E85C285EF10E03FAA872E883E861F +:10427000C2868327C112138717002326E1123287D3 +:104280008A07141AB69723AEE7EEB2473E848144FC +:10429000D247268763E8E702D24726876396E7007E +:1042A000C247228763E0E702B2473E8981494A86D6 +:1042B000CE864245D245EF00305DAA872E883EC8A3 +:1042C00042CA49BF010029A0F247E2451245829740 +:1042D000A2471387F7FF3AC40327C112E346F7FE4C +:1042E00025A88327C1128A07181ABA9703A7C7EF10 +:1042F0008327C1128A07141AB69783A6C7EFA5476A +:1043000063F5D7009307700519A093070003BA97C8 +:104310007247E2453E8502978327C1121387F7FF54 +:104320002326E112E34FF0FA01008320C114032495 +:10433000811483244114032901148329C113032AFE +:104340008113832A41137161828041112AC62EC4D0 +:10435000A2460547635ED700B2479C439D07E19B99 +:1043600093868700324714C303A847009C4335A0B7 +:10437000224719CB3247184313064700B24690C272 +:104380001843BA87014811A832471843130647005B +:10439000B24690C21843BA8701483E85C2854101A2 +:1043A000828041112AC62EC4A2460547635ED7000B +:1043B000B2479C439D07E19B93868700324714C315 +:1043C00003A847009C4305A8224701CF3247184362 +:1043D00013064700B24690C21843BA877D873A88D1 +:1043E00019A83247184313064700B24690C2184333 +:1043F000BA877D873A883E85C285410182803971BE +:1044000006DE22DC26DA2AC62EC432C236C011A845 +:1044100063070424924785073EC2B247A24522851E +:104420008297924783C707003E8493075002E311A7 +:10443000F4FE924785073EC292473EC893070002AA +:10444000A30BF100FD573ECEFD573ECC02D0924764 +:10445000138717003AC283C707003E849307D4FD31 +:10446000130750056364F71E1397270097C70100D1 +:10447000938747C0BA97984397C70100938787BF30 +:10448000BA9782879307D002A30BF100C9B79307AD +:104490000003A30BF10065BF02CC6247BA878A070D +:1044A000BA978607A297938707FD3ECC924783C7AA +:1044B00007003E849307F00263D6870293079003B8 +:1044C00063C28702924785073EC2C1BF82471387F6 +:1044D00047003AC09C433ECC39A0F247E3D907F6E7 +:1044E00002CEB5B70100F247E3D307F6E2473ECE6E +:1044F000FD573ECCA9BF825785073ED089BF824772 +:10450000138747003AC09C433247A2453E85029735 +:10451000B1A28247138747003AC0844389E497C415 +:1045200001009384A4B4F2476357F0040347710178 +:104530009307D0026301F704E247BE852685EF00AA +:1045400070322A87F247998F3ECE11A883477101B6 +:104550003247A2453E850297F247FD173ECEF2470D +:10456000E346F0FE09A8B247A2452285829785045A +:10457000F247FD173ECE83C704003E841DC0E247CC +:10458000E3C307FEE247FD173ECCE247E3DD07FC4D +:1045900009A8B247A245130500028297F247FD170A +:1045A0003ECEF247E347F0FE55A88A8782553E8506 +:1045B000CD3BAA872E883ED442D6A2573258C28716 +:1045C00063D90702B247A2451305D0028297A255CC +:1045D000325681470148B386B740368533B5A700C8 +:1045E0003307C840B307A7403E87B6873A883ED412 +:1045F00042D6A9473ED21DA8A9473ED215A0A14741 +:104600003ED239A885473ED0B247A24513050003E4 +:104610008297B247A245130580078297C1473ED2D1 +:104620008A8782553E8515332AD42ED61257834762 +:1046300071013E88F2472256B256A2453245DD3618 +:1046400031A8B247A2452285829709A8B247A24560 +:10465000130550028297C2473EC20100D9B3010040 +:10466000F2506254D2542161828041112AC6B707A8 +:1046700010F0938707F2324798C301A05D7106D608 +:104680002AC62EDA32DC36DEBAC0BEC2C2C4C6C604 +:104690009C0891173ECEF247BE86324681451705EB +:1046A00000001305E5AEA13B81473E85B250616134 +:1046B000828001112AC62EC41EC2A2473ECEF247F6 +:1046C0009C4332471377F70F2380E700F2479C4360 +:1046D00013871700F24798C30100056182801D719E +:1046E00006DE2AC62EC4B2C4B6C6BAC8BECAC2CC7A +:1046F000C6CE9C103ED25C084C081706000013067C +:1047000086FB7D779346F7FF0565130505803388A3 +:10471000A5003378E8001368783B23A007013295A1 +:10472000698F13677733D8C333F7D50093154701E3 +:1047300037870300130737394D8F98C73377D60073 +:10474000931647013707030013077706558FD8C71D +:104750000F100000B2473ED69C10A1173ED422573E +:104760005C083E857C00BA862246BE854939B24740 +:1047700023800700B2473E87B257B307F7403E8514 +:10478000F250256182804111370510F0130545F480 +:1047900008412AC6370510F0130505F408412AC45C +:1047A000370510F0130545F40841B248E39EA8FC14 +:1047B00032452A8381431317030081462245AA8587 +:1047C0000146B3E7B6003368C700370710F013059A +:1047D00087F48565938505FA0146B386B700B688E8 +:1047E000B3B8F8003307C800B387E8003E87B68740 +:1047F0003A881CC123220501010041018280411138 +:1048000006C651379307000873A047300100B24035 +:1048100041018280011106CE2AC617C50100130589 +:10482000E59AEFF0BFE50100F240056182800111D9 +:1048300006CE2AC617C501001305C599EFF01FE47F +:104840000100F24005618280797106D62AC602CE47 +:1048500029A00100F24785073ECE724785679387FE +:104860007738E3D8E7FE17C5010013052597EFF069 +:10487000FFE0F1BF411106C60145152A0100B24013 +:1048800041018280011106CE02C6EF00E0752A8741 +:104890008547630CF70017C501001305A594EFF0D9 +:1048A000FFDDB24793E727003EC6B2473E85F240A0 +:1048B00005618280011106CE02C66D3F1707000018 +:1048C00013076704814605469305400617C5010096 +:1048D00013050593EFE07F9C2AC6B24799CBEFD032 +:1048E000AFC6AA87014781463E8685453245EFE03F +:1048F000FFA002C4EFD0EFA481473E85F2400561DE +:104900008280797106D62AC697C701009387C7B9F6 +:104910009C431387470697C701009387E7B898C35E +:1049200097C70100938787B89C431387470697C7AB +:1049300001009387A7B798C397C701009387C7B6AD +:1049400098439307F07C63D3E70497C701009387EC +:10495000A7B59C431387078397C701009387C7B404 +:1049600098C30D372ACEF24799EB97C7010093877A +:10497000E7B3984385679387F77663DBE700F247F1 +:104980003E85EFF09FCEEFD0AFA321A0010011A094 +:104990000100B250456182807370043001A00100B3 +:1049A000828041112AC62EC47370043001A0011107 +:1049B00006CE2AC6814801488147324797560300F0 +:1049C000938646DC1306004097C501009385058455 +:1049D000170500001305C512EFC03F90814801483C +:1049E00081473247975603009386E6D91306004065 +:1049F00097C501009385058217050000130525243E +:104A0000EFC0BF8D81480148814732479756030068 +:104A1000938686D71306004097C5010093850580CD +:104A20001705000013058535EFC03F8B814801480D +:104A30008147324797560300938626D513060040D8 +:104A400097B501009385057E17050000130585467F +:104A5000EFC0BF888148014881473247975603001D +:104A60009386C6D21306004097B501009385057C56 +:104A7000170500001305C508EFC03F8681480148AF +:104A80008147324797560300938666D0130600404D +:104A900097B501009385057A170500001305251ABF +:104AA000EFC0BF83814801488147324797560300D2 +:104AB000938606CE1306004097B5010093850578CE +:104AC000170500001305852BEFC03F818148014881 +:104AD00081473247975603009386A6CB13060040C2 +:104AE00097B5010093850576170500001305853CF1 +:104AF000EFC0AFFE0100F24005618280397106DE31 +:104B000022DC2AC62317010297B701009387077496 +:104B10009C433ED297B70100938787739C433ED056 +:104B200097B70100938707739C433ECE9257025775 +:104B3000BA853E85EF100003AA873E87F247BE85FF +:104B40003A85EF10701AAA873ECAB2473ED497B78B +:104B500001009387A76F9C433ED297B701009387CC +:104B6000276F9C433ED097B701009387A76E9C4365 +:104B70003ECE92570257BA853E85EF00B07EAA8797 +:104B80003E87F247BE853A85EF101016AA873ECCC5 +:104B9000E2475247BA853E85EF10104CAA8793052D +:104BA00000003E85EF109007AA8763DD0702624789 +:104BB000B7070080B98F5247BA853E85EF10D049BC +:104BC000AA873E87054497B701009387E7688C431F +:104BD0003A85EF10E077AA876343F00001449377AA +:104BE000F40F05A8E2475247BA853E85EF10D0463C +:104BF000AA873E87054497B701009387E7658C43F2 +:104C00003A85EF10E074AA876343F000014493777C +:104C1000F40F81C785472317F1028317E10285FB53 +:104C2000A25783D70700C207C183850713970701DF +:104C30004183A2572390E70019BF397106DE22DCB9 +:104C40002AC62317010297B70100938727619C4367 +:104C50003ED297B701009387A7609C433ED097B799 +:104C60000100938727609C433ECE92570257BA8536 +:104C70003E85EF106034AA873E87F247BE853A85AD +:104C8000EF109006AA873ECAB2473ED497B70100FC +:104C90009387C75C9C433ED297B701009387475CDC +:104CA0009C433ED097B701009387C75B9C433ECEA1 +:104CB00092570257BA853E85EF100030AA873E878B +:104CC000F247BE853A85EF103002AA873ECCE24714 +:104CD0005247BA853E85EF103038AA879305000009 +:104CE0003E85EF10A073AA8763DD07026247B7070E +:104CF0000080B98F5247BA853E85EF10F035AA87FC +:104D00003E87054497B70100938707558C433A8542 +:104D1000EF100064AA876343F00001449377F40F17 +:104D200005A8E2475247BA853E85EF10F032AA87C0 +:104D30003E87054497B70100938707528C433A8515 +:104D4000EF100061AA876343F00001449377F40FEA +:104D500081C785472317F1028317E10285FBA2571C +:104D600083D70700C207C1838507139707014183D3 +:104D7000A2572390E70019BF5D7186C6A2C42AC658 +:104D8000A9473EDA231D0102B2473ED8D2578A070F +:104D90003E85EFE05FFC2AD6930700003ED43ED26A +:104DA0003ECE02DEB1A0F246F2578A0732573304F4 +:104DB000F70097B701009387274B8C433685EF00A8 +:104DC000705AAA871CC0724797B701009387C749DA +:104DD0008C433A85EF001059AA873E87A257BE85BB +:104DE0003A85EF003058AA873ED4F25785073EDE59 +:104DF0007257D257E369F7FA02DE05A0F2578A0725 +:104E00003257BA979C431257BA853E85EF009055AA +:104E1000AA873ED2F25785073EDE7257D257E36F1C +:104E2000F7FCA2571257BA853E85EF10F022AA87E9 +:104E30003ED08257930500003E85EF10205EAA8782 +:104E400063D307020257054497B7010093870742CF +:104E50008C433A85EF10805CAA8763C30700014446 +:104E60009377F40F0DA00257054497B7010093877D +:104E7000A73E8C433A85EF10A04DAA876343F0000C +:104E800001449377F40F81C78547231DF1028317EF +:104E9000A103E39307F0C25783D70700C207C1837A +:104EA0008507139707014183C2572390E700EDB5AB +:104EB0005D7186C6A2C42AC6A9473EDA231D010237 +:104EC000B2473ED8D2578A073E85EFE0DFE82AD6C0 +:104ED000930700003ED43ED23ECE02DEB1A0F246A1 +:104EE000F2578A0732573304F70097B701009387C8 +:104EF00027388C433685EF10205FAA871CC0724785 +:104F000097B701009387C7368C433A85EF10C05D91 +:104F1000AA873E87A257BE853A85EF00B044AA878C +:104F20003ED4F25785073EDE7257D257E369F7FA4F +:104F300002DE05A0F2578A073257BA979C431257F0 +:104F4000BA853E85EF001042AA873ED2F257850708 +:104F50003EDE7257D257E36FF7FCA2571257BA855D +:104F60003E85EF10700FAA873ED082579305000050 +:104F70003E85EF10A04AAA8763D307020257054473 +:104F800097B701009387872E8C433A85EF1000492D +:104F9000AA8763C3070001449377F40F0DA002575B +:104FA000054497B701009387272B8C433A85EF1070 +:104FB000203AAA876343F00001449377F40F81C736 +:104FC0008547231DF1028317A103E39307F0C2571E +:104FD00083D70700C207C183850713970701418361 +:104FE000C2572390E700EDB5411185473EC602C484 +:104FF0008DA0174703001307E778A2478607BA97E3 +:1050000083D70700139707014183974603009386D0 +:105010006678A2478607B69783D707006313F70021 +:1050200002C6174703001307E775A2478607BA971A +:1050300083D70700139707014183974603009386A0 +:105040006675A2478607B6972390E700A2478507B3 +:105050003EC422479D47E3DEE7F8B2473E85410163 +:1050600082800000B305B500930705006386B70092 +:1050700003C70700631607003385A7406780000059 +:10508000938717006FF09FFE130101FD2322910209 +:10509000232A5101232611022324810223202103E4 +:1050A000232E3101232C4101232861012326710184 +:1050B00023248101232291012320A101930A0500C9 +:1050C00093840500639E06381304060093090500C7 +:1050D00017B901001309892163F8C512B707010048 +:1050E000138B05006378F6101307F00F3337C700F2 +:1050F00013173700B357E6003309F9008346090058 +:105100003387E60093060002B386E640638C060010 +:10511000B394D40033D7EA003314D600336B97002E +:10512000B399DA00935A040193850A0013050B0022 +:10513000EF1050411309050093850A00931B0401E9 +:1051400013050B00EF10903B93DB0B01930405005C +:105150009305050013850B00EF1090371319090113 +:1051600093D70901B367F900138A040063FEA7000F +:10517000B3878700138AF4FF63E8870063F6A7000C +:10518000138AE4FFB3878700B384A74093850A009E +:1051900013850400EF10103B1309050093850A00E6 +:1051A00013850400EF1090359399090193040500CD +:1051B000930505001319090113850B0093D9090103 +:1051C000EF101031B36939011386040063FCA900A4 +:1051D000B30934011386F4FF63E6890063F4A90080 +:1051E0001386E4FF13140A013364C400130A000099 +:1051F0006F000013B707000113070001E36CF6EE20 +:10520000130780016FF01FEF138A0600631A060070 +:105210009305000013051000EF10502E1304050035 +:10522000B7070100637EF4129307F00F63F4870061 +:10523000130A8000B35744013309F90003470900FA +:105240009306000233074701B386E64063940612D3 +:10525000B3848440130A1000135B040193050B0010 +:1052600013850400EF10102E1309050093050B00A1 +:1052700013850400931B0401EF10502893DB0B01EE +:10528000930405009305050013850B00EF105024CF +:105290001319090193D70901B367F900938A040030 +:1052A00063FEA700B3878700938AF4FF63E8870053 +:1052B00063F6A700938AE4FFB3878700B384A7400F +:1052C00093050B0013850400EF10D0271309050088 +:1052D00093050B0013850400EF10502293990901E8 +:1052E00093040500930505001319090113850B00AC +:1052F00093D90901EF10D01DB36939011386040059 +:1053000063FCA900B30934011386F4FF63E6890046 +:1053100063F4A9001386E4FF13940A013364C40004 +:105320001305040093050A008320C10203248102AF +:1053300083244102032901028329C101032A810137 +:10534000832A4101032B0101832BC100032C81001F +:10535000832C4100032D010013010103678000002D +:10536000B7070001130A0001E366F4EC130A800199 +:105370006FF05FEC3314D40033DAE400B399DA0051 +:1053800033D7EA00935A0401B394D40093850A00FA +:1053900013050A00336B9700EF10D01A13090500AC +:1053A00093850A0013050A00931B0401EF101015E2 +:1053B00093DB0B01930405009305050013850B0097 +:1053C000EF1010111319090113570B013367E9008E +:1053D000138A0400637EA70033078700138AF4FF53 +:1053E000636887006376A700138AE4FF33078700AA +:1053F000B304A74093850A0013850400EF109014AE +:105400001309050093850A0013850400EF10100F9F +:10541000930405009305050013850B00EF10500B56 +:1054200013170B011357070113190901B367E9009B +:105430001387040063FEA700B38787001387F4FF78 +:1054400063E8870063F6A7001387E4FFB38787004C +:10545000131A0A01B384A740336AEA006FF0DFDF52 +:1054600063ECD51EB707010063F4F6041307F00FD1 +:10547000B335D7009395350033D7B60097B7010001 +:105480009387C7E6B387E70003C70700130A000244 +:105490003307B700330AEA4063160A021304100008 +:1054A000E3E096E833B6CA00134416006FF05FE7F6 +:1054B000B707000193050001E3E0F6FC93058001C6 +:1054C0006FF09FFBB35CE600B3964601B3ECDC00E3 +:1054D00033D4E40093DB0C01B397440133D7EA00E3 +:1054E00093850B0013050400336BF700B3194601D5 +:1054F000EF1050051309050093850B0013050400F8 +:10550000139C0C01EF10807F135C0C0193040500C9 +:105510009305050013050C00EF10807B131909019A +:1055200013570B013367E90013840400637EA7005F +:10553000330797011384F4FF636897016376A7002C +:105540001384E4FF33079701B304A74093850B004E +:1055500013850400EF10007F1309050093850B00ED +:1055600013850400EF10807993040500930505006E +:1055700013050C00EF10C07593170B0113190901E7 +:1055800093D70701B367F9001386040063FEA700F1 +:10559000B38797011386F4FF63E8970163F6A700CA +:1055A0001386E4FFB387970113140401B70B0100BE +:1055B0003364C4001389FBFF337D240133F92901CF +:1055C000B384A7409305090013050D00EF10407048 +:1055D000935C040193050900130B050013850C006F +:1055E000EF10006F93D90901130C05009385090092 +:1055F00013850C00EF10C06D130905009385090099 +:1056000013050D00EF10C06C3305850193570B0196 +:105610003385A70063748501330979019357050128 +:10562000B387270163E6F402E392F4BCB7070100F5 +:105630009387F7FF3375F50013150501337BFB00E6 +:1056400033964A0133056501130A0000E37AA6CCBC +:105650001304F4FF6FF09FB9130A00001304000055 +:105660006FF01FCC130101FB23248104232291043A +:10567000232E310323229103232611042320210505 +:10568000232C4103232A510323286103232671037A +:10569000232481032320A103232EB101930C0500B1 +:1056A000938905001304050093840500639E062674 +:1056B00013090600138A060097BA0100938A0AC3E9 +:1056C00063F4C514B70701006376F6129307F00F71 +:1056D00063F4C700130A8000B3574601B38AFA0087 +:1056E00003C70A001305000233074701330AE540E8 +:1056F000630C0A00B395490133D7EC003319460116 +:10570000B364B70033944C01935A090193850A009E +:1057100013850400EF1000639309050093850A00C8 +:10572000131B090113850400EF10405D135B0B018F +:105730009305050013050B00EF108059939909019B +:1057400093570401B3E7F90063FAA700B387270171 +:1057500063E6270163F4A700B3872701B384A7405A +:1057600093850A0013850400EF10C05D93090500BE +:1057700093850A0013850400EF10405813140401A8 +:10578000930505009399090113050B0013540401B7 +:10579000EF10005433E48900637AA4003304240139 +:1057A000636624016374A400330424013304A44019 +:1057B00033554401930500008320C1040324810470 +:1057C00083244104032901048329C103032A81039B +:1057D000832A4103032B0103832BC102032C810283 +:1057E000832C4102032D0102832DC1011301010508 +:1057F00067800000B7070001130A0001E36EF6ECB2 +:10580000130A80016FF05FED631A06009305000034 +:1058100013051000EF10804E13090500B7070100B3 +:10582000637AF90E9307F00F63F42701130A8000DF +:10583000B3574901B38AFA0003C70A0013050002EF +:10584000B384294133074701330AE540E30E0AEAEE +:1058500033194901B3DAE900B395490133D7EC00B4 +:1058600093540901336BB70013850A009385040034 +:10587000EF10404D9309050093850400931B090127 +:1058800013850A00EF10804793DB0B019305050099 +:1058900013850B00EF10C0439399090193570B0137 +:1058A000B3E7F90033944C0163FAA700B3872701EB +:1058B00063E6270163F4A700B3872701B38AA740F3 +:1058C0009385040013850A00EF10C0479309050073 +:1058D0009385040013850A00EF10404293050500EC +:1058E00013850B00EF10C03E93150B01939909012E +:1058F00093D50501B3E5B90063FAA500B385250189 +:1059000063E6250163F4A500B3852501B384A540B2 +:105910006FF09FDFB7070001130A0001E36AF9F097 +:10592000130A80016FF0DFF0E3E8D5E8B707010064 +:1059300063FCF604930BF00F33B5DB001315350051 +:1059400033D7A60097B701009387479AB387E7003C +:1059500083CB070093050002B38BAB00338B7541FB +:10596000631E0B0263E4360163EACC003384CC404F +:10597000B386D94033B58C00B384A6401305040028 +:10598000938504006FF05FE3B70700011305000182 +:10599000E3E8F6FA130580016FF09FFAB39666010B +:1059A000335D7601336DDD0033D47901B395690140 +:1059B00033DC7C0193540D01336CBC0013050400EF +:1059C00093850400B31A6601EF10C037130A05006F +:1059D000938504001305040033996C01931C0D0199 +:1059E000EF10C03193DC0C01130405009305050092 +:1059F00013850C00EF10C02D131A0A0113570C0168 +:105A00003367EA00130A0400637EA7003307A70187 +:105A1000130AF4FF6368A7016376A700130AE4FF83 +:105A20003307A701B309A740938504001385090034 +:105A3000EF1040319385040013040500138509001D +:105A4000EF10C02B930505009304050013850C008F +:105A5000EF10002893150C011314040193D50501D0 +:105A6000B365B4001387040063FEA500B385A501E8 +:105A70001387F4FF63E8A50163F6A5001387E4FF2D +:105A8000B385A501131A0A01B70C0100336AEA00B5 +:105A90001384FCFFB3778A0033F48A00B384A540F3 +:105AA00013850700930504002326F100135A0A0109 +:105AB000EF100022930905009305040013050A0066 +:105AC000EF10002113DC0A01930D050093050C0073 +:105AD00013050A00EF10C01F8327C100130A050039 +:105AE00093050C0013850700EF10801E3305B501E8 +:105AF00013D709013307A7006374B701330A9A016A +:105B0000B70701009387F7FF935507013377F70035 +:105B100013170701B3F7F900B3854501B307F70081 +:105B200063E6B400639EB400637CF900338657419A +:105B3000B3B7C700B385A541B385F5409307060009 +:105B4000B307F9403339F900B385B440B385254133 +:105B500033947501B3D767013365F400B3D565019C +:105B60006FF09FC5B7078000130101FF9387F7FF10 +:105B700033F7A7002324810023202101135475014A +:105B80001359F50113D57501B3F7B7001374F40F6A +:105B90001375F50F232611002322910093D5F501EB +:105BA00013163700939737003307A440631EB918C4 +:105BB0006358E00A63180506639C07009307F00F1B +:105BC000630CF40493070600130504006F00C00B78 +:105BD0001307F7FF631E0702B387C700130504000E +:105BE000139757006352070A130515001307F00FA8 +:105BF0006304E5323707007E93F617001307F7FFBB +:105C000093D71700B3F7E700B3E7D7006F00C007DB +:105C10009306F00F6310D402930706001305F00FEC +:105C20006F0080069306F00FE308D4FEB706000469 +:105C3000B3E7D7009306B00163D6E60093071000E0 +:105C40006FF09FF993060002B386E640B3D5E700F4 +:105C5000B397D700B337F000B3E7F5006FF0DFF785 +:105C60006304070863100404630006029306F0FF50 +:105C70006316D700B387C7006FF09FF69306F00F47 +:105C80001347F7FF631AD50213F777006308072855 +:105C900013F7F700930640006302D7289387470065 +:105CA0006F00C0279306F00FE300D5FEB70600048F +:105CB0003307E0403366D6009306B00163D6E600B2 +:105CC000130710006F00C00193060002B355E600F1 +:105CD0003387E6403317E6003337E00033E7E5006B +:105CE000B387E7006FF0DFEF130514009376F50F2D +:105CF000130710006340D704631804021305000063 +:105D0000E30406F863860720B387C700139757009C +:105D1000E35C07F6370700FC1307F7FFB3F7E7006C +:105D2000130510006FF05FF6E30A06EE63940716A2 +:105D30006FF09FEE1307F00F6300E51E3307F600C8 +:105D4000935717006FF05FF46350E0086316050483 +:105D5000E38607E61307F7FF63140702B307F6406D +:105D60001305040013975700E35007F2B70400042B +:105D70009384F4FFB3F49700130405006F00C0137D +:105D80009306F00FE30AD4E89306B00163D0E6026D +:105D9000930710006FF09FFC9306F00FE30ED4E61C +:105DA000B7060004B3E7D7006FF01FFE93060002AA +:105DB000B3D5E7003387E640B397E700B337F00089 +:105DC000B3E7F5006FF09FF9630E0706631E040248 +:105DD00013890500E30A06EA9306F0FF6318D7006B +:105DE000B387C740138905006FF0DFF79306F00F04 +:105DF0001347F7FFE30AD5E89306B00163D4E60240 +:105E0000130710006F0080039306F00F138905003D +:105E1000E30CD5E6B70600043307E0403366D6004E +:105E20006FF09FFD930600023358E6003387E6408B +:105E30003317E6003337E0003367E800B387E74005 +:105E40006FF05FFA130714001377F70F9306100033 +:105E500063CAE604631C040263180600639E07120B +:105E6000130500006F008003638C07123307F640B0 +:105E700093165700B387C74063C006129307070005 +:105E800063160706930700006FF09FFDE31006EA14 +:105E9000639C0710B70700021305F00F13090000F9 +:105EA0006F00C007B304F64013975400635407040F +:105EB000B384C7401389050013850400EF00D06E3A +:105EC0001305B5FFB394A400634A850233058540EA +:105ED0001305150013040002B3D7A4003305A44032 +:105EE000B394A400B3349000B3E797001305000007 +:105EF0006FF09FD9E38804F86FF01FFCB70700FC30 +:105F00009387F7FF3305A440B3F7F4006FF0DFD7B2 +:105F1000930706006FF05FD79307000013975700B1 +:105F2000635E0700130515001307F00F6302E50811 +:105F3000370700FC1307F7FFB3F7E7001307F00F6D +:105F400093D737006318E50063860700B707400062 +:105F500013090000370780001307F7FF1375F50FCB +:105F6000B3F7E70013177501370580808320C10060 +:105F7000032481001305F5FF33F5A7001319F90178 +:105F80003365E500336525018324410003290100C1 +:105F90001301010167800000138905006FF01FF5F0 +:105FA000930706006FF09FF4138905006FF01FC779 +:105FB000930700006FF09FF8130101FD2322910267 +:105FC000232A510193547501B70A8000232021032D +:105FD00023286101938AFAFF2326110223248102D8 +:105FE000232E3101232C4101232671012324810119 +:105FF00093F4F40F138B0500B3FAAA001359F501BB +:10600000638804089307F00F6384F40A939A3A00B4 +:10601000B7070004B3EAFA00938414F8930B000066 +:1060200013557B01370480001304F4FF1375F50F3B +:1060300033746401135BFB01630C05089307F00FD5 +:106040006308F50A13143400B70700043364F4003E +:10605000130515F89307000013972B003367F7001B +:106060001307F7FF9306E000B3496901338AA440A0 +:1060700063E0E60A97A601009386C61F1317270060 +:106080003307D700032707003307D700670007004F +:10609000638A0A0213850A00EF0010519307B5FFC7 +:1060A0009304A0F8B39AFA00B384A4406FF01FF7EA +:1060B0009304F00F930B2000E3840AF6930B300057 +:1060C0006FF01FF693040000930B10006FF05FF564 +:1060D000630A040213050400EF00104D9307B5FF97 +:1060E0003314F4009307A0F83385A7406FF09FF6B0 +:1060F0001305F00F93072000E30004F69307300028 +:106100006FF09FF513050000930710006FF0DFF4A8 +:10611000131B540063F68A12130AFAFF13090000D6 +:10612000935B0B013704010093850B001304F4FF0C +:1061300013850A00EF00903C33748B009305050033 +:10614000130C050013050400EF00903893040500BC +:1061500093850B0013850A00EF00D03E1359090107 +:10616000131505013365A90013090C00637E950022 +:10617000330565011309FCFF6368650163769500CB +:106180001309ECFF33056501B304954093850B00BB +:1061900013850400EF00903693050500130C0500ED +:1061A00013050400EF00D032930A050093850B001D +:1061B00013850400EF0010391315050113040C00BA +:1061C000637E5501330565011304FCFF63686501B7 +:1061D000637655011304ECFF3305650113190901BA +:1061E00033055541336989003335A0003364A90074 +:1061F0001307FA076350E00A93777400638A070075 +:106200009377F400930640006384D700130444009E +:106210009317440063DA0700B70700F89387F7FF86 +:106220003374F40013070A089307E00F1354340083 +:1062300063D2E70C130400001307F00F6F00800B0C +:106240001399FA0193DA1A006FF09FED9309090090 +:1062500013840A0093870B0013072000E38CE7FCEC +:10626000130730006382E70813071000E392E7F892 +:1062700013040000130700006F00C00793090B0010 +:106280006FF09FFD37044000930900009307300032 +:106290006FF09FFC93071000B387E7401307B0012E +:1062A000E348F7FC1305EA09B357F4003314A400DC +:1062B0003334800033E4870093777400638A0700E7 +:1062C0009377F400130740006384E700130444004D +:1062D0009317540013543400E3DE07F8130400004E +:1062E000130710006F000001370440001307F00F80 +:1062F00093090000370580001305F5FF3374A400EF +:10630000370580801305F5FF1377F70F3374A4006A +:10631000131777011395F9013364E4003365A40082 +:106320008320C10203248102832441020329010244 +:106330008329C101032A8101832A4101032B010121 +:10634000832BC100032C810013010103678000002F +:10635000370780001307F7FF935675013378A700BE +:1063600013D675019357F50193F6F60F1305F00F49 +:106370003377B7001376F60F93D5F5016398A6002F +:106380001305E0FF630E0806678000006318A6008F +:106390001305E0FF630E070667800000639406069E +:1063A000133518006314060063060704631C050018 +:1063B0006394B700635ED600130510006396070070 +:1063C00067800000E39E05FE1305F0FF6780000074 +:1063D00063DAC6001305F0FFE38407FE130510001F +:1063E00067800000E36A07FD13050000E364E8FE30 +:1063F0006FF01FFD13050000E30408FC6FF0DFFBE6 +:10640000E308D6F8E31606FAE30807FA6FF05FFA36 +:1064100013351800E38C06F86FF09FF937078000FA +:106420001307F7FF935675013378A70013D675014C +:106430009357F50193F6F60F1305F00F3377B70076 +:106440001376F60F93D5F5016398A6001305200087 +:10645000630E0806678000006318A600130520007D +:10646000630E070667800000639406061335180064 +:106470006314060063060704631C05006394B700F9 +:10648000635ED60013051000639607006780000066 +:10649000E39E05FE1305F0FF6780000063DAC60087 +:1064A0001305F0FFE38407FE13051000678000006A +:1064B000E36A07FD13050000E364E8FE6FF01FFDCB +:1064C00013050000E30408FC6FF0DFFBE308D6F8D7 +:1064D000E31606FAE30807FA6FF05FFA13351800BF +:1064E000E38C06F86FF09FF9130101FD232291025E +:1064F00023202103B704800013597501232E310195 +:10650000232671019384F4FF2326110223248102A0 +:10651000232C4101232A51012328610123248101D5 +:10652000232291011379F90F938B0500B3F4A40092 +:106530009359F501630A09089307F00F6306F90AF6 +:1065400093943400B7070004B3E4F400130919F876 +:10655000130B000013D57B01370480001304F4FFF4 +:106560001375F50F3374740193DBFB01630E05089B +:106570009307F00F630AF50A13143400B7070004F9 +:106580003364F400130515F89306000093172B00ED +:10659000B3E7D7003309A9009387F7FF1307E0009B +:1065A00033CA7901930A19006360F70A17A701003B +:1065B000130707D093972700B387E70083A7070047 +:1065C000B387E70067800700638A0402138504002D +:1065D000EF00807D9307B5FF1309A0F8B394F40092 +:1065E0003309A9406FF0DFF61309F00F130B2000F9 +:1065F000E38204F6130B30006FF0DFF5130900009F +:10660000130B10006FF01FF5630A0402130504005A +:10661000EF0080799307B5FF3314F4009307A0F8D7 +:106620003385A7406FF05FF61305F00F9306200047 +:10663000E30E04F4930630006FF05FF513050000DD +:10664000930610006FF09FF4370C0100130BFCFF52 +:1066500093DB0401935C0401B3F4640133746401BB +:106660009305040013850400EF0080669305040081 +:106670009309050013850B00EF00806513040500E6 +:1066800093850C0013850B00EF008064930B0500CD +:106690009385040013850C00EF00806333058500AB +:1066A00093D709013385A70063748500B38B8B01F1 +:1066B000B377650193970701B3F96901B387370190 +:1066C000139467003334800093D7A7011355050155 +:1066D000B367F40033047501131464003364F400E9 +:1066E0009317440063D6070E9357140013741400D5 +:1066F00033E487001387FA076350E00E9377740042 +:10670000638A07009377F400930640006384D70000 +:10671000130444009317440063DA0700B70700F836 +:106720009387F7FF3374F40013870A089307E00F89 +:1067300063C4E710935734006F000003138A090005 +:106740001384040093060B00930720006386F60E63 +:1067500093073000638AF60C1306100093070000BD +:1067600013070000E398C6F8370480001304F4FF11 +:1067700037058080B3F787001305F5FF8320C1023A +:10678000032481021377F70FB3F7A70013177701DC +:106790001315FA01B3E7E70083244102032901023C +:1067A0008329C101032A8101832A4101032B0101AD +:1067B000832BC100032C8100832C410033E5A7000B +:1067C0001301010367800000138A0B006FF0DFF7ED +:1067D000930A09006FF01FF293071000B387E74098 +:1067E0001307B0016340F706938AEA09B357F40030 +:1067F000331454013334800033E4870093777400FA +:10680000638A07009377F400130740006384E7006E +:10681000130444009317540063CA070293573400CB +:10682000130700006FF05FF4B70740001307F00F85 +:10683000130A00006FF05FF3930700001307F00FD7 +:106840006FF09FF2930700006FF09FFD9307000029 +:10685000130710006FF05FF1B70780009387F7FF11 +:10686000130101FF33F7A7009356750113D6750185 +:10687000B3F7B700232291002320210193F6F60FEE +:10688000131837001376F60F2326110023248100F6 +:106890001307F00F9354F501138906001305060042 +:1068A00093D5F501939737006314E60063940700CE +:1068B00093C515003387C640639A951A6356E00A5C +:1068C00063160606639C07009307F00F638CF604BB +:1068D00093070800138506006F00C0141307F7FF25 +:1068E000631E0702B387070113850600139757003D +:1068F000635A0712130515001307F00F630EE532F4 +:106900003707007E93F617001307F7FF93D717009A +:10691000B3F7E700B3E7D7006F00C0101306F00F1E +:10692000639EC600930708006F0040071306F00F30 +:10693000E38AC6FE37060004B3E7C7001306B001BA +:106940006356E600930710006FF0DFF913060002AC +:106950003306E640B3D5E700B397C700B337F0007E +:10696000B3E7F5006FF01FF863000708639C0602A9 +:10697000631808001307F00F6316E60A6F000002A1 +:106980009306F0FF6316D700B38707016FF01FF679 +:106990009306F00F1347F7FF6310D6021305F00FAD +:1069A0006F0040089306F00FE30AD6FEB706000416 +:1069B0003307E0403368D8009306B00163D6E600A1 +:1069C000130710006F00C001930600023356E80061 +:1069D0003387E6403317E8003337E0003367E600DB +:1069E000B387E7006FF09FF0138516001376F50F5D +:1069F000130710006342C7066394060413050000E2 +:106A00006302080263860722B387070113975700C2 +:106A1000635A0700370700FC1307F7FFB3F7E700D7 +:106A20001305100013F77700630A072013F7F70028 +:106A3000930640006304D720938747006F0000202F +:106A4000E30E08F4E38007EEB70700021305F00F2A +:106A5000930400006F00801E1307F00F630EE51C07 +:106A60003307F800935717006FF0DFFB6350E0081F +:106A700063160604E38A07E41307F7FF63140702AB +:106A8000B307F8401385060013975700E35C07F837 +:106A9000370400041304F4FF33F4870013090500DE +:106AA0006F0080131306F00FE38EC6E61306B001E5 +:106AB0006350E602930710006FF09FFC1306F00F7F +:106AC000E382C6E637060004B3E7C7006FF01FFE97 +:106AD00013060002B3D5E7003307E640B397E7009B +:106AE000B337F000B3E7F5006FF09FF963020708D2 +:106AF000639E0602631808001307F00F93840500D5 +:106B00006FF09FE79306F0FF6318D700B387074144 +:106B1000938405006FF05FF79306F00F1347F7FFBC +:106B20006310D602938405006FF05FE79306F00FC1 +:106B3000E30AD6FEB70600043307E0403368D80006 +:106B40009306B00163D6E600130710006F00C00182 +:106B5000930600023356E8003387E6403317E80017 +:106B60003337E0003367E600B387E7406FF05FFA42 +:106B7000138716001377F70F130610006344E6041B +:106B8000639C06026318080063980712130500004F +:106B90006FF01FEC638607123307F8409316570017 +:106BA000B387074163CA06109307070063100706FF +:106BB000930700006FF09FFDE31608E8E39407F6E3 +:106BC0006FF09FE83304F840131754006354070430 +:106BD000338407419384050013050400EF00C01CB3 +:106BE0001305B5FF3314A400634A2503330525417B +:106BF0001305150013070002B357A4003305A7407F +:106C00003314A40033348000B3E787001305000079 +:106C10006FF05FE1E30E04F86FF01FFCB70700FCB4 +:106C20009387F7FF3305A940B377F4006FF09FDF38 +:106C3000930708006FF01FDF9307000013975700BA +:106C4000635E0700130515001307F00F630EE506DA +:106C5000370700FC1307F7FFB3F7E7001307F00F40 +:106C600093D737006318E50063860700B707400035 +:106C700093040000370780001307F7FF1375F50F23 +:106C8000B3F7E70013177501370580808320C10033 +:106C9000032481001305F5FF33F5A7009394F40155 +:106CA0003365E50033659500032901008324410025 +:106CB0001301010167800000938405006FF01FF548 +:106CC000930708006FF09FF4930700006FF01FF91F +:106CD000130605001305000093F6150063840600F3 +:106CE0003305C50093D5150013161600E39605FE6F +:106CF000678000006340050663C60506138605002D +:106D0000930505001305F0FF630C060293061000BF +:106D1000637AB6006358C0001316160093961600E7 +:106D2000E36AB6FE1305000063E6C500B385C540FF +:106D30003365D50093D6160013561600E39606FE6B +:106D40006780000093820000EFF05FFB1385050071 +:106D5000678002003305A04063D80500B305B0404A +:106D60006FF0DFF9B305B04093820000EFF01FF938 +:106D70003305A040678002009382000063CA0500CB +:106D8000634C0500EFF09FF7138505006780020054 +:106D9000B305B040E35805FE3305A040EFF01FF601 +:106DA0003305B04067800200B7070100637AF5023F +:106DB0009307F00FB3B7A7009397370013070002AC +:106DC0003307F740B357F500179501001305055237 +:106DD000B307F50003C507003305A740678000002F +:106DE0003707000193070001E36AE5FC9307800180 +:046DF0006FF0DFFC65 +:02000004800278 +:1000000049444C4500000000482FFEFF102FFEFF22 +:100010001E2FFEFF2C2FFEFF342FFEFF5830FEFF59 +:100020002030FEFF2E30FEFF3C30FEFF4430FEFF4E +:10003000546D722053766300DC35FEFFDC35FEFF25 +:10004000DC35FEFF5A36FEFF2A36FEFF5036FEFF35 +:10005000DC35FEFFDC35FEFF5A36FEFF2A36FEFF9A +:10006000546D725100000000286E756C6C29000000 +:10007000DE43FEFFDC45FEFFD245FEFFDC45FEFF12 +:10008000DC45FEFFDC45FEFFDC45FEFF5C44FEFF79 +:10009000DC45FEFFDC45FEFF1444FEFF6A44FEFF24 +:1000A000DC45FEFF1E44FEFF2844FEFF2844FEFF01 +:1000B0002844FEFF2844FEFF2844FEFF2844FEFF9C +:1000C0002844FEFF2844FEFF2844FEFFDC45FEFFD7 +:1000D000DC45FEFFDC45FEFFDC45FEFFDC45FEFFA8 +:1000E000DC45FEFFDC45FEFFDC45FEFFDC45FEFF98 +:1000F000DC45FEFFDC45FEFFDC45FEFFDC45FEFF88 +:10010000DC45FEFFDC45FEFFDC45FEFFDC45FEFF77 +:10011000DC45FEFFDC45FEFFDC45FEFFDC45FEFF67 +:10012000DC45FEFFDC45FEFFDC45FEFFDC45FEFF57 +:10013000DC45FEFFDC45FEFFDC45FEFFDC45FEFF47 +:10014000DC45FEFFDC45FEFFDC45FEFFDC45FEFF37 +:10015000DC45FEFFDC45FEFFDC45FEFFDC45FEFF27 +:10016000DC45FEFFDC45FEFFDC45FEFFDC45FEFF17 +:100170008E44FEFF3A45FEFFDC45FEFFDC45FEFFF8 +:10018000DC45FEFFDC45FEFFDC45FEFFDC45FEFFF7 +:10019000DC45FEFF8644FEFFDC45FEFFDC45FEFF3E +:1001A0008E45FEFF9445FEFFDC45FEFFDC45FEFF6D +:1001B000A244FEFFDC45FEFF8845FEFFDC45FEFF56 +:1001C000DC45FEFFAC45FEFF6D69616F750A0000FE +:1001D0006D69616F75320A0068756E6772790A0021 +:1001E0004572726F7220696E2073705F666C6F70FB +:1001F00073207461736B73200D0A0000436865639C +:100200006B54696D657200004D61746831000000C7 +:100210004D617468320000004D6174683300000065 +:100220004D617468340000004D6174683500000051 +:100230004D617468360000004D617468370000003D +:100240004D61746838000000D5E9F642DD9A124528 +:10025000358E65C46F12833AA4B0C2C366E4FD460E +:10026000A30100C00000B0406F1283BACFF7414134 +:10027000C45FFEFF0060FEFF0C60FEFF0060FEFF3B +:100280007860FEFF0060FEFF0C60FEFFC45FFEFFB3 +:10029000C45FFEFF7860FEFF0C60FEFFDC5FFEFFC8 +:1002A000DC5FFEFFDC5FFEFF1460FEFF9C64FEFF70 +:1002B0009C64FEFF1C65FEFF9464FEFF9464FEFFD9 +:1002C0007C65FEFF1C65FEFF9464FEFF7C65FEFFFF +:1002D0009464FEFF1C65FEFF9064FEFF9064FEFFC9 +:1002E0009064FEFF7C65FEFF00010202030303032E +:1002F00004040404040404040505050505050505B6 +:100300000505050505050505060606060606060695 +:10031000060606060606060606060606060606067D +:100320000606060606060606070707070707070765 +:10033000070707070707070707070707070707074D +:10034000070707070707070707070707070707073D +:10035000070707070707070707070707070707072D +:100360000707070707070707080808080808080815 +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B00008080808080808080808080808080808BD +:1003C00008080808080808080808080808080808AD +:1003D000080808080808080808080808080808089D +:0803E0000808080808080808D5 +:1003E8001000000000000000017A5200017C0101A9 +:1003F8001B0D02004C00000018000000844CFEFF9A +:10040800DC05000000440E307089039507810188DF +:100418000292049305940696089709980A990B9AEC +:100428000C0370020AC144C844C944D244D344D41A +:1004380044D544D644D744D844D944DA440E004479 +:100448000B00000050000000680000001052FEFF82 +:100458000005000000440E50748802890393059932 +:100468000B810192049406950796089709980A9AB1 +:100478000C9B0D0320010AC144C844C944D244D38B +:1004880044D444D544D644D744D844D944DA44DB88 +:08049800440E00440B000000BB +:1004AC00AAAAAAAA08000000FC8F010009000000FB +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/sp_flop_rv32ic_O3.hex b/VexRiscv/src/test/resources/freertos/sp_flop_rv32ic_O3.hex new file mode 100644 index 0000000..e3def4c --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/sp_flop_rv32ic_O3.hex @@ -0,0 +1,2092 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000A1DA170502001305E55E97A50300938515 +:1000A00025880146112217050200130565529705A0 +:1000B0000200938525580146C52817B5030013058E +:1000C000658697C503009385A58537B6ABAB130648 +:1000D000B6BAD92817C103001301C184EF70B03438 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2023D23A02200F322103481 +:1002400096DEEF00002B170102000321A13B0241C3 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E23D23A0120023A295 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40702717010200032118 +:10033000612D0241F65273901234B722000093826D +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A22F83A09C +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2021B23A0220086DEEF2067 +:10046000602E170102000321E1190241F652739038 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF409007EF10900A01E597 +:10050000B24041018280B24041016F20A023930795 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30B0207E +:1005B0008280411122C406C62A8426C2EF2030124E +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF2040586DD5EF2020783C44FD173C +:1005F0003CC43C44E344F0FEFD573CC4EF20701083 +:10060000EF20F00D7C40635BF0021C4885CB930427 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2000546DD5EF20E0735C +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20B00B29CD0111E8 +:1006500022CC2A8406CE26CA4AC84EC62E89EF204E +:10066000100824404C5C832904002685EF708038F4 +:100670007D57232C0402B3079540CE974E9578C042 +:1006800048C0232434015CC438C4631109021C48E7 +:100690008DE7EF201007F2406244D2444249B2494C +:1006A0000545056182807370043001A013050401C3 +:1006B000B93D13054402A13DE9BF13050401EF2034 +:1006C000804A8547E317F5FC9133E1B701E5737084 +:1006D000043001A0011126CA4AC84EC606CE22CC5B +:1006E000AA842E89B289A5C9EF70C03013055505BB +:1006F000EF3020742A8435C5930745051CC144DCBE +:1007000023202405EF20A07D24404C5C0329040015 +:100710002685EF70202EFD56232C0402B30795404A +:100720003307A900CA9774C058C05CC434C4130509 +:10073000040123242401E13B13054402C93BEF20BB +:10074000407C230834052285F2406244D244424969 +:10075000B2490561828013054005EF30806D2A841F +:1007600001E57370043001A000C051BF01E5737052 +:10077000043001A06375B5007370043001A041110D +:1007800022C409462E84814506C6893711C500DD7D +:10079000B2402244410182807370043001A05D7137 +:1007A00086C6A2C4A6C2CAC04EDE52DC56DA5AD8E9 +:1007B0005ED632C6630A051C6382051C89476399AD +:1007C000F600585D85476305F7007370043001A09B +:1007D0003689AE842A84EF20C05B11E5B24781C719 +:1007E0007370043001A0EF20806F185C5C5C014BDB +:1007F000894BFD59130A0401930A44026365F70CFF +:1008000063077919B2476381072463150B00280831 +:10081000EF208048EF20E06EEF10604FEF20206C5B +:100820007C4063943701232204043C4463943701E1 +:1008300023240404EF20E06C6C002808EF20E0473C +:10084000631E051EEF20A069185C5C5C630CF70A50 +:10085000EF20206BEF20A0683C44634FF00039A8E4 +:100860005685EF20403019C1EF2020503C44FD1741 +:100870003CC43C446354F0005C50FDF32324340535 +:10088000EF202068EF20A0657C40634FF00039A87E +:100890005285EF20402D19C1EF20204D7C40FD17DF +:1008A0007CC07C406354F0001C48FDF323223405D7 +:1008B000EF202065EF10A06A054BEF204062185C26 +:1008C0005C5CE37FF7F230406305060C631F09129E +:1008D0000844A685EF30206E1C4434405840B6973B +:1008E0001CC463EAE70A1C5C14405850850714C412 +:1008F0001CDC5DC713054402EF20E0268547631129 +:10090000F50AC9A8EF20E05FB2455285EF206007E5 +:10091000EF20E05C3C44634FF00039A85685EF209F +:10092000802419C1EF2060443C44FD173CC43C4482 +:100930006354F0005C50FDF323243405EF20605C29 +:10094000EF20E0597C40634FF00039A85285EF203A +:10095000802119C1EF2060417C40FD177CC07C40A4 +:100960006354F0001C48FDF323223405EF20605946 +:10097000EF10E05E31F1593C054B81B73C41E38F0C +:1009800007E27370043001A07370043001A030409E +:1009900021E61C4085C71C5C585085071CDC39FBD0 +:1009A000EF2020560545B640264496440649F259A4 +:1009B000625AD25A425BB25B616182804840EF204A +:1009C00060491C5C58502322040085071CDCE3139B +:1009D00007F279D5253CE9B74844A685EF30A05DFC +:1009E0003C4058441440B307F0403E9758C4637CE1 +:1009F000D7025840BA975CC409475C506306E902C5 +:100A0000185C050718DCC9DFF5B54844A685EF304A +:100A1000805A3C4058441440B307F0403E9758C4B5 +:100A2000E37CD7FCF9B75C50185C79DB185C7D1768 +:100A300018DC185C050718DCA5D76DBD2285953E2E +:100A4000EF10E051014585B7EF20A04B0145A1BF54 +:100A5000411126C2AA841305400522C406C6EF3000 +:100A6000403D2A8415CD232C0502FD577CC1054746 +:100A7000410558DC3CC42322040023200400232425 +:100A80000400232604002320040423089404413492 +:100A900013054402AD3C8146014681452285013360 +:100AA0002285B24022449244410182800DC5411109 +:100AB00022C426C206C64AC0032945002A84EF2064 +:100AC000802C8144630CA900B2402244268502494F +:100AD0009244410182807370043001A05C448544DB +:100AE000FD175CC4F5F381460146814522854539F1 +:100AF000E1BF31CDA1C9011122CC26CA4AC852C4D6 +:100B000006CE4EC68947B68432892E8A2A846399D6 +:100B1000F600585D8547630FF7047370043001A039 +:100B20006D32185C5C5CAA896366F70281444E856D +:100B3000513AF240624426854249D244B249224A9F +:100B4000056182803C41C5DB7370043001A0737085 +:100B5000043001A0304041E21C40D5CB1C5C7D57E5 +:100B600085071CDC3C446387E7083C4485448507D3 +:100B70003CC475BF993A185C5C5CAA89636DF70246 +:100B8000304079DA4844D285EF30E0423C40584466 +:100B90001440B307F0403E9758C46375D7005840DF +:100BA000BA975CC48947E39BF4FA1C5CC5DB1C5C08 +:100BB000FD171CDC65B7304045D24844D285EF3084 +:100BC000803F3C4058441440B307F0403E9758C41F +:100BD000E367D7FCD9BFDDF40844D285EF30A03DF0 +:100BE0001C4434405840B6971CC4E3E9E7F61C4067 +:100BF0001CC4ADB75C5085449DDB13054402EF1067 +:100C0000907615D5E30509F2232099000DB74840E9 +:100C1000EF2040242322040091B721CD3C4181C71D +:100C20007370043001A01C41A1CB011122CC26CA53 +:100C30002A844AC84EC606CE2E894138185C5C5CB0 +:100C4000AA898144637DF7001C5C7D5785071CDC05 +:100C50003C446388E7023C44854485073CC44E8598 +:100C60009530F240624426854249D244B24905613A +:100C700082807370043001A05C41C5DB7370043066 +:100C800001A05C5099E38544D9BF13054402EF10DD +:100C9000906D75D9E30909FE85472320F900E5B772 +:100CA000397106DE22DC26DA4AD84ED652D456D224 +:100CB00032C66302051C638A051AB68AAE892A8485 +:100CC000EF20200D11E5B24781C77370043001A0F9 +:100CD000EF20E0201C5C63920714B247638E070A82 +:100CE0002808EF10707BFD5413094402130A040115 +:100CF000EF202021EF10A001EF20601E7C406394C4 +:100D00009700232204043C446394970023240404A2 +:100D1000EF20201F6C002808EF10307A63130518AD +:100D2000EF20E01B1C5CADCFEF20A01DEF20201BAF +:100D30003C44634FF00039A84A85EF10D06219C1D6 +:100D4000EF20A0023C44FD173CC43C446354F00037 +:100D50005C50FDF324C4EF20C01AEF2040187C4003 +:100D6000634FF00039A85285EF10F05F19C1EF1002 +:100D7000D07F7C40FD177CC07C406354F0001C4851 +:100D8000FDF364C0EF20E017EF10601DEF20201589 +:100D90001C5CC1E7B247A9FFEF20A016014555A092 +:100DA000EF2020161C40DDC7B2454A85EF10703D8C +:100DB000EF20E0123C44634FF00039A84A85EF1061 +:100DC000905A19C1EF10707A3C44FD173CC43C4462 +:100DD0006354F0005C50FDF324C4EF208012EF2038 +:100DE00000107C40634FF00039A85285EF10B057D7 +:100DF00019C1EF1090777C40FD177CC07C40635494 +:100E0000F0001C48FDF364C0EF20A00FEF10201588 +:100E100031C9EF20C00C1C5CB5DFCE85228544446F +:100E2000EFF04FF7639C0A041C5C1840FD171CDCB4 +:100E300001E7EF20801148C01C48A1EFEF20600CB3 +:100E40000545F2506254D2544259B259225A925A2C +:100E500021618280EF20A0084840EF109075EF20BC +:100E6000400A99B7EFF08FDA6DB73C41E38707E4AA +:100E70007370043001A07370043001A05C5044C44E +:100E8000D5DF13054402EF10104E4DD9EFF00FD807 +:100E900075B713050401EF10104D8547E310F5FAFF +:100EA000F5B72285EFF0EFF0EF10600B014551BF71 +:100EB0001DC9411122C44AC006C626C244412E891A +:100EC0002A84EF10506C6383A40281464A868145D0 +:100ED0002285F93385476300F502B24022449244EB +:100EE0000249410182807370043001A05C440545D1 +:100EF00085075CC4DDB75C4485075CC4F9BF15CDCC +:100F0000ADC9011122CC26CA4AC84EC652C42A8491 +:100F100006CE3289AE89EFF04FDB1C5C2A8A814411 +:100F200085E35285EFF00FDAF240624426854249AC +:100F3000D244B249224A056182807370043001A014 +:100F4000304001CE4C445C40B2954CC463E4F500A3 +:100F50000C404CC44E85EF3000061C5C7D57FD17DD +:100F60001CDC7C40638CE7007C40854485077CC0AA +:100F70004DBF3C41D9D77370043001A01C4899E3A0 +:100F8000854445B713050401EF10F03D75D9E30919 +:100F900009FE85472320F900E5B721C93C41A1CDD1 +:100FA000A1CB011122CC26CA4AC852C42A8406CE3B +:100FB0004EC62E8AEFF06FD11C5C2A89814491CBFA +:100FC0008329C400D2852285EFF0CFDC8544232617 +:100FD00034014A85EFF00FCFF24062442685424942 +:100FE000D244B249224A056182807370043001A064 +:100FF0007370043001A0EDDF7370043001A001E5CF +:101000007370043001A0411122C42A8406C6EF1077 +:10101000106D005CEF10F06EB24022852244410159 +:10102000828001E57370043001A0411106C622C41C +:101030002A84EF10D06A1C5C405C1D8CEF10706C31 +:101040002285B24022444101828019C1085D82801C +:101050007370043001A01DCD979703009387878894 +:10106000D843630CE502D847630CE504D84B63070B +:10107000E504D84F6302E504D853630DE502D85761 +:101080006308E502D85B6303E502D85F630EE50001 +:101090006F20506C7370043001A001470E07BA979F +:1010A00023A007006F20106B1D47CDBF1947FDB768 +:1010B0001547EDB71147DDB70D47CDB70947F9BF64 +:1010C0000547E9BF684582806CC5828003450505F8 +:1010D000828009C5085D1335150082807370043065 +:1010E00001A019C51C5D485D1D8D1335150082805A +:1010F0007370043001A0978703009387A77E9843FD +:1011000005C3984705C7984B05C7984F05C798531F +:1011100005C7985705C7985B15C7985F15C38280A8 +:1011200001470E07BA978CC3C8C382800547D5BF55 +:101130000947C5BF0D47F5B71147E5B71547D5B7FF +:101140001D47C5B71947F1BF978703009387877974 +:10115000D8436308E502D847630BE502D84B630A1E +:10116000E502D84F6309E502D8536308E502D85772 +:101170006307E502D85B6308E502D85F6303A70253 +:10118000828001470E07BA9723A007008280054797 +:10119000D5BF0947C5BF0D47F5B71147E5B7154797 +:1011A000D5B71D47C5B71947F1BF411122C426C2A3 +:1011B0004AC02A8406C6AE843289EF105052784065 +:1011C000FD576314F700232204043844FD576314C9 +:1011D000F70023240404EF10D0521C5C99E74A86E0 +:1011E000A68513054402EF10D00CEF10504F3C447D +:1011F000635BF0025C5085CB9304440209A83C4435 +:10120000FD173CC43C446350F0025C5089CF2685F6 +:10121000EF1070156DD5EF1050353C44FD173CC4F0 +:101220003C44E344F0FEFD573CC4EF10904DEF10FA +:10123000104B7C40635BF0021C4885CB9304040197 +:1012400009A87C40FD177CC07C406350F0021C481C +:1012500089CF2685EF1030116DD5EF1010317C400D +:10126000FD177CC07C40E344F0FEFD577CC0224467 +:10127000B2409244024941016F10B0481DC17971DA +:101280004ECE52CC06D63E8A22D426D24AD056CA58 +:101290005AC85EC6A547BA8963F8E7007370043080 +:1012A00001A07370043001A02A8BAE843289B68B02 +:1012B000C28A6307082213050006EF2090372A84AC +:1012C000630B05200A09232855034A869305500A13 +:1012D0005685EF20505C03C704001C587119230A7F +:1012E000E40203C704003E991379C9FF79C783C795 +:1012F0001400A30AF40283C71400E1C383C72400C7 +:10130000230BF40283C72400CDCB83C73400A30B87 +:10131000F40283C73400D5C383C74400230CF4020E +:1013200083C74400D9CB83C75400A30CF40283C7FE +:101330005400C1C783C76400230DF40283C764004F +:10134000ADCF83C77400A30DF40283C77400B5C783 +:1013500083C78400230EF40283C78400B9CF83C7F8 +:101360009400A30EF40283C79400A1CB83C7A4000A +:10137000230FF40283C7A400A9C383C7B400A30F3B +:10138000F40283C7B40095CB83C7C4002300F404E0 +:1013900083C7C4009DC383C7D400A300F40483C7DC +:1013A000D40081CF83C7E4002301F40483C7E400A1 +:1013B00089C783C7F400A301F404930A4400568547 +:1013C000A30104042326340323283405232A040418 +:1013D000EFF02F9513058401EFF0AF94A947B38781 +:1013E0003741232C040400C81CCC40D02322040421 +:1013F000232E04045E86DA854A85EFF0AF8D08C09F +:1014000063040A0023208A007370043017F9010076 +:101410001309891D8327090097F401009384841E12 +:1014200091C798409C407C4385077CC317F7010017 +:101430001307471C1C43850797F6010023ACF61AD7 +:101440009C40C5CB8327090017FB0100130B4B2BD6 +:1014500089EB9C40DC5763E6F90097F7010023A372 +:10146000871A97F70100938727179C4317F7010006 +:101470001307C71748541843850797F6010023AD93 +:10148000F6143CC46376A70097F7010023A0A716C3 +:10149000D145EF602056D6855A95EFF0EF88832727 +:1014A000090081C79C40FC43B5E38327090005443C +:1014B00099C79C40DC5763F43701EFE03FF5228584 +:1014C000B250225492540259F249624AD24A424BD3 +:1014D000B24B456182805685EF20D0277D54C5B739 +:1014E00013152600EF20F014AA8AE31605DC7D54BC +:1014F000F9B797F7010023A78710184385476301C1 +:10150000F70217FB0100130BAB1FA1BF98409440DB +:101510007C43FD177CC3FC42C9FB7360043071B788 +:10152000014A17FB0100130BAB1DA94B5285D14596 +:10153000EF60404C050A5A95EFE07FFDE3187AFF13 +:1015400097FB0100938B4B285E85EFE05FFC17FA59 +:101550000100130AAA285285EFE07FFB17F501006E +:1015600013050529EFE0BFFA17F5010013058529DA +:10157000EFE0FFF917F501001305052AEFE03FF949 +:1015800097F7010023AE770797F7010023A84707D5 +:10159000C9BD411122C406C626C24AC02A8473703E +:1015A000043097F40100938424049C40F9E311E48F +:1015B00097F70100938707058043130944004A8584 +:1015C000EFE01FFB1C5489C713058401EFE05FFAAD +:1015D000CA8517F501001305E522EFE0FFF497F740 +:1015E000010093876701984397F701009387C7FE2F +:1015F0009C43050797F6010023A0E6009840850765 +:1016000097F6010023AAF6FC01CB97F70100938718 +:1016100067FF9843784349EF9C40B1C797F70100B3 +:10162000938747FE9843630F870873700430984090 +:1016300039EB17F701001307A7FC14439442A5E206 +:101640007D5797F6010023A7E6F8984009CF984305 +:10165000784311CB984394437C43FD177CC3FC42F1 +:1016600099E373600430B24022449244024941013C +:10167000828097F701009387E7F898439C437C4367 +:1016800085077CC32DB794439843F8420507F8C2F9 +:1016900017F701001307C7F614439442D5D2184335 +:1016A00058475847584397F6010023A5E6F271BF03 +:1016B000984394437C43FD177CC3FC42B1FF7360A5 +:1016C000043099BF97F70100938787F09C4381C747 +:1016D0007370043001A02244B24092440249410197 +:1016E0006FE0DFD241C517F701001307A7F11443DC +:1016F0008147638BA606411106C622C426C27370B9 +:10170000043097F60100938626EE9C4291C7104361 +:101710001C437C4285077CC29C42AA84404981C705 +:101720001C43FC43B9E797F70100938767ED9C439F +:10173000638B870497F70100938747EC9C4363848E +:10174000870497F701009387270D6309F40297F741 +:1017500001009387270B6301F4028547B2402244BE +:1017600092443E85410182803E85828073700430C0 +:1017700001A0EF60A04045BF9147CDB79C5493B7FF +:1017800017008907E1BF8947D1BF7370043097F70D +:101790000100938767E5984309EF15C59C434855B9 +:1017A00081CB97F701009387E7E59843784315E3EA +:1017B000828017F701001307E7E414431843F84247 +:1017C0000507F8C261FD17F701001307A7E30843F7 +:1017D000F1B7984394437C43FD177CC3FC42E9FB7B +:1017E000736004308280411122C42A8406C6EFE06F +:1017F000DFCD11E497F701009387C7E080434054A1 +:10180000EFE05FCCB2402285224441018280A547AF +:1018100063F5B7007370043001A0011122CC06CE2D +:1018200026CA4AC84EC652C42A847370043017F9B7 +:101830000100130969DB83270900CDEF71C43C481F +:101840006388F50817F701001307C7DB63FCB700CF +:101850001443638C860C18434457B3B4950093C467 +:10186000140029A00443818C93B41400585463835A +:10187000E70A1C4C2CC863C60700A947B385B740CC +:101880000CCC93172700BA97584897F90100938911 +:1018900029E78A07CE97631AF702130A4400528594 +:1018A000EFE01FCD97F70100938747D448549C433E +:1018B00063F6A70097F7010023AAA7D2D145EF60EE +:1018C0006013D2854E95EFE03FC699C0EFE01FB49C +:1018D0008327090081CB97F701009387A7D29C4308 +:1018E000FC439DEBF2406244D2444249B249224A51 +:1018F0000561828097F701009387C7D098439C4386 +:101900007C4385077CC305FC97F70100938787CF4D +:10191000804335B74CD4B1BF6244F240D24442490F +:10192000B249224A05616F606025814481B725C1B3 +:10193000011126CA97F401009384C4CC9C4006CEC2 +:1019400022CC4AC84EC6638DA7027370043017F9C3 +:101950000100130969C98327090091C798409C4079 +:101960007C4385077CC3584997F701009387C7EAF2 +:101970006302F7028327090081C79C40FC43A5EB63 +:10198000F2406244D2444249B24905618280737098 +:10199000043001A01C5517F70100130767E5E38B1E +:1019A000E7FCE9FB930945002A844E85EFE05FBC24 +:1019B00097F70100938787C348549C4363F6A700B9 +:1019C00097F7010023A4A7C2D145EF60A00297F7C3 +:1019D00001009387E7D23E95CE85EFE0FFB49C40AF +:1019E0005854DC57E368F7F8EFE05FA261B7624450 +:1019F000F240D2444249B24905616F60201815CDCA +:101A0000011122CC26CA4EC62A8406CE4AC8EFE06F +:101A1000DFAB584897F70100938707E0AA89814414 +:101A20006300F7024E85EFE0FFA9F240624426858D +:101A30004249D244B249056182807370043001A0EA +:101A40001C5417F501001305A5DAE38DA7FCF9FB7B +:101A500097F701009387C7B79C43A9EB97F701005D +:101A6000938747BA9C43445413094400DC574A8582 +:101A7000B3B4F400EFE0DFAF97F70100938707B747 +:101A800048549C4393C4140063F6A70097F70100E1 +:101A900023AEA7B4D145EF50F07597F701009387B7 +:101AA00027C6CA853E95EFE03FA8ADBF93058401E8 +:101AB000EFE09FA785BF0111056506CE22CC26CA9F +:101AC0004AC84EC652C456C25AC0EF208036630E72 +:101AD000051AAA8413050006EF20A0352A84630A9C +:101AE000051C05669305500A04D92685EF20A05AE7 +:101AF00004588567F117BE94B7474C4593879744C0 +:101B0000130A44005CD85285230C0402A301040488 +:101B10002326040223280404232A0404EFE07FA0E0 +:101B200013058401EFE0FF9FA947232C0404F198DB +:101B300000C81CCC40D023220404232E04040146F8 +:101B4000971500009385E5B12685EFE0BF9808C0A2 +:101B50007370043017F90100130909A983270900DC +:101B600097F40100938404AA91C798409C407C4359 +:101B700085077CC317F701001307C7A71C43850718 +:101B800097F6010023A8F6A69C40C9C38327090045 +:101B900097F901009389C9B681EB9C40DC5789E72E +:101BA00097F7010023A087A697F701009387C7A2A4 +:101BB000984397F60100938666A35C549442050708 +:101BC00017F60100232AE6A038C463F6F60017F7DB +:101BD0000100232DF7A0139527003E950A05D28515 +:101BE0004E95EFE07F948327090081C79C40FC431A +:101BF000DDE78327090091C39C40EF10F04985473A +:101C00006305F50C59E17370043001A097F70100EA +:101C100023AA879E1843854797F90100938949AE07 +:101C2000E314F7F8CE8A17FB0100130BEBB95685C6 +:101C3000D10AEFE0DF8DE31C5BFF17F50100130510 +:101C4000A5B8EFE0DF8C97FA0100938A2AB9568590 +:101C5000EFE0FF8B17F50100130585B9EFE03F8B2F +:101C600017F50100130505BAEFE07F8A17F50100AB +:101C7000130585BAEFE0BF8997F7010023A26799A2 +:101C800097F7010023AC579705B7F2406244D2445E +:101C90004249B249224A924A024B056182809840E9 +:101CA00094407C43FD177CC3FC42A1F77360043071 +:101CB00089B76244F2404249B249224A924A024BF1 +:101CC0002685D24405616F20E02873700430FD57EB +:101CD00017F701002320F790624497F7010023A52E +:101CE000A790F240D2444249B249224A924A024B5A +:101CF00097F7010023AE078E05616FE08FDA7370EE +:101D0000043097F7010023A1078E6FE0CFE717F7A4 +:101D100001001307E78B1C4385071CC38280737087 +:101D2000043097F701009387278C984305E317F752 +:101D300001001307E78B08439C4381CB97F7010011 +:101D40009387478C9843784301EF828017F701000F +:101D50001307478B14431843F8420507F8C2C1BF65 +:101D6000984394437C43FD177CC3FC42F9FF7360A6 +:101D700004308280411106C622C4EFE00FF597F7C8 +:101D800001009387E7868043EFE0CFF3B2402285DE +:101D900022444101828097F701009387A7858843F9 +:101DA0008280797106D622D426D24AD04ECE52CC29 +:101DB00056CA5AC85EC697F70100938767819C434D +:101DC000B1EF17F701001307A7821C43850797F6A9 +:101DD000010023AFF680832A0700638F0A0697E786 +:101DE00001009387277F9C43814463F1FA0897F9A8 +:101DF00001009389E99017FA0100130AAA8083274A +:101E00000A008546D85793172700BA978A07BE99C4 +:101E100083A7090063FFF600854421A897E7010026 +:101E20009387477C9C438144850717E70100232B58 +:101E3000F77A97E701009387A77A9C4391C385447B +:101E4000B2502254268502599254F249624AD24A2B +:101E5000424BB24B4561828017E401001304447A7F +:101E60001C409C43DDC37370043001A017E40100E3 +:101E70001304047997F901009389898817EA01000E +:101E8000130A4A7817EB0100130B4B761C409C4356 +:101E9000B5C31C40DC4703A9C70083274900930B47 +:101EA00049005E8563EEFA04EFE08FEC8327890238 +:101EB0001305890199C3EFE0AFEB8327C90203271C +:101EC0000B00DE85139527003E950A054E95637637 +:101ED000F70017E70100232BF770EFE0EFE483270B +:101EE0000A000327C902DC57E362F7FA1C40854465 +:101EF0009C43C5F3FD5717E70100232DF76C01B78D +:101F000017E701002328F76CDDBD17E70100130771 +:101F1000E76E1C40184397E6010023A3E66E17E71F +:101F20000100232DF76C97E701009387276B9C43F3 +:101F3000850717E701002323F76A1C409C4399E7B4 +:101F4000FD5717E701002327F76851BD1C40DC4708 +:101F5000DC47DC4317E70100232EF76649B597E716 +:101F600001009387E766984301E77370043001A08E +:101F7000011106CE22CC26CA4AC84EC652C456C249 +:101F80005AC07370043097E401009384E46598406C +:101F900061EB98437D1797E6010023ABE6629C4313 +:101FA000D9EB97E701009387E7649C43C9C797EA99 +:101FB0000100938AEA7417EA0100130A2A6317E9F9 +:101FC000010013092964854919A83385EA00EFE067 +:101FD000AFD5832709005854DC576379F70483A7EA +:101FE0000A0FC1CF83A7CA0FC04713058401EFE0D2 +:101FF0002FD8130B44005A85EFE08FD75C5483260B +:102000000A00DA85139527003E950A052A8756951A +:10201000E3FDF6FA17E70100232AF75CEFE0CFD0E3 +:10202000832709005854DC57E36BF7FA97E7010060 +:1020300023A8375B6DB701449C4081CB97E7010033 +:102040009387475C9C43FC4395E72285F2406244BA +:10205000D2444249B249224A924A024B05618280E7 +:1020600017E701001307075A14431843F8420507FE +:10207000F8C205B7EF509030C9BF17E40100130450 +:1020800064561C4095C31C40054999CF193B09C5AE +:1020900097E7010023A627551C40FD1717E701000D +:1020A0002322F7541C40FDF397E701009387475321 +:1020B00098438547E311F7F8EFE04FB50544ADBF0E +:1020C00011CD81E57370043001A017E701001307FB +:1020D00027501C4399C77370043001A073700430FB +:1020E00001A0411122C406C614431841850617E613 +:1020F0000100232FD64C97E601009386664F944249 +:102100003304B70000C163F7E602637FE40063FDB8 +:10211000860097E701009387E74E88431105EFE0BB +:102120002FC52285EF50501F1D3D01C9B2402244EA +:1021300041018280E36FE4FCD9BF2244B2404101F7 +:102140006FE0CFAC11C917E70100130767481C43C4 +:1021500091C77370043001A06FE04FAB411106C608 +:1021600022C41843AA87050797E6010023A2E64682 +:1021700097E601009386064917E7010013074747D2 +:10218000184388423304F7001105EFE06FBE228543 +:10219000EF509018E93311E52244B24041016FE05D +:1021A000EFA6B240224441018280411122C406C6FA +:1021B00097E701009387C7419C430144850717E7D0 +:1021C00001002327F74097E701009387A7429C432C +:1021D00063E0F51217EE0100130E4E5E97E2010068 +:1021E0009382425101440147854F1303500A21A0B5 +:1021F000311E638DC20B83278EFFBA86F5DB8327E2 +:10220000CEFFDC43232EFEFE638AC70F03AFC70059 +:10221000DC432A97814E232EFEFE6385C70783A8E1 +:10222000C70093874803232017015CC383A7880452 +:102230002326F7011CC783A7C8021CCB83A7080568 +:10224000232C07005CCB03A8080383470800639096 +:102250006704C287850783C60700B3850741E38B00 +:1022600066FE8981C205C181231EB700850E130752 +:10227000070263061F038327CEFFDC43232EFEFEE7 +:10228000E39FC7F983274E00232EFEFE49BF8145F9 +:10229000231EB700850E13070702E31E1FFD769469 +:1022A00013175400311EBA86E397C2F597E7010071 +:1022B0009387073503AE070083270E00639D070E43 +:1022C00097E701009387873303AE070083270E004B +:1022D0006392071817EE0100130E8E4283274E10EB +:1022E0009DE383278E116395072019C223200600E2 +:1022F000BD312285B24022444101828083274E00B5 +:10230000232EFEFE21B783278E1017EF0100130F37 +:10231000EF4FDC4317E701002328F74E6380E733D4 +:1023200083A2C700DC43AA96814E17E70100232D44 +:10233000F74C914F1303500A6388E70783A8C7003F +:102340009387480323A01601DCC283A7880423A631 +:10235000F6019CC683A7C8029CCA83A7080523ACC4 +:102360000600DCCA03A80803834708006391672AB4 +:10237000C287850703C70700B3850741E30B67FEE4 +:1023800093D72500C207C183239EF600850E93864E +:1023900006026386122983278E10DC4317E70100AB +:1023A0002324F746E39CE7F983270E1117E7010082 +:1023B000232CF74461B783274E00130F8E00D443BC +:1023C0002322DE006388E629B307E500D84283A212 +:1023D000C600814E2322EE00894F1303500A630684 +:1023E000EF068328C7001387480323A01701D8C32B +:1023F00003A7880423A6F70198C703A7C80298CBB0 +:1024000003A7080523AC0700D8CB03A8080303479C +:1024100008006318671C4287050783460700B30559 +:102420000741E38B66FE13D7250042074183239EB5 +:10243000E700850E93870702638D121B83264E00EB +:10244000D8422322EE00E31EEFF80327CE0023221A +:10245000EE0041BF83274E00130F8E00DC43232282 +:10246000FE006384E71F83A2C700DC43AA96814E67 +:102470002322FE00894F1303500A6306FF0683A838 +:10248000C7009387480323A01601DCC283A78804F2 +:1024900023A6F6019CC683A7C8029CCA83A7080589 +:1024A00023AC0600DCCA03A8080383470800639630 +:1024B0006710C287850703C70700B3850741E30B91 +:1024C00067FE93D72500C207C183239EF600850EC1 +:1024D00093860602638B120F83274E00DC43232270 +:1024E000FE00E31EFFF88327CE002322FE0041BF3B +:1024F0008327CE1197EE0100938E8E32DC4317E7CF +:102500000100232DF7306384D71383AFC700DC436A +:102510003307D500014397E6010023A1F6300D4FA4 +:1025200089429308500A6387D707C8479307450332 +:1025300008C35CC33C452326E7011CC75C551CCB84 +:102540001C5599C3232657003C49232C07005CCB1C +:10255000032805038347080063951705C28785078D +:1025600083C60700B3850741E38B16FF93D7250089 +:10257000C207C183231EF700050313070702638AFE +:10258000AF028327CE11DC4397E6010023A8F6288B +:10259000E39DD7F983274E1297E6010023A0F62882 +:1025A00069B78147231EF700050313070702E39A63 +:1025B000AFFC1A94E31C06D225BB8147239EF6008C +:1025C000850E93860602E39912F117EE0100130EB1 +:1025D0002E1383274E10769493165400E38307D06E +:1025E0001DB30147239EE700850E93870702E397FB +:1025F00012E597E701009387670003AE0700769422 +:102600009316540083270E00E38607CCA1B58147BB +:10261000239EF600850E93860602E39E12D783273B +:102620008E11769493165400E38107CCD1B583279D +:102630004E1217E701002323F71EC1BD83270E1199 +:1026400017E701002322F71CE1B98327CE002322DC +:10265000FE0011BD8326CE002322DE00B5B37971C2 +:1026600026D24AD04ECE52CC56CA5AC85EC606D6DC +:1026700022D497E901009389A9F517E9010013090C +:10268000290817EA0100130A2AF697EA0100938A3B +:102690006AF797EB0100938BEBF597E401009384C5 +:1026A000A4F5054B21A883A7090003244910850739 +:1026B00017E70100232EF7F05D3011E89C40E5F7A5 +:1026C00083270900E37CFBFEEFD05FD4C5BF7370A6 +:1026D000043083270A00B9E383270911C047130593 +:1026E0004400EFD0FFE883A70B00FD1717E70100B8 +:1026F0002322F7F09C40FD1717E70100232EF7EE89 +:1027000083270A0089C783A70A00FC4385E308588A +:10271000EF1050042285EF10F0034DB703A70A0015 +:1027200083A70A007C4385077CC37DB703A70A0003 +:1027300083A60A007C43FD177CC3FC42E9FB73605F +:102740000430F1B797E70100938787E89C43DDE702 +:10275000411106C622C426C297E7010023A207E85A +:1027600017E70100130707EA1C43B7A6A5A5938640 +:10277000565A9C5B8C43639BD500D0436318B600CC +:1027800094476395C600DC476388D70008430C4331 +:1027900093854503EF20007417E70100130707E551 +:1027A000144317E401001304A4F511A81C43A9CF96 +:1027B0001C43FD1797E6010023AAF6E21443939702 +:1027C0002600B6978A07A2979C43EDD30843931738 +:1027D0002500AA978A073307F4005443A107A2975C +:1027E000C44244C36385F402DC44B240224417E788 +:1027F00001002329F7E0924441018280854717E7D1 +:102800000100232FF7DC82807370043001A0C440E4 +:10281000D145EF50201E229544C1F9B701114AC895 +:1028200006CE22CC26CA4EC62A897370043097E49D +:102830000100938464DB9C4017E40100130484DCF2 +:10284000D9E76314090003290400930949004E8560 +:10285000EFD01FD28327890289C713058901EFD0E2 +:102860003FD1CE8517E50100130505FBEFD0DFCB87 +:102870009C4081C71C40FC43ADE31C40638B270791 +:102880009C409DCF737004309C40C1EB97E70100E2 +:10289000938707D79843184325EBFD5717E70100A7 +:1028A000232AF7D29C4089CF1C40FC4391CB18408F +:1028B00014407C43FD177CC3FC4299E373600430F1 +:1028C000F2406244D2444249B249056182801840D4 +:1028D0001C407C4385077CC3ADB7184014407C4343 +:1028E000FD177CC3FC42D1FB736004301C40E399AC +:1028F00027F99C40A1C397E70100938767CD9C43CC +:10290000ADCB7370043001A09C43DC47DC47DC4353 +:1029100017E701002320F7CC71B718401C407C4317 +:1029200085077CC397E70100938787CD98431843B9 +:102930002DD7D9BF97E701009387C7CB17E70100D1 +:10294000130707DC9C4303278711631DF700F24040 +:10295000624497E7010023A707CAD2444249B2491B +:10296000056182806244F240D2444249B249056125 +:10297000D1BB6244F240D2444249B24905616FD0B2 +:10298000FFA83DC9411126C297E40100938484C782 +:102990004AC02E898C4006C622C4E105EFD05FBA3A +:1029A00088401105EFD0DFBCFD57630AF90497E7B3 +:1029B00001009387E7C3804398409C434A9440C3F7 +:1029C000636CF40497E70100938787C388438C40C6 +:1029D0009105EFD0FFB697E701009387A7BF9C430F +:1029E0006376F40097E7010023A687BEB240224435 +:1029F00092440249410182807370043001A08C40EE +:102A00002244B2409244024917E501001305C5E093 +:102A1000910541016FD05FB197E70100938707BE31 +:102A2000884322448C40B2409244024991054101BE +:102A30006FD01FB111C997E70100938767B99C4315 +:102A400099E77370043001A07370043001A0411144 +:102A500026C297E401009384E4BA9840AE878C4084 +:102A6000B7060080D58F06C622C41CCFE10532848C +:102A7000EFD09FAB88401105EFD09FAFFD57630E9D +:102A8000F40097E701009387A7B68843B2409244C9 +:102A90002295224441016F5020088C402244B240CC +:102AA000924417E50100130525D7910541016FD028 +:102AB000BFA725CD411126C297E40100938484B4B9 +:102AC0004AC02E898C4006C622C4E1053284EFD06C +:102AD000BFA588401105EFD0BFA98547630AF4045C +:102AE00097E701009387C7B0804398409C434A947E +:102AF00040C3636CF40497E70100938767B0884391 +:102B00008C409105EFD0DFA397E70100938787AC56 +:102B10009C436376F40097E7010023AD87AAB24097 +:102B2000224492440249410182807370043001A022 +:102B30008C402244B2409244024917E5010013053B +:102B4000A5CD910541016FD03F9E97E70100938786 +:102B5000E7AA884322448C40B2409244024991053E +:102B600041016FD0FF9D411106C622C426C25C45BB +:102B7000C04735CC930484012685EFD07F9F97E72B +:102B800001009387E7A49C43B5E79304440026859E +:102B9000EFD01F9E97E70100938747A558549C43A9 +:102BA00063F6E70097E7010023A2E7A49317270045 +:102BB000BA978A0717E50100130585B4A6853E95E7 +:102BC000EFD09F9697E701009387C7A39C43585483 +:102BD0000145DC5763F8E700854717E7010023212B +:102BE000F7A00545B24022449244410182807370AF +:102BF000043001A0A68517E50100130565BFEFD0DD +:102C0000BF92C9B797E701009387879C9C4381E7F0 +:102C10007370043001A0411106C622C426C2B70752 +:102C200000804045CD8F1CC12DC4EFD07F9493040C +:102C300044002685EFD0DF9397E701009387079B39 +:102C400058549C4363F6E70097E7010023A0E79AF6 +:102C500093172700BA978A0717E50100130545AABD +:102C60003E95A685EFD05F8C97E701009387879903 +:102C70009C4358540145DC5763F8E700854717E744 +:102C80000100232FF7940545B240224492444101AC +:102C900082807370043001A011CD97E70100938703 +:102CA000E793984397E70100938787949C4318C163 +:102CB0005CC182807370043001A055C1D1CD411137 +:102CC00006C622C42A877370043097E801009388EF +:102CD000A89183A7080091CB97E70100938787927B +:102CE00094439C43FC428507FCC217E30100130395 +:102CF00023909C4103260300FD560144638FD702B5 +:102D000017EE0100130E8E8D03250E000328070019 +:102D100054436305A80005446371D6023308D640C6 +:102D20000544637CF80003250E00918F0326030001 +:102D3000B6979CC108C350C3014483A7080081CB48 +:102D400097E701009387078C9C43FC4381EF228522 +:102D5000B2402244410182807370043001A073703C +:102D6000043001A0EF409061DDB7854717E701000F +:102D70002328F786828019C16845828001458280B8 +:102D800011C16CC5828097E701009387A7878843AC +:102D9000828097E70100938727859C43054589CB6F +:102DA00097E701009387C78288431335150006050E +:102DB000828031CD011126CA97E401009384848476 +:102DC0009C4006CE22CC4AC84EC65855DC57637983 +:102DD000F7021C4D63C707009C40D457A947958F45 +:102DE0001CCD93172700BA97584917E9010013091A +:102DF00029918A07CA97630DF7009C40DC575CD580 +:102E0000F2406244D2444249B249056182808280E4 +:102E1000930945002A844E85EFD08FF59C4017D743 +:102E200001001307A77C1843DC575CD46376F700D6 +:102E300017D70100232CF77A139527003E9562449B +:102E40000A05F240D244CE854A95B249424905610D +:102E50006FD08FED19CD411106C622C426C297D777 +:102E600001009387277A80436307A40073700430BE +:102E700001A0014582807C4881E77370043001A085 +:102E800054543848FD177CC86383E60099C7014550 +:102E9000B24022449244410182809304440026853A +:102EA000EFD00FED284897D70100938727749843F8 +:102EB000A947898F48D41CCC6376A70097D7010017 +:102EC00023A6A772D145EF40F03297E70100938720 +:102ED00027833E95A685EFD02FE5054555BF737036 +:102EE000043097D70100938727709C4391CB97D7E5 +:102EF00001009387277198439C437C4385077CC3DB +:102F0000828097D701009387276E9C438DC397D704 +:102F100001009387276F9843784311CB98439443DC +:102F20007C43FD177CC3FC4299E3736004308280CC +:102F300097D701009387076D904394439843A9471F +:102F4000D456084E958F1CCF828097D70100938767 +:102F5000676B984309C79443F84A0507F8CA884342 +:102F6000828079714AD006D622D426D24ECE2A89C2 +:102F70007370043097D40100938404679C4017D485 +:102F8000010013042468BDE31C40BC4F89E71C40CA +:102F90000547F8CFD1E19C4081C71C40FC43F1EBD1 +:102FA000737004309C408DEF1C40A84F11C5630422 +:102FB00009021C4023AC07041C4023AE07049C40BC +:102FC00081C71C40FC438DEBB250225492540259ED +:102FD000F2494561828018403C4FFD173CCFE9BF64 +:102FE00018401C407C4385077CC37DBF18401C40B3 +:102FF0007C4385077CC349BF184014407C43FD17C0 +:103000007CC3FC42F1F373600430B25022549254FA +:103010000259F2494561828008402EC61105EFD061 +:103020002FD5B245FD576380F50697D701009387EA +:10303000275C83A9070018409C43AE9923223701DF +:1030400063ECF90497D701009387875B88430C40B2 +:103050009105EFD0EFCE97D701009387A7579C43F8 +:1030600063F6F90097D7010023A63757EFD00FBAC0 +:103070001DB7184014407C43FD177CC3FC428DF300 +:103080007360043031BF0C4017D501001305C578BB +:103090009105EFD06FC9D9BF97D701009387075625 +:1030A00088430C409105EFD0AFC9C9B779714AD0B8 +:1030B0004ECE06D622D426D252CC2E89B289737037 +:1030C000043097D40100938424529C4017D401000B +:1030D00013044453F9EF18408947784F630CF70005 +:1030E0001C401345F5FFB84F798DA8CF1C4005470C +:1030F000F8CFADE69C4081C71C40FC43F5E37370FC +:1031000004309C40B1E7638609001C40BC4F23A0FB +:10311000F900184085470145784F630BF7001C40C4 +:103120001349F9FF0545B84F3379E90023AC27056A +:103130001C4023AE07049C4081C71C40FC43C1E3F4 +:10314000B250225492540259F249624A4561828037 +:1031500018401C407C4385077CC375B7084036C6C1 +:103160001105EFD0EFC0B246FD576385F60897D73B +:1031700001009387E74703AA070018409C43369A4B +:10318000232247016363FA0897D7010093874747D3 +:1031900088430C409105EFD0AFBA97D701009387D1 +:1031A00067439C436370FA0697D7010023A4474303 +:1031B00091A818401C407C4385077CC329BF184058 +:1031C00014407C43FD177CC3FC42BDFB736004309C +:1031D000B250225492540259F249624A45618280A7 +:1031E000184014407C43FD177CC3FC4289FB73608C +:1031F000043031B70C4017D501001305E561910586 +:10320000EFD08FB2EFD08FA0F5B597D7010093879D +:10321000E73E88430C409105EFD08FB2E5B751C12E +:10322000411106C622C426C24AC07370043097D426 +:1032300001009384643B9C40B9EB99C23C4D9CC215 +:10324000B2878946704D74CD2E872A846386D7064F +:1032500063FCF6048D456387B70091456395B7001D +:103260006300D60C38CC8547630FF60405449C40B8 +:1032700081CB97D701009387E7389C43FC43A9E3B0 +:103280002285B2402244924402494101828097D76C +:1032900001009387273798439C437C4385077CC371 +:1032A00069BF7370043001A08546E39ED7FA3C4D98 +:1032B00033E7B70038CD45BF3C4D85073CCD65B7FA +:1032C000EF40D00B75BF130944004A85EFD04FAAD9 +:1032D00097D701009387873148549C4363F6A70032 +:1032E00097D7010023A4A730D145EF40A07097D70E +:1032F00001009387E7403E95CA85EFD0EFA21C54AA +:1033000081C77370043001A097D701009387872F7E +:103310009C435854DC57E3FBE7F4EFD02F8FB9B749 +:103320000144B1B739CD011122CC26CA4AC84EC6D4 +:1033300052C456C206CEBA89368AB2842E892A84ED +:10334000EFD0AF98AA8A63050A003C4C2320FA000C +:10335000784C89477CCC6389F40263F4970C8D46E2 +:103360006387D40091466396D400630AF70A232C3E +:1033700024058547631EF7061C5499CB73700430EF +:1033800001A07370043001A03C4C85073CCCD5B73C +:1033900097D701009387C7239C43ADEB9304440068 +:1033A0002685EFD0EF9C97D70100938727244854B8 +:1033B0009C4363F6A70097D7010023A9A722D14514 +:1033C000EF40406397D7010093878733A6853E95EA +:1033D000EFD08F9597D701009387C7229C4358540D +:1033E000DC5763F7E70063850900854723A0F900F0 +:1033F00005445685EFD00F8D2285F2406244D244B9 +:103400004249B249224A924A056182809305840169 +:1034100017D501001305C53DEFD00F9165BF0144DD +:10342000C9BF8547E397F4F43C4C33E92701232CCB +:10343000240581B74DC1011122CC26CA2A844EC66B +:1034400006CE4AC8AE84EFD04F888947744C7CCCF6 +:103450003C4C0547AA8985073CCC6395E6061C547D +:1034600081C77370043001A097D701009387471676 +:103470009C43ADE7130944004A85EFD06F8F97D77F +:1034800001009387A71648549C4363F6A70097D77B +:10349000010023ADA714D145EF40C05597D70100D7 +:1034A00093870726CA853E95EFD00F8897D70100EE +:1034B000938747159C435854DC5763F5E70099C040 +:1034C00085479CC06244F240D24442494E85B2498D +:1034D00005616FC03FFF7370043001A09305840144 +:1034E00017D501001305C530EFD00F84C1B7AA87E7 +:1034F00029C57370043017D701001307E70E144372 +:103500009DE2F04F894601456315D60023AE0704BE +:1035100005451C4381CB97D701009387A70E98439D +:10352000784315E3828097D601009386A60D9042DA +:1035300094427442850674C2E9B797D70100938715 +:10354000670C9C437DB7984394437C43FD177CC331 +:10355000FC42E9FB7360043082805D71A6C2CAC080 +:1035600052DC56DA5AD85ED686C6A2C44EDE62D483 +:1035700066D26AD017D901001309C90917DB010007 +:10358000130B8B0897D401009384440897DA010049 +:10359000938A0A08054AA54B8327090098436301CB +:1035A0000712DC4783A90700EFE06FF6EFE02FF783 +:1035B00083270B002A8C6362F50A97D7010023A5A5 +:1035C000A70401466370351B8840B3858941EFD05D +:1035D000DFBDEFE0DF9863010512884081460146B8 +:1035E0002C00EFD0EFEB4DD9A247E3C807FEC2494C +:1035F00083A7490189C713854900EFC07FF7EFE032 +:103600000FF283270B002A8C636DF508A24717D7AA +:103610000100232B87FFE3E2FBFCB317FA0013F74B +:103620000721631A071613F7770C6318071E93F721 +:103630000702C5D74E85EF00F01145B7DC47C047FC +:1036400083AC0700930944004E85EFC07FF25C50C5 +:10365000228582975C4C6384470B8327090098433B +:1036600071FF03A70A0097D6010023AF86F997D60A +:10367000010023AFF6F897D7010023ADE7F8EFE09C +:103680001F8EA1BFDC47C04703AD0700930C440069 +:103690006685EFC0FFED5C50228582975C4C6386A7 +:1036A000470983270900984371FF03A70A0097D6AB +:1036B000010023AFF6F497D7010023ADE7F4B9B7C3 +:1036C000EFE0EFE4EFE0AFE583270B002A8CE36641 +:1036D000F5F883A70A00884081499043B385894162 +:1036E00017D70100232287F313361600EFD0FFAB64 +:1036F000EFE0FF86E31305EEEFC05FD1F9BD1C4C90 +:10370000CE85E69763E9FC049C4089CF02CA66CC6B +:1037100022CEEFF00FE88840814601464C08EFD0FA +:103720000F8805FD7370043001A01C4CE685EA97F4 +:10373000636CFD049C4089CF02CA6ACC22CEEFF0B4 +:103740004FE58840814601464C08EFD04F8531F95E +:103750007370043001A0032509005CC000C8EFC0ED +:103760003FDEE5BDEFE0AFFF83270900DC47C04740 +:10377000930C44006685EFC0BFDF5C4C638F47034A +:103780005C502285829791BD032509005CC000C86A +:10379000EFC01FDB39B7B24723ACF900A5CFE297E2 +:1037A00023A2F90023A8390193854900636FFC0423 +:1037B00003A50A00EFC0DFD80DB51C4C00C8338745 +:1037C000F90058C0636DEC02330C3C416363FC02AA +:1037D0009C4089CF02CA4ECC22CEEFF08FDB8840CE +:1037E000814601464C08EFC09FFB59F973700430C5 +:1037F00001A003A50A00E685EFC09FD451B70325B9 +:103800000900E685EFC0DFD3A5BF03250900EFC09F +:103810003FD3E1B37370043001A0B24783A689019E +:1038200023A839013387D70023A2E9006364EC049D +:10383000B307FC4063EBD70483A749024E85829708 +:1038400083A7C901E39B47D983A68901B247984062 +:10385000B69711CF02CA3ECC4ECEEFF08FD3884040 +:10386000814601464C08EFC09FF3E31805D67370FC +:10387000043001A06374FC00E370F7FC0325090029 +:1038800093854900EFC0DFCB89BB03A50A00938570 +:103890004900EFC0FFCA91B3411122C406C626C237 +:1038A0004AC017D40100130464D6EFF04FE31C4064 +:1038B00095CBEFF00FE51C409DC38148014881473F +:1038C000094781461306004097D50100938585B6C8 +:1038D000170500001305A5C8EFD05F9A39E973708A +:1038E000043001A017D90100130949F44A85EFC03B +:1038F0001FC297D401009384A4F42685EFC03FC172 +:103900000146B145114597D7010023A527D197D787 +:10391000010023AF97CEEFC07FDB17D7010023272D +:10392000A7CE11CD97D50100938545B0EFD0AFFC60 +:1039300049B7B240224492440249410182807370E7 +:10394000043001A0A5C9797156CAAA8A1305C0021C +:1039500022D426D24AD04ECE52CC06D65AC85EC603 +:103960003A89B689328AAE84E9212A8405C9EFF002 +:103970000FD797D70100938767C99C439DCFEFF07E +:103980004FD8130544002320540104CC232E4401B6 +:103990002320340323222403EFC0BFB82285B25072 +:1039A000225492540259F249624AD24A424BB24BD3 +:1039B000456182807370043001A097DB0100938B16 +:1039C000EBE65E85EFC0BFB417DB0100130B4BE7DE +:1039D0005A85EFC0DFB30146B145114597D70100C5 +:1039E00023AA77C397D7010023A467C3EFC01FCED4 +:1039F00017D70100232CA7C001C997D501009385D3 +:103A0000E5A2EFD04FEFA5BF7370043001A039C518 +:103A1000011122CC06CE26CA17D40100130404BF1C +:103A2000832804002A88014563850802BA842EC2CF +:103A3000368732C442C6954663C5B602EFF06FB50D +:103A40008947630BF5020840814601464C00EFC0F0 +:103A50001FD5F2406244D244056182807370043005 +:103A600001A04C0081463A864685EFD08F88F2400F +:103A70006244D244056182800840814626864C001B +:103A8000EFC0FFD1F9B719C10841828073700430CB +:103A900001A001E57370043001A0411106C622C4E3 +:103AA0002A84EFF0CFC348483334A000EFF06FC54D +:103AB0002285B24022444101828001E573700430C6 +:103AC00001A0411122C42A8406C6EFF04FC1005064 +:103AD000EFF02FC3B240228522444101828001E5EC +:103AE0007370043001A0411122C426C22E84AA841E +:103AF00006C6EFF0CFBE80D02244B24092444101CE +:103B00006FF02FC04111714522C406C61D262A84BC +:103B100011C5232005001105EFC07F9F2285B2400B +:103B200022444101828001CDB70700FFED8F81C79C +:103B30007370043001A099E57370043001A07370B4 +:103B4000043001A0011122CC4AC84EC652C456C24C +:103B500006CE26CABA893689B28A2E842A8AEFF01E +:103B60004FA311E5638509007370043001A0EFE0F5 +:103B70000F9A83240A00B37794006316090295C74D +:103B800063870A009347F4FFE58F2320FA00EFE0F4 +:103B90000FBDF240624426854249D244B249224ACE +:103BA000924A05618280E30DF4FCE38209FEB3359D +:103BB0005001E20563050900B7070004DD8D4E865C +:103BC000C18D13054A00EFE0FFE6EFE04FB919E1C0 +:103BD000EFC0DF83EFF0CFB593176500AA8963D8F4 +:103BE0000700B7040001FD14B3F499005DB7EFF0CE +:103BF0000FAF83290A00B3773401631E090089CB14 +:103C000063880A009347F4FFB3F737012320FA00D3 +:103C1000EFF02FAFF9B7E31DF4FEDDB701C9B70729 +:103C200000FFED8F99C77370043001A073700430EA +:103C300001A0411122C42A8406C626C24AC02E8988 +:103C4000EFF0EFA904409345F9FFB3F795001CC0CE +:103C5000EFF02FABB2402244268502499244410145 +:103C60008280411122C42A8406C6EFC01F8600400C +:103C7000EFC05F85B240228522444101828009C99C +:103C8000370700FFB3F7E50099C77370043001A050 +:103C90007370043001A06F303070B70700FFF18FF0 +:103CA00081C77370043001A001E67370043001A075 +:103CB000011122CC4AC84EC652C406CE26CA368945 +:103CC000AE892A8A3284EFF0CF8C21E163050900A6 +:103CD0007370043001A0EFE08F8383240A00CE8547 +:103CE0005285B3E49900613FB37794006307F4060B +:103CF00083240A00EFE0AFA6F240624426854249E1 +:103D0000D244B249224A05618280EFE04F80832489 +:103D10000A00CE855285B3E434019537B3F78400A9 +:103D2000638D8702E30609FCB70500054A86C18D4D +:103D300013054A00EFE01FD0EFE06FA219E1EFC0DA +:103D4000EFECEFF0EF9E93176500AA8463DF0700A6 +:103D5000B7070001FD17FD8C45B783270A00134400 +:103D6000F4FFE18F2320FA0071B7EFF04F9783241F +:103D70000A00B3779400639787009347F4FFE58FB9 +:103D80002320FA00EFF0EF97E1B7411122C406C6F5 +:103D90002A8426C2EFD0BFF75C4085C3084893044D +:103DA000C4006316950015A0084863009502B70586 +:103DB0000002EFE03FE55C40E5FB2285612A2244FA +:103DC000B240924441016FE08F997370043001A0BA +:103DD00009C9370700FFB3F7E50099C773700430CE +:103DE00001A07370043001A06F30105B01C9B707E8 +:103DF00000FFED8F99C77370043001A07370043019 +:103E000001A0411122C426C206C62A84AE84EFF066 +:103E10000F8D184093C7F4FFF98F1CC02244B240A5 +:103E2000924441016FF0EF8D19C1084D8280014528 +:103E30008280411122C406C62A84EFD05FED97C765 +:103E400001009387677D9C43A1EBE56717D70100CD +:103E5000130787A0F11797C6010023A5E67C17C7B3 +:103E60000100232FF77A17D701002329F79E97C760 +:103E700001009387A77A17D70100232FF79C854766 +:103E800017C701002322077A17C7010023280778E4 +:103E900017C701002322F77819E8EFE04F8CEF10E5 +:103EA000E00201442285B240224441018280130590 +:103EB000840093773500C1EFE5671307F5FFE91735 +:103EC000E3EDE7FC97C601009386C6759C4229A0E6 +:103ED000984311C7BE86BA87D843E36BA7FE17C7BE +:103EE00001001307A773E38AE7FA98434146138456 +:103EF000870098C2D843B306A7406378D6023388B8 +:103F0000A7002322D800C8C38325480097C6010014 +:103F10009386467111A0BA8698425043E36DB6FE6F +:103F20002320E80023A00601D84397C70100938708 +:103F300027739C43998F17C701002323F772EFE083 +:103F40000F8231DC2285B240224441018280719986 +:103F500011059DB729C9411122C406C62A84EFD094 +:103F60001FDB930584FF0326C4FF17C70100130757 +:103F7000676B11A03E871C43D443E3EDC6FE232CA0 +:103F8000F4FE0CC397C701009387876D984383277E +:103F9000C4FF2244B240BA9717C701002322F76C2E +:103FA00041016FD0DFFB828097C701009387476B89 +:103FB0008843828082803367B5000D8BAA871DE716 +:103FC0009308D6FFAA982A87AE866371150303A8C3 +:103FD000060011079106232E07FFE36A17FF934798 +:103FE000F5FFC697F19B9107BE95AA972A9663F3B2 +:103FF000C70A9386450013884700B3B6D70033B885 +:10400000050133E7F50093C61600134818000D8B21 +:10401000B3E6060113371700758F330EF64093860B +:10402000170029CF1337AE0031EB13532E002E8823 +:10403000BE860147832808000507110823A0160142 +:104040009106E36967FE1377CEFFBA95BA976302CC +:10405000EE0483C60500138717002380D700637A18 +:10406000C70283C6150013872700A380D700637299 +:10407000C70203C725002381E7008280B307F6400B +:10408000AE97850503C7F5FF8506238FE6FEE39A05 +:10409000F5FE82808280B367C5008D8BB308C500B2 +:1040A000E9C36371150FB307A0408D8B13873700E9 +:1040B000954693F5F50F130815003383A8407D1638 +:1040C0006373D70015476363E60CD5CF2300B500B3 +:1040D0000547638DE700A300B5000D4713082500D1 +:1040E0006396E700130835002301B5001396850099 +:1040F0004D8E13970501518F3303F340939685013D +:10410000D98E13562300AA97014794C30507910738 +:10411000E36DC7FE1377C3FFB307E8006307E30649 +:104120002380B70013871700637C1703A380B700B1 +:1041300013872700637617032381B700138737009F +:1041400063701703A381B70013874700637A1701D1 +:104150002382B7001387570063741701A382B70047 +:10416000828093F5F50F13978500D98D139705017C +:104170004D8FE37715FFAA87910723AEE7FEE3EDA6 +:1041800017FF828082802A8895B78280AA8749BFDC +:10419000834705002A8799C7050583470500EDFF7A +:1041A000198D82800145828005058347F5FF8505CD +:1041B00003C7F5FF91C7E389E7FE3385E7408280B7 +:1041C0008147E5BFAA87850503C7F5FF8507A38F4C +:1041D000E7FE75FB82808346050093070002639724 +:1041E000F600050583460500E38DF6FE938756FD30 +:1041F00093F7D70F85C7AA87814585CE01458507E7 +:10420000138606FD1317250083C607003A95060599 +:104210003295F5F699C13305A0408280938536FD2D +:104220008346150093B5150093071500E1FA014583 +:10423000D5B7014582809C412380A7009C4185071A +:104240009CC1828097C601009386463E9C421757C8 +:1042500003001307276313861700BA972380A7006C +:1042600097C5010023A4C53CA9476308F50093073F +:1042700000046305F6000145828075DE370610F004 +:1042800005078347F7FF2320F6F09C42FD1797C5EB +:10429000010023ADF538EDF7014582804D712322F1 +:1042A000911423202115232A51132324811323261B +:1042B000111423248114232E3113232C411323287A +:1042C000611323267113232291132320A113232E7C +:1042D000B111AA842E8932C6130C5002A54A834715 +:1042E000090063808703B9CB804011A0A1CB2300D4 +:1042F000F40080400509050480C083470900E39766 +:1043000087FF0346190093081900C686130D0002A3 +:10431000FD597D5A0148130550059307D6FD93F7C3 +:10432000F70F138916006363F52A17C701001307F7 +:1043300067CD8A07BA979C43BA9782878320C114B6 +:104340000324811483244114032901148329C113F4 +:10435000032A8113832A4113032B0113832BC112D8 +:10436000032C8112832C4112032D0112832DC111C4 +:1043700071618280A14C02C4854763D50715B2479D +:104380008040938B770093F68BFF03AB060083A9E5 +:104390004600938786003EC6668681465A85CE854E +:1043A000EF10907E2AC8814763853723930D410122 +:1043B000854B668681465A85CE85EF10301F814633 +:1043C00066862A8BAE89EF10307CA24723A0AD0011 +:1043D00093861B00910D63843701B68BD9BFE37EB2 +:1043E0009BFF63DD4601A2872380A70180407D1AE1 +:1043F000930714009CC03E84E3C846FF93962B00AD +:104400001C08BE9631A8938777052300F4008040EE +:10441000FD1B93871B00050480C0F116E351F0ECEF +:104420009C42E3E2FAFE93870703C5B79C409306DC +:10443000000332472380D7009C4093064700C14CBD +:104440001386170090C013068007A380C700804022 +:1044500002C4BA87050480C003AB0700814936C691 +:1044600025BFB24783AC0700938B470063840C1CC5 +:10447000635F40199307D0026319FD1683C70C00D0 +:1044800085C363DC09189440850C7D1A2380F600EF +:104490008040050480C083C70C00E5F7635D4001E0 +:1044A0008040930700022300F40080407D1A050439 +:1044B00080C0E31A0AFE5EC61DB502C48547A94C3A +:1044C000E3CF07EBB24780409386470071B703C63E +:1044D0001600CA8699B59C40930650022380D700E7 +:1044E0008040050480C0E5BBC14C02C471B5B24731 +:1044F00003C61600CA8683A9070091073EC6E35E7D +:104500000AE04E8AFD5911BD03C61600130DD002F4 +:10451000CA8621B59347FAFFFD8703C61600337A92 +:10452000FA00CA86DDBB03C61600130D0003CA8657 +:10453000EDB383C51600930906FDCA86938705FD72 +:104540002E86E3EEFAFA93972900BE99850686092E +:10455000AE9983C50600938909FD938705FD2E86D4 +:10456000E3F3FAFE69BF32479C40144311073AC691 +:104570002380D7008040050480C095B3854763D36E +:10458000070BB24793867700E19A938786003EC671 +:1045900083A946009C4263DC090A94401306D002BA +:1045A000B307F0402380C6008040B336F000B30963 +:1045B0003041050480C03E8BB389D940A94C02C468 +:1045C000E1BB03C616000508CA8681BB9C4046892C +:1045D00021B7E37D9BDD8547814B8546E3C547E1F8 +:1045E00031BD97CC0100938C6CE5CE856685EF10CC +:1045F0002024330AAA40635C400180402300A401C8 +:1046000080407D1A050480C0E31A0AFE014A83C770 +:104610000C00E38207EAE3C809E6FD197D57E3943D +:10462000E9E6ADBDB247938647009C4336C693D9B1 +:10463000F74195B7635640019307D002E313FDFAA3 +:1046400097CC0100938C8CDF930780021DBD3E8BBD +:10465000A94C02C4804089B34D71232E3113B70990 +:1046600000409387F9FF2324811423229114232CE3 +:104670004113232A51132326111423202115232803 +:1046800061132326711323248113232291132320E2 +:10469000A113232EB1112A8A2EC417C401001304BA +:1046A000E4F8975A0300938AEA1D97C401009384A3 +:1046B000E4AA3EC693055002294513080004B70634 +:1046C00010F083470A006386B704C1C71840050A83 +:1046D00013061700569797C8010023A9C8F42300B2 +:1046E000F7006382A706E31E06FD175703001307B2 +:1046F000671905078347F7FF23A0F6F01C40FD1755 +:1047000017C601002324F6F2EDF783470A00E39E63 +:10471000B7FA83461A0013051A002A87930B000282 +:104720007D5B7D5C014893055005A5489387D6FDC8 +:1047300093F7F70F130A170063E7F5348A07A69774 +:104740009C43A6978287175703001307A71355F2B8 +:104750008DBF8320C11403248114832441140329B1 +:1047600001148329C113032A8113832A4113032BC4 +:104770000113832BC112032C8112832C4112032DB0 +:104780000112832DC11171618280A14C014D8547B9 +:1047900063DB0719A2479D07E19B83AD070003ABCD +:1047A0004700138787003AC4668681466E85DA859E +:1047B000EF10903D2AC863066D3513094101854904 +:1047C000668681466E85DA85EF10405E6686814694 +:1047D000AA8D2E8BEF10503B2320A90085091109CB +:1047E000E3106DFFE3FE9DFD13060004B70610F015 +:1047F00063D189031C4013871700D69797C5010022 +:1048000023A6E5E223807701630CC7047D1CE3C384 +:1048100089FFB2476800A548B386F9008A061C08DC +:10482000BE96294813030004370610F0E384A6E877 +:104830009C429305000363F4F8009305700518404B +:10484000AE9793051700569717CE01002320BEDEC2 +:104850002300F7006380072B638C6526F116F9B7F8 +:10486000175703001307070205078347F7FF23A025 +:10487000F6F01C40FD1797C5010023A9F5DAEDF706 +:104880007D1C71B71C40130600041387170097C5E1 +:10489000010023ADE5D8B386FA0093050003238019 +:1048A000B6006300C73E890797C6010023A0F6D86B +:1048B0005697930680072300D70017570300130766 +:1048C00067FCB70610F0639EC70005078347F7FF34 +:1048D00023A0F6F01C40FD1717C601002328F6D4CC +:1048E000EDF7A247C14C014D91072247014B832DA3 +:1048F00007003EC455BDA24783AC0700138947009B +:10490000638F0C3A635480219307D002639EFB2E81 +:1049100003C70C00BA856310072025AC8547A94C56 +:10492000014DE3C907E7A2479107C1B7A2478346F4 +:104930001700528703AB070091073EC4E3580CDE13 +:104940005A8C7D5BE5B383461700930BD0025287E8 +:10495000F1BB9347FCFFFD8783461700337CFC00C7 +:104960005287E9B383461700930B000352877DBB40 +:1049700003461700138B06FD5287930706FDB28688 +:10498000E3EEF8FA93172B00DA9705078607B2973C +:1049900003460700138B07FD930706FDB286E3F37A +:1049A000F8FE69BFA2451C4029469841938617002E +:1049B000D69717C50100232BD5C62380E70013899E +:1049C00045006301C72A930700046387F6204AC4A1 +:1049D000D5B1854763DF0729A24713877700619B1D +:1049E000930787003EC4032B47001C43635B0B30D7 +:1049F0001840130600049306170097C5010023A76B +:104A0000D5C256979305D0022300B7006388C62C01 +:104A1000B307F0403337F000330B6041BE8D330BEA +:104A2000EB40A94C014D49B3834617000508528756 +:104A3000F5B9834617005287D5B91C409306000488 +:104A40001387170017C601002322E6BED697130668 +:104A500050022380C700E31FD7C417570300130772 +:104A600067E2B70610F005078347F7FF23A0F6F0CB +:104A70001C40FD1717C60100232AF6BAEDF71DB931 +:104A8000C14C014D29B31C40930600042A8A1387A8 +:104A9000170017C60100232BE6B8D697130650025D +:104AA0002380C700E318D7C017570300130787DD1B +:104AB000B70610F005078347F7FF23A0F6F01C4068 +:104AC000FD1717C601002323F6B6EDF72A8ADDB6D7 +:104AD00017570300130707DB05078347F7FF23205A +:104AE000F6F01C40FD1797C5010023A1F5B4EDF7C2 +:104AF000F1162DBB175703001307C7D8F1FDF116A8 +:104B000035B3E3FC9DCB8549C5B1014C03C70C000F +:104B1000BA85E30E07EAFD58294513080004B706D5 +:104B200010F063570B0A1C4013861700D69717C363 +:104B30000100232DC3AE2380E7006384A506630430 +:104B40000609850C03C70C007D1CBA8579FBE35070 +:104B500080E91C409305000213060004B70610F01C +:104B600021A07D1CE3050CE613871700D697238050 +:104B7000B70017C50100232BE5AABA87E313C7FEC8 +:104B800017570300130707D005078347F7FF23A034 +:104B9000F6F01C40FD1717C501002329F5A8EDF715 +:104BA000C9B7175703001307E7CD41DE0507834751 +:104BB000F7FF23A0F6F01C40FD1717C601002327BE +:104BC000F6A6EDF7BDBF175703001307A7CBF9BF34 +:104BD0007D1BE31A1BF5A5BF17570300130787CAF0 +:104BE000B70610F005078347F7FF23A0F6F01C4037 +:104BF000FD1717C60100232BF6A2EDF74AC45DBCD2 +:104C000097CC0100938C8C83DA856685EF00504247 +:104C1000330CAC40E35C80EF1C4013060004B70685 +:104C200010F021A07D1CE3020CEE13871700D6972D +:104C30002380770197C5010023AAE59EBA87E31375 +:104C4000C7FE175703001307E7C305078347F7FF9E +:104C500023A0F6F01C40FD1797C5010023A8F59C82 +:104C6000EDF7C9B7175703001307C7C1B5FA4AC410 +:104C700091B4A247138747009C433AC413DBF74122 +:104C8000B5B3175703001307E7BFB70610F00507C2 +:104C90008347F7FF23A0F6F01C40FD1717C601005D +:104CA0002326F698EDF7854717C701002320F798CC +:104CB00093078007175703002306F7BC1DB16356FF +:104CC00080019307D002E39DFBF297BC0100938C17 +:104CD000EC76130780029305800235BD97560300DA +:104CE000938646BA370610F0850603C7F6FF2320E1 +:104CF000E6F018407D1797C5010023A9E5926DF7EE +:104D000001BBBE8DA94C014D45B4B70710F023A0DF +:104D1000A7F201A03971130341022ED29A8506CE63 +:104D200032D436D63AD83EDA42DC46DE1AC62D32C6 +:104D3000F2400145216182805D711303810322D419 +:104D40002AC632DC2A841A86680006D6BEC236DE3F +:104D5000BAC0C2C4C6C61ACEEFF04FD4B247238041 +:104D600007003245B250018D225461618280B7073D +:104D700010F083A647F403A607F403A747F4E31A49 +:104D8000D7FE8566938606FAB29633B6C600B3059B +:104D9000E60023A4D7F423A6B7F48280B70710F067 +:104DA00003A747F403A607F483A647F4E31AD7FE44 +:104DB0008566938606FAB29633B6C60023A4D7F466 +:104DC000B305E60023A6B7F49307000873A04730A5 +:104DD0008280411122C406C697C701009387878548 +:104DE00017C401001304C48498431C401306F07CCC +:104DF000930647069387470697C5010023ACD582E3 +:104E000097C5010023A6F5826346D600B24022442E +:104E1000410182801307478997C7010023ACE780CF +:104E2000EF00900A8547631FF50018408567938758 +:104E3000F7760145E3DCE7FCC93D2244B24041017D +:104E40006FC0FFEB17B501001305C55FE1350945DC +:104E50006D3D2244B24041016FC07FEA17B50100A9 +:104E6000130505606FF01FEB17B501001305C55F53 +:104E70006FF05FEA411122C426C206C6856417B4EA +:104E800001001304E45E938784380100FD17F5FFE9 +:104E90002285EFF03FE8C5BF01456F00006E41116C +:104EA00006C6EF007002054781476316E500B24071 +:104EB0003E854101828017B501001305A558EFF02A +:104EC0007FE5B24089473E8541018280737004309E +:104ED00001A082807370043001A05D7197B701005A +:104EE000938787754EDE83A9070097B701009387E4 +:104EF000E774CAC003A9070097B7010093874774F6 +:104F0000A6C284434EC64AC826CAB247C245A2C4F6 +:104F100052445AD82A8B3E8586C652DC56DA5ED673 +:104F2000EF109016A285EF20602E2ACE814A17BA84 +:104F30000100130A2A71B70B00804EC64AC826CA60 +:104F40003245C2455244EF103014A285EF20002CA8 +:104F50002ACC6245F245EF20606293050000EF2005 +:104F6000201E634905026245F245EF20206183253A +:104F70000A00EF2020103325A00019E9E39F0AFA68 +:104F800083570B008507C207C1832310FB0075B749 +:104F9000854A65B76245F24533C5AB00EF20005E38 +:104FA00083250A00EF20000D3325A000F9B75D71BD +:104FB00097B70100938747694EDE83A9070097B72B +:104FC00001009387A768CAC003A9070097B701002B +:104FD00093870768A6C284434EC64AC826CAB2470A +:104FE000C245A2C452445AD82A8B3E8586C652DC9A +:104FF00056DA5ED6EF10904EA285EF2020212ACE01 +:10500000814A17BA0100130AEA63B70B00804EC643 +:105010004AC826CA3245C2455244EF10304CA285D8 +:10502000EF20C01E2ACC6245F245EF2020559305A3 +:105030000000EF20E010634905026245F245EF20D1 +:10504000E05383250A00EF20E0023325A00019E990 +:10505000E39F0AFA83570B008507C207C183231019 +:10506000FB0075B7854A65B76245F24533C5AB00AD +:10507000EF20C05083250A00EF10D07F3325A00019 +:10508000F9B71D712AC613058002A2CCA6CACEC6E6 +:10509000D2C4D6C2DAC05EDE62DC66DA6AD86ED608 +:1050A00086CECAC8EFE0FFD897B701009387875A2A +:1050B00084432A8402C4A68D268DA68C268CA68BBA +:1050C000268BA68A268AA689930700003EC83ECA78 +:1050D0003ECE7245A685EF20601308C07245424956 +:1050E000EE85EF20A012CA85EF10007A2AC872451B +:1050F000EA85EF20A01148C072454249E685EF20BD +:10510000E010CA85EF1040782AC87245E285EF208A +:10511000E00F08C472454249DE85EF20200FCA85A2 +:10512000EF1080762AC87245DA85EF20200E48C439 +:1051300072454249D685EF20600DCA85EF10C074D4 +:105140002AC87245D285EF20600C08C872454249D2 +:10515000CE85EF20A00BCA85EF10007397B7010032 +:105160009387474F2AC872458C43EF20200A97B790 +:1051700001009387274E48C872458C434249EF206F +:10518000E008CA85EF10407097B701009387874CFD +:105190002AC872458C43EF20600797B701009387B8 +:1051A000674B08CC72458C434249EF202006CA85E4 +:1051B000EF10806D97B701009387C7492AC87245E1 +:1051C0008C43EF20A00497B701009387A74848CCF1 +:1051D00072458C434249EF206003CA85EF10C06AD4 +:1051E00097B70100938707472AC872458C43EF2081 +:1051F000E00197B701009387E74508D08C437245DB +:105200004249EF20A000CA85EF10006897B701005F +:10521000938747442AC872458C43EF10307F97B775 +:1052200001009387274348D072458C434249EF10D1 +:10523000F07DCA85EF1040652AC80840D245EF10BE +:10524000A0642ACA4840D245EF1000642ACA084424 +:10525000D245EF1060632ACA4844D245EF10C062BD +:105260002ACA0848D245EF1020622ACA4848D245C7 +:10527000EF1080612ACA084CD245EF10E0602ACABC +:10528000484CD245EF1040602ACA0850D245EF1072 +:10529000A05F2ACA4850D245EF10005F2ACA424593 +:1052A000D245EF20A02D2ACC624593050000EF10D7 +:1052B0003069634C050297B701009387A7386245B0 +:1052C0008C43EF10305B3325A00009EDA247E39D2E +:1052D00007DE3247835707008507C207C1832310C3 +:1052E000F700DDB385473EC4C5B397B70100938788 +:1052F000A73662458C43EF10B0647D81F9B71D710C +:105300002AC613058002A2CCA6CACEC6D2C4D6C273 +:10531000DAC05EDE62DC66DA6AD86ED686CECAC8CD +:10532000EFE03FB197B701009387473384432A8466 +:1053300002C4A68D268DA68C268CA68B268BA68ACB +:10534000268AA689930700003EC83ECA3ECE724513 +:10535000A685EF10605308C072454249EE85EF10F4 +:10536000A052CA85EF1040522AC87245EA85EF1054 +:10537000A05148C072454249E685EF10E050CA8509 +:10538000EF1080502AC87245E285EF10E04F08C444 +:1053900072454249DE85EF10204FCA85EF10C04E9E +:1053A0002AC87245DA85EF10204E48C4724542493A +:1053B000D685EF10604DCA85EF10004D2AC87245A2 +:1053C000D285EF10604C08C872454249CE85EF1077 +:1053D000A04BCA85EF10404B97B701009387072871 +:1053E0002AC872458C43EF10204A97B70100938773 +:1053F000E72648C872458C434249EF10E048CA8509 +:10540000EF10804897B70100938747252AC8724557 +:105410008C43EF10604797B701009387272408CC8F +:1054200072458C434249EF102046CA85EF10C045B3 +:1054300097B70100938787222AC872458C43EF10E3 +:10544000A04497B701009387672148CC72458C43ED +:105450004249EF106043CA85EF10004397B701003F +:105460009387C71F2AC872458C43EF10E04197B756 +:1054700001009387A71E08D08C4372454249EF1064 +:10548000A040CA85EF10404097B701009387071DE1 +:105490002AC872458C43EF10203F97B701009387CD +:1054A000E71B48D072458C434249EF10E03DCA8566 +:1054B000EF10803D2AC80840D245EF10E03C2ACAD0 +:1054C0004840D245EF10403C2ACA0844D245EF106C +:1054D000A03B2ACA4844D245EF10003B2ACA0848DC +:1054E000D245EF10603A2ACA4848D245EF10C03979 +:1054F0002ACA084CD245EF1020392ACA484CD24556 +:10550000EF1080382ACA0850D245EF10E0372ACA77 +:105510004850D245EF1040372ACA4245D245EF20C5 +:10552000E0052ACC624593050000EF107041634C02 +:10553000050297B701009387E71062458C43EF108F +:1055400070333325A00009EDA247E39D07DE324703 +:10555000835707008507C207C1832310F700DDB317 +:1055600085473EC4C5B397B701009387E70E6245F0 +:105570008C43EF10F03C7D81F9B7411122C42A879A +:105580002A848148014881479746030093868633E1 +:105590001306004097B501009385C5EE170500007E +:1055A0001305E59306C6EFB07FCD228781480148F9 +:1055B000814797460300938606311306004097B54E +:1055C00001009385A5EC170500001305859EEFB03B +:1055D000FFCA228781480148814797460300938686 +:1055E000A62E1306004097B501009385A5EA17057E +:1055F0000000130505D1EFB07FC82287814801481C +:105600008147974603009386462C1306004097B5C2 +:1056100001009385A5E8170500001305C5A6EFB0A6 +:10562000FFC522878148014881479746030093863A +:10563000E6291306004097B501009385A5E61705F6 +:1056400000001305C589EFB07FC322878148014858 +:10565000814797460300938686271306004097B537 +:1056600001009385A5E41705000013058594EFB0AC +:10567000FFC02287814801488147974603009386EF +:1056800026251306004097B501009385A5E217056E +:105690000000130505C7EFB07FBE22872244B24049 +:1056A0008148014881479746030093868622130666 +:1056B000004097B50100938565E0170500001305CC +:1056C000859C41016FB09FBB174803000358881F9A +:1056D0001747030003572720174E0300035E8E1E53 +:1056E0009746030083D6261E9747030083D7871E5D +:1056F000174303000353231D174503000355C51D1E +:10570000174603000356461C158F9748030083D89D +:10571000A81B9745030083D5451C9746030083D6F5 +:10572000C61AB3870741118D3337E00017480300CD +:105730000358A819174603000356461A974F03004B +:1057400083DFCF183307E040958DB337F000333552 +:10575000A000974E030083DE6E179746030083D6A2 +:105760000618174F0300035F8F16F98F3305A0400B +:105770003306F641B335B000974F030083DF2F1592 +:10578000174703000357C7159743030083D34314F8 +:10579000E98FB305B040B386E6413336C000174FFA +:1057A0000300035FEF12174503000355851397426B +:1057B000030083D20212ED8F3306C04033077740D7 +:1057C000B336D000B306D040F18F330555403337A0 +:1057D000E000F58F3307E0403335A000F98F330543 +:1057E000A040174703000357C70E974603002393B3 +:1057F000C60F974603002390660E97460300239D2D +:10580000160D97460300239A060D9746030023972B +:10581000D60D974603002394F60D97460300239177 +:10582000E60D97460300239EE60A7D8D82800000E8 +:10583000B305B500930705006386B70003C70700EB +:10584000631607003385A740678000009387170021 +:105850006FF09FFE130101FB23229104232C4103CF +:1058600023229103232611042324810423202105CC +:10587000232E3103232A5103232861032326710396 +:10588000232481032320A103232EB101930C0500BF +:10589000138A05009304000063DE05003305A04071 +:1058A0003337A000B305B040930C0500338AE540C0 +:1058B0009304F0FF63DA06003306C040B337C0003C +:1058C000B306D040B386F640930A060093890600DB +:1058D00013840C0013090A006396062817BB010005 +:1058E000130BCBA46370CA16B70701006372F614DA +:1058F0009307F00F63F4C70093098000B357360194 +:10590000330BFB0083470B0013050002B3873701FD +:10591000B309F540638C0900B3153A01B3D7FC0015 +:10592000B31A360133E9B70033943C0113DB0A01A3 +:1059300093050B0013050900EF200013130A05005F +:1059400093050B00939B0A0113050900EF20400DFE +:1059500093DB0B019305050013850B00EF208009F5 +:10596000131A0A0193570401B367FA0063FAA700F8 +:10597000B387570163E6570163F4A700B387570164 +:105980003389A74093050B0013050900EF20C00DD4 +:10599000130A050093050B0013050900EF204008CA +:1059A0001314040193050500131A0A0113850B0053 +:1059B00013540401EF20000433648A00637AA400C6 +:1059C00033045401636654016374A4003304540126 +:1059D0003304A4403354340193050000638A040067 +:1059E00033048040B3378000B305B040B385F54041 +:1059F000130504008320C10403248104832441048B +:105A0000032901048329C103032A8103832A410353 +:105A1000032B0103832BC102032C8102832C41023F +:105A2000032D0102832DC1011301010567800000D0 +:105A3000B707000193090001E362F6EC93098001C6 +:105A40006FF0DFEB631A06009305000013051000EA +:105A5000EF10107D930A0500B707010063FAFA0EF4 +:105A60009307F00F63F4570193098000B3D73A010D +:105A7000330BFB0083470B001305000233095A4127 +:105A8000B3873701B309F540E38209EAB39A3A01D3 +:105A9000335BFA00B3153A01B3D7FC0093DB0A017C +:105AA00033E9B70013050B0093850B00EF10D07B93 +:105AB000130A050093850B00139C0A0113050B00C4 +:105AC000EF101076135C0C019305050013050C0014 +:105AD000EF105072131A0A0193570901B367FA00C5 +:105AE00033943C0163FAA700B387570163E657017B +:105AF00063F4A700B3875701338BA74093850B004E +:105B000013050B00EF105076130A050093850B0068 +:105B100013050B00EF10D0709305050013050C0062 +:105B2000EF10506D93160901131A0A0193D606015E +:105B3000B366DA0063FAA600B386560163E656013F +:105B400063F4A600B38656013389A6406FF01FDECA +:105B5000B707000193090001E3EAFAF09309800115 +:105B60006FF0DFF06376DA0093050A006FF01FE74D +:105B7000B707010063FAF604930BF00F33B5DB00AF +:105B80001315350033D7A60097A701009387077A2E +:105B9000B387E70083CB070093050002B38BAB000C +:105BA000338B7541631C0B0263E4460163EACC004E +:105BB0003384CC40B306DA4033B98C0033892641B4 +:105BC000930509006FF09FE1B7070001130500017D +:105BD000E3EAF6FA130580016FF0DFFAB396660187 +:105BE000335D7601336DDD00B35D7A01B3156A0173 +:105BF00033DC7C0113540D01336CBC0013850D00A4 +:105C000093050400B3196601EF101066930A0500AE +:105C10009305040013850D0033996C01931C0D014D +:105C2000EF10106093DC0C01130A050093050500CA +:105C300013850C00EF10105C939A0A0113570C01A6 +:105C400033E7EA00930D0A00637EA7003307A7013C +:105C5000930DFAFF6368A7016376A700930DEAFF2F +:105C60003307A701330AA7409305040013050A0070 +:105C7000EF10905F93050400930A050013050A00D6 +:105C8000EF10105A930505001304050013850C004E +:105C9000EF10505693150C01939A0A0193D5050104 +:105CA000B3E5BA001307040063FEA500B385A501A0 +:105CB0001307F4FF63E8A50163F6A5001307E4FFEB +:105CC000B385A501939D0D01B70C0100B3EDED0067 +:105CD0001384FCFFB3F78D0033F48900338AA540A9 +:105CE00013850700930504002326F10093DD0D01C1 +:105CF000EF10505093050400930A050013850D0022 +:105D0000EF10504F13DC090193050C002324A10070 +:105D100013850D00EF10104E8327C10013040C00F3 +:105D200093050400130C050013850700EF10904C39 +:105D30008326810013D70A013305D5003307A70056 +:105D40006374D700330C9C01B70701009387F7FFFA +:105D5000935507013377F70013170701B3F7FA00DC +:105D6000B3858501B307F7006366BA00631EBA0006 +:105D7000637CF90033863741B3B7C700B385A541CB +:105D8000B385F54093070600B307F9403339F900AE +:105D9000B305BA40B385254133947501B3D7670184 +:105DA0003364F400B3D565016FF05FC3130101FDE7 +:105DB00023229102232A5101232611022324810246 +:105DC00023202103232E3101232C410123286101AB +:105DD0002326710123248101232291012320A10183 +:105DE000930A050093840500639E06381304060099 +:105DF0009309050017A901001309495363F8C51257 +:105E0000B7070100138B05006378F6101307F00F36 +:105E10003337C70013173700B357E6003309F900CB +:105E2000834609003387E60093060002B386E64006 +:105E3000638C0600B394D40033D7EA003314D60041 +:105E4000336B9700B399DA00935A040193850A00E3 +:105E500013050B00EF1050411309050093850A004C +:105E6000931B040113050B00EF10903B93DB0B0118 +:105E7000930405009305050013850B00EF10903780 +:105E80001319090193D70901B367F900138A0400B4 +:105E900063FEA700B3878700138AF4FF63E88700D7 +:105EA00063F6A700138AE4FFB3878700B384A74093 +:105EB00093850A0013850400EF10103B13090500B9 +:105EC00093850A0013850400EF109035939909011A +:105ED00093040500930505001319090113850B00B0 +:105EE00093D90901EF101031B36939011386040009 +:105EF00063FCA900B30934011386F4FF63E689004B +:105F000063F4A9001386E4FF13140A013364C40088 +:105F1000130A00006F000013B70700011307000108 +:105F2000E36CF6EE130780016FF01FEF138A060093 +:105F3000631A06009305000013051000EF10502EA1 +:105F400013040500B7070100637EF4129307F00FF6 +:105F500063F48700130A8000B35744013309F90042 +:105F6000034709009306000233074701B386E64062 +:105F700063940612B3848440130A1000135B040177 +:105F800093050B0013850400EF10102E1309050074 +:105F900093050B0013850400931B0401EF10502898 +:105FA00093DB0B01930405009305050013850B009B +:105FB000EF1050241319090193D70901B367F900B1 +:105FC000938A040063FEA700B3878700938AF4FFD7 +:105FD00063E8870063F6A700938AE4FFB38787002E +:105FE000B384A74093050B0013850400EF10D0275E +:105FF0001309050093050B0013850400EF105022D0 +:1060000093990901930405009305050013190901EB +:1060100013850B0093D90901EF10D01DB369390125 +:106020001386040063FCA900B30934011386F4FF4E +:1060300063E6890063F4A9001386E4FF13940A0160 +:106040003364C4001305040093050A008320C102D1 +:106050000324810283244102032901028329C1010F +:10606000032A8101832A4101032B0101832BC100F3 +:10607000032C8100832C4100032D01001301010337 +:1060800067800000B7070001130A0001E366F4EC23 +:10609000130A80016FF05FEC3314D40033DAE400AC +:1060A000B399DA0033D7EA00935A0401B394D400C9 +:1060B00093850A0013050A00336B9700EF10D01A7E +:1060C0001309050093850A0013050A00931B0401B8 +:1060D000EF10101593DB0B019304050093050500E9 +:1060E00013850B00EF1010111319090113570B0141 +:1060F0003367E900138A0400637EA7003307870033 +:10610000138AF4FF636887006376A700138AE4FFAD +:1061100033078700B304A74093850A001385040062 +:10612000EF1090141309050093850A0013850400ED +:10613000EF10100F930405009305050013850B0065 +:10614000EF10500B13170B01135707011319090117 +:10615000B367E9001387040063FEA700B3878700D5 +:106160001387F4FF63E8870063F6A7001387E4FF53 +:10617000B3878700131A0A01B384A740336AEA0081 +:106180006FF0DFDF63ECD51EB707010063F4F604A0 +:106190001307F00FB335D7009395350033D7B6000A +:1061A00097A7010093878718B387E70003C7070005 +:1061B000130A00023307B700330AEA4063160A02E3 +:1061C00013041000E3E096E833B6CA001344160047 +:1061D0006FF05FE7B707000193050001E3E0F6FC0D +:1061E000930580016FF09FFBB35CE600B396460118 +:1061F000B3ECDC0033D4E40093DB0C01B39744012F +:1062000033D7EA0093850B0013050400336BF700C6 +:10621000B3194601EF1050051309050093850B00D3 +:1062200013050400139C0C01EF10807F135C0C011C +:10623000930405009305050013050C00EF10807B07 +:106240001319090113570B013367E9001384040084 +:10625000637EA700330797011384F4FF63689701F7 +:106260006376A7001384E4FF33079701B304A740C4 +:1062700093850B0013850400EF10007F13090500C0 +:1062800093850B0013850400EF10807993040500BB +:106290009305050013050C00EF10C07593170B0153 +:1062A0001319090193D70701B367F9001386040096 +:1062B00063FEA700B38797011386F4FF63E8970195 +:1062C00063F6A7001386E4FFB38797011314040154 +:1062D000B70B01003364C4001389FBFF337D240135 +:1062E00033F92901B384A7409305090013050D0074 +:1062F000EF104070935C040193050900130B050037 +:1063000013850C00EF10006F93D90901130C0500E1 +:106310009385090013850C00EF10C06D130905006B +:106320009385090013050D00EF10C06C330585013E +:1063300093570B013385A7006374850133097901F5 +:1063400093570501B387270163E6F402E392F4BC97 +:10635000B70701009387F7FF3375F50013150501A3 +:10636000337BFB0033964A0133056501130A0000B5 +:10637000E37AA6CC1304F4FF6FF09FB9130A000070 +:10638000130400006FF01FCC130101FB23248104D0 +:1063900023229104232E3103232291032326110467 +:1063A00023202105232C4103232A510323286103A1 +:1063B00023267103232481032320A103232EB1016B +:1063C000930C0500938905001304050093840500D0 +:1063D000639E062613090600138A060097AA010089 +:1063E000938ACAF463F4C514B70701006376F61202 +:1063F0009307F00F63F4C700130A8000B3574601F8 +:10640000B38AFA0003C70A001305000233074701E5 +:10641000330AE540630C0A00B395490133D7EC0019 +:1064200033194601B364B70033944C01935A090100 +:1064300093850A0013850400EF100063930905009B +:1064400093850A00131B090113850400EF10405DBA +:10645000135B0B019305050013050B00EF1080592A +:106460009399090193570401B3E7F90063FAA70070 +:10647000B387270163E6270163F4A700B3872701E9 +:10648000B384A74093850A0013850400EF10C05D14 +:106490009309050093850A0013850400EF10405806 +:1064A00013140401930505009399090113050B00CA +:1064B00013540401EF10005433E48900637AA400FC +:1064C00033042401636624016374A40033042401AB +:1064D0003304A44033554401930500008320C104D4 +:1064E0000324810483244104032901048329C10373 +:1064F000032A8103832A4103032B0103832BC10257 +:10650000032C8102832C4102032D0102832DC10142 +:106510001301010567800000B7070001130A00019D +:10652000E36EF6EC130A80016FF05FED631A06006C +:106530009305000013051000EF10804E13090500AD +:10654000B7070100637AF90E9307F00F63F4270190 +:10655000130A8000B3574901B38AFA0003C70A003F +:1065600013050002B384294133074701330AE5408C +:10657000E30E0AEA33194901B3DAE900B395490198 +:1065800033D7EC0093540901336BB70013850A002D +:1065900093850400EF10404D930905009385040096 +:1065A000931B090113850A00EF10804793DB0B0151 +:1065B0009305050013850B00EF10C0439399090163 +:1065C00093570B01B3E7F90033944C0163FAA7002A +:1065D000B387270163E6270163F4A700B387270188 +:1065E000B38AA7409385040013850A00EF10C047C3 +:1065F000930905009385040013850A00EF104042BB +:106600009305050013850B00EF10C03E93150B0199 +:106610009399090193D50501B3E5B90063FAA50083 +:10662000B385250163E6250163F4A500B385250143 +:10663000B384A5406FF09FDFB7070001130A000184 +:10664000E36AF9F0130A80016FF0DFF0E3E8D5E8C0 +:10665000B707010063FCF604930BF00F33B5DB00C2 +:106660001315350033D7A60097A70100938707CCF1 +:10667000B387E70083CB070093050002B38BAB0021 +:10668000338B7541631E0B0263E4360163EACC0071 +:106690003384CC40B386D94033B58C00B384A64054 +:1066A00013050400938504006FF05FE3B707000152 +:1066B00013050001E3E8F6FA130580016FF09FFA75 +:1066C000B3966601335D7601336DDD0033D4790115 +:1066D000B395690133DC7C0193540D01336CBC002C +:1066E0001305040093850400B31A6601EF10C03748 +:1066F000130A0500938504001305040033996C0107 +:10670000931C0D01EF10C03193DC0C011304050044 +:106710009305050013850C00EF10C02D131A0A0114 +:1067200013570C013367EA00130A0400637EA700C5 +:106730003307A701130AF4FF6368A7016376A70074 +:10674000130AE4FF3307A701B309A74093850400A8 +:1067500013850900EF1040319385040013040500F0 +:1067600013850900EF10C02B930505009304050065 +:1067700013850C00EF10002893150C01131404016D +:1067800093D50501B365B4001387040063FEA5002B +:10679000B385A5011387F4FF63E8A50163F6A5009F +:1067A0001387E4FFB385A501131A0A01B70C010092 +:1067B000336AEA001384FCFFB3778A0033F48A005B +:1067C000B384A54013850700930504002326F10038 +:1067D000135A0A01EF1000229309050093050400E3 +:1067E00013050A00EF10002113DC0A01930D0500C8 +:1067F00093050C0013050A00EF10C01F8327C1008A +:10680000130A050093050C0013850700EF10801E86 +:106810003305B50113D709013307A7006374B70126 +:10682000330A9A01B70701009387F7FF93550701D1 +:106830003377F70013170701B3F7F900B385450164 +:10684000B307F70063E6B400639EB400637CF9000D +:1068500033865741B3B7C700B385A541B385F5402B +:1068600093070600B307F9403339F900B385B44004 +:10687000B385254133947501B3D767013365F400BF +:10688000B3D565016FF09FC5B7078000130101FF05 +:106890009387F7FF33F7A7002324810023202101EA +:1068A000135475011359F50113D57501B3F7B700EA +:1068B0001374F40F1375F50F232611002322910092 +:1068C00093D5F50113163700939737003307A4408B +:1068D000631EB9186358E00A63180506639C070035 +:1068E0009307F00F630CF4049307060013050400EC +:1068F0006F00C00B1307F7FF631E0702B387C700C3 +:1069000013050400139757006352070A1305150077 +:106910001307F00F6304E5323707007E93F6170084 +:106920001307F7FF93D71700B3F7E700B3E7D700D4 +:106930006F00C0079306F00F6310D40293070600A0 +:106940001305F00F6F0080069306F00FE308D4FEE6 +:10695000B7060004B3E7D7009306B00163D6E6009C +:10696000930710006FF09FF993060002B386E6408C +:10697000B3D5E700B397D700B337F000B3E7F5001E +:106980006FF0DFF763040708631004046300060276 +:106990009306F0FF6316D700B387C7006FF09FF62A +:1069A0009306F00F1347F7FF631AD50213F777002A +:1069B0006308072813F7F700930640006302D728FF +:1069C000938747006F00C0279306F00FE300D5FEC2 +:1069D000B70600043307E0403366D6009306B001E3 +:1069E00063D6E600130710006F00C0019306000293 +:1069F000B355E6003387E6403317E6003337E0004F +:106A000033E7E500B387E7006FF0DFEF130514000D +:106A10009376F50F130710006340D7046318040240 +:106A200013050000E30406F863860720B387C70058 +:106A300013975700E35C07F6370700FC1307F7FFCF +:106A4000B3F7E700130510006FF05FF6E30A06EEF8 +:106A5000639407166FF09FEE1307F00F6300E51EB7 +:106A60003307F600935717006FF05FF46350E008A8 +:106A700063160504E38607E61307F7FF63140702AE +:106A8000B307F6401305040013975700E35007F2CD +:106A9000B70400049384F4FFB3F4970013040500D3 +:106AA0006F00C0139306F00FE30AD4E89306B00119 +:106AB00063D0E602930710006FF09FFC9306F00F7F +:106AC000E30ED4E6B7060004B3E7D7006FF01FFE6D +:106AD00093060002B3D5E7003387E640B397E7009B +:106AE000B337F000B3E7F5006FF09FF9630E0706C8 +:106AF000631E040213890500E30A06EA9306F0FF09 +:106B00006318D700B387C740138905006FF0DFF71C +:106B10009306F00F1347F7FFE30AD5E89306B00199 +:106B200063D4E602130710006F0080039306F00F92 +:106B300013890500E30CD5E6B70600043307E040EF +:106B40003366D6006FF09FFD930600023358E600CF +:106B50003387E6403317E6003337E0003367E80059 +:106B6000B387E7406FF05FFA130714001377F70F4E +:106B70009306100063CAE604631C0402631806004F +:106B8000639E0712130500006F008003638C0712D9 +:106B90003307F64093165700B387C74063C0061209 +:106BA0009307070063160706930700006FF09FFD29 +:106BB000E31006EA639C0710B70700021305F00F05 +:106BC000130900006F00C007B304F6401397540088 +:106BD00063540704B384C740138905001385040078 +:106BE000EF00D06E1305B5FFB394A400634A85028D +:106BF000330585401305150013040002B3D7A40024 +:106C00003305A440B394A400B3349000B3E79700D5 +:106C1000130500006FF09FD9E38804F86FF01FFCA4 +:106C2000B70700FC9387F7FF3305A440B3F7F400E0 +:106C30006FF0DFD7930706006FF05FD79307000070 +:106C400013975700635E0700130515001307F00F35 +:106C50006302E508370700FC1307F7FFB3F7E70007 +:106C60001307F00F93D737006318E500638607001A +:106C7000B707400013090000370780001307F7FF2C +:106C80001375F50FB3F7E70013177501370580800B +:106C90008320C100032481001305F5FF33F5A7000D +:106CA0001319F9013365E50033652501832441009B +:106CB0000329010013010101678000001389050009 +:106CC0006FF01FF5930706006FF09FF4138905001E +:106CD0006FF01FC7930700006FF09FF8130101FDCD +:106CE00023229102232A510193547501B70A80008F +:106CF0002320210323286101938AFAFF232611020E +:106D000023248102232E3101232C410123267101EA +:106D10002324810193F4F40F138B0500B3FAAA0026 +:106D20001359F501638804089307F00F6384F40A8C +:106D3000939A3A00B7070004B3EAFA00938414F870 +:106D4000930B000013557B01370480001304F4FFFC +:106D50001375F50F33746401135BFB01630C0508B5 +:106D60009307F00F6308F50A13143400B707000403 +:106D70003364F400130515F89307000013972B00F4 +:106D80003367F7001307F7FF9306E000B349690183 +:106D9000338AA44063E0E60A979601009386865101 +:106DA000131727003307D700032707003307D7003F +:106DB00067000700638A0A0213850A00EF0010517A +:106DC0009307B5FF9304A0F8B39AFA00B384A440E4 +:106DD0006FF01FF79304F00F930B2000E3840AF683 +:106DE000930B30006FF01FF693040000930B10001C +:106DF0006FF05FF5630A040213050400EF00104D05 +:106E00009307B5FF3314F4009307A0F83385A74028 +:106E10006FF09FF61305F00F93072000E30004F6D0 +:106E2000930730006FF09FF51305000093071000E3 +:106E30006FF0DFF4131B540063F68A12130AFAFF93 +:106E400013090000935B0B013704010093850B00CD +:106E50001304F4FF13850A00EF00903C33748B0099 +:106E600093050500130C050013050400EF0090388E +:106E70009304050093850B0013850A00EF00D03EB4 +:106E800013590901131505013365A90013090C00F5 +:106E9000637E9500330565011309FCFF6368650196 +:106EA000637695001309ECFF33056501B304954043 +:106EB00093850B0013850400EF00903693050500C1 +:106EC000130C050013050400EF00D032930A0500EF +:106ED00093850B0013850400EF001039131505018D +:106EE00013040C00637E5501330565011304FCFF98 +:106EF00063686501637655011304ECFF3305650192 +:106F00001319090133055541336989003335A00050 +:106F10003364A9001307FA076350E00A93777400FB +:106F2000638A07009377F400930640006384D700D8 +:106F3000130444009317440063DA0700B70700F80E +:106F40009387F7FF3374F40013070A089307E00FE1 +:106F50001354340063D2E70C130400001307F00F3E +:106F60006F00800B1399FA0193DA1A006FF09FED0E +:106F70009309090013840A0093870B00130720006C +:106F8000E38CE7FC130730006382E7081307100067 +:106F9000E392E7F813040000130700006F00C00736 +:106FA00093090B006FF09FFD370440009309000028 +:106FB000930730006FF09FFC93071000B387E74002 +:106FC0001307B001E348F7FC1305EA09B357F400CF +:106FD0003314A4003334800033E4870093777400C3 +:106FE000638A07009377F400130740006384E70087 +:106FF000130444009317540013543400E3DE07F8DD +:1070000013040000130710006F0000013704400054 +:107010001307F00F93090000370580001305F5FFF3 +:107020003374A400370580801305F5FF1377F70F3D +:107030003374A400131777011395F9013364E40046 +:107040003365A4008320C10203248102832441020A +:10705000032901028329C101032A8101832A4101F5 +:10706000032B0101832BC100032C810013010103B9 +:1070700067800000370780001307F7FF93567501FC +:107080003378A70013D675019357F50193F6F60FE1 +:107090001305F00F3377B7001376F60F93D5F5018C +:1070A0006398A6001305E0FF630E080667800000E2 +:1070B0006318A6001305E0FF630E07066780000053 +:1070C000639406061335180063140600630607046C +:1070D000631C05006394B700635ED60013051000BF +:1070E0006396070067800000E39E05FE1305F0FF2E +:1070F0006780000063DAC6001305F0FFE38407FE33 +:107100001305100067800000E36A07FD1305000007 +:10711000E364E8FE6FF01FFD13050000E30408FCC4 +:107120006FF0DFFBE308D6F8E31606FAE30807FA88 +:107130006FF05FFA13351800E38C06F86FF09FF9D3 +:10714000370780001307F7FF935675013378A700C0 +:1071500013D675019357F50193F6F60F1305F00F4B +:107160003377B7001376F60F93D5F5016398A60031 +:1071700013052000630E0806678000006318A60050 +:1071800013052000630E070667800000639406065F +:10719000133518006314060063060704631C05001A +:1071A0006394B700635ED600130510006396070072 +:1071B00067800000E39E05FE1305F0FF6780000076 +:1071C00063DAC6001305F0FFE38407FE1305100021 +:1071D00067800000E36A07FD13050000E364E8FE32 +:1071E0006FF01FFD13050000E30408FC6FF0DFFBE8 +:1071F000E308D6F8E31606FAE30807FA6FF05FFA39 +:1072000013351800E38C06F86FF09FF9130101FDA8 +:107210002322910223202103B70480001359750112 +:10722000232E3101232671019384F4FF23261102BA +:1072300023248102232C4101232A510123286101A7 +:1072400023248101232291011379F90F938B0500E7 +:10725000B3F4A4009359F501630A09089307F00FEA +:107260006306F90A93943400B7070004B3E4F4000A +:10727000130919F8130B000013D57B0137048000A4 +:107280001304F4FF1375F50F3374740193DBFB01E2 +:10729000630E05089307F00F630AF50A1314340010 +:1072A000B70700043364F400130515F893060000D3 +:1072B00093172B00B3E7D7003309A9009387F7FF93 +:1072C0001307E00033CA7901930A19006360F70AD3 +:1072D000179701001307C70193972700B387E700AB +:1072E00083A70700B387E70067800700638A04026B +:1072F00013850400EF00807D9307B5FF1309A0F804 +:10730000B394F4003309A9406FF0DFF61309F00FCE +:10731000130B2000E38204F6130B30006FF0DFF54F +:1073200013090000130B10006FF01FF5630A04022D +:1073300013050400EF0080799307B5FF3314F400C0 +:107340009307A0F83385A7406FF05FF61305F00FA1 +:1073500093062000E30E04F4930630006FF05FF50F +:1073600013050000930610006FF09FF4370C010026 +:10737000130BFCFF93DB0401935C0401B3F4640181 +:10738000337464019305040013850400EF008066E4 +:10739000930504009309050013850B00EF00806539 +:1073A0001304050093850C0013850B00EF00806427 +:1073B000930B05009385040013850C00EF00806398 +:1073C0003305850093D709013385A70063748500D1 +:1073D000B38B8B01B377650193970701B3F969010B +:1073E000B3873701139467003334800093D7A70124 +:1073F00013550501B367F4003304750113146400D9 +:107400003364F4009317440063D6070E93571400B7 +:107410001374140033E487001387FA076350E00EF7 +:1074200093777400638A07009377F4009306400013 +:107430006384D700130444009317440063DA070001 +:10744000B70700F89387F7FF3374F40013870A082F +:107450009307E00F63C4E710935734006F000003F5 +:10746000138A09001384040093060B00930720007D +:107470006386F60E93073000638AF60C130610003D +:107480009307000013070000E398C6F83704800054 +:107490001304F4FF37058080B3F787001305F5FF69 +:1074A0008320C102032481021377F70FB3F7A700EB +:1074B000131777011315FA01B3E7E700832441029C +:1074C000032901028329C101032A8101832A410181 +:1074D000032B0101832BC100032C8100832C41006D +:1074E00033E5A7001301010367800000138A0B0036 +:1074F0006FF0DFF7930A09006FF01FF29307100097 +:10750000B387E7401307B0016340F706938AEA099F +:10751000B357F400331454013334800033E487004C +:1075200093777400638A07009377F4001307400091 +:107530006384E700130444009317540063CA0702EE +:1075400093573400130700006FF05FF4B707400053 +:107550001307F00F130A00006FF05FF393070000AA +:107560001307F00F6FF09FF2930700006FF09FFD7D +:1075700093070000130710006FF05FF1B70780005A +:107580009387F7FF130101FF33F7A70093567501A7 +:1075900013D67501B3F7B7002322910023202101F0 +:1075A00093F6F60F131837001376F60F2326110003 +:1075B000232481001307F00F9354F501138906006B +:1075C0001305060093D5F501939737006314E60081 +:1075D0006394070093C515003387C640639A951AD4 +:1075E0006356E00A63160606639C07009307F00FD4 +:1075F000638CF60493070800138506006F00C0141F +:107600001307F7FF631E0702B38707011385060000 +:1076100013975700635A0712130515001307F00F4D +:10762000630EE5323707007E93F617001307F7FF66 +:1076300093D71700B3F7E700B3E7D7006F00C01088 +:107640001306F00F639EC600930708006F00400703 +:107650001306F00FE38AC6FE37060004B3E7C7003F +:107660001306B0016356E600930710006FF0DFF9D0 +:10767000130600023306E640B3D5E700B397C70010 +:10768000B337F000B3E7F5006FF01FF863000708A9 +:10769000639C0602631808001307F00F6316E60ADE +:1076A0006F0000029306F0FF6316D700B38707014F +:1076B0006FF01FF69306F00F1347F7FF6310D60223 +:1076C0001305F00F6F0040089306F00FE30AD6FE93 +:1076D000B70600043307E0403368D8009306B001D2 +:1076E00063D6E600130710006F00C0019306000286 +:1076F0003356E8003387E6403317E8003337E000BD +:107700003367E600B387E7006FF09FF0138516003C +:107710001376F50F130710006342C706639406043F +:10772000130500006302080263860722B38707017E +:1077300013975700635A0700370700FC1307F7FF3A +:10774000B3F7E7001305100013F77700630A07206B +:1077500013F7F700930640006304D7209387470090 +:107760006F000020E30E08F4E38007EEB707000285 +:107770001305F00F930400006F00801E1307F00F35 +:10778000630EE51C3307F800935717006FF0DFFB1B +:107790006350E00863160604E38A07E41307F7FF63 +:1077A00063140702B307F8401385060013975700C8 +:1077B000E35C07F8370400041304F4FF33F4870094 +:1077C000130905006F0080131306F00FE38EC6E661 +:1077D0001306B0016350E602930710006FF09FFCA0 +:1077E0001306F00FE382C6E637060004B3E7C700CE +:1077F0006FF01FFE13060002B3D5E7003307E64023 +:10780000B397E700B337F000B3E7F5006FF09FF9E7 +:1078100063020708639E0602631808001307F00F4F +:10782000938405006FF09FE79306F0FF6318D7007D +:10783000B3870741938405006FF05FF79306F00F5D +:107840001347F7FF6310D602938405006FF05FE7DC +:107850009306F00FE30AD6FEB70600043307E040B4 +:107860003368D8009306B00163D6E6001307100012 +:107870006F00C001930600023356E8003387E640EC +:107880003317E8003337E0003367E600B387E7409B +:107890006FF05FFA138716001377F70F13061000C7 +:1078A0006344E604639C06026318080063980712A9 +:1078B000130500006FF01FEC638607123307F840D2 +:1078C00093165700B387074163CA06109307070052 +:1078D00063100706930700006FF09FFDE31608E8AA +:1078E000E39407F66FF09FE83304F8401317540051 +:1078F000635407043384074193840500130504008F +:10790000EF00C01C1305B5FF3314A400634A250320 +:10791000330525411305150013070002B357A400D2 +:107920003305A7403314A40033348000B3E7870045 +:10793000130500006FF05FE1E30E04F86FF01FFC29 +:10794000B70700FC9387F7FF3305A940B377F4002E +:107950006FF09FDF930708006FF01FDF93070000B1 +:1079600013975700635E0700130515001307F00F08 +:10797000630EE506370700FC1307F7FFB3F7E700D0 +:107980001307F00F93D737006318E50063860700ED +:10799000B707400093040000370780001307F7FF84 +:1079A0001375F50FB3F7E7001317750137058080DE +:1079B0008320C100032481001305F5FF33F5A700E0 +:1079C0009394F4013365E5003365950003290100C4 +:1079D00083244100130101016780000093840500A6 +:1079E0006FF01FF5930708006FF09FF493070000F6 +:1079F0006FF01FF9130605001305000093F615003C +:107A0000638406003305C50093D5150013161600D0 +:107A1000E39605FE678000006340050663C6050621 +:107A200013860500930505001305F0FF630C06029D +:107A300093061000637AB6006358C0001316160050 +:107A400093961600E36AB6FE1305000063E6C500D0 +:107A5000B385C5403365D50093D61600135616007E +:107A6000E39606FE6780000093820000EFF05FFB64 +:107A700013850500678002003305A04063D8050028 +:107A8000B305B0406FF0DFF9B305B040938200005A +:107A9000EFF01FF93305A0406780020093820000D9 +:107AA00063CA0500634C0500EFF09FF713850500DE +:107AB00067800200B305B040E35805FE3305A040DF +:107AC000EFF01FF63305B04067800200B7070100F2 +:107AD000637AF5029307F00FB3B7A70093973700C7 +:107AE000130700023307F740B357F500179501005D +:107AF0001305C583B307F50003C507003305A74089 +:107B0000678000003707000193070001E36AE5FC86 +:107B1000930780016FF0DFFC97970100938787AE92 +:107B20009443179701001307A7AC1843C8C2637B9F +:107B3000E50017970100130767AC08438C439105D4 +:107B40006F801FA0411122C406C6179701001307BA +:107B500027AB2A8408438C439105EF807F9E97973B +:107B60000100938727A79C436376F4009797010051 +:107B700023A287A6B2402244410182809797010048 +:107B8000938747A8984394437C43FD177CC3FC42EA +:107B900099E3736004308280011122CC26CA2A84C2 +:107BA000AE844AC84EC652C456C206CEEFA02F9627 +:107BB0001C4003290401B7090001B3E59700930AAB +:107BC000C4000CC08144FD19370A00021840638CC0 +:107BD0002A03032609004A85B3654701B3763601B7 +:107BE00093175600758F0329490063C4070001E706 +:107BF000F1BFE39DE6FC9317760063D30700D58CB5 +:107C0000EFB04F80E1B793C4F4FFF98C04C0EFA04C +:107C10000FB50840F2406244D2444249B249224A78 +:107C2000924A056182804111014506C622C4EFD007 +:107C3000DF9417D7FFFF1307071A81460546930500 +:107C400040061795010013052583EFB0BFCF19C972 +:107C50002A84EFA0CF8C2A8601478146854522855C +:107C6000EFB0FFDAEF903FE5B24022440145410119 +:027C7000828010 +:02000004800278 +:10000000CE44FEFFCC45FEFFD644FEFFCC45FEFFAE +:10001000CC45FEFFCC45FEFFCC45FEFFEE44FEFF87 +:10002000CC45FEFFCC45FEFF0845FEFF1445FEFF14 +:10003000CC45FEFF2645FEFF3245FEFF3245FEFF62 +:100040003245FEFF3245FEFF3245FEFF3245FEFFE0 +:100050003245FEFF3245FEFF3245FEFFCC45FEFF36 +:10006000CC45FEFFCC45FEFFCC45FEFFCC45FEFF58 +:10007000CC45FEFFCC45FEFFCC45FEFFCC45FEFF48 +:10008000CC45FEFFCC45FEFFCC45FEFFCC45FEFF38 +:10009000CC45FEFFCC45FEFFCC45FEFFCC45FEFF28 +:1000A000CC45FEFFCC45FEFFCC45FEFFCC45FEFF18 +:1000B000CC45FEFFCC45FEFFCC45FEFFCC45FEFF08 +:1000C000CC45FEFFCC45FEFFCC45FEFFCC45FEFFF8 +:1000D000CC45FEFFCC45FEFFCC45FEFFCC45FEFFE8 +:1000E000CC45FEFFCC45FEFFCC45FEFFCC45FEFFD8 +:1000F000CC45FEFFCC45FEFFCC45FEFFCC45FEFFC8 +:100100006645FEFF7C45FEFFCC45FEFFCC45FEFF6D +:10011000CC45FEFFCC45FEFFCC45FEFFCC45FEFFA7 +:10012000CC45FEFFC245FEFFCC45FEFFCC45FEFFA1 +:100130007443FEFF2C44FEFFCC45FEFFCC45FEFF82 +:100140006244FEFFCC45FEFFBA44FEFFCC45FEFFF5 +:10015000CC45FEFFE844FEFFDA48FEFF2E49FEFFD5 +:10016000E248FEFF2E49FEFF2E49FEFF2E49FEFF0C +:100170002E49FEFFD447FEFF2E49FEFF2E49FEFF0B +:10018000EE47FEFFFA47FEFF2E49FEFF0C48FEFF3A +:100190001848FEFF1848FEFF1848FEFF1848FEFFEB +:1001A0001848FEFF1848FEFF1848FEFF1848FEFFDB +:1001B0001848FEFF2E49FEFF2E49FEFF2E49FEFF86 +:1001C0002E49FEFF2E49FEFF2E49FEFF2E49FEFF5F +:1001D0002E49FEFF2E49FEFF2E49FEFF2E49FEFF4F +:1001E0002E49FEFF2E49FEFF2E49FEFF2E49FEFF3F +:1001F0002E49FEFF2E49FEFF2E49FEFF2E49FEFF2F +:100200002E49FEFF2E49FEFF2E49FEFF2E49FEFF1E +:100210002E49FEFF2E49FEFF2E49FEFF2E49FEFF0E +:100220002E49FEFF2E49FEFF2E49FEFF2E49FEFFFE +:100230002E49FEFF2E49FEFF2E49FEFF2E49FEFFEE +:100240002E49FEFF2E49FEFF2E49FEFF2E49FEFFDE +:100250002E49FEFF2E49FEFF4C48FEFF7A48FEFF66 +:100260002E49FEFF2E49FEFF2E49FEFF2E49FEFFBE +:100270002E49FEFF2E49FEFF2E49FEFFD048FEFF0D +:100280002E49FEFF2E49FEFF3246FEFF2C47FEFFA1 +:100290002E49FEFF2E49FEFF9E47FEFF2E49FEFF20 +:1002A000C447FEFF2E49FEFF2E49FEFF2849FEFFF0 +:1002B000A86CFEFFE46CFEFFF06CFEFFE46CFEFF3A +:1002C0005C6DFEFFE46CFEFFF06CFEFFA86CFEFFB1 +:1002D000A86CFEFF5C6DFEFFF06CFEFFC06CFEFFC5 +:1002E000C06CFEFFC06CFEFFF86CFEFF8071FEFF6D +:1002F0008071FEFF0072FEFF7871FEFF7871FEFFD5 +:100300006072FEFF0072FEFF7871FEFF6072FEFFFA +:100310007871FEFF0072FEFF7471FEFF7471FEFFC4 +:100320007471FEFF6072FEFF00010202030303030B +:100330000404040404040404050505050505050575 +:100340000505050505050505060606060606060655 +:10035000060606060606060606060606060606063D +:100360000606060606060606070707070707070725 +:10037000070707070707070707070707070707070D +:1003800007070707070707070707070707070707FD +:1003900007070707070707070707070707070707ED +:1003A00007070707070707070808080808080808D5 +:1003B00008080808080808080808080808080808BD +:1003C00008080808080808080808080808080808AD +:1003D000080808080808080808080808080808089D +:1003E000080808080808080808080808080808088D +:1003F000080808080808080808080808080808087D +:10040000080808080808080808080808080808086C +:10041000080808080808080808080808080808085C +:100420000808080808080808546D72510000000008 +:10043000546D722053766300286E756C6C29000031 +:100440004572726F7220696E2073705F666C6F7098 +:1004500073207461736B73200D0A00006D69616F06 +:10046000750A00006D69616F75320A0068756E6704 +:1004700072790A00436865636B54696D65720000A8 +:100480004D617468310000004D61746832000000F5 +:100490004D617468330000004D61746834000000E1 +:1004A0004D617468350000004D61746836000000CD +:1004B0004D617468370000004D61746838000000B9 +:1004C0001000000000000000017A5200017C0101D0 +:1004D0001B0D020050000000180000007853FEFFC2 +:1004E0005805000000440E507489039406990B814E +:1004F00001880292049305950796089709980A9A2D +:100500000C9B0D036C010AC144C844C944D244D3B6 +:1005100044D444D544D644D744D844D944DA44DBFF +:10052000440E00440B0000004C0000006C00000072 +:100530007C58FEFFDC05000000440E3070890395F6 +:1005400007810188029204930594069608970998FA +:100550000A990B9A0C0370020AC144C844C944D2D8 +:1005600044D344D444D544D644D744D844D944DAB7 +:10057000440E00440B00000050000000BC000000CE +:10058000085EFEFF0005000000440E5074880289DA +:10059000039305990B810192049406950796089799 +:1005A00009980A9A0C9B0D0320010AC144C844C94A +:1005B00044D244D344D444D544D644D744D844D96F +:0C05C00044DA44DB440E00440B00000051 +:10063400D5E9F642DD9A1245358E65C46F12833AC8 +:10064400A4B0C2C366E4FD46A30100C0CFF7414194 +:100654006F1283BA0000B040FC8F01000900000053 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/sp_flop_rv32im_O3.hex b/VexRiscv/src/test/resources/freertos/sp_flop_rv32im_O3.hex new file mode 100644 index 0000000..d5447bc --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/sp_flop_rv32im_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/sp_flop_rv32imac_O3.hex b/VexRiscv/src/test/resources/freertos/sp_flop_rv32imac_O3.hex new file mode 100644 index 0000000..b7faa3a --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/sp_flop_rv32imac_O3.hex @@ -0,0 +1,1883 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000E1CE170502001305E552979503009385FD +:1000A000257C01461122170502001305A546970578 +:1000B00002009385654C0146C52817A5030013056A +:1000C000657A97B503009385A57937B6ABAB130670 +:1000D000B6BAD92817B103001301C178EF60707068 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2423123A02200F32210344D +:1002400096DEEF00002B170102000321E12F02418F +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E23123A0120023A2A1 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40D025170102000321BA +:10033000A1210241F65273901234B7220000938239 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A22383A0A8 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2420F23A0220086DEEF2033 +:10046000402D170102000321210E0241F652739024 +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF40F005EF10700901E55A +:10050000B24041018280B24041016F2080229307B6 +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30101F1F +:1005B0008280411122C406C62A8426C2EF209010F0 +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF20A0566DD5EF2080763C44FD1780 +:1005F0003CC43C44E344F0FEFD573CC4EF20D00E25 +:10060000EF20500C7C40635BF0021C4885CB9304C8 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF2060526DD5EF2040729F +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20100A29C5411151 +:1006500022C426C22A8406C6AE84EF20B0063440E7 +:100660005C5C1840232C0402B387F6027D5670C0F0 +:1006700018C430C4B386D740BA9736975CC058C404 +:1006800091EC1C489DE3EF203006B2402244924496 +:100690000545410182807370043001A013050401F7 +:1006A000BD3513054402A535F9BF13050401EF203C +:1006B000E0498547E319F5FC913BF1B701E573701B +:1006C000043001A0011126CA4AC84EC606CE22CC6B +:1006D000B2892E89AA84A5C53305B502130555052F +:1006E000EF3080732A8435C1930745051CC144DC73 +:1006F00023202405EF20007D34405C5C18407D56AB +:10070000B387F602232C040270C018C430C413054A +:100710000401B386D740BA9736975CC058C4C53B2E +:1007200013054402ED33EF20207C23083405228595 +:10073000F2406244D2444249B249056182801305C5 +:100740004005EF30606D2A8401E57370043001A02C +:1007500000C071BF01E57370043001A06375B5007E +:100760007370043001A0411122C409462E848145D2 +:1007700006C6A93711C500DDB2402244410182807E +:100780007370043001A05D7186C6A2C4A6C2CAC03F +:100790004EDE52DC56DA5AD85ED632C6630A051CE3 +:1007A0006382051C89476399F600585D8547630598 +:1007B000F7007370043001A03689AE842A84EF20DC +:1007C000A05B11E5B24781C77370043001A0EF2030 +:1007D000606F185C5C5C014B894BFD59130A040186 +:1007E000930A44026365F70C63077919B247638182 +:1007F000072463150B002808EF206048EF20C06E27 +:10080000EF10C04FEF20006C7C406394370123222F +:1008100004043C446394370123240404EF20C06C97 +:100820006C002808EF20C047631E051EEF2080697A +:10083000185C5C5C630CF70AEF20006BEF208068AB +:100840003C44634FF00039A85685EF20203019C191 +:10085000EF2000503C44FD173CC43C446354F0007E +:100860005C50FDF323243405EF200068EF20806501 +:100870007C40634FF00039A85285EF20202D19C12C +:10088000EF20004D7C40FD177CC07C406354F0009D +:100890001C48FDF323223405EF200065EF10006BA8 +:1008A000054BEF202062185C5C5CE37FF7F2304080 +:1008B0006305060C631F09120844A685EF30006E1D +:1008C0001C4434405840B6971CC463EAE70A1C5CD9 +:1008D00014405850850714C41CDC5DC7130544023E +:1008E000EF20C02685476311F50AC9A8EF20C05F35 +:1008F000B2455285EF204007EF20C05C3C44634F77 +:10090000F00039A85685EF20602419C1EF2040443B +:100910003C44FD173CC43C446354F0005C50FDF380 +:1009200023243405EF20405CEF20C0597C40634F06 +:10093000F00039A85285EF20602119C1EF20404115 +:100940007C40FD177CC07C406354F0001C48FDF3E4 +:1009500023223405EF204059EF10405F31F17D3400 +:10096000054B81B73C41E38F07E27370043001A06F +:100970007370043001A0304021E61C4085C71C5C28 +:10098000585085071CDC39FBEF2000560545B64062 +:10099000264496440649F259625AD25A425BB25BE7 +:1009A000616182804840EF2040491C5C58502322FE +:1009B000040085071CDCE31307F279D5813CE9B715 +:1009C0004844A685EF30805D3C4058441440B3074E +:1009D000F0403E9758C4637CD7025840BA975CC435 +:1009E00009475C506306E902185C050718DCC9DF9B +:1009F000F5B54844A685EF30605A3C405844144051 +:100A0000B307F0403E9758C4E37CD7FCF9B75C507D +:100A1000185C79DB185C7D1718DC185C050718DC9E +:100A2000A5D76DBD22857136EF104052014585B7BF +:100A3000EF20804B0145A1BF411126C2AA841305B6 +:100A4000400522C406C6EF30203D2A8415CD232C54 +:100A50000502FD577CC10547410558DC3CC42322F3 +:100A60000400232004002324040023260400232060 +:100A7000040423089404613C13054402493C814664 +:100A800001468145228501332285B24022449244A9 +:100A9000410182800DC5411122C426C206C64AC04A +:100AA000032945002A84EF20602C8144630CA900AF +:100AB000B2402244268502499244410182807370EB +:100AC000043001A05C448544FD175CC4F5F3814605 +:100AD0000146814522854539E1BF31CDA1C90111CA +:100AE00022CC26CA4AC852C406CE4EC68947B6840E +:100AF00032892E8A2A846399F600585D8547630FF0 +:100B0000F7047370043001A0C932185C5C5CAA89D8 +:100B10006366F70281444E857532F2406244268551 +:100B20004249D244B249224A056182803C41C5DB38 +:100B30007370043001A07370043001A0304041E2B2 +:100B40001C40D5CB1C5C7D5785071CDC3C4463876F +:100B5000E7083C44854485073CC475BFBD32185C3A +:100B60005C5CAA89636DF702304079DA4844D2852B +:100B7000EF30C0423C4058441440B307F0403E9729 +:100B800058C46375D7005840BA975CC48947E39B43 +:100B9000F4FA1C5CC5DB1C5CFD171CDC65B730403F +:100BA00045D24844D285EF30603F3C405844144021 +:100BB000B307F0403E9758C4E367D7FCD9BFDDF4D4 +:100BC0000844D285EF30803D1C4434405840B697ED +:100BD0001CC4E3E9E7F61C401CC4ADB75C50854477 +:100BE0009DDB13054402EF10707615D5E30509F27D +:100BF000232099000DB74840EF2020242322040031 +:100C000091B721CD3C4181C77370043001A01C41D4 +:100C1000A1CB011122CC26CA2A844AC84EC606CED0 +:100C20002E896530185C5C5CAA898144637DF7007D +:100C30001C5C7D5785071CDC3C446388E7023C4410 +:100C4000854485073CC44E85B538F240624426850C +:100C50004249D244B249056182807370043001A0D8 +:100C60005C41C5DB7370043001A05C5099E385449E +:100C7000D9BF13054402EF10706D75D9E30909FE61 +:100C800085472320F900E5B7397106DE22DC26DA34 +:100C90004AD84ED652D456D232C66302051C638A55 +:100CA000051AB68AAE892A84EF20000D11E5B247F5 +:100CB00081C77370043001A0EF20C0201C5C6392D8 +:100CC0000714B247638E070A2808EF10507BFD54C3 +:100CD00013094402130A0401EF200021EF1000025F +:100CE000EF20401E7C4063949700232204043C4480 +:100CF0006394970023240404EF20001F6C0028084D +:100D0000EF10107A63130518EF20C01B1C5CADCFE9 +:100D1000EF20801DEF20001B3C44634FF00039A8FA +:100D20004A85EF10B06219C1EF2080023C44FD17E4 +:100D30003CC43C446354F0005C50FDF324C4EF20F9 +:100D4000A01AEF2020187C40634FF00039A852858C +:100D5000EF10D05F19C1EF10B07F7C40FD177CC051 +:100D60007C406354F0001C48FDF364C0EF20C017C2 +:100D7000EF10C01DEF2000151C5CC1E7B247A9FFB2 +:100D8000EF208016014555A0EF2000161C40DDC75E +:100D9000B2454A85EF10503DEF20C0123C44634FEE +:100DA000F00039A84A85EF10705A19C1EF10507A37 +:100DB0003C44FD173CC43C446354F0005C50FDF3DC +:100DC00024C4EF206012EF20E00F7C40634FF0005E +:100DD00039A85285EF10905719C1EF1070777C40F9 +:100DE000FD177CC07C406354F0001C48FDF364C0D8 +:100DF000EF20800FEF10801531C9EF20A00C1C5C94 +:100E0000B5DFCE8522854444EFF0CFF8639C0A0419 +:100E10001C5C1840FD171CDC01E7EF20601148C086 +:100E20001C48A1EFEF20400C0545F2506254D2540B +:100E30004259B259225A925A21618280EF20800889 +:100E40004840EF107075EF20200A99B7EFF00FDCE3 +:100E50006DB73C41E38707E47370043001A0737001 +:100E6000043001A05C5044C4D5DF13054402EF10E8 +:100E7000F04D4DD9EFF08FD975B713050401EF1080 +:100E8000F04C8547E310F5FAF5B72285EFF06FF2E5 +:100E9000EF10C00B014551BF1DC9411122C44AC00A +:100EA00006C626C244412E892A84EF10306C638323 +:100EB000A40281464A8681452285F933854763002D +:100EC000F502B2402244924402494101828073708B +:100ED000043001A05C44054585075CC4DDB75C4473 +:100EE00085075CC4F9BF15CDADC9011122CC26CA56 +:100EF0004AC84EC652C42A8406CE3289AE89EFF063 +:100F0000CFDC1C5C2A8A814485E35285EFF08FDBBD +:100F1000F240624426854249D244B249224A0561E0 +:100F200082807370043001A0304001CE4C445C409C +:100F3000B2954CC463E4F5000C404CC44E85EF30D0 +:100F4000E0051C5C7D57FD171CDC7C40638CE700D2 +:100F50007C40854485077CC04DBF3C41D9D7737028 +:100F6000043001A01C4899E3854445B713050401EA +:100F7000EF10D03D75D9E30909FE85472320F9001C +:100F8000E5B721C93C41A1CDA1CB011122CC26CA94 +:100F90004AC852C42A8406CE4EC62E8AEFF0EFD23B +:100FA0001C5C2A89814491CB8329C400D285228587 +:100FB000EFF04FDE8544232634014A85EFF08FD0D1 +:100FC000F240624426854249D244B249224A056130 +:100FD00082807370043001A07370043001A0EDDFD3 +:100FE0007370043001A001E57370043001A0411159 +:100FF00022C42A8406C6EF10F06C005CEF10D06E9D +:10100000B240228522444101828001E573700430A0 +:1010100001A0411106C622C42A84EF10B06A1C5CEC +:10102000405C1D8CEF10506C2285B240224441017F +:10103000828019C1085D82807370043001A01DCDCB +:10104000978703009387077ED843630CE502D84750 +:10105000630CE504D84B6307E504D84F6302E5044D +:10106000D853630DE502D8576308E502D85B6303E4 +:10107000E502D85F630EE5006F20306C73700430BA +:1010800001A001470E07BA9723A007006F20F06A5E +:101090001D47CDBF1947FDB71547EDB71147DDB760 +:1010A0000D47CDB70947F9BF0547E9BF68458280BD +:1010B0006CC5828003450505828009C5085D13352E +:1010C000150082807370043001A019C51C5D485D55 +:1010D0001D8D1335150082807370043001A0978731 +:1010E000030093872774984305C3984705C7984B17 +:1010F00005C7984F05C7985305C7985705C7985B0C +:1011000015C7985F15C3828001470E07BA978CC335 +:10111000C8C382800547D5BF0947C5BF0D47F5B78E +:101120001147E5B71547D5B71D47C5B71947F1BFF3 +:10113000978703009387076FD8436308E502D84772 +:10114000630BE502D84B630AE502D84F6309E50259 +:10115000D8536308E502D8576307E502D85B6308F4 +:10116000E502D85F6303A702828001470E07BA97A2 +:1011700023A0070082800547D5BF0947C5BF0D479B +:10118000F5B71147E5B71547D5B71D47C5B7194797 +:10119000F1BF411122C426C24AC02A8406C6AE84C9 +:1011A0003289EF1030527840FD576314F700232244 +:1011B00004043844FD576314F70023240404EF109B +:1011C000B0521C5C99E74A86A68513054402EF10CD +:1011D000B00CEF10304F3C44635BF0025C5085CBA9 +:1011E0009304440209A83C44FD173CC43C446350AA +:1011F000F0025C5089CF2685EF1050156DD5EF10A9 +:1012000030353C44FD173CC43C44E344F0FEFD57FC +:101210003CC4EF10704DEF10F04A7C40635BF0026D +:101220001C4885CB9304040109A87C40FD177CC0B1 +:101230007C406350F0021C4889CF2685EF101011C6 +:101240006DD5EF10F0307C40FD177CC07C40E3444E +:10125000F0FEFD577CC02244B24092440249410155 +:101260006F10904805C579714ECE52CC06D63E8A95 +:1012700022D426D24AD056CA5AC85EC662C4A547EE +:10128000BA8963F8E7007370043001A0737004300A +:1012900001A0AA8AAE843289B68B428B63090822E8 +:1012A00013050006EF2050372A84630D05200A0934 +:1012B000232865034A869305500A5A85EF20105C5F +:1012C00003C704001C587119230AE40203C7040071 +:1012D0003E991379C9FF79C783C71400A30AF402A2 +:1012E00083C71400E1C383C72400230BF40283C720 +:1012F0002400CDCB83C73400A30BF40283C7340092 +:10130000D5C383C74400230CF40283C74400D9CB60 +:1013100083C75400A30CF40283C75400C1C783C71A +:101320006400230DF40283C76400ADCF83C774004B +:10133000A30DF40283C77400B5C783C78400230ECE +:10134000F40283C78400B9CF83C79400A30EF402CC +:1013500083C79400A1CB83C7A400230FF40283C7E3 +:10136000A400A9C383C7B400A30FF40283C7B400C9 +:1013700095CB83C7C4002300F40483C7C4009DC376 +:1013800083C7D400A300F40483C7D40081CF83C7EC +:10139000E4002301F40483C7E40089C783C7F40091 +:1013A000A301F404130B44005A85A301040423266B +:1013B000340323283405232A0404EFF08F96130501 +:1013C0008401EFF00F96A947B3873741232C04041B +:1013D00000C81CCC40D023220404232E04045E86C3 +:1013E000D6854A85EFF00F8F08C063040A002320DA +:1013F0008A007370043017F9010013092913832739 +:10140000090097F401009384241491C798409C40EC +:101410007C4385077CC317F701001307E7111C43C2 +:10142000850797F6010023A9F6109C40D5CB8327AA +:10143000090017FA0100130AAA2089EB9C40DC5727 +:1014400063E6F90097F7010023A0871097F70100E2 +:101450009387C70C984397F601009386660D5C54FA +:101460009442050717F60100232AE60A38C463F6FA +:10147000F60017F70100232DF70A5147B387E7025B +:10148000DA853305FA00EFF02F8A8327090081C738 +:101490009C40FC43BDE383270900054499C79C4059 +:1014A000DC5763F43701EFE07FF62285B250225417 +:1014B00092540259F249624AD24A424BB24B224CF0 +:1014C000456182805A85EF2050277D54F9BF13155E +:1014D0002600EF2070142A8BE31405DC7D54F1B74D +:1014E00097F7010023A28706184385476301F70297 +:1014F00017FA0100130ACA1491BF984094407C4324 +:10150000FD177CC3FC42C1FB7360043069B7814A9C +:1015100017FA0100130ACA12514CA94B33858A03EA +:10152000850A5295EFE0BFFEE39A7AFF97FB010030 +:10153000938B8B1D5E85EFE09FFD97FA0100938AE8 +:10154000EA1D5685EFE0BFFC17F501001305451EA7 +:10155000EFE0FFFB17F501001305C51EEFE03FFBB1 +:1015600017F501001305451FEFE07FFA97F701001B +:1015700023AA77FD97F7010023A457FDC1BD4111B0 +:1015800022C406C626C24AC02A847370043097F467 +:1015900001009384A4F99C40F9E311E497F701005A +:1015A000938787FA8043130944004A85EFE05FFC84 +:1015B0001C5489C713058401EFE09FFBCA8517F50A +:1015C000010013052518EFE03FF697F70100938718 +:1015D000E7F6984397F70100938747F49C43050784 +:1015E00097F6010023ACE6F49840850797F60100D2 +:1015F00023A6F6F201CB97F701009387E7F498430F +:10160000784349EF9C40B1C797F701009387C7F330 +:101610009843630F870873700430984039EB17F7CD +:101620000100130727F214439442A5E27D5797F671 +:10163000010023A3E6EE984009CF9843784311CBED +:10164000984394437C43FD177CC3FC4299E3736049 +:101650000430B2402244924402494101828097F70B +:101660000100938767EE98439C437C4385077CC3C6 +:101670002DB794439843F8420507F8C217F70100C5 +:10168000130747EC14439442D5D2184358475847A0 +:10169000584397F6010023A1E6E871BF98439443AD +:1016A0007C43FD177CC3FC42B1FF7360043099BFDB +:1016B00097F70100938707E69C4381C77370043056 +:1016C00001A02244B2409244024941016FE01FD47C +:1016D00041C517F70100130727E714438147638BC0 +:1016E000A606411106C622C426C27370043097F6BE +:1016F00001009386A6E39C4291C710431C437C42A1 +:1017000085077CC29C42AA84404981C71C43FC4394 +:10171000B9E797F701009387E7E29C43638B87045F +:1017200097F701009387C7E19C436384870497F789 +:101730000100938767026309F40297F7010093871A +:1017400067006301F4028547B240224492443E851B +:10175000410182803E8582807370043001A0EF5089 +:10176000A07D45BF9147CDB79C5493B7170089071B +:10177000E1BF8947D1BF7370043097F701009387A9 +:10178000E7DA984309EF15C59C43485581CB97F795 +:101790000100938767DB9843784315E3828017F74E +:1017A0000100130767DA14431843F8420507F8C22B +:1017B00061FD17F70100130727D90843F1B79843D4 +:1017C00094437C43FD177CC3FC42E9FB7360043007 +:1017D0008280411122C42A8406C6EFE01FCF11E4A3 +:1017E00097F70100938747D680434054EFE09FCDA1 +:1017F000B2402285224441018280A54763F5B700AB +:101800007370043001A0011122CC06CE26CA4AC84A +:101810004EC652C42A847370043017F901001309AC +:10182000E9D083270900D5EF79C43C486389F508DE +:1018300017F70100130747D163FCB7001443638D0A +:10184000860C18434457B3B4950093C4140029A0E0 +:101850000443818C93B4140058546384E70A1C4CED +:101860002CC863C60700A947B385B7400CCC9317B3 +:101870002700BA97584897F90100938969DC8A07CD +:10188000CE97631BF702130A44005285EFE05FCE48 +:1018900017F701001307C7C95C5418436376F700B4 +:1018A00017F701002326F7C85147B387E702D2850F +:1018B0003385F900EFE05FC799C0EFE03FB58327BC +:1018C000090081CB97F70100938707C89C43FC432D +:1018D0009DEBF2406244D2444249B249224A05613A +:1018E000828097F70100938727C698439C437C43E7 +:1018F00085077CC31DF897F701009387E7C48043F1 +:101900002DB74CD4A9BF6244F240D2444249B249F7 +:10191000224A05616F50406281443DBF25C10111DB +:1019200026CA97F40100938424C29C4006CE22CCA0 +:101930004AC84EC6638DA7027370043017F90100C0 +:101940001309C9BE8327090091C798409C407C4376 +:1019500085077CC3584997F701009387E7DF630247 +:10196000F7028327090081C79C40FC43A5EBF240A6 +:101970006244D2444249B2490561828073700430A6 +:1019800001A01C5517F70100130787DAE38BE7FC6A +:10199000E9FB930945002A844E85EFE07FBD17F7E8 +:1019A00001001307E7B85C5418436376F70017F794 +:1019B0000100232FF7B65147B387E70217F501005F +:1019C000130505C8CE853E95EFE01FB69C405854E0 +:1019D000DC57E368F7F8EFE07FA361B76244F240B9 +:1019E000D2444249B24905616F50005515CD0111ED +:1019F00022CC26CA4AC82A8406CE4EC6EFE0FFACE7 +:101A0000584897F70100938727D52A8981446300B6 +:101A1000F7024A85EFE01FABF24062442685424957 +:101A2000D244B249056182807370043001A01C5415 +:101A300017F501001305C5CFE38DA7FCF9FB97F758 +:101A40000100938727AD9C43A9EB97F7010093878B +:101A5000A7AF9C43445493094400DC574E85B3B46C +:101A6000F400EFE0FFB017F70100130767AC5C5418 +:101A7000184393C414006376F70017F70100232975 +:101A8000F7AA5147B387E70217F50100130545BBD5 +:101A9000CE853E95EFE05FA9ADBF93058401EFE0F1 +:101AA000BFA885BF0111056506CE22CC26CA4AC84B +:101AB0004EC652C456C25AC0EF200036630E051AF5 +:101AC000AA8413050006EF2020352A84630A051C2A +:101AD00005669305500A04D92685EF20205A04583C +:101AE0008567F117BE94B7474C4593879744130A0F +:101AF00044005CD85285230C0402A301040423266D +:101B0000040223280404232A0404EFE09FA1130500 +:101B10008401EFE01FA1A947232C0404F19800C819 +:101B20001CCC40D023220404232E04040146971524 +:101B300000009385E5B12685EFE0DF9908C073705A +:101B4000043017F901001309699E8327090097F4EF +:101B500001009384649F91C798409C407C43850713 +:101B60007CC317F701001307279D1C43850797F6D1 +:101B7000010023A3F69C9C40C9C38327090097F961 +:101B800001009389E9AB81EB9C40DC5789E797F72B +:101B9000010023AB879A97F7010093872798984312 +:101BA00097F601009386C6985C549442050717F691 +:101BB00001002325E69638C463F6F60017F7010006 +:101BC0002328F796139527003E950A05D2854E9552 +:101BD000EFE09F958327090081C79C40FC43DDE728 +:101BE0008327090091C39C40EF1070498547630526 +:101BF000F50C59E17370043001A097F7010023A59B +:101C000087941843854797F90100938969A3E314E2 +:101C1000F7F8CE8A17FB0100130B0BAF5685D10ADC +:101C2000EFE0FF8EE31C5BFF17F501001305C5AD68 +:101C3000EFE0FF8D97FA0100938A4AAE5685EFE0F8 +:101C40001F8D17F501001305A5AEEFE05F8C17F5AA +:101C50000100130525AFEFE09F8B17F50100130579 +:101C6000A5AFEFE0DF8A97F7010023AD678D97F707 +:101C7000010023A7578D05B7F2406244D244424980 +:101C8000B249224A924A024B0561828098409440B0 +:101C90007C43FD177CC3FC42A1F77360043089B715 +:101CA0006244F2404249B249224A924A024B268596 +:101CB000D24405616F20602873700430FD5717F718 +:101CC0000100232BF784624497F7010023A0A78625 +:101CD000F240D2444249B249224A924A024B97F713 +:101CE000010023A9078405616FE0AFDB7370043046 +:101CF00097F7010023AC07826FE0EFE817F70100C8 +:101D0000130747811C4385071CC38280737004300E +:101D100097F7010093878781984305E317F7010040 +:101D20001307478108439C4381CB97F701009387B2 +:101D3000A7819843784301EF828017F701001307CA +:101D4000A78014431843F8420507F8C2C1BF98435F +:101D500094437C43FD177CC3FC42F9FF736004305D +:101D60008280411106C622C4EFE02FF697E70100FA +:101D70009387477C8043EFE0EFF4B2402285224412 +:101D80004101828097E701009387077B8843828027 +:101D9000797106D622D426D24AD04ECE52CC56CA1B +:101DA0005AC85EC697E701009387C7769C43B1EF98 +:101DB00017E70100130707781C43850797E6010022 +:101DC00023AAF676832A0700638F0A0697E70100A5 +:101DD000938787749C43814463F1FA0897F9010063 +:101DE0009389098617EA0100130A0A7683270A00F5 +:101DF0008546D85793172700BA978A07BE9983A7B5 +:101E0000090063FFF600854421A897E70100938746 +:101E1000A7719C438144850717E701002326F770CB +:101E200097E70100938707709C4391C38544B250A4 +:101E30002254268502599254F249624AD24A424BB0 +:101E4000B24B4561828017E401001304A46F1C406B +:101E50009C43DDC37370043001A017E40100130438 +:101E6000646E97E901009389A97D17EA0100130ABE +:101E7000AA6D17EB0100130BAB6B1C409C43B5C361 +:101E80001C40DC4703A9C70083274900930B490086 +:101E90005E8563EEFA04EFE0AFED83278902130558 +:101EA000890199C3EFE0CFEC8327C90203270B0018 +:101EB000DE85139527003E950A054E956376F7005B +:101EC00017E701002326F766EFE00FE683270A00F5 +:101ED0000327C902DC57E362F7FA1C4085449C43A0 +:101EE000C5F3FD5717E701002328F76201B717E78D +:101EF00001002323F762DDBD17E7010013074764E4 +:101F00001C40184397E6010023AEE66217E7010084 +:101F10002328F76297E70100938787609C43850732 +:101F200017E70100232EF75E1C409C4399E7FD57FD +:101F300017E701002322F75E51BD1C40DC47DC4758 +:101F4000DC4317E701002329F75C49B597E7010057 +:101F50009387475C984301E77370043001A0011137 +:101F600006CE22CC26CA4AC84EC652C456C25AC051 +:101F70007370043097E401009384445B984061EBF4 +:101F800098437D1797E6010023A6E6589C43D9EBBA +:101F900097E701009387475A9C43C9C797EA010016 +:101FA000938A0A6A17EA0100130A8A5817E901009E +:101FB00013098959854919A83385EA00EFE0CFD67E +:101FC000832709005854DC576379F70483A70A0F65 +:101FD000C1CF83A7CA0FC04713058401EFE04FD9D3 +:101FE000130B44005A85EFE0AFD85C5483260A00F7 +:101FF000DA85139527003E950A052A875695E3FD55 +:10200000F6FA17E701002325F752EFE0EFD1832717 +:1020100009005854DC57E36BF7FA97E7010023A354 +:1020200037516DB701449C4081CB97E701009387FE +:10203000A7519C43FC4395E72285F2406244D24479 +:102040004249B249224A924A024B0561828017E70F +:1020500001001307674F14431843F8420507F8C2FD +:1020600005B7EF40706DC9BF17E401001304C44BFE +:102070001C4095C31C40054999CF193B09C597E7FA +:10208000010023A1274B1C40FD1717E70100232D5A +:10209000F7481C40FDF397E701009387A748984352 +:1020A0008547E311F7F8EFE06FB60544ADBF11CDFA +:1020B00081E57370043001A017E70100130787451D +:1020C0001C4399C77370043001A07370043001A0E1 +:1020D000411122C406C614431841850617E60100C3 +:1020E000232AD64297E601009386C64494423304DD +:1020F000B70000C163F7E602637FE40063FD86007A +:1021000097E701009387474488431105EFE04FC6E6 +:102110002285EF40305C1D3D01C9B240224441019F +:102120008280E36FE4FCD9BF2244B24041016FE0FA +:10213000EFAD11C917E701001307C73D1C4391C755 +:102140007370043001A06FE06FAC411106C622C469 +:102150001843AA87050797E6010023ADE63A97E6FC +:1021600001009386663E17E701001307A73C18435A +:1021700088423304F7001105EFE08FBF2285EF405E +:102180007055E93311E52244B24041016FE00FA8D8 +:10219000B240224441018280411122C406C697E721 +:1021A0000100938727379C430144850717E7010007 +:1021B0002322F73697E70100938707389C4363E0B3 +:1021C000F51217EE0100130E6E5397E20100938291 +:1021D000624601440147854F1303500A21A0311E76 +:1021E000638DC20B83278EFFBA86F5DB8327CEFF74 +:1021F000DC43232EFEFE638AC70F03AFC700DC4318 +:102200002A97814E232EFEFE6385C70783A8C70049 +:1022100093874803232017015CC383A788042326E0 +:10222000F7011CC783A7C8021CCB83A70805232C72 +:1022300007005CCB03A8080383470800639067048A +:10224000C287850783C60700B3850741E38B66FE17 +:102250008981C205C181231EB700850E13070702BD +:1022600063061F038327CEFFDC43232EFEFEE39F7E +:10227000C7F983274E00232EFEFE49BF8145231E4A +:10228000B700850E13070702E31E1FFD7694131790 +:102290005400311EBA86E397C2F597E70100938791 +:1022A000672A03AE070083270E00639D070E97E79A +:1022B00001009387E72803AE070083270E0063928F +:1022C000071817EE0100130EAE3783274E109DE35B +:1022D00083278E116395072019C223200600BD3184 +:1022E0002285B24022444101828083274E00232E62 +:1022F000FEFE21B783278E1017EF0100130F0F4545 +:10230000DC4317E701002321F7446380E73383A20E +:10231000C700DC43AA96814E17E701002326F74247 +:10232000914F1303500A6388E70783A8C700938778 +:10233000480323A01601DCC283A7880423A6F60164 +:102340009CC683A7C8029CCA83A7080523AC0600C5 +:10235000DCCA03A80803834708006391672AC28781 +:10236000850703C70700B3850741E30B67FE93D7D3 +:102370002500C207C183239EF600850E93860602C0 +:102380006386122983278E10DC4317E70100232D73 +:10239000F73AE39CE7F983270E1117E7010023259D +:1023A000F73A61B783274E00130F8E00D4432322E0 +:1023B000DE006388E629B307E500D84283A2C600A1 +:1023C000814E2322EE00894F1303500A6306EF0665 +:1023D0008328C7001387480323A01701D8C303A786 +:1023E000880423A6F70198C703A7C80298CB03A7C0 +:1023F000080523AC0700D8CB03A80803034708004F +:102400006318671C4287050783460700B305074129 +:10241000E38B66FE13D7250042074183239EE70026 +:10242000850E93870702638D121B83264E00D842C8 +:102430002322EE00E31EEFF80327CE002322EE0056 +:1024400041BF83274E00130F8E00DC432322FE0082 +:102450006384E71F83A2C700DC43AA96814E232230 +:10246000FE00894F1303500A6306FF0683A8C700C6 +:102470009387480323A01601DCC283A7880423A600 +:10248000F6019CC683A7C8029CCA83A7080523AC93 +:102490000600DCCA03A80803834708006396671098 +:1024A000C287850703C70700B3850741E30B67FEB3 +:1024B00093D72500C207C183239EF600850E93861D +:1024C0000602638B120F83274E00DC432322FE009B +:1024D000E31EFFF88327CE002322FE0041BF83279F +:1024E000CE1197EE0100938EAE27DC4317E7010073 +:1024F0002326F7266384D71383AFC700DC43330753 +:10250000D500014397E6010023AAF6240D4F894226 +:102510009308500A6387D707C8479307450308C342 +:102520005CC33C452326E7011CC75C551CCB1C55EE +:1025300099C3232657003C49232C07005CCB032872 +:1025400005038347080063951705C287850783C67F +:102550000700B3850741E38B16FF93D72500C20719 +:10256000C183231EF700050313070702638AAF0226 +:102570008327CE11DC4397E6010023A1F61EE39DDD +:10258000D7F983274E1297E6010023A9F61C69B7F5 +:102590008147231EF700050313070702E39AAFFCE8 +:1025A0001A94E31C06D225BB8147239EF600850EB4 +:1025B00093860602E39912F117EE0100130E4E08FE +:1025C00083274E10769493165400E38307D01DB3EF +:1025D0000147239EE700850E93870702E39712E5E4 +:1025E00097E701009387C7F503AE0700769493162B +:1025F000540083270E00E38607CCA1B58147239EB4 +:10260000F600850E93860602E39E12D783278E116D +:10261000769493165400E38107CCD1B583274E12EC +:1026200017E70100232CF712C1BD83270E1117E70E +:102630000100232BF710E1B98327CE002322FE00EF +:1026400011BD8326CE002322DE00B5B3797126D2D8 +:102650004AD04ECE52CC56CA5AC85EC606D622D4EE +:1026600097E90100938909EB17E90100130949FD76 +:1026700017EA0100130A8AEB97EA0100938ACAEC71 +:1026800097EB0100938B4BEB97E40100938404EBF1 +:10269000054B21A883A7090003244910850717E7E4 +:1026A00001002329F7E65D3011E89C40E5F7832718 +:1026B0000900E37CFBFEEFD07FD5C5BF737004300B +:1026C00083270A00B9E383270911C0471305440093 +:1026D000EFD01FEA83A70B00FD1717E70100232D9A +:1026E000F7E49C40FD1717E701002329F7E483274F +:1026F0000A0089C783A70A00FC4385E30858EF1046 +:10270000D0032285EF1070034DB703A70A0083A7FB +:102710000A007C4385077CC37DB703A70A0083A614 +:102720000A007C43FD177CC3FC42E9FB7360043064 +:10273000F1B797E701009387E7DD9C43DDE34111A3 +:1027400006C697E7010023AF07DC17E70100130770 +:10275000A7DF1C43B7A6A5A59386565A9C5B8C435E +:10276000639BD500D0436318B60094476395C600B9 +:10277000DC476388D70008430C4393854503EF206B +:10278000607397E601009386A6DA904217E701008E +:10279000130707EB11A89C42B9CB9C42FD1717E623 +:1027A00001002327F6D8904293172600B2978A0794 +:1027B000BA979C43EDD3904293172600B2978A07AD +:1027C000B305F700D441A107BA97D442D4C16383BB +:1027D000F602DC46B24017E701002327F7D6410195 +:1027E0008280854717E70100232EF7D2828073701D +:1027F000043001A0D1473306F602D442329754C3C5 +:10280000C9BF01114AC806CE22CC26CA4EC62A89A3 +:102810007370043097E40100938444D19C4017E422 +:102820000100130464D2D9E76314090003290400EA +:10283000930949004E85EFD0BFD38327890289C70A +:1028400013058901EFD0DFD2CE8517E5010013050E +:10285000A5F0EFD07FCD9C4081C71C40FC43ADE389 +:102860001C40638B27079C409DCF737004309C40B5 +:10287000C1EB97E701009387E7CC9843184325EB1A +:10288000FD5717E701002329F7C89C4089CF1C405A +:10289000FC4391CB184014407C43FD177CC3FC42A1 +:1028A00099E373600430F2406244D2444249B24931 +:1028B0000561828018401C407C4385077CC3ADB70E +:1028C000184014407C43FD177CC3FC42D1FB73606D +:1028D00004301C40E39927F99C40A1C397E701000D +:1028E000938747C39C43ADCB7370043001A09C43D6 +:1028F000DC47DC47DC4317E70100232FF7C071B743 +:1029000018401C407C4385077CC397E701009387F0 +:1029100067C3984318432DD7D9BF97E70100938722 +:10292000A7C117E701001307A7D19C43032787110D +:10293000631DF700F240624497E7010023A607C039 +:10294000D2444249B249056182806244F240D24495 +:102950004249B2490561F1BB6244F240D244424966 +:10296000B24905616FD09FAA3DC9411126C297E4C3 +:102970000100938464BD4AC02E898C4006C622C4DF +:10298000E105EFD0FFBB88401105EFD07FBEFD57BA +:10299000630AF90497E701009387C7B98043984019 +:1029A0009C434A9440C3636CF40497E70100938707 +:1029B00067B988438C409105EFD09FB897E7010035 +:1029C000938787B59C436376F40097E7010023A5BE +:1029D00087B4B2402244924402494101828073701C +:1029E000043001A08C402244B2409244024917E5D1 +:1029F0000100130565D6910541016FD0FFB297E73D +:102A000001009387E7B3884322448C40B24092444C +:102A10000249910541016FD0BFB211C997E701008A +:102A2000938747AF9C4399E77370043001A073709C +:102A3000043001A0411126C297E401009384C4B080 +:102A40009840AE878C40B7060080D58F06C622C45A +:102A50001CCFE1053284EFD03FAD88401105EFD0A7 +:102A60003FB1FD57630EF40097E70100938787ACF1 +:102A70008843B24092442295224441016F408045F0 +:102A80008C402244B240924417E501001305C5CCA6 +:102A9000910541016FD05FA925CD411126C297E470 +:102AA0000100938464AA4AC02E898C4006C622C4C1 +:102AB000E1053284EFD05FA788401105EFD05FAB0E +:102AC0008547630AF40497E701009387A7A680432C +:102AD00098409C434A9440C3636CF40497E7010018 +:102AE000938747A688438C409105EFD07FA597E751 +:102AF0000100938767A29C436376F40097E7010087 +:102B000023AC87A0B2402244924402494101828012 +:102B10007370043001A08C402244B24092440249B8 +:102B200017E50100130545C3910541016FD0DF9FF3 +:102B300097E701009387C7A0884322448C40B240A6 +:102B400092440249910541016FD09F9F411106C6F1 +:102B500022C426C25C45C04735CC93048401268537 +:102B6000EFD01FA197E701009387C79A9C43B5E771 +:102B7000930444002685EFD0BF9F97E70100938719 +:102B8000279B58549C4363F6E70097E7010023A175 +:102B9000E79A93172700BA978A0717E501001305EC +:102BA00025AAA6853E95EFD03F9897E70100938729 +:102BB000A7999C4358540145DC5763F8E7008547C3 +:102BC00017E701002320F7960545B24022449244BE +:102BD000410182807370043001A0A68517E50100D1 +:102BE000130505B5EFD05F94C9B797E70100938748 +:102BF00067929C4381E77370043001A0411106C6BF +:102C000022C426C2B70700804045CD8F1CC12DC409 +:102C1000EFD01F96930444002685EFD07F9597E769 +:102C200001009387E79058549C4363F6E70097E7C9 +:102C3000010023AFE78E93172700BA978A0717E59D +:102C400001001305E59F3E95A685EFD0FF8D97E720 +:102C500001009387678F9C4358540145DC5763F804 +:102C6000E700854717E70100232EF78A0545B240A4 +:102C700022449244410182807370043001A011CD3E +:102C800097E701009387C789984397E701009387E7 +:102C9000678A9C4318C15CC182807370043001A0B4 +:102CA00055C1D1CD411106C622C42A8773700430A4 +:102CB00097E801009388888783A7080091CB97E75E +:102CC00001009387678894439C43FC428507FCC2BC +:102CD00017E30100130303869C4103260300FD56FE +:102CE0000144638FD70217EE0100130E6E83032594 +:102CF0000E000328070054436305A80005446371D0 +:102D0000D6023308D6400544637CF80003250E0044 +:102D1000918F03260300B6979CC108C350C301449A +:102D200083A7080081CB97E701009387E7819C4345 +:102D3000FC4381EF2285B2402244410182807370BE +:102D4000043001A07370043001A0EF40E01EDDB735 +:102D5000854717D701002327F77C828019C1684572 +:102D600082800145828011C16CC5828097D70100A5 +:102D70009387877D8843828097D701009387077B5D +:102D80009C43054589CB97D701009387A778884353 +:102D9000133515000605828031CD011126CA97D45E +:102DA00001009384647A9C4006CE22CC4AC84EC669 +:102DB0005855DC576379F7021C4D63C707009C40E8 +:102DC000D457A947958F1CCD93172700BA97584918 +:102DD00017E901001309C9868A07CA97630DF7002E +:102DE0009C40DC575CD5F2406244D2444249B2492F +:102DF000056182808280930945002A844E85EFD048 +:102E00002FF79C4017D70100130787721843DC5730 +:102E10005CD46376F70017D70100232BF770139566 +:102E200027003E9562440A05F240D244CE854A9579 +:102E3000B249424905616FD02FEF19CD411106C645 +:102E400022C426C297D70100938707708043630787 +:102E5000A4007370043001A0014582807C4881E7A2 +:102E60007370043001A054543848FD177CC8638344 +:102E7000E60099C70145B240224492444101828054 +:102E8000930444002685EFD0AFEE3C4817D70100ED +:102E90001307076A144329471D8F5CD418CC63F6C7 +:102EA000F60017D701002325F7685147B387E702DB +:102EB00017D501001305C578A6853E95EFD0CFE65E +:102EC000054555BF7370043097D701009387076697 +:102ED0009C4391CB97D701009387076798439C4306 +:102EE0007C4385077CC3828097D701009387076462 +:102EF0009C438DC397D70100938707659843784318 +:102F000011CB984394437C43FD177CC3FC4299E367 +:102F100073600430828097D701009387E762904303 +:102F200094439843A947D456084E958F1CCF82806E +:102F300097D7010093874761984309C79443F84A9C +:102F40000507F8CA8843828079714AD006D622D410 +:102F500026D24ECE2A897370043097D40100938410 +:102F6000E45C9C4017D401001304045EBDE31C40E4 +:102F7000BC4F89E71C400547F8CFD1E19C4081C791 +:102F80001C40FC43F1EB737004309C408DEF1C40FF +:102F9000A84F11C5630409021C4023AC07041C4060 +:102FA00023AE07049C4081C71C40FC438DEBB2500C +:102FB000225492540259F2494561828018403C4F94 +:102FC000FD173CCFE9BF18401C407C4385077CC3FC +:102FD0007DBF18401C407C4385077CC349BF184017 +:102FE00014407C43FD177CC3FC42F1F37360043052 +:102FF000B250225492540259F249456182800840ED +:103000002EC61105EFD0CFD6B245FD576380F50629 +:1030100097D701009387075283A9070018409C4364 +:10302000AE992322370163ECF90497D70100938707 +:10303000675188430C409105EFD08FD097D701009E +:103040009387874D9C4363F6F90097D7010023A52A +:10305000374DEFD0AFBB1DB7184014407C43FD1770 +:103060007CC3FC428DF37360043031BF0C4017D534 +:1030700001001305656E9105EFD00FCBD9BF97D72F +:1030800001009387E74B88430C409105EFD04FCB6D +:10309000C9B779714AD04ECE06D622D426D252CCA8 +:1030A0002E89B2897370043097D401009384044848 +:1030B0009C4017D4010013042449F9EF18408947B4 +:1030C000784F630CF7001C401345F5FFB84F798D1E +:1030D000A8CF1C400547F8CFADE69C4081C71C40F7 +:1030E000FC43F5E3737004309C40B1E7638609004C +:1030F0001C40BC4F23A0F900184085470145784F7C +:10310000630BF7001C401349F9FF0545B84F3379AD +:10311000E90023AC27051C4023AE07049C4081C76F +:103120001C40FC43C1E3B250225492540259F2496C +:10313000624A4561828018401C407C4385077CC3FD +:1031400075B7084036C61105EFD08FC2B246FD579D +:103150006385F60897D701009387C73D03AA070048 +:1031600018409C43369A232247016363FA0897D795 +:1031700001009387273D88430C409105EFD04FBC59 +:1031800097D70100938747399C436370FA0697D716 +:10319000010023A3473991A818401C407C438507B0 +:1031A0007CC329BF184014407C43FD177CC3FC42FC +:1031B000BDFB73600430B250225492540259F2495C +:1031C000624A45618280184014407C43FD177CC3ED +:1031D000FC4289FB7360043031B70C4017D5010005 +:1031E000130585579105EFD02FB4EFD02FA2F5B579 +:1031F00097D701009387C73488430C409105EFD0DF +:103200002FB4E5B751C1411106C622C426C24AC037 +:103210007370043097D40100938444319C40B9EB1F +:1032200099C23C4D9CC2B2878946704D74CD2E87A1 +:103230002A846386D70663FCF6048D456387B7004E +:1032400091456395B7006300D60C38CC8547630F72 +:10325000F60405449C4081CB97D701009387C72E85 +:103260009C43FC43A9E32285B24022449244024994 +:103270004101828097D701009387072D98439C4393 +:103280007C4385077CC369BF7370043001A0854609 +:10329000E39ED7FA3C4D33E7B70038CD45BF3C4DF0 +:1032A00085073CCD65B7EF30304975BF1309440041 +:1032B0004A85EFD0EFAB17D70100130767275C549F +:1032C00018436376F70017D701002323F7265147E9 +:1032D000B387E70217D5010013058536CA853E95E9 +:1032E000EFD08FA41C5481C77370043001A097D70E +:1032F0000100938767259C435854DC57E3FBE7F4B0 +:10330000EFD0CF90B9B70144B1B739CD011122CC7C +:1033100026CA4AC84EC652C456C206CEBA89368A92 +:10332000B2842E892A84EFD04F9AAA8A63050A00B4 +:103330003C4C2320FA00784C89477CCC6389F4020A +:1033400063F4970C8D466387D40091466396D4004E +:10335000630AF70A232C24058547631EF7061C54CD +:1033600099CB7370043001A07370043001A03C4C01 +:1033700085073CCCD5B797D701009387A7199C4305 +:10338000ADEB930444002685EFD08F9E17D7010044 +:103390001307071A5C5418436376F70017D7010028 +:1033A0002328F7185147B387E70217D50100130503 +:1033B0002529A6853E95EFD02F9797D701009387B3 +:1033C000A7189C435854DC5763F7E700638509004E +:1033D000854723A0F90005445685EFD0AF8E22859E +:1033E000F2406244D2444249B249224A924A0561BB +:1033F00082809305840117D5010013056533EFD052 +:10340000AF9265BF0144C9BF8547E397F4F43C4CD4 +:1034100033E92701232C240581B74DC1011122CCAA +:1034200026CA2A844AC806CE4EC6AE84EFD0EF899B +:103430008947744C7CCC3C4C05472A8985073CCC99 +:103440006395E6061C5481C77370043001A097D7BA +:1034500001009387270C9C43ADE7930944004E85F8 +:10346000EFD00F9117D701001307870C5C54184356 +:103470006376F70017D70100232CF70A5147B3876B +:10348000E70217D501001305A51BCE853E95EFD0A9 +:10349000AF8997D701009387270B9C435854DC577B +:1034A00063F5E70099C085479CC06244F240D2446E +:1034B000B2494A85424905616FD0CF8073700430AC +:1034C00001A09305840117D5010013056526EFD0EF +:1034D000AF85C1B7AA8729C57370043017D701001B +:1034E0001307C70414439DE2F04F89460145631555 +:1034F000D60023AE070405451C4381CB97D70100B6 +:10350000938787049843784315E3828097D6010018 +:1035100093868603904294427442850674C2E9B74A +:1035200097D70100938747029C437DB79843944304 +:103530007C43FD177CC3FC42E9FB7360043082804E +:103540005D71A6C2CAC052DC56DA5AD85ED686C6AB +:10355000A2C44EDE62D466D26AD017D90100130924 +:10356000A9FF17DB0100130B6BFE97D401009384B6 +:1035700024FE97DA0100938AEAFD054AA54B8327CA +:103580000900984363010712DC4783A90700EFE0B5 +:10359000EFF6EFE0AFF783270B002A8C6362F50AA2 +:1035A00097D7010023A4A7FA01466370351B884012 +:1035B000B3858941EFD0FFBDEFE05F99630105124C +:1035C0008840814601462C00EFD00FEC4DD9A24730 +:1035D000E3C807FEC24983A7490189C7138549008B +:1035E000EFC01FF9EFE08FF283270B002A8C636D89 +:1035F000F508A24717D70100232A87F5E3E2FBFC71 +:10360000B317FA0013F70721631A071613F7770C9D +:103610006318071E93F70702C5D74E85EF00F01118 +:1036200045B7DC47C04783AC0700930944004E858B +:10363000EFC01FF45C50228582975C4C6384470B7B +:1036400083270900984371FF03A70A0097D601005A +:1036500023AE86EF97D6010023AEF6EE97D7010092 +:1036600023ACE7EEEFE09F8EA1BFDC47C04703AD80 +:103670000700930C44006685EFC09FEF5C502285E5 +:1036800082975C4C6386470983270900984371FF42 +:1036900003A70A0097D6010023AEF6EA97D70100E8 +:1036A00023ACE7EAB9B7EFE06FE5EFE02FE6832759 +:1036B0000B002A8CE366F5F883A70A00884081494D +:1036C0009043B385894117D70100232187E9133639 +:1036D0001600EFD01FACEFE07F87E31305EEEFC0DD +:1036E000FFD2F9BD1C4CCE85E69763E9FC049C40F3 +:1036F00089CF02CA66CC22CEEFF00FE8884081461F +:1037000001464C08EFD02F8805FD7370043001A0EE +:103710001C4CE685EA97636CFD049C4089CF02CA85 +:103720006ACC22CEEFF04FE58840814601464C0836 +:10373000EFD06F8531F97370043001A003250900C3 +:103740005CC000C8EFC0DFDFE5BDEFE03F8083274E +:103750000900DC47C047930C44006685EFC05FE179 +:103760005C4C638F47035C502285829791BD032593 +:1037700009005CC000C8EFC0BFDC39B7B24723AC5A +:10378000F900A5CFE29723A2F90023A83901938578 +:103790004900636FFC0403A50A00EFC07FDA0DB592 +:1037A0001C4C00C83387F90058C0636DEC02330C21 +:1037B0003C416363FC029C4089CF02CA4ECC22CEBE +:1037C000EFF08FDB8840814601464C08EFC0BFFB1D +:1037D00059F97370043001A003A50A00E685EFC013 +:1037E0003FD651B703250900E685EFC07FD5A5BFB9 +:1037F00003250900EFC0DFD4E1B37370043001A0EA +:10380000B24783A6890123A839013387D70023A2B1 +:10381000E9006364EC04B307FC4063EBD70483A7BF +:1038200049024E85829783A7C901E39B47D983A6A6 +:103830008901B2479840B69711CF02CA3ECC4ECE0E +:10384000EFF08FD38840814601464C08EFC0BFF3AC +:10385000E31805D67370043001A06374FC00E370B4 +:10386000F7FC0325090093854900EFC07FCD89BB94 +:1038700003A50A0093854900EFC09FCC91B3411185 +:1038800022C406C626C24AC017D40100130444CC81 +:10389000EFF04FE31C4095CBEFF00FE51C409DC3CC +:1038A000814801488147094781461306004097D562 +:1038B0000100938525B8170500001305A5C8EFD0B2 +:1038C0007F9A39E97370043001A017D901001309F8 +:1038D000E9E94A85EFC0BFC397D40100938444EA65 +:1038E0002685EFC0DFC20146B145114597D70100DB +:1038F00023A427C797D7010023AE97C4EFC01FDCCE +:1039000017D701002326A7C411CD97D501009385B1 +:10391000E5B1EFD0CFFC49B7B2402244924402490E +:10392000410182807370043001A0A5C9797156CA23 +:10393000AA8A1305C00222D426D24AD04ECE52CC37 +:1039400006D65AC85EC63A89B689328AAE84E9215B +:103950002A8405C9EFF00FD797D70100938747BF97 +:103960009C439DCFEFF04FD8130544002320540112 +:1039700004CC232E44012320340323222403EFC04C +:103980005FBA2285B250225492540259F249624AD7 +:10399000D24A424BB24B456182807370043001A021 +:1039A00097DB0100938B8BDC5E85EFC05FB617DB86 +:1039B0000100130BEBDC5A85EFC07FB50146B14522 +:1039C000114597D7010023A977B997D7010023A301 +:1039D00067B9EFC0BFCE17D70100232BA7B601C927 +:1039E00097D50100938585A4EFD06FEFA5BF7370C5 +:1039F000043001A039C5011122CC06CE26CA17D445 +:103A000001001304E4B4832804002A880145638577 +:103A10000802BA842EC2368732C442C6954663C5B0 +:103A2000B602EFF06FB58947630BF5020840814697 +:103A300001464C00EFC03FD5F2406244D2440561DC +:103A400082807370043001A04C0081463A8646851E +:103A5000EFD0AF88F2406244D244056182800840D2 +:103A6000814626864C00EFC01FD2F9B719C1084124 +:103A700082807370043001A001E57370043001A0EE +:103A8000411106C622C42A84EFF0CFC3484833341C +:103A9000A000EFF06FC52285B24022444101828030 +:103AA00001E57370043001A0411122C42A8406C6C6 +:103AB000EFF04FC10050EFF02FC3B24022852244F7 +:103AC0004101828001E57370043001A0411122C4DC +:103AD00026C22E84AA8406C6EFF0CFBE80D0224430 +:103AE000B240924441016FF02FC04111714522C490 +:103AF00006C61D262A8411C5232005001105EFC026 +:103B00001FA12285B24022444101828001CDB70726 +:103B100000FFED8F81C77370043001A099E57370C9 +:103B2000043001A07370043001A0011122CC4AC8F6 +:103B30004EC652C456C206CE26CABA893689B28A41 +:103B40002E842A8AEFF04FA311E563850900737074 +:103B5000043001A0EFE08F9A83240A00B377940029 +:103B60006316090295C763870A009347F4FFE58F40 +:103B70002320FA00EFE08FBDF240624426854249DF +:103B8000D244B249224A924A05618280E30DF4FC94 +:103B9000E38209FEB3355001E20563050900B7076A +:103BA0000004DD8D4E86C18D13054A00EFE0FFE66F +:103BB000EFE0CFB919E1EFC07F85EFF0CFB59317F4 +:103BC0006500AA8963D80700B7040001FD14B3F4A7 +:103BD00099005DB7EFF00FAF83290A00B377340186 +:103BE000631E090089CB63880A009347F4FFB3F78B +:103BF00037012320FA00EFF02FAFF9B7E31DF4FEF1 +:103C0000DDB701C9B70700FFED8F99C773700430A6 +:103C100001A07370043001A0411122C42A8406C699 +:103C200026C24AC02E89EFF0EFA904409345F9FF60 +:103C3000B3F795001CC0EFF02FABB24022442685AD +:103C40000249924441018280411122C42A8406C65D +:103C5000EFC0BF870040EFC0FF86B24022852244FC +:103C60004101828009C9370700FFB3F7E50099C712 +:103C70007370043001A07370043001A06F30802D88 +:103C8000B70700FFF18F81C77370043001A001E610 +:103C90007370043001A0011122CC4AC84EC652C430 +:103CA00006CE26CA3689AE892A8A3284EFF0CF8CB6 +:103CB00021E1630509007370043001A0EFE00F8477 +:103CC00083240A00CE855285B3E49900613FB3771F +:103CD00094006307F40683240A00EFE02FA7F24064 +:103CE000624426854249D244B249224A0561828013 +:103CF000EFE0CF8083240A00CE855285B3E43401FF +:103D00009537B3F78400638D8702E30609FCB70596 +:103D100000054A86C18D13054A00EFE01FD0EFE091 +:103D2000EFA219E1EFC08FEEEFF0EF9E9317650061 +:103D3000AA8463DF0700B7070001FD17FD8C45B7B4 +:103D400083270A001344F4FFE18F2320FA0071B7A0 +:103D5000EFF04F9783240A00B377940063978700AE +:103D60009347F4FFE58F2320FA00EFF0EF97E1B7D8 +:103D7000411122C406C62A8426C2EFD03FF85C4017 +:103D800085C308489304C4006316950015A008482D +:103D900063009502B7050002EFE03FE55C40E5FBFC +:103DA0002285612A2244B240924441016FE00F9A79 +:103DB0007370043001A009C9370700FFB3F7E500AD +:103DC00099C77370043001A07370043001A06F3084 +:103DD000601801C9B70700FFED8F99C773700430F1 +:103DE00001A07370043001A0411122C426C206C68E +:103DF0002A84AE84EFF00F8D184093C7F4FFF98F3B +:103E00001CC02244B240924441016FF0EF8D19C1B1 +:103E1000084D828001458280411122C406C62A8451 +:103E2000EFD0DFED97C70100938747739C43A1EB69 +:103E3000E56717D7010013072796F11797C601000A +:103E400023A4E67217C70100232EF77017D70100CD +:103E50002326F79497C701009387877017D701002F +:103E6000232CF792854717C701002321077017C736 +:103E700001002327076E17C701002321F76E19E8F9 +:103E8000EFE0CF8CEF10800201442285B240224443 +:103E9000410182801305840093773500C1EFE56707 +:103EA0001307F5FFE917E3EDE7FC97C601009386DA +:103EB000A66B9C4229A0984311C7BE86BA87D843F7 +:103EC000E36BA7FE17C7010013078769E38AE7FAC8 +:103ED000984341461384870098C2D843B306A7404D +:103EE0006378D6023388A7002322D800C8C383256D +:103EF000480097C601009386266711A0BA869842AB +:103F00005043E36DB6FE2320E80023A00601D8430A +:103F100097C70100938707699C43998F17C70100D2 +:103F20002322F768EFE08F8231DC2285B240224401 +:103F300041018280719911059DB729C9411122C49F +:103F400006C62A84EFD09FDB930584FF0326C4FFB7 +:103F500017C701001307476111A03E871C43D443D4 +:103F6000E3EDC6FE232CF4FE0CC397C70100938734 +:103F7000676398438327C4FF2244B240BA9717C7A8 +:103F800001002321F76241016FD05FFC828097C757 +:103F90000100938727618843828082803367B50060 +:103FA0000D8BAA871DE79308D6FFAA982A87AE86AD +:103FB0006371150303A8060011079106232E07FF5E +:103FC000E36A17FF9347F5FFC697F19B9107BE95EC +:103FD000AA972A9663F3C70A938645001388470079 +:103FE000B3B6D70033B8050133E7F50093C6160022 +:103FF000134818000D8BB3E6060113371700758FB1 +:10400000330EF6409386170029CF1337AE0031EBFD +:1040100013532E002E88BE8601478328080005070B +:10402000110823A016019106E36967FE1377CEFFFE +:10403000BA95BA976302EE0483C60500138717008A +:104040002380D700637AC70283C615001387270031 +:10405000A380D7006372C70203C725002381E7004E +:104060008280B307F640AE97850503C7F5FF850646 +:10407000238FE6FEE39AF5FE82808280B367C50057 +:104080008D8BB308C500E9C36371150FB307A0405A +:104090008D8B13873700954693F5F50F13081500A0 +:1040A0003383A8407D166373D70015476363E60C1E +:1040B000D5CF2300B5000547638DE700A300B50009 +:1040C0000D47130825006396E70013083500230108 +:1040D000B500139685004D8E13970501518F33035C +:1040E000F34093968501D98E13562300AA97014772 +:1040F00094C305079107E36DC7FE1377C3FFB307AA +:10410000E8006307E3062380B70013871700637C8A +:104110001703A380B7001387270063761703238153 +:10412000B7001387370063701703A381B7001387A5 +:104130004700637A17012382B7001387570063741F +:104140001701A382B700828093F5F50F13978500BE +:10415000D98D139705014D8FE37715FFAA87910736 +:1041600023AEE7FEE3ED17FF828082802A8895B7B1 +:104170008280AA8749BF834705002A8799C705051A +:1041800083470500EDFF198D82800145828005057A +:104190008347F5FF850503C7F5FF91C7E389E7FE70 +:1041A0003385E74082808147E5BFAA87850503C73D +:1041B000F5FF8507A38FE7FE75FB82808346050028 +:1041C000930700026397F600050583460500E38D1B +:1041D000F6FE938756FD93F7D70F85C7AA878145CB +:1041E00085CE01458507138606FD1317250083C676 +:1041F00007003A9506053295F5F699C13305A040BA +:104200008280938536FD8346150093B5150093078C +:104210001500E1FA0145D5B7014582809C41238014 +:10422000A7009C4185079CC1828097C601009386A8 +:1042300026349C42175703001307C75813861700EC +:10424000BA972380A70097C5010023A3C532A947C9 +:104250006308F500930700046305F60001458280BA +:1042600075DE370610F005078347F7FF2320F6F0C9 +:104270009C42FD1797C5010023ACF52EEDF70145D3 +:1042800082804D712322911423202115232A51135A +:10429000232481132326111423248114232E311364 +:1042A000232C4113232861132326711323229113F6 +:1042B0002320A113232EB111AA842E8932C6130CF8 +:1042C0005002A54A8347090063808703B9CB804029 +:1042D00011A0A1CB2300F40080400509050480C093 +:1042E00083470900E39787FF0346190093081900E5 +:1042F000C686130D0002FD597D5A0148130550056D +:104300009307D6FD93F7F70F138916006363F52A19 +:1043100017C70100130707CF8A07BA979C43BA97BC +:1043200082878320C1140324811483244114032928 +:1043300001148329C113032A8113832A4113032BF8 +:104340000113832BC112032C8112832C4112032DE4 +:104350000112832DC11171618280A14C02C4854775 +:1043600063D50715B2478040938B770093F68BFF98 +:1043700003AB060083A94600938786003EC6668687 +:1043800081465A85CE85EF1090382AC881476385CB +:104390003723930D4101854B668681465A85CE852C +:1043A000EF101001814666862A8BAE89EF103036F9 +:1043B000A24723A0AD0093861B00910D63843701B3 +:1043C000B68BD9BFE37E9BFF63DD4601A2872380C6 +:1043D000A70180407D1A930714009CC03E84E3C867 +:1043E00046FF93962B001C08BE9631A8938777054D +:1043F0002300F4008040FD1B93871B00050480C050 +:10440000F116E351F0EC9C42E3E2FAFE93870703D6 +:10441000C5B79C409306000332472380D7009C40D9 +:1044200093064700C14C1386170090C013068007FF +:10443000A380C700804002C4BA87050480C003ABD4 +:104440000700814936C625BFB24783AC0700938B6E +:10445000470063840C1C635F40199307D002631903 +:10446000FD1683C70C0085C363DC09189440850CD6 +:104470007D1A2380F6008040050480C083C70C00AD +:10448000E5F7635D40018040930700022300F400DC +:1044900080407D1A050480C0E31A0AFE5EC61DB581 +:1044A00002C48547A94CE3CF07EBB247804093860F +:1044B000470071B703C61600CA8699B59C4093069B +:1044C00050022380D7008040050480C0E5BBC14C6A +:1044D00002C471B5B24703C61600CA8683A9070095 +:1044E00091073EC6E35E0AE04E8AFD5911BD03C640 +:1044F0001600130DD002CA8621B59347FAFFFD8737 +:1045000003C61600337AFA00CA86DDBB03C616005E +:10451000130D0003CA86EDB383C51600930906FD8B +:10452000CA86938705FD2E86E3EEFAFA9397290053 +:10453000BE9985068609AE9983C50600938909FD53 +:10454000938705FD2E86E3F3FAFE69BF32479C4050 +:10455000144311073AC62380D7008040050480C069 +:1045600095B3854763D3070BB24793867700E19AEB +:10457000938786003EC683A946009C4263DC090AF5 +:1045800094401306D002B307F0402380C600804059 +:10459000B336F000B3093041050480C03E8BB389C7 +:1045A000D940A94C02C4E1BB03C616000508CA865F +:1045B00081BB9C40468921B7E37D9BDD8547814BCC +:1045C0008546E3C547E131BD97CC0100938C0CE7EC +:1045D000CE856685EF10A023330AAA40635C4001B4 +:1045E00080402300A40180407D1A050480C0E31AA6 +:1045F0000AFE014A83C70C00E38207EAE3C809E622 +:10460000FD197D57E394E9E6ADBDB24793864700B7 +:104610009C4336C693D9F74195B76356400193073B +:10462000D002E313FDFA97CC0100938C2CE19307A1 +:1046300080021DBD3E8BA94C02C4804089B34D71E0 +:10464000232E3113B70900409387F9FF23248114E7 +:1046500023229114232C4113232A511323261114AE +:10466000232021152328611323267113232481136A +:10467000232291132320A113232EB1112A8A2EC4A1 +:1046800017C401001304C4EE975A0300938A8A13D7 +:1046900097C40100938484AC3EC69305500229451B +:1046A00013080004B70610F083470A006386B704B6 +:1046B000C1C71840050A13061700569797C801008E +:1046C00023A8C8EA2300F7006382A706E31E06FDBD +:1046D000175703001307070F05078347F7FF23A0AA +:1046E000F6F01C40FD1717C601002323F6E8EDF78E +:1046F00083470A00E39EB7FA83461A0013051A009F +:104700002A87930B00027D5B7D5C01489305500571 +:10471000A5489387D6FD93F7F70F130A170063E7B1 +:10472000F5348A07A6979C43A697828717570300FC +:104730001307470955F28DBF8320C1140324811448 +:1047400083244114032901148329C113032A8113EB +:10475000832A4113032B0113832BC112032C8112D3 +:10476000832C4112032D0112832DC11171618280AE +:10477000A14C014D854763DB0719A2479D07E19BCB +:1047800083AD070003AB4700138787003AC46686F2 +:1047900081466E85DA85EF1080772AC863066D350D +:1047A000130941018549668681466E85DA85EF10D9 +:1047B000204066868146AA8D2E8BEF1040752320FF +:1047C000A90085091109E3106DFFE3FE9DFD1306A5 +:1047D0000004B70610F063D189031C40138717004B +:1047E000D69797C5010023A5E5D823807701630CF0 +:1047F000C7047D1CE3C389FFB2476800A548B386A0 +:10480000F9008A061C08BE962948130300043706DF +:1048100010F0E384A6E89C429305000363F4F800DB +:10482000930570051840AE9793051700569717CE5D +:104830000100232FBED22300F7006380072B638C77 +:104840006526F116F9B7175703001307A7F70507F1 +:104850008347F7FF23A0F6F01C40FD1797C5010022 +:1048600023A8F5D0EDF77D1C71B71C40130600049A +:104870001387170097C5010023ACE5CEB386FA0075 +:10488000930500032380B6006300C73E890797C6DF +:10489000010023AFF6CC5697930680072300D7007C +:1048A00017570300130707F2B70610F0639EC700FF +:1048B00005078347F7FF23A0F6F01C40FD1717C636 +:1048C00001002327F6CAEDF7A247C14C014D91071D +:1048D0002247014B832D07003EC455BDA24783AC40 +:1048E000070013894700638F0C3A635480219307B4 +:1048F000D002639EFB2E03C70C00BA85631007200D +:1049000025AC8547A94C014DE3C907E7A2479107AC +:10491000C1B7A24783461700528703AB0700910730 +:104920003EC4E3580CDE5A8C7D5BE5B3834617002A +:10493000930BD0025287F1BB9347FCFFFD87834660 +:104940001700337CFC005287E9B383461700930BB2 +:10495000000352877DBB03461700138B06FD528769 +:10496000930706FDB286E3EEF8FA93172B00DA9769 +:1049700005078607B29703460700138B07FD9307C9 +:1049800006FDB286E3F3F8FE69BFA2451C40294646 +:10499000984193861700D69717C50100232AD5BCE6 +:1049A0002380E700138945006301C72A93070004A9 +:1049B0006387F6204AC4D5B1854763DF0729A2473C +:1049C00013877700619B930787003EC4032B470042 +:1049D0001C43635B0B30184013060004930617005A +:1049E00097C5010023A6D5B856979305D00223009A +:1049F000B7006388C62CB307F0403337F000330BA1 +:104A00006041BE8D330BEB40A94C014D49B3834649 +:104A1000170005085287F5B9834617005287D5B9A4 +:104A20001C40930600041387170017C601002321BA +:104A3000E6B4D697130650022380C700E31FD7C4FD +:104A400017570300130707D8B70610F00507834769 +:104A5000F7FF23A0F6F01C40FD1717C6010023291D +:104A6000F6B0EDF71DB9C14C014D29B31C409306BA +:104A700000042A8A1387170017C60100232AE6AE0E +:104A8000D697130650022380C700E318D7C01757E4 +:104A90000300130727D3B70610F005078347F7FF76 +:104AA00023A0F6F01C40FD1717C601002322F6AC28 +:104AB000EDF72A8ADDB6175703001307A7D00507BD +:104AC0008347F7FF2320F6F01C40FD1797C5010030 +:104AD00023A0F5AAEDF7F1162DBB17570300130716 +:104AE00067CEF1FDF11635B3E3FC9DCB8549C5B129 +:104AF000014C03C70C00BA85E30E07EAFD582945AF +:104B000013080004B70610F063570B0A1C40138605 +:104B10001700D69717C30100232CC3A42380E700F6 +:104B20006384A50663040609850C03C70C007D1C7D +:104B3000BA8579FBE35080E91C4093050002130617 +:104B40000004B70610F021A07D1CE3050CE61387D6 +:104B50001700D6972380B70017C50100232AE5A0C8 +:104B6000BA87E313C7FE175703001307A7C5050746 +:104B70008347F7FF23A0F6F01C40FD1717C501007F +:104B80002328F59EEDF7C9B717570300130787C30E +:104B900041DE05078347F7FF23A0F6F01C40FD1711 +:104BA00017C601002326F69CEDF7BDBF175703007B +:104BB000130747C1F9BF7D1BE31A1BF5A5BF1757A4 +:104BC0000300130727C0B70610F005078347F7FF58 +:104BD00023A0F6F01C40FD1717C60100232AF69803 +:104BE000EDF74AC45DBC97CC0100938C2C85DA8527 +:104BF0006685EF00D041330CAC40E35C80EF1C4095 +:104C000013060004B70610F021A07D1CE3020CEE91 +:104C100013871700D6972380770197C5010023A932 +:104C2000E594BA87E313C7FE17570300130787B944 +:104C300005078347F7FF23A0F6F01C40FD1797C533 +:104C4000010023A7F592EDF7C9B717570300130723 +:104C500067B7B5FA4AC491B4A247138747009C438B +:104C60003AC413DBF741B5B317570300130787B5F1 +:104C7000B70610F005078347F7FF23A0F6F01C40A6 +:104C8000FD1717C601002325F68EEDF7854717C7D8 +:104C90000100232FF78C9307800717570300230386 +:104CA000F7B21DB1635680019307D002E39DFBF27A +:104CB00097BC0100938C8C781307800293058002C7 +:104CC00035BD975603009386E6AF370610F085068C +:104CD00003C7F6FF2320E6F018407D1797C50100B3 +:104CE00023A8E5886DF701BBBE8DA94C014D45B4E5 +:104CF000B70710F023A0A7F201A0397113034102F6 +:104D00002ED29A8506CE32D436D63AD83EDA42DC56 +:104D100046DE1AC62D32F2400145216182805D7166 +:104D20001303810322D42AC632DC2A841A8668003F +:104D300006D6BEC236DEBAC0C2C4C6C61ACEEFF0B0 +:104D40004FD4B247238007003245B250018D225420 +:104D500061618280B70710F083A647F403A607F4C9 +:104D600003A747F4E31AD7FE8566938606FAB29640 +:104D700033B6C600B305E60023A4D7F423A6B7F4E0 +:104D80008280B70710F003A747F403A607F483A6B1 +:104D900047F4E31AD7FE8566938606FAB29633B6D1 +:104DA000C60023A4D7F4B305E60023A6B7F49307FF +:104DB000000873A047308280411122C406C697B70D +:104DC00001009387677B17B401001304A47A98430A +:104DD0001C401306F07C930647069387470697B559 +:104DE000010023ABD57897B5010023A5F57863467C +:104DF000D600B2402244410182801307478997B709 +:104E0000010023ABE776EF00300A8547631FF5000A +:104E1000184085679387F7760145E3DCE7FCC93DD9 +:104E20002244B24041016FC07FEC17B50100130569 +:104E30006561E13509456D3D2244B24041016FC0D5 +:104E4000FFEA17B501001305A56145BD17B50100BF +:104E5000130585615DB5411122C426C206C685646D +:104E600017B401001304C460938784380100FD1750 +:104E7000F5FF22855935CDBF01456F00006E411108 +:104E800006C6EF007002054781476316E500B24091 +:104E90003E854101828017B501001305A55AEFF048 +:104EA000DFE5B24089473E8541018280737004305E +:104EB00001A082807370043001A05D7197B701007A +:104EC0009387C76B4EDE83A9070097B701009387CE +:104ED000276BCAC003A9070097B701009387876AA9 +:104EE000A6C284434EC64AC826CAB247C245A2C417 +:104EF00052445AD82A8B3E8586C652DC56DA5ED694 +:104F0000EF102036A285EF1030202ACE814A17BA42 +:104F10000100130A6A67B70B00804EC64AC826CA4A +:104F20003245C2455244EF10C033A285EF10D01D68 +:104F30002ACC6245F245EF10F04093050000EF10D7 +:104F4000D013634905026245F245EF10B03F832557 +:104F50000A00EF10B0093325A00019E9E39F0AFA0F +:104F600083570B008507C207C1832310FB0075B769 +:104F7000854A65B76245F24533C5AB00EF10903CFA +:104F800083250A00EF1090063325A000F9B75D7164 +:104F900097B701009387875F4EDE83A9070097B715 +:104FA00001009387E75ECAC003A9070097B7010015 +:104FB0009387475EA6C284434EC64AC826CAB247F4 +:104FC000C245A2C452445AD82A8B3E8586C652DCBA +:104FD00056DA5ED6EF10805BA285EF10F0122ACE73 +:104FE000814A17BA0100130A2A5AB70B00804EC62D +:104FF0004AC826CA3245C2455244EF102059A285FC +:10500000EF1090102ACC6245F245EF10B0339305B3 +:105010000000EF109006634905026245F245EF106B +:10502000703283250A00EF10607C3325A00019E957 +:10503000E39F0AFA83570B008507C207C183231039 +:10504000FB0075B7854A65B76245F24533C5AB00CD +:10505000EF10502F83250A00EF1040793325A00070 +:10506000F9B71D712AC613058002A2CCA6CACEC606 +:10507000D2C4D6C2DAC05EDE62DC66DA6AD86ED628 +:1050800086CECAC8EFE05FD997B701009387C750B3 +:1050900084432A8402C4A68D268DA68C268CA68BDA +:1050A000268BA68A268AA689930700003EC83ECA98 +:1050B0003ECE7245A685EF10300508C072454249C4 +:1050C000EE85EF107004CA85EF10A0192AC872454A +:1050D000EA85EF10700348C072454249E685EF103B +:1050E000B002CA85EF10E0172AC87245E285EF10BA +:1050F000B00108C472454249DE85EF10F000CA8550 +:10510000EF1020162AC87245DA85EF10E07F48C4F8 +:1051100072454249D685EF10207FCA85EF10601492 +:105120002AC87245D285EF10207E08C872454249D0 +:10513000CE85EF10607DCA85EF10A01297B70100F1 +:10514000938787452AC872458C43EF10E07B97B759 +:1051500001009387674448C872458C434249EF1069 +:10516000A07ACA85EF10E00F97B701009387C74276 +:105170002AC872458C43EF10207997B701009387B6 +:10518000A74108CC72458C434249EF10E077CA85AD +:10519000EF10200D97B70100938707402AC872458A +:1051A0008C43EF10607697B701009387E73E48CCB9 +:1051B00072458C434249EF102075CA85EF10600A92 +:1051C00097B701009387473D2AC872458C43EF107B +:1051D000A07397B701009387273C08D08C43724592 +:1051E0004249EF106072CA85EF10A00797B701001F +:1051F0009387873A2AC872458C43EF10E07097B7BF +:1052000001009387673948D072458C434249EF10BB +:10521000A06FCA85EF10E0042AC80840D245EF10FD +:1052200040042ACA4840D245EF10A0032ACA0844C5 +:10523000D245EF1000032ACA4844D245EF1060025D +:105240002ACA0848D245EF10C0012ACA4848D245A8 +:10525000EF1020012ACA084CD245EF1080002ACA5C +:10526000484CD245EF00F07F2ACA0850D245EF00E3 +:10527000507F2ACA4850D245EF00B07E2ACA424524 +:10528000D245EF10300C2ACC624593050000EF1098 +:10529000C05E634C050297B701009387E72E624515 +:1052A0008C43EF10A0543325A00009EDA247E39DE5 +:1052B00007DE3247835707008507C207C1832310E3 +:1052C000F700DDB385473EC4C5B397B701009387A8 +:1052D000E72C62458C43EF10405A7D81F9B71D7170 +:1052E0002AC613058002A2CCA6CACEC6D2C4D6C294 +:1052F000DAC05EDE62DC66DA6AD86ED686CECAC8EE +:10530000EFE09FB197B701009387872984432A84F0 +:1053100002C4A68D268DA68C268CA68B268BA68AEB +:10532000268AA689930700003EC83ECA3ECE724533 +:10533000A685EF00107308C072454249EE85EF0064 +:105340005072CA85EF00F0712AC87245EA85EF00F5 +:10535000507148C072454249E685EF009070CA8599 +:10536000EF0030702AC87245E285EF00906F08C4E4 +:1053700072454249DE85EF00D06ECA85EF00706E3F +:105380002AC87245DA85EF00D06D48C4724542499B +:10539000D685EF00106DCA85EF00B06C2AC8724543 +:1053A000D285EF00106C08C872454249CE85EF00E7 +:1053B000506BCA85EF00F06A97B701009387471ECC +:1053C0002AC872458C43EF00D06997B701009387D4 +:1053D000271D48C872458C434249EF009068CA8532 +:1053E000EF00306897B701009387871B2AC8724582 +:1053F0008C43EF00106797B701009387671A08CCBA +:1054000072458C434249EF00D065CA85EF00706554 +:1054100097B701009387C7182AC872458C43EF00DD +:10542000506497B701009387A71748CC72458C4307 +:105430004249EF001063CA85EF00B06297B70100E0 +:10544000938707162AC872458C43EF00906197B77F +:1054500001009387E71408D08C4372454249EF005E +:105460005060CA85EF00F05F97B70100938747133C +:105470002AC872458C43EF00D05E97B7010093872E +:10548000271248D072458C434249EF00905DCA858F +:10549000EF00305D2AC80840D245EF00905C2ACA70 +:1054A0004840D245EF00F05B2ACA0844D245EF00DD +:1054B000505B2ACA4844D245EF00B05A2ACA08486D +:1054C000D245EF00105A2ACA4848D245EF00705919 +:1054D0002ACA084CD245EF00D0582ACA484CD245B7 +:1054E000EF0030582ACA0850D245EF0090572ACA18 +:1054F0004850D245EF00F0562ACA4245D245EF1037 +:1055000060642ACC624593050000EF100037634CBD +:10551000050297B701009387270762458C43EF1078 +:10552000E02C3325A00009EDA247E39D07DE3247BA +:10553000835707008507C207C1832310F700DDB337 +:1055400085473EC4C5B397B70100938727056245D9 +:105550008C43EF1080327D81F9B7411122C42A8734 +:105560002A8481480148814797460300938686290B +:105570001306004097B501009385C5F0170500009C +:105580001305E59306C6EFB0FFCD22878148014899 +:10559000814797460300938606271306004097B578 +:1055A00001009385A5EE170500001305859EEFB059 +:1055B0007FCB228781480148814797460300938625 +:1055C000A6241306004097B501009385A5EC1705A6 +:1055D0000000130505D1EFB0FFC8228781480148BC +:1055E000814797460300938646221306004097B5ED +:1055F00001009385A5EA170500001305C5A6EFB0C5 +:105600007FC62287814801488147974603009386D9 +:10561000E61F1306004097B501009385A5E817051E +:1056200000001305C589EFB0FFC3228781480148F8 +:105630008147974603009386861D1306004097B561 +:1056400001009385A5E61705000013058594EFB0CA +:105650007FC122878148014881479746030093868E +:10566000261B1306004097B501009385A5E4170596 +:105670000000130505C7EFB0FFBE22872244B240E9 +:105680008148014881479746030093868618130690 +:10569000004097B50100938565E2170500001305EA +:1056A000859C41016FB01FBC174803000358881543 +:1056B0001747030003572716174E0300035E8E1487 +:1056C0009746030083D626149747030083D7871491 +:1056D0001743030003532313174503000355C51352 +:1056E0001746030003564612158F9748030083D8C8 +:1056F000A8119745030083D545129746030083D62A +:10570000C610B3870741118D3337E00017480300F7 +:105710000358A80F1746030003564610974F03007F +:1057200083DFCF0E3307E040958DB337F00033357C +:10573000A000974E030083DE6E0D9746030083D6CC +:10574000060E174F0300035F8F0CF98F3305A0403F +:105750003306F641B335B000974F030083DF2F0BBC +:10576000174703000357C70B9743030083D3430A2C +:10577000E98FB305B040B386E6413336C000174F1A +:105780000300035FEF08174503000355850997429F +:10579000030083D20208ED8F3306C0403307774001 +:1057A000B336D000B306D040F18F330555403337C0 +:1057B000E000F58F3307E0403335A000F98F330563 +:1057C000A040174703000357C704974603002393DD +:1057D000C605974603002390660497460300239D61 +:1057E000160397460300239A060397460300239760 +:1057F000D603974603002394F603974603002391AC +:10580000E60397460300239EE6007D8D8280AA95DD +:10581000AA876385B70003C7070001E73385A74060 +:1058200082808507FDB7814863DA05003305A04013 +:10583000B337A000B305B0409D8DFD5863D9060075 +:105840003306C040B337C000B306D0409D8E3288C7 +:105850003683AA872E87639F061C97B6010093861E +:10586000E6AC63F1C50C416E6377C60B130EF00F07 +:105870006373CE002143335E6600F29603CE0600CA +:105880001A9E130300023303C341630C0300B39554 +:105890006500335EC501331866003367BE00B31779 +:1058A0006500135E0801B355C70313150801418154 +:1058B00093D607013376C703B305B5024206D18EEE +:1058C00063F8B600C29663E5060163F3B600C296BC +:1058D0008D8E33F7C603C207C183B3D6C603B306A2 +:1058E000D50213150701C98F63F8D700C29763E586 +:1058F000070163F3D700C297958F33D567008145C1 +:10590000638A0800B307A0403337F000B305B04006 +:105910003E85998D8280370E00014143E36DC6F5C7 +:10592000614391BF01E605483358680241676373DC +:10593000E8081307F00F637307012143335768002A +:10594000BA9603C606001A96130300023303C34037 +:1059500063190306B3850541935608011315080121 +:10596000418113D6070133F7D502B3D5D5024207DB +:10597000518FB305B5026378B7004297636507019D +:105980006373B7004297B305B74033F7D502C20738 +:10599000C183B3D5D502B305B50213150701C98F6D +:1059A00063F8B700C29763E5070163F3B700C297D6 +:1059B0008D8FA1B7370700014143E361E8F86143E8 +:1059C000B5BF3318680033DEC500935E0801335657 +:1059D000C500B31765003355DE03B39565004D8EE2 +:1059E00093150801C181935606013377DE03338591 +:1059F000A5024207558F6378A700429763650701A8 +:105A00006373A7004297B306A74033F7D603420655 +:105A10004182B3D6D6034207B386D502B365C70029 +:105A200063F8D500C29563E5050163F3D500C2951F +:105A3000958D1DB7E3E6D5EC416863F50605930E39 +:105A4000F00F33B8DE000E0833DE060117B3010095 +:105A50001303C38D7293834E0300130E0002C29E84 +:105A6000330EDE4163170E0263E4B6006369C500BE +:105A7000B307C540958D3335F5003387A5403E8586 +:105A8000BA85BDBD370300014148E3EF66FA6148BE +:105A900065BF3357D601B396C601D98EB357D5012A +:105AA00033D7D501B395C501CD8F93D50601337397 +:105AB000B702139F0601135F0F0113D807013316B6 +:105AC000C6013315C5013357B702420333680301DA +:105AD000B30FEF023A83637CF80136981303F7FFA4 +:105AE0006367D8006375F8011303E7FF369833083E +:105AF000F841B37FB8023358B802C20FB3050F03A1 +:105B0000139F0701135F0F0133EFEF014287637C9F +:105B1000BF00369F1307F8FF6367DF006375BF00A0 +:105B20001307E8FF369F4203C16F3363E300938797 +:105B3000FFFF13580601330FBF40B375F300135333 +:105B40000301F18F3387F502B307F302B385050331 +:105B500033030303BE95135807012E986373F800AF +:105B60007E93C167FD17935508013378F80042080A +:105B70007D8F9A9542976366BF00631BBF00637970 +:105B8000E5003306C7403337C700958D998D3287BE +:105B90003307E5403335E500B305BF40898DB39742 +:105BA000D5013357C70133E5E700B3D5C50189BB3C +:105BB000AE87328836872A836396062097A801002D +:105BC0009388C87663FEC50A41676374E60A1307C3 +:105BD000F00F3337C7000E07B356E600B69883C6FA +:105BE0000800369793060002998E99CAB397D7009A +:105BF0003357E5003318D600B365F7003313D500EB +:105C000013550801B3D7A502131608014182935614 +:105C1000030133F7A502B305F6024207D98E3E878A +:105C200063FCB600C2961387F7FF63E7060163F5CE +:105C3000B6001387E7FFC2968D8EB3F7A602420324 +:105C400013530301B3D6A602C20733E36700B305BB +:105C5000D6023685637BB30042931385F6FF6366F5 +:105C600003016374B3001385E6FF4207498F814542 +:105C70004DA8B70600014147E360D6F66147A9BFCA +:105C800001E6854633D8C602C166637ED80893060E +:105C9000F00F63F306012147B356E800B69883C6B8 +:105CA0000800BA9613070002158F49E7B38707412A +:105CB0008545135608019318080193D80801935697 +:105CC000030133F7C702B3D7C7024207D98E338522 +:105CD000F8023E8763FCA600C2961387F7FF63E7CE +:105CE000060163F5A6001387E7FFC296898EB3F716 +:105CF000C602420313530301B3D6C602C20733E3FD +:105D00006700B388D8023685637B130142931385FD +:105D1000F6FF63660301637413011385E6FF420710 +:105D2000498F3A858280B70600014147E366D8F67D +:105D300061479DB73318E800B3D5D7003313E500AA +:105D4000B356D50013550801B397E70033F7A50202 +:105D5000B3E8F60093170801C18313D60801B3D541 +:105D6000A5024207518FB386B7022E86637CD70007 +:105D700042971386F5FF636707016375D7001386A3 +:105D8000E5FF4297B306D74033F7A602C20893D87F +:105D90000801B3D6A6024207B385D702B36717013D +:105DA000368763FCB700C2971387F6FF63E70701E6 +:105DB00063F5B7001387E6FFC2978D8F9315060131 +:105DC000D98DC5BD63E2D514416763FEE6021308B1 +:105DD000F00F3337D8000E0733D8E60097A501003F +:105DE0009385C554C29503C80500930500023A98EF +:105DF000B385054185E10547E3E5F6F23335C50096 +:105E00001347150039BFB70500014147E3E6B6FC6B +:105E10006147D9B733570601B396B600D98E93DEE2 +:105E2000060133D70701B378D703B397B7003358C8 +:105E300005013363F80093970601C18313580301EA +:105E40003316B6003357D703C20833E80801338F3F +:105E5000E7023A8E637CE8013698130EF7FF63671A +:105E6000D8006375E801130EE7FF36983308E84160 +:105E7000B378D8033358D803C208B38E07039317F7 +:105E80000301C183B3E7F800428763FCD701B697EB +:105E90001307F8FF63E7D70063F5D7011307E8FF9F +:105EA000B697420EB387D741C16E3367EE001388B1 +:105EB000FEFF3373070193580701337806014182CF +:105EC000330E03033388080393560E013303C302D2 +:105ED00042939A96B388C80263F30601F69813D6E4 +:105EE0000601B29863E01703E39317D9C167FD1762 +:105EF000FD8EC206337EFE003315B500F296814555 +:105F0000E371D5E27D17A5B38145014719BDB2887C +:105F10003687AA872E886398061C97A60100938669 +:105F2000E64063F8C50A4163637E66081303F00F19 +:105F30006373C30021473353E6009A9603CE0600ED +:105F4000130300023A9E3303C341630C0300B3956D +:105F50006500335EC501B31866003368BE00B31731 +:105F6000650013D608013377C802139508014181F3 +:105F700093D607013358C8024207D98E3308050368 +:105F800063F80601C69663E5160163F30601C6963B +:105F9000B386064133F7C602C207C183B3D6C60231 +:105FA000B306D50213150701C98F63F8D700C6974A +:105FB00063E5170163F3D700C697958F33D5670064 +:105FC00081458280370300014147E36666F66147F9 +:105FD0009DB701E60546B3581603416663F3C8084A +:105FE0001306F00F63731601214733D6E800B2960B +:105FF00003CE0600130300023A9E3303C341631924 +:106000000306B385154113D7080113950801418193 +:1060100013D60701B3F6E502B3D5E502C206D18E69 +:10602000B305B50263F8B600C69663E5160163F3DF +:10603000B600C696B385B640B3F6E502C207C18383 +:10604000B3D5E502B305B50213950601C98F63F810 +:10605000B700C69763E5170163F3B700C6978D8F46 +:10606000B1BF370600014147E3E1C8F86147B5BF5A +:10607000B398680033D7C501B3176500335EC50117 +:1060800013D50801B376A702B3956500336EBE0041 +:1060900093950801C18113560E013357A702C2061A +:1060A000D18E3387E50263F8E600C69663E51601F4 +:1060B00063F3E600C6963386E640B376A602420E48 +:1060C000135E0E013356A602C2063386C502B3E53F +:1060D000C60163F8C500C69563E5150163F3C50005 +:1060E000C695918D0DB7E3EED5EC416763F5E604F7 +:1060F000930EF00F33B7DE000E0733D3E60097A8F8 +:1061000001009388A8229A9883CE0800130E0002FB +:10611000BA9E330EDE4163170E0263E4B600636974 +:10612000C500B307C540958D3335F5003388A540CC +:106130003E85C28579B5B70800014147E3EF16FBFC +:10614000614765BF3357D601B396C601D98EB357A1 +:10615000D50133D7D501B395C501CD8F93D50601B0 +:106160003373B702139F0601135F0F0193D8070122 +:106170003316C6013315C5013357B7024203B3685E +:1061800013013308EF023A8363FC0801B698130346 +:10619000F7FF63E7D80063F508011303E7FFB6983C +:1061A000B3880841B3FFB802B3D8B802C20FB30531 +:1061B0001F03139F0701135F0F0133EFEF014687A2 +:1061C000637CBF00369F1387F8FF6367DF0063754A +:1061D000BF001387E8FF369F4203C1683363E300C3 +:1061E0009387F8FF13580601330FBF40B375F300D0 +:1061F00013530301F18F3387F502B307F302B3851D +:10620000050333030303BE95135807012E986373E8 +:10621000F8004693C167FD17935508013378F800DD +:1062200042087D8F9A9542976366BF00631BBF004B +:106230006379E5003306C7403337C700958D998DE4 +:1062400032873307E5403335E500B305BF40898D1C +:10625000B397D5013357C70133E5E700B3D5C5017F +:106260008DB3B70780004111FD1733F7A70022C493 +:106270004AC0135475011359F50113D57501ED8FFB +:106280001374F40F1375F50F06C626C2FD8113169D +:1062900037008E073307A440631AB9126350E00831 +:1062A00039E581EB9307F00F630FF402B287228583 +:1062B00061A07D170DE7B297228513975700635DA4 +:1062C000070605051307F00F630DE5243707007E69 +:1062D00093F617007D178583F98FD58FB1A89306A4 +:1062E000F00F631DD400B2871305F00FB1A0930621 +:1062F000F00FE30AD4FEB7060004D58FED4663D451 +:10630000E60085474DBF93060002998EB3D5E7009E +:10631000B397D700B337F000CD8F71BF25C705E81D +:1063200001CEFD566314D700B29741BF9306F00F1C +:106330001347F7FF6316D50213F777006304071EB0 +:1063400013F7F7009146630FD71C9107E1AA930654 +:10635000F00FE303D5FEB70600043307E040558E87 +:10636000ED4663D4E600054721A893060002B35525 +:10637000E6003387E6403317E6003337E0004D8F01 +:10638000BA9725BF130514009376F50F05476346AA +:10639000D70205E001454DD263830718B2971397E2 +:1063A0005700E35B07F8370700FC7D17F98F0545B9 +:1063B00061B71DDA639607103DB71307F00F63024C +:1063C000E5163307F60093571700BDB76351E00693 +:1063D0001DE9E38907EC7D1711EFB307F64022852D +:1063E00013975700E35A07F4B7040004FD14FD8C1B +:1063F0002A84F5A09306F00FE307D4EEED4663DCA4 +:10640000E6008547D9BF9306F00FE30ED4ECB7063C +:106410000004D58FE5B793060002B3D5E7003387B4 +:10642000E640B397E700B337F000CD8F7DB731CFAB +:1064300005E42E8911D2FD566315D700918F2E8960 +:1064400045B79306F00F1347F7FFE307D5EEED4688 +:1064500063DFE600054705A89306F00F2E89E30DDC +:10646000D5ECB70600043307E040558ECDB7930650 +:1064700000023358E6003387E6403317E60033372F +:10648000E0003367E800998F5DBF130714001377AE +:10649000F70F854663CCE6020DE001E6E5E3014532 +:1064A00025A0F9CF3307F64093165700918F63C7A5 +:1064B000060CBA8731EB8147DDB7E31D06EEF9E341 +:1064C000B70700021305F00F0149A9A8B304F6406D +:1064D00013975400635C0702B384C7402E89268556 +:1064E000EF00901B6D15B394A40063438502018DEA +:1064F000050513040002B3D7A4003305A440B394E8 +:10650000A400B3349000C58F01453DB5CDD4C1BFC3 +:10651000B70700FCFD173305A440E58F31BDB287F6 +:1065200021BD814713975700635B070005051307DB +:10653000F00F630BE504370700FC7D17F98F130795 +:10654000F00F8D836316E50081C7B707400001494E +:10655000370780007D171375F50FF98F1317750135 +:1065600037058080B24022447D157D8D7E09598D8E +:106570003365250192440249410182802E8969B721 +:10658000B28759B72E898DB3814755BF011126CAED +:106590004EC693547501B70980004AC852C4FD190C +:1065A00006CE22CC56C293F4F40F2E8AB3F9A9007A +:1065B0001359F501A5C89307F00F6382F4088E09FB +:1065C000B7070004B3E9F900938414F8814A13551E +:1065D0007A01370480007D141375F50F337444017C +:1065E000135AFA013DC59307F00F6301F5080E0435 +:1065F000B70700045D8C130515F8814793962A00B0 +:10660000DD8EFD163947334649013385A440636862 +:10661000D70697A501009385E5C98A06AE969842EC +:106620002E970287638409024E85EF00F0069307D8 +:10663000B5FF9304A0F8B399F900898C41BF930486 +:10664000F00F894AE38509F88D4A51B78144854A9C +:10665000BDBF1DC02285EF0030049307B5FF331482 +:10666000F4009307A0F83385A74041BF1305F00F4E +:10667000894749D48D4759B70145854741B7131814 +:10668000540063FE890A7D1581461354080133D3F3 +:106690008902C167FD17B377F800C18233F7890219 +:1066A0009A85B38867024207558F637C170142972A +:1066B0009305F3FF63670701637517019305E3FF14 +:1066C000429733071741B358870233778702B3865F +:1066D000170393170701468763FCD700C2971387F8 +:1066E000F8FF63E7070163F5D7001387E8FFC29758 +:1066F00013940501958F598CB337F0005D8C130707 +:10670000F5076359E0069377740099C79377F4000F +:1067100091466383D70011049317440063D80700A0 +:10672000B70700F8FD177D8C130705089307E00FE6 +:106730000D8063D5E70801441307F00F41A093963D +:10674000F90193D9190091B74A864E84D687094733 +:10675000E383E7FE0D47638EE7040547E391E7FA1D +:1067600001440147A1A85286DDB737044000014625 +:106770008D47F1BF8547998F6D47E343F7FE1305BA +:10678000E509B357F4003314A400333480005D8C62 +:106790009377740099C79377F40011476383E700F8 +:1067A0001104931754000D80E3DD07FA01440547F7 +:1067B00031A0370440001307F00F01463705800071 +:1067C0007D15698C370580807D151377F70F698CEF +:1067D0005E07598C1315F601418DF2406244D24494 +:1067E0004249B249224A924A0561828037078000B5 +:1067F0007D17935675013378A70013D6750193570B +:10680000F50193F6F60F1305F00F6D8F1376F60F63 +:10681000FD816396A600795563080804828063159C +:10682000A600795539C78280B1E21335180011E20C +:1068300005CB01E96394B7006358D600054599E399 +:106840008280FDFD7D55828063D6C6007D55EDDBDF +:1068500005458280E36407FF0145E369E8FECDB7A3 +:106860000145E30F08FCD9BFE30DD6FA61F679D7ED +:10687000D1B713351800D5DE75BF370780007D17F7 +:10688000935675013378A70013D675019357F50118 +:1068900093F6F60F1305F00F6D8F1376F60FFD814B +:1068A0006396A60009456308080482806315A60064 +:1068B000094539C78280B1E21335180011E205CBD2 +:1068C00001E96394B7006358D600054599E38280D7 +:1068D000FDFD7D55828063D6C6007D55EDDB054507 +:1068E0008280E36407FF0145E369E8FECDB7014517 +:1068F000E30F08FCD9BFE30DD6FA61F679D7D1B71B +:1069000013351800D5DE75BF011126CA4AC8B70471 +:106910008000135975014EC656C2FD1406CE22CC16 +:1069200052C41379F90FAE8AE98C9359F5016309C2 +:1069300009069307F00F6301F9088E04B7070004F6 +:10694000DD8C130919F8014A13D57A013704800048 +:106950007D141375F50F3374540193DAFA0135C5BC +:106960009307F00F630FF5060E04B70700045D8C64 +:10697000130515F8814693172A00D58F4A95FD1700 +:10698000394733C65901130815006365F70617A781 +:1069900001001307E7958A07BA979C43BA97828745 +:1069A00095C02685D5299307B5FF1309A0F8B394A0 +:1069B000F4003309A94041BF1309F00F094AC9D4B3 +:1069C0000D4A59B70149054A41B715C02285E92149 +:1069D0009307B5FF3314F4009307A0F83385A7405D +:1069E00051BF1305F00F894659D48D4669B701454B +:1069F000854651B7C1671383F7FF13D70401935638 +:106A00000401B3F4640033746400B3888402B305F2 +:106A100087023304D702B386960293D40801AE9658 +:106A2000B69463F3B4003E94B3F76400C207B3F8BE +:106A30006800C69713976700C1803337E000E98389 +:106A40002694D98F1A045D8C9317440063DC0708E1 +:106A50009357140005885D8C1307F8076356E00808 +:106A60009377740099C79377F40091466383D700B6 +:106A700011049317440063D80700B70700F8FD1707 +:106A80007D8C130708089307E00F63C4E70A935748 +:106A9000340039A84E862684D2868947638BF6084F +:106AA0008D476382F608854581470147E396B6FA2C +:106AB000370480007D1437058080E18F7D15F2401A +:106AC00062441377F70FE98F5E071315F601D98F2C +:106AD000D2444249B249224A924A5D8D0561828080 +:106AE000568665BF2A888DBF8547998F6D47634657 +:106AF000F7041308E809B357F400331404013334DE +:106B000080005D8C9377740099C79377F4001147E8 +:106B10006383E70011049317540063C2070293577D +:106B20003400014771B7B70740001307F00F014663 +:106B300041B781471307F00FA5BF8147DDB78147F4 +:106B40000547BDB7B7078000FD17411133F7A70010 +:106B50009356750113D67501ED8F26C24AC093F680 +:106B6000F60F131837001376F60F06C622C4130764 +:106B7000F00F9354F50136893285FD818E0763133A +:106B8000E60099E393C515003387C64063979514D3 +:106B9000635EE00629E681EB9307F00F638FF60250 +:106BA000C2873685F5A87D170DE7C29736851397FE +:106BB00057006357070E05051307F00F630CE52612 +:106BC0003707007E93F617007D178583F98FD58FE1 +:106BD000C1A81306F00F639BC600C287A1A81306C5 +:106BE000F00FE38CC6FE37060004D18F6D46635468 +:106BF000E60085475DBF13060002198EB3D5E70096 +:106C0000B397C700B337F000CD8F45B73DC395E6C6 +:106C1000631708001307F00F6314E60821A8FD5658 +:106C20006314D700C29761B79306F00F1347F7FFBD +:106C3000631FD6001305F00FA5A09306F00FE30B1A +:106C4000D6FEB70600043307E0403368D800ED46AF +:106C500063D4E600054721A8930600023356E800F6 +:106C60003387E6403317E8003337E000518FBA9797 +:106C70003DBF138516001376F50F05476346C7041D +:106C80009DEA0145630E08006384071AC2971397B3 +:106C9000570063570700370700FC7D17F98F05453C +:106CA00013F777006309071813F7F7009146630499 +:106CB000D718910749A2E30F08F685D3B70700025A +:106CC0001305F00F814485AA1307F00F6304E5163E +:106CD0003307F80093571700E1B76351E0061DEA48 +:106CE000E38C07EA7D1711EFB307F8403685139759 +:106CF0005700E35707FA370400047D147D8C2A8976 +:106D0000C5A81306F00FE38AC6EC6D46635CE60087 +:106D10008547D9BF1306F00FE381C6EC37060004A0 +:106D2000D18FE5B713060002B3D5E7003307E6407D +:106D3000B397E700B337F000CD8F7DB73DC395E63D +:106D4000631608001307F00FAE84F9B5FD566316FD +:106D5000D700B3870741AE8459BF9306F00F13479E +:106D6000F7FF631ED600AE84F1B59306F00FE30C77 +:106D7000D6FEB70600043307E0403368D800ED467E +:106D800063D4E600054721A8930600023356E800C5 +:106D90003387E6403317E8003337E000518F998F8F +:106DA0005DBF138716001377F70F05466349E602A8 +:106DB0009DE263150800F9EB014529B7F1CB3307D4 +:106DC000F84093165700B387074163C1060CBA8792 +:106DD00029E78147D5B7E31208EED1F7C5B53304EB +:106DE000F84013175400635C070233840741AE84F4 +:106DF00022855D206D153314A400634425033305FB +:106E00002541050513070002B357A4003305A74029 +:106E10003314A40033348000C18F014551B555D8D7 +:106E2000C1BFB70700FCFD173305A940E18F8DBD39 +:106E3000C287BDB5814713975700635B07000505FF +:106E40001307F00F6308E504370700FC7D17F98F7F +:106E50001307F00F8D836316E50081C7B707400065 +:106E60008144370780007D171375F50FF98F1317CD +:106E7000750137058080B24022447D157D8DFE046A +:106E8000598D458D0249924441018280AE8471B78B +:106E9000C28761B781476DBFC1676376F50293070B +:106EA000F00FB3B7A7008E07130700021D8FB3576B +:106EB000F5001795010013056547AA9703C507005C +:106EC0003305A740828037070001C147E36EE5FC28 +:106ED000E147D9BF979701009387076794431797B6 +:106EE0000100130727651843C8C2637BE5001797A5 +:106EF00001001307E76408438C4391056F904FE44A +:106F0000411122C406C6179701001307A7632A84FC +:106F100008438C439105EF90AFE297970100938768 +:106F2000A75F9C436376F4009797010023A6875ED2 +:106F3000B240224441018280979701009387C76045 +:106F4000984394437C43FD177CC3FC4299E37360F0 +:106F500004308280011122CC26CA2A84AE844AC819 +:106F60004EC652C456C206CEEFA05FD91C400329BC +:106F70000401B7090001B3E59700930AC4000CC0EF +:106F80008144FD19370A00021840638C2A03032646 +:106F900009004A85B3654701B37636019317560059 +:106FA000758F0329490063C4070001E7F1BFE39D22 +:106FB000E6FC9317760063D30700D58CEFB0FFC2D1 +:106FC000E1B793C4F4FFF98C04C0EFA03FF8084088 +:106FD000F2406244D2444249B249224A924A05618F +:106FE00082804111014506C622C4EFE00FD717E7A2 +:106FF000FFFF1307A7DC814605469305400617955A +:10700000010013056547EFC05F9219C92A84EFA0FC +:10701000FFCF2A860147814685452285EFC09F9D87 +:0E702000EFA05FA8B2402244014541018280EA +:02000004800278 +:10000000B444FEFFB245FEFFBC44FEFFB245FEFF16 +:10001000B245FEFFB245FEFFB245FEFFD444FEFFEF +:10002000B245FEFFB245FEFFEE44FEFFFA44FEFF7E +:10003000B245FEFF0C45FEFF1845FEFF1845FEFFCA +:100040001845FEFF1845FEFF1845FEFF1845FEFF48 +:100050001845FEFF1845FEFF1845FEFFB245FEFF9E +:10006000B245FEFFB245FEFFB245FEFFB245FEFFC0 +:10007000B245FEFFB245FEFFB245FEFFB245FEFFB0 +:10008000B245FEFFB245FEFFB245FEFFB245FEFFA0 +:10009000B245FEFFB245FEFFB245FEFFB245FEFF90 +:1000A000B245FEFFB245FEFFB245FEFFB245FEFF80 +:1000B000B245FEFFB245FEFFB245FEFFB245FEFF70 +:1000C000B245FEFFB245FEFFB245FEFFB245FEFF60 +:1000D000B245FEFFB245FEFFB245FEFFB245FEFF50 +:1000E000B245FEFFB245FEFFB245FEFFB245FEFF40 +:1000F000B245FEFFB245FEFFB245FEFFB245FEFF30 +:100100004C45FEFF6245FEFFB245FEFFB245FEFFD5 +:10011000B245FEFFB245FEFFB245FEFFB245FEFF0F +:10012000B245FEFFA845FEFFB245FEFFB245FEFF09 +:100130005A43FEFF1244FEFFB245FEFFB245FEFFEA +:100140004844FEFFB245FEFFA044FEFFB245FEFF5D +:10015000B245FEFFCE44FEFFC048FEFF1449FEFF3D +:10016000C848FEFF1449FEFF1449FEFF1449FEFF74 +:100170001449FEFFBA47FEFF1449FEFF1449FEFF73 +:10018000D447FEFFE047FEFF1449FEFFF247FEFFA3 +:10019000FE47FEFFFE47FEFFFE47FEFFFE47FEFF57 +:1001A000FE47FEFFFE47FEFFFE47FEFFFE47FEFF47 +:1001B000FE47FEFF1449FEFF1449FEFF1449FEFFEF +:1001C0001449FEFF1449FEFF1449FEFF1449FEFFC7 +:1001D0001449FEFF1449FEFF1449FEFF1449FEFFB7 +:1001E0001449FEFF1449FEFF1449FEFF1449FEFFA7 +:1001F0001449FEFF1449FEFF1449FEFF1449FEFF97 +:100200001449FEFF1449FEFF1449FEFF1449FEFF86 +:100210001449FEFF1449FEFF1449FEFF1449FEFF76 +:100220001449FEFF1449FEFF1449FEFF1449FEFF66 +:100230001449FEFF1449FEFF1449FEFF1449FEFF56 +:100240001449FEFF1449FEFF1449FEFF1449FEFF46 +:100250001449FEFF1449FEFF3248FEFF6048FEFFCE +:100260001449FEFF1449FEFF1449FEFF1449FEFF26 +:100270001449FEFF1449FEFF1449FEFFB648FEFF75 +:100280001449FEFF1449FEFF1846FEFF1247FEFF09 +:100290001449FEFF1449FEFF8447FEFF1449FEFF88 +:1002A000AA47FEFF1449FEFF1449FEFF0E49FEFF58 +:1002B0008664FEFFB064FEFFB664FEFFB064FEFF1E +:1002C0000265FEFFB064FEFFB664FEFF8664FEFFBB +:1002D0008664FEFF0265FEFFB664FEFF9864FEFFC3 +:1002E0009864FEFF9864FEFFBA64FEFFAE67FEFFEF +:1002F000AE67FEFFF467FEFFAA67FEFFAA67FEFF78 +:100300003A68FEFFF467FEFFAA67FEFF3A68FEFF49 +:10031000AA67FEFFF467FEFFA867FEFFA867FEFF5F +:10032000A867FEFF3A68FEFF000102020303030311 +:100330000404040404040404050505050505050575 +:100340000505050505050505060606060606060655 +:10035000060606060606060606060606060606063D +:100360000606060606060606070707070707070725 +:10037000070707070707070707070707070707070D +:1003800007070707070707070707070707070707FD +:1003900007070707070707070707070707070707ED +:1003A00007070707070707070808080808080808D5 +:1003B00008080808080808080808080808080808BD +:1003C00008080808080808080808080808080808AD +:1003D000080808080808080808080808080808089D +:1003E000080808080808080808080808080808088D +:1003F000080808080808080808080808080808087D +:10040000080808080808080808080808080808086C +:10041000080808080808080808080808080808085C +:100420000808080808080808546D72510000000008 +:10043000546D722053766300286E756C6C29000031 +:100440004572726F7220696E2073705F666C6F7098 +:1004500073207461736B73200D0A00006D69616F06 +:10046000750A00006D69616F75320A0068756E6704 +:1004700072790A00436865636B54696D65720000A8 +:100480004D617468310000004D61746832000000F5 +:100490004D617468330000004D61746834000000E1 +:1004A0004D617468350000004D61746836000000CD +:1004B0004D617468370000004D61746838000000B9 +:1004C0001000000000000000017A5200017C0101D0 +:1004D0001B0D020010000000180000004A53FEFF30 +:1004E0008A03000000000000100000002C00000043 +:1004F000C056FEFF5E030000000000001000000078 +:10050000400000000A5AFEFF5403000000000000F3 +:10057800D5E9F642DD9A1245358E65C46F12833A85 +:10058800A4B0C2C366E4FD46A30100C0CFF7414151 +:100598006F1283BA0000B040FC8F01000900000010 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/test1_rv32i_O0.hex b/VexRiscv/src/test/resources/freertos/test1_rv32i_O0.hex new file mode 100644 index 0000000..cc6bf1b --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/test1_rv32i_O0.hex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diff --git a/VexRiscv/src/test/resources/freertos/test1_rv32i_O3.hex b/VexRiscv/src/test/resources/freertos/test1_rv32i_O3.hex new file mode 100644 index 0000000..c20a855 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/test1_rv32i_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/test1_rv32ic_O0.hex b/VexRiscv/src/test/resources/freertos/test1_rv32ic_O0.hex new file mode 100644 index 0000000..25d85b8 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/test1_rv32ic_O0.hex @@ -0,0 +1,1677 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900021C1170502001305E542979503009385DA +:1000A000657501461122170502001305A53E970547 +:1000B00002009385A53E0146C52817A50300130538 +:1000C000A57397B503009385E57237B6ABAB1306FE +:1000D000B6BAD92817B1030013010172EF40F0013D +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2022623A02200F322103498 +:1002400096DEEF006030170102000321A124024175 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E22123A0120023A2B1 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40804D170102000321E2 +:1003300061160241F65273901234B7220000938284 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A21383A0B8 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2020423A0220086DEEF108E +:10046000B040170102000321E1020241F6527390ED +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F0961730020309707BF +:1004C00002009387E7FD9843FD576305F7007370BB +:1004D000043001A07370043001A041112AC6B24754 +:1004E000739047300100410182804111F327403071 +:1004F0003EC673F04330B2473E85410182804111D0 +:100500002AC62EC432C2B247F1173EC62247B247AE +:1005100098C3B247938787FA3EC61247B24798C33B +:10052000B247A1173EC68E873E87B24798C3B247EF +:10053000D1173EC6170700001307A7F8B24798C3A4 +:10054000B2473E8541018280411106C6EF40A0239B +:10055000EF10D014AA8799C3EF1010310100B240F8 +:100560004101828041112AC6B24713878700B247F2 +:10057000D8C3B2477D5798C7B24713878700B247A1 +:10058000D8C7B24713878700B24798CBB24723A09A +:10059000070001004101828041112AC6B24723A809 +:1005A000070001004101828001112AC62EC4B24712 +:1005B000DC433ECEA2477247D8C3F2479847A247D2 +:1005C00098C7F2479C472247D8C3F247224798C7AB +:1005D000A247324798CBB2479C4313871700B247D4 +:1005E00098C301000561828001112AC62EC4A2476A +:1005F0009C433ECC6247FD576316F700B2479C4BC5 +:100600003ECE31A8B247A1073ECE21A0F247DC433F +:100610003ECEF247DC439C436247E379F7FEF24764 +:10062000D843A247D8C3A247DC43224798C7A24772 +:10063000724798C7F2472247D8C3A247324798CBA0 +:10064000B2479C4313871700B24798C30100056166 +:10065000828001112AC6B2479C4B3ECEB247DC4392 +:100660003247184798C7B2479C4732475843D8C3C8 +:10067000F247DC4332476316F700B2479847F24728 +:10068000D8C3B24723A80700F2479C431387F7FF5C +:10069000F24798C3F2479C433E8505618280797199 +:1006A00006D622D42AC62EC4B2473ECEF24781E7F0 +:1006B0007370043001A0EF202057F2478043F247C7 +:1006C000D85FF247BC43BE853A85EF50F043AA8716 +:1006D0003307F400F247D8C3F24723AC0702F247CE +:1006E0009843F24798C7F2478043F247DC5F13878D +:1006F000F7FFF247BC43BE853A85EF50F040AA872A +:100700003307F400F247D8C7F2477D57F8C3F247E2 +:100710007D57B8C7A24799EFF2479C4B8DC7F24768 +:10072000C1073E85EF1090402A878547631DF7007B +:10073000F13911A8F247C1073E852D35F24793875D +:1007400047023E850535EF20005185473E85B25072 +:10075000225445618280797106D62AC62EC4B2879A +:10076000A303F10002CCB24781E77370043001A00B +:10077000A24799E302CE01A8A2453245EF50D038F6 +:10078000AA8785073ECEF247938747053E85EF301F +:10079000805C2ACAD24785CFA24789E7D247524711 +:1007A00098C331A0D24713874705D24798C3D24791 +:1007B0003247D8DFD2472247B8C385455245C535B1 +:1007C000D247034771002388E704D2473ECCE24773 +:1007D00081E77370043001A0E2473E85B250456165 +:1007E0008280797106D6AA87A307F1001305400518 +:1007F000EF3060562ACEF247B9CFF24723A2070066 +:10080000F24723A00700F24723A40700F24723A6DC +:100810000700F24723AC0702F2470547D8DFF2474B +:1008200023A00704F2477D57F8C3F2477D57B8C7A6 +:10083000F2470347F1002388E704F247C1073E85EA +:100840001533F247938747023E85293B814601468F +:10085000814572453122F2473E85B2504561828022 +:10086000797106D622D42AC6B2473ECCE24781E748 +:100870007370043001A0E247C043EF20001DAA8737 +:100880006313F402E247DC471387F7FFE247D8C758 +:10089000E247DC4791E78146014681456245C92030 +:1008A00085473ECE11A002CEF2473E85B25022547B +:1008B00045618280797106D622D42AC62EC4B247F9 +:1008C0003ECCE24781E77370043001A0E247C043A9 +:1008D000EF20A017AA87631BF400E247DC471387C9 +:1008E0001700E247D8C785473ECE0DA08146224675 +:1008F00081456245252E2ACE724785476318F70049 +:10090000E247DC4713871700E247D8C7F2473E8526 +:10091000B250225445618280797106D62AC62EC40F +:10092000B24781E77370043001A02247B24763F5F4 +:10093000E7007370043001A0094681453245213D2E +:100940002ACEF24781C7F247224798DFF24781E774 +:100950007370043001A0F2473E85B2504561828039 +:10096000397106DE2AC62EC432C236C002D6B2475C +:100970003ED4A25781E77370043001A0A24781E7FB +:10098000A257BC4399E3854711A0814781E7737063 +:10099000043001A0024789476317F700A257D85FC8 +:1009A00085476314F700854711A0814781E773707D +:1009B000043001A0EF20C00AAA8799E3924799E387 +:1009C000854711A0814781E77370043001A0EF20B3 +:1009D000A025A257985FA257DC5F6366F700024725 +:1009E0008947631DF7020246A245225565232AD294 +:1009F000A257DC5389CFA257938747023E85EF1059 +:100A0000F0122A8785476317F700093421A092570F +:100A100091C3ED3AEF202024854771A8924789E7DA +:100A2000EF206023814741A8B25799E77C083E85B3 +:100A3000EF10702785473ED6EF20E021EF10401AD7 +:100A4000EF20801EA257F843FD576315F700A25709 +:100A500023A20704A257B847FD576315F700A25712 +:100A600023A40704EF20201F58007C08BA853E8588 +:100A7000EF107026AA879DEB2255EF006071AA87C0 +:100A80008DC3A257C1071247BA853E85EF10E070AB +:100A900022551525EF108016AA87E39A07F2BD327A +:100AA0003DB72255092DEF10601515B722552125A8 +:100AB000EF10C01481473E85F25021618280797128 +:100AC00006D62AC62EC432C236C0B2473ECCE24752 +:100AD00081E77370043001A0A24781E7E247BC437D +:100AE00099E3854711A0814781E77370043001A025 +:100AF000024789476317F700E247D85F85476314C9 +:100B0000F700854711A0814781E77370043001A089 +:100B1000E93AAA873ECAE247985FE247DC5F63662C +:100B2000F700024789476315F7040246A24562456C +:100B30009521E247B847FD576313F702E247DC53BC +:100B40008DC7E247938747023E85EF10207EAA8734 +:100B500089CF924799CB9247054798C339A0E2477E +:100B6000BC4713871700E247B8C785473ECE11A0A0 +:100B700002CED2473E859532F2473E85B25045615E +:100B80008280797106D62AC62EC4B2473ECCE2478F +:100B900081E77370043001A0E247BC4381C77370E2 +:100BA000043001A0E2479C4381E7E247DC4399E33C +:100BB000854711A0814781E77370043001A0353269 +:100BC000AA873ECAE247985FE247DC5F6377F70493 +:100BD000E2479C5F13871700E24798DFE247B84778 +:100BE000FD576313F702E247DC538DC7E247938753 +:100BF00047023E85EF108073AA8789CFA24799CB21 +:100C0000A247054798C339A0E247BC47138717009E +:100C1000E247B8C785473ECE11A002CED2473E85F7 +:100C20006D38F2473E85B25045618280397106DEEB +:100C30002AC62EC432C236C002D6B2473ED4A2570C +:100C400081E77370043001A0A24781E7A257BC433B +:100C500099E3854711A0814781E77370043001A0B3 +:100C6000EF101060AA8799E3924799E3854711A096 +:100C7000814781E77370043001A0EF10F07AA2572A +:100C80009C5FB5CBA257DC473ED2A2452255F92E38 +:100C9000824795EFA2579C5F1387F7FFA25798DF13 +:100CA000A2579C4391E7EF2060022A87A257D8C33E +:100CB000A2579C4B8DCFA257C1073E85EF1000670E +:100CC0002A8785476315F702EFF04FF40DA0A2576E +:100CD0001257D8C7A257DC5399CBA2579387470224 +:100CE0003E85EF10A064AA8799C3EFF02FF2EF10B2 +:100CF000907685475DA8924789E7EF10D0758147C8 +:100D00006DA0B25799E77C083E85EF10C079854702 +:100D10003ED6EF105074EF00B06CEF10F070A25799 +:100D2000F843FD576315F700A25723A20704A25703 +:100D3000B847FD576315F700A25723A40704EF1027 +:100D4000907158007C08BA853E85EF10C078AA875C +:100D5000A1EB2255CD2EAA879DCFA2579C4391EBA4 +:100D6000EF10906CA257DC433E85EF107052EF10ED +:100D7000906EA257938747021247BA853E85EF10BF +:100D8000C04122550D2EEF007067AA87E39707EE4A +:100D9000EFF0CFE7DDB52255392EEF003066F1BD1B +:100DA0002255112EEF00906581473E85F25021615A +:100DB0008280797106D62AC62EC432C2B2473ECC92 +:100DC000E24781E77370043001A0A24781E7E24760 +:100DD000BC4399E3854711A0814781E773700430D4 +:100DE00001A0EFF08FF0AA873ECAE2479C5FA1CB3B +:100DF000A2456245A52CE2479C5F1387F7FFE247B7 +:100E000098DFE247F843FD576312F702E2479C4B35 +:100E100085C7E247C1073E85EF104051AA8789CFB9 +:100E2000924799CB9247054798C339A0E247FC43C4 +:100E300013871700E247F8C385473ECE11A002CEC4 +:100E4000D2473E85EFF06FE9F2473E85B2504561EB +:100E50008280797106D62AC62EC4B2473ECCE247BC +:100E600081E77370043001A0A24781E7E247BC43E9 +:100E700099E3854711A0814781E77370043001A091 +:100E8000E247BC4381E77370043001A0EFF0EFE567 +:100E9000AA873ECAE2479C5F89CFE247DC473EC84B +:100EA000A2456245E122E2474247D8C785473ECE88 +:100EB00011A002CED2473E85EFF02FE2F2473E85E9 +:100EC000B25045618280797106D62AC6B24781E761 +:100ED0007370043001A0EF103055B2479C5F3ECED6 +:100EE000EF107057F2473E85B250456182807971AC +:100EF00006D62AC6B2473ECEF24781E77370043069 +:100F000001A0EF107052F247D85FF2479C5FB30721 +:100F1000F7403ECCEF103054E2473E85B250456179 +:100F2000828001112AC6B24781E77370043001A0A4 +:100F3000B2479C5F3ECEF2473E8505618280797163 +:100F400006D62AC6B2473ECEF24781E77370043018 +:100F500001A07245E5247245EF20F0720100B25005 +:100F60004561828041112AC6B247FC473E85410156 +:100F7000828041112AC62EC4B2472247F8C7010019 +:100F80004101828041112AC6B24783C707053E85C9 +:100F900041018280797106D62AC62EC432C202CEA1 +:100FA000B247BC4391EFB2479C43D5E7B247DC431D +:100FB0003E85EF10903B2ACEB24723A2070061A8DE +:100FC000924785EFB2479847B247BC433E86A24559 +:100FD0003A85EF20F079B2479847B247BC433E9735 +:100FE000B24798C7B2479847B247DC436365F706F4 +:100FF000B2479843B24798C7B9A8B247D847B24753 +:10100000BC433E86A2453A85EF209076B247D8474A +:10101000B247BC43B307F0403E97B247D8C7B24788 +:10102000D847B2479C43637BF700B247D843B247E7 +:10103000BC43B307F0403E97B247D8C71247894731 +:10104000631BF700B2479C5F99C7B2479C5F138749 +:10105000F7FFB24798DFB2479C5F13871700B2478C +:1010600098DFF2473E85B25045618280011106CE7D +:101070002AC62EC4B247BC439DCBB247D847B2471D +:10108000BC433E97B247D8C7B247D847B247DC43C4 +:101090006366F700B2479843B247D8C7B247D8470C +:1010A000B247BC433E86BA852245EF20706C0100F2 +:1010B000F24005618280011106CE2AC6EF10D036BB +:1010C00025A0B247DC5395C7B247938747023E85B8 +:1010D000EF10C025AA8799C3EF104049B247BC471B +:1010E0001387F7FFB247B8C7B247BC47E34BF0FCE2 +:1010F00011A00100B2477D57B8C7EF10D035EF10EF +:10110000B0321DA0B2479C4B8DC7B247C1073E8588 +:10111000EF10C021AA8799C3EF104045B247FC43A6 +:101120001387F7FFB247F8C3B247FC43E34CF0FC28 +:1011300011A00100B2477D57F8C3EF10D031010074 +:10114000F24005618280797106D62AC6EF10D02D53 +:10115000B2479C5F81E785473ECE11A002CEEF10DB +:10116000902FF2473E85B2504561828001112AC618 +:10117000B24781E77370043001A0B2479C5F81E7FA +:1011800085473ECE11A002CEF2473E8505618280A2 +:10119000797106D62AC6EF103029B247985FB24758 +:1011A000DC5F6315F70085473ECE11A002CEEF103D +:1011B000902AF2473E85B2504561828001112AC6CD +:1011C000B24781E77370043001A0B247985FB2471D +:1011D000DC5F6315F70085473ECE11A002CEF247D3 +:1011E0003E850561828001112AC62EC402CE81A0EF +:1011F000178703001307475CF2478E07BA979C4393 +:1012000085E7178703001307275BF2478E07BA9716 +:10121000224798C3178703001307075AF2478E0720 +:10122000BA973247D8C301A8F24785073ECE724726 +:101230009D47E3FFE7FA01000561828001112AC69C +:1012400002CE0DA81787030013070757F2478E0732 +:10125000BA97DC433247631CF70017870300130774 +:10126000A755F2478E07BA9723A0070001A8F247B7 +:1012700085073ECE72479D47E3F6E7FC0100056116 +:101280008280797106D62AC62EC432C2B2473ECEBB +:10129000EF109019F247F843FD576315F700F24736 +:1012A00023A20704F247B847FD576315F700F2473A +:1012B00023A40704EF10301AF2479C5F89EBF24732 +:1012C000938747021246A2453E85EF00907E724505 +:1012D000DD330100B25045618280397106DE2ACECD +:1012E0002ECC36C83AC63EC442C246C0B287231B83 +:1012F000F100F24781E77370043001A03247914753 +:1013000063F5E7007370043001A0835761019245D3 +:101310003E85EF1080512AD4A257638F0712A2573F +:10132000985B83566101B7070040FD17B6978A079F +:10133000BA973ED29257F19B3ED292578D8B81C77E +:101340007370043001A0835761013E8782463246A4 +:10135000E2452255EF10E0274246F2451255EFF0E4 +:101360000F9A2A87A25798C3A24781C7A24722573C +:1013700098C3EF10700B97F701009387A7299C4340 +:101380001387170097F701009387C72898C397F72B +:101390000100938727109C4395E397F701009387FB +:1013A000670F225798C397F701009387A7269843A2 +:1013B0008547631AF702EF10C02C35A097F701009C +:1013C000938707269C4385E397F701009387870C53 +:1013D0009C43DC5732476368F70097F70100938717 +:1013E000670B225798C397F70100938767249C43A4 +:1013F0001387170097F701009387872398C397F700 +:1014000001009387E7229843A257B8C7A257D8573D +:1014100097F70100938787209C4363F9E700A25761 +:10142000D85797F701009387671F98C3A257D857DB +:10143000BA878A07BA978A0717F701001307471078 +:101440003E97A2579107BE853A85EFF0EF95854705 +:101450003ED6EF10500019A0FD573ED632578547B3 +:101460006313F70297F701009387871B9C4381CF93 +:1014700097F70100938707029C43DC57324763F4D8 +:10148000E700EFE0BFF8B2573E85F250216182805D +:10149000797106D62AC6EF102079B24799E797F7F7 +:1014A0000100938727FF9C4311A0B2473ECEF2472D +:1014B00091073E85EFF0EF99F2479C5791C7F247AD +:1014C000E1073E85EFF0EF98F2479107BE8517F5EB +:1014D000010013056511EFF02F8D97F70100938739 +:1014E000E7119C431387170097F7010093870711B3 +:1014F00098C397F701009387A7139C43138717009E +:1015000097F701009387C71298C3EF10C07497F73D +:1015100001009387E7109C439DCB97F701009387C9 +:1015200067F79C437247631EF70097F701009387A4 +:10153000A7109C4381C77370043001A0EFE01FED3A +:1015400039A0EF10606EEF10A04BEF10C0700100DB +:10155000B25045618280797106D62AC62EC402CE69 +:10156000B24781E77370043001A0A24781E773702E +:10157000043001A097F701009387070C9C4381C7B3 +:101580007370043001A0A92D97F701009387C70855 +:101590009C433ECCB2479C432247BA973ECAB247CF +:1015A0009C436247637EF700B2479C435247637493 +:1015B000F7025247E24763F0E70285473ECE21A893 +:1015C000B2479C4352476366F7005247E24763F4D1 +:1015D000E70085473ECEB247524798C3F24789CFCE +:1015E00097F70100938707EB9C4391073E85EFF047 +:1015F0004F865245EF10001B11252AC8C24799E3B8 +:10160000EFE0DFE00100B25045618280797106D6DB +:101610002AC602CEB247A9C397F701009387C70134 +:101620009C4381C77370043001A05D2B97F70100C4 +:10163000938787FE9C433247BA973ECC97F70100C9 +:10164000938747E59C4391073E85EFF08F80624585 +:10165000EF10401565232ACEF24799E3EFE01FDB38 +:101660000100B25045618280797106D62AC6B24720 +:101670003ECCE24781E77370043001A097F7010088 +:10168000938747E19C4362476314F70002CEBDA0F5 +:10169000EF108059E247DC4B3ECAEF10C05B97F772 +:1016A00001009387A7F29C435247630AF70097F71C +:1016B00001009387E7F19C4352476315F700894780 +:1016C0003ECE2DA8524797F70100938767F3631B1F +:1016D000F700E2479C5781E78D473ECE05A089473A +:1016E0003ECE29A8524797F701009387E7EF63158D +:1016F000F70091473ECE19A085473ECEF2473E8582 +:10170000B25045618280797106D62AC6EF10C05169 +:10171000B24799E797F701009387C7D79C4311A079 +:10172000B2473ECEF247DC573ECCEF10C052E24704 +:101730003E85B25045618280797106D62AC6EFE0B7 +:10174000DFDAAA873ECEB24799E797F70100938781 +:1017500067D49C4311A0B2473ECCE247DC573ECA57 +:10176000F2473E85EFE07FD7D2473E85B2504561D4 +:101770008280797106D62AC62EC402CE22479147AE +:1017800063F5E7007370043001A02247914763F4CA +:10179000E70091473EC4EF102049B24799E797F719 +:1017A0000100938727CF9C4311A0B2473ECCE2476C +:1017B000BC4B3ECA5247A247630AF70E2247D247A4 +:1017C00063F6E70297F701009387C7CC9C43624713 +:1017D0006308F70297F701009387C7CB9C43DC5758 +:1017E0002247636FF70085473ECE19A897F701009F +:1017F000938747CA9C4362476314F70085473ECEF0 +:10180000E247DC573EC8E247B84BE247DC57631576 +:10181000F700E2472247D8D7E2472247B8CBE24752 +:101820009C4F63C707001547A2471D8FE24798CF1B +:10183000E247D44B4247BA878A07BA978A0717F715 +:1018400001001307E7CFBA976394F600854711A00C +:101850008147B9C7E24791073E85EFE09FDFE24746 +:10186000D85797F70100938767DB9C4363F9E7003C +:10187000E247D85797F70100938747DA98C3E247C2 +:10188000D857BA878A07BA978A0717F7010013074C +:1018900027CB3E97E2479107BE853A85EFE0DFD040 +:1018A000724785476314F700EFE05FB6EF10A03A88 +:1018B0000100B25045618280797106D62AC6EF10C8 +:1018C000A036B24799E797F701009387A7BC9C43DE +:1018D00011A0B2473ECEF24791073E85EFE07FD799 +:1018E000F2479C5791C7F247E1073E85EFE07FD66C +:1018F000F2479107BE8517F50100130565D0EFE0AB +:10190000BFCAEF10403597F701009387A7B89C43F3 +:1019100072476319F70497F70100938767D09C43D8 +:1019200089CF97F70100938727D19C4381C77370B4 +:10193000043001A0EFE09FAD91A097F701009387DD +:1019400027CC984397F701009387C7CC9C43631932 +:10195000F70097F701009387E7B323A0070039A8A2 +:10196000212729A897F70100938787CB9C4399C724 +:10197000EF10802BEF10C008EF10E02D0100B250E7 +:101980004561828001112AC602CEB2473ECCB247E1 +:1019900081E77370043001A0E247D84B97F701004C +:1019A000938707C66314F700854711A0814795C345 +:1019B000E247985797F701009387C7C1630BF70079 +:1019C000E2479C5799E3854711A0814799C3854712 +:1019D0003ECEF2473E8505618280797106D62AC6E1 +:1019E000B2473ECEB24781E77370043001A0F247A0 +:1019F000C9C797F701009387E7A99C437247630E15 +:101A0000F706EF1060227245B53F2A8785476314B9 +:101A1000F706F24791073E85EFE0BFC3F247D8577C +:101A200097F70100938787BF9C4363F9E700F2476C +:101A3000D85797F70100938767BE98C3F247D857E6 +:101A4000BA878A07BA978A0717F70100130747AFC3 +:101A50003E97F2479107BE853A85EFE0FFB4F24723 +:101A6000D85797F701009387E7A29C43DC5763643C +:101A7000F700EFE0BF99EF10001E0100B250456182 +:101A80008280797106D62AC602CEB2473ECCB247D2 +:101A900081E77370043001A0EFE03FA5AA873ECA3A +:101AA0006245CD352A8785476314F70897F701000B +:101AB000938787B89C43A5E7E247D85797F701007B +:101AC0009387479D9C43DC576364F70085473ECE70 +:101AD000E24791073E85EFE0DFB7E247D85797F737 +:101AE00001009387A7B39C4363F9E700E247D85707 +:101AF00097F70100938787B298C3E247D857BA8710 +:101B00008A07BA978A0717F70100130767A33E975A +:101B1000E2479107BE853A85EFE01FA911A8E24789 +:101B2000E107BE8517F501001305C5AAEFE0DFA7A1 +:101B3000D2473E85EFE07F9AF2473E85B25045613D +:101B40008280011106CE81480148814701478146C4 +:101B50001306004097E501009385C54A171500005C +:101B6000130585A5EFF06FF72AC632478547631541 +:101B7000F700EF10C06D2AC6324785476319F70298 +:101B80007370043097F701009387C7AA7D5798C3F5 +:101B900097F701009387C7A8054798C397F70100F7 +:101BA000938787A723A00700EFE0AFEF31A0B247EC +:101BB00081E77370043001A00100F240056182806A +:101BC000411106C67370043097F70100938747A54B +:101BD00023A00700EFE02FFB0100B240410182800B +:101BE00097F70100938747A59C431387170097F742 +:101BF0000100938767A498C301008280011106CE7B +:101C000002C697F70100938727A39C4381E773706F +:101C1000043001A0EF10400197F701009387C7A19E +:101C20009C431387F7FF97F701009387E7A098C3BA +:101C300097F70100938747A09C436390071097F79D +:101C400001009387279D9C436389070E59A097F74E +:101C5000010093872798DC47DC473EC4A247E10791 +:101C60003E85EFE01F9FA24791073E85EFE07F9EF4 +:101C7000A247D85797F701009387479A9C4363F987 +:101C8000E700A247D85797F701009387279998C391 +:101C9000A247D857BA878A07BA978A0717F7010069 +:101CA0001307078A3E97A2479107BE853A85EFE062 +:101CB000BF8FA247D85797E701009387A77D9C4322 +:101CC000DC576368F70097F701009387E7950547AE +:101CD00098C397F701009387E78F9C43ADFB97F775 +:101CE0000100938727949C438DCF35A0452AAA876E +:101CF00099C797F7010093872793054798C397F7EC +:101D00000100938727929C431387F7FF97F7010001 +:101D10009387479198C397F701009387A7909C43B7 +:101D2000F1F797F70100938727909843854763164B +:101D3000F70085473EC6EFE06FEDEF00D071B24788 +:101D40003E85F24005618280011106CEEF00D06D24 +:101D500097F701009387478C9C433EC6EF00B06F16 +:101D6000B2473E85F24005618280011106CEEFE068 +:101D7000CFF7AA873EC697F701009387E7899C4370 +:101D80003EC4B2473E85EFE04FF5A2473E85F240A4 +:101D90000561828097F701009387C7879C433E8542 +:101DA0008280797106D62AC62EC432C202CE9547E9 +:101DB0003ECC3D3597F701009387C7859C4322476A +:101DC0006368F70CE247FD173ECCF24796073247AF +:101DD000B306F7006247BA878A07BA978A0717E7F8 +:101DE00001001307E775BA970546BE853685EF00F3 +:101DF000902A2A87F247BA973ECEE247E1F7F247A8 +:101E0000960732473E9797E701009387277C9C43CC +:101E10000946BE853A85EF0010282A87F247BA970F +:101E20003ECEF247960732473E9797E701009387E9 +:101E3000277A9C430946BE853A85EF00D0252A873C +:101E4000F247BA973ECEF24796073247BA97114605 +:101E500097E50100938545793E85EF00D0232A87D9 +:101E6000F247BA973ECEF24796073247BA970D46E9 +:101E700097E501009385C5783E85EF00D0212A873C +:101E8000F247BA973ECE924781C7924723A00700F8 +:101E9000B533F2473E85B25045618280797106D6EE +:101EA00002CE97E70100938727799C436395071833 +:101EB00097E70100938747769C431387170097E7BE +:101EC00001009387677598C397E701009387C774EC +:101ED0009C433ECCE247B9EF97E701009387076F39 +:101EE0009C439C4381C77370043001A097E70100B5 +:101EF0009387C76D9C433ECA97E701009387476D60 +:101F0000984397E701009387676C98C397E70100B0 +:101F10009387076C524798C397E70100938707712F +:101F20009C431387170097E701009387277098C396 +:101F3000EF00102D97E701009387C76F9C4362471E +:101F40006365F70C97E70100938747689C439C43C0 +:101F500099E3854711A0814781CB97E701009387DB +:101F6000676D7D5798C355A097E701009387076673 +:101F70009C43DC47DC473EC8C247DC433EC6624761 +:101F8000B2476379F70097E701009387A76A324762 +:101F900098C3A5A8C24791073E85EFE08FEBC247E3 +:101FA0009C5791C7C247E1073E85EFE08FEAC247E1 +:101FB000D85797E70100938767669C4363F9E7006A +:101FC000C247D85797E701009387476598C3C24730 +:101FD000D857BA878A07BA978A0717E70100130705 +:101FE00027563E97C2479107BE853A85EFE0CFDB83 +:101FF000C247D85797E701009387C7499C43DC57EE +:10200000E362F7F485473ECE35BF97E7010093873B +:1020100067489C43D85797E6010093866652BA8773 +:102020008A07BA978A07B6979843854763F1E7020C +:1020300085473ECE29A897E701009387A75E9C437A +:102040001387170097E701009387C75D98C397E749 +:1020500001009387675D9C4399C385473ECEF24755 +:102060003E85B25045618280011106CE97E701009E +:102070009387875C9C4381CB97E701009387C75A7E +:10208000054798C339A297E701009387E75923A032 +:10209000070097E701009387E73F9C439C5B3EC6A0 +:1020A000B7A7A5A59387575A3EC4B2479C4322477A +:1020B0006314F702B24791079C432247631EF7005F +:1020C000B247A1079C4322476318F700B247B10704 +:1020D0009C4322476308F70497E701009387873BF7 +:1020E000984397E701009387E73A9C439387470318 +:1020F000BE853A85EF20B00F35A097E701009387A2 +:10210000E7519C4381E77370043001A097E7010019 +:102110009387C7509C431387F7FF97E70100938786 +:10212000E74F98C397E701009387474F984397E697 +:1021300001009386E640BA878A07BA978A07B6975E +:102140009C43C5DF97E701009387474D9843BA87C3 +:102150008A07BA978A0717E701001307673EBA97FD +:102160003EC29247DC43D8439247D8C39247D843F4 +:102170009247A1076317F7009247DC43D843924781 +:10218000D8C39247DC43D84797E70100938787304D +:1021900098C30100F24005618280797106D62AC693 +:1021A0002EC4B24781E77370043001A097E70100A5 +:1021B0009387472E9C43E107BE853245EFE0CFC2AF +:1021C00097E701009387072D9C4391073E85EFE039 +:1021D0004FC82247FD576310F70297E70100938726 +:1021E000672B9C439107BE8517E501001305454108 +:1021F000EFE08FBB19A897E701009387E7419C4365 +:102200002247BA973ECE7245712B0100B25045610C +:102210008280797106D62AC62EC432C2B24781E7BF +:102220007370043001A097E701009387E7409C4357 +:1022300081E77370043001A097E701009387872539 +:102240009C43A24637070080558F98CF97E701003F +:10225000938747249C43E107BE853245EFE0CFB426 +:1022600097E70100938707239C4391073E85EFE0A2 +:102270004FBE1247FD576310F70297E7010093879F +:1022800067219C439107BE8517E50100130545377B +:10229000EFE08FB119A897E701009387E7379C43D8 +:1022A0001247BA973ECE7245F5290100B2504561FA +:1022B0008280797106D62AC62EC432C2B24781E71F +:1022C0007370043001A097E701009387A71C9C431B +:1022D000E107BE853245EFE02FAD97E70100938718 +:1022E000671B9C4391073E85EFE0AFB612478547D9 +:1022F0006310F70297E701009387C7199C43910782 +:10230000BE8517E501001305A52FEFE0EFA919A879 +:1023100097E70100938747309C432247BA973ECE08 +:10232000724549210100B25045618280797106D61B +:102330002AC6B247DC47DC473ECCE24781E77370F0 +:10234000043001A0E247E1073E85EFE08FB097E758 +:1023500001009387672E9C43A1EBE24791073E85DE +:10236000EFE02FAFE247D85797E701009387072B9D +:102370009C4363F9E700E247D85797E7010093874A +:10238000E72998C3E247D857BA878A07BA978A07D6 +:1023900017E701001307C71A3E97E2479107BE856A +:1023A0003A85EFE06FA011A8E247E107BE8517E587 +:1023B000010013052522EFE02F9FE247D85797E74A +:1023C00001009387270D9C43DC5763FBE70085479B +:1023D0003ECE97E7010093872725054798C311A0B4 +:1023E00002CEF2473E85B25045618280797106D6B1 +:1023F0002AC62EC497E70100938707249C4381E7F0 +:102400007370043001A02247B70700805D8FB24788 +:1024100098C3B247DC473ECCE24781E77370043093 +:1024200001A03245EFE0EFA2E24791073E85EFE0E1 +:102430004FA2E247D85797E701009387271E9C4396 +:1024400063F9E700E247D85797E701009387071D34 +:1024500098C3E247D857BA878A07BA978A0717E717 +:1024600001001307E70D3E97E2479107BE853A85C5 +:10247000EFE08F93E247D85797E7010093878701F2 +:102480009C43DC5763FBE70085473ECE97E701009E +:1024900093878719054798C311A002CEF2473E855E +:1024A000B2504561828041112AC6B24781E77370FC +:1024B000043001A097E70100938747179843B2477C +:1024C00098C397E70100938727159843B247D8C36D +:1024D000010041018280797106D62AC62EC4B24716 +:1024E00081E77370043001A0A24781E77370043064 +:1024F00001A01D2F97E70100938707129C433ECC54 +:10250000A2479843FD576314F70002CE91A8B24743 +:10251000984397E70100938767119C43630AF7008C +:10252000B247DC4362476365F70085473ECE0DA89E +:10253000B247DC4362471D8FA2479C436370F7029A +:10254000A2479843B247D443E247B387F6403E9749 +:10255000A24798C33245813F02CE19A085473ECE9F +:10256000DD2DF2473E85B2504561828097E701003C +:102570009387870B054798C30100828001112AC603 +:10258000B24799C7B2473ECCE247FC473ECE11A0C6 +:1025900002CEF2473E850561828001112AC62EC413 +:1025A000B24791C7B2473ECEF2472247F8C7010073 +:1025B00005618280011106CE2AC6852297E70100B7 +:1025C000938707F898438547E3F9E7FEEFD01FE4C8 +:1025D000EDB7397106DE2ACE2ECC32CA36C8BA879C +:1025E0002317F10002D625A06247B257BA9703C756 +:1025F0000700F246B257B697238AE7026247B257FE +:10260000BA9783C7070089CBB25785073ED63257A2 +:10261000BD47E3FBE7FC11A00100F247A3810704DB +:102620005247914763F4E70091473ECAF247524749 +:10263000D8D7F2475247B8CBF24723AA0704F2474C +:1026400091073E85EFD05FF5F247E1073E85EFD079 +:10265000BFF4F247724798CB1547D2471D8FF24718 +:1026600098CFF2477247D8D3F24723A20704F24724 +:1026700023AC0704F24723AE07040100F2502161A6 +:102680008280011106CE02C60DA03247BA878A07A2 +:10269000BA978A0717E70100130787EABA973E85BA +:1026A000EFD05FECB24785073EC632479147E3FE65 +:1026B000E7FC17E501001305E5EEEFD0BFEA17E5EB +:1026C0000100130565EFEFD0FFE917E501001305E1 +:1026D00065F0EFD03FE917E501001305E5F0EFD015 +:1026E0007FE817E501001305A5F1EFD0BFE797E7F5 +:1026F00001009387A7ED17E701001307A7EA98C326 +:1027000097E701009387C7EC17E701001307C7EAB3 +:1027100098C30100F24005618280011106CE8DA8A8 +:10272000EFF00FCC97E70100938707EC9C4393B73A +:10273000170093F7F70F3EC6EFF04FCCB247A9EB67 +:10274000E52197E70100938727EADC47DC473EC491 +:10275000A24791073E85EFD0DFEF97E7010093870F +:1027600067EB9C431387F7FF97E70100938787EA9E +:1027700098C397E70100938767E89C431387F7FFA7 +:1027800097E70100938787E798C3F1212245912CB1 +:1027900097E70100938787E69C43D9F30100F24055 +:1027A00005618280011106CE2AC697E70100938752 +:1027B00067CE9C433247D8C397E701009387C7E5AC +:1027C0009C4332476372F70297E70100938747E023 +:1027D000984397E701009387E7CB9C439107BE8519 +:1027E0003A85EFD07FE035A897E70100938707DEB1 +:1027F000984397E701009387E7C99C439107BE85FB +:102800003A85EFD07FDE97E701009387A7E29C43EC +:1028100032476378F70097E701009387A7E13247D3 +:1028200098C30100F24005618280797106D6AA87BB +:102830002EC42317F100A24789EB8357E1008A07D2 +:102840003E85EF104051AA8711A0A2473ECCE24737 +:1028500085C313050006EF1000502ACEF24789C742 +:10286000F247624798DB31A06245EF10C06111A0CA +:1028700002CEF24781CFF247985B8357E1008A0787 +:102880003E869305500A3A85EF104075F2473E8523 +:10289000B25045618280397106DE22DC2AC62EC420 +:1028A00032C202D6A2479C43638D070EA2473ED494 +:1028B000A257DC43D843A257D8C3A257D843A25744 +:1028C000A1076317F700A257DC43D843A257D8C328 +:1028D000A257DC43DC473ED2A2473ED08257DC43BE +:1028E000D8438257D8C38257D8438257A10763176A +:1028F000F7008257DC43D8438257D8C38257DC4362 +:10290000DC473ECEB25796073247BA97724798C314 +:10291000B25796073247BA97724713074703D8C38F +:10292000B25796073247BA977247384798C7B25797 +:1029300096073247BA971247D8C7B2579607324719 +:10294000BA977247585798CB12478D47631BF700C9 +:10295000F2479C5799C7B25796073247BA9709472B +:10296000D8C7B25796073247BA977247384BD8CB79 +:10297000B25796073247BA9723AC0700F247945BE9 +:10298000B257960732473304F70036850D20AA87E1 +:10299000231EF400B25785073ED672479257E31DB7 +:1029A000F7F2B2573E85F2506254216182800111E4 +:1029B0002AC602CE39A0B24785073EC6F247850730 +:1029C0003ECEB24703C707009307500AE305F7FE60 +:1029D000F24789833ECEF247C207C1833E85056137 +:1029E0008280011106CE2AC6B2479C5B3E85EF105D +:1029F00080493245EF1020490100F2400561828094 +:102A0000411197E70100938767BC9C439C4399E37E +:102A1000854711A0814781CB97E70100938787C144 +:102A20007D5798C305A097E70100938727BA9C4379 +:102A3000DC47DC473EC6B247D84397E7010093879F +:102A400067BF98C3010041018280411197E70100EF +:102A5000938747A49C433EC6B2473E85410182808E +:102A6000411197E701009387A7BB9C4381E7854706 +:102A70003EC619A897E70100938707BC9C4381E7EE +:102A800089473EC611A002C6B2473E8541018280F9 +:102A9000797106D62AC6B2473ECEB247F9C3F2478D +:102AA000D85797E701009387E79E9C43DC57637AEA +:102AB000F70AF2479C4F63CC070097E70100938722 +:102AC000679D9C43DC5715471D8FF24798CFF2470F +:102AD000D44BF247D857BA878A07BA978A0717E7BD +:102AE00001001307E7A5BA976394F600854711A084 +:102AF0008147A5C3F24791073E85EFD09FB597E781 +:102B00000100938727999C43D857F247D8D7F247BB +:102B1000D85797E70100938767B09C4363F9E700B4 +:102B2000F247D85797E70100938747AF98C3F2471A +:102B3000D857BA878A07BA978A0717E70100130799 +:102B400027A03E97F2479107BE853A85EFD0DFA5D3 +:102B500009A897E701009387E7939C43D857F2476A +:102B6000D8D70100B25045618280797106D62AC655 +:102B7000B2473ECC02CEB247DDC397E70100938750 +:102B800067919C4362476305F7007370043001A0AE +:102B9000E247FC4B81E77370043001A0E247FC4B35 +:102BA0001387F7FFE247F8CBE247D857E247BC4B21 +:102BB0006307F706E247FC4BBDE3E24791073E851A +:102BC000EFD03FA9E247B84BE247D8D7E247DC57FE +:102BD00015471D8FE24798CFE247D85797E7010086 +:102BE0009387C7A39C4363F9E700E247D85797E769 +:102BF00001009387A7A298C3E247D857BA878A07EC +:102C0000BA978A0717E70100130787933E97E247B1 +:102C10009107BE853A85EFD03F9985473ECEF24772 +:102C20003E85B250456182807370043097E70100A1 +:102C30009387079F9C4391CF97E7010093878785F0 +:102C40009C43F8430507F8C397E7010093878784FF +:102C50009C430100828097E701009387679C9C4317 +:102C60008DCB97E701009387E7829C43FC4395C394 +:102C700097E70100938707829C43F8437D17F8C3C9 +:102C800097E70100938707819C43FC4399E37360B6 +:102C9000043001008280411197D701009387877F1C +:102CA0009C439C4F3EC697D701009387A77E9C43C9 +:102CB000D85797D701009387E77D9C439546338784 +:102CC000E64098CFB2473E854101828097D7010008 +:102CD0009387477C9C4389CB97D701009387877B59 +:102CE0009C43F84B0507F8CB97D701009387877A69 +:102CF0009C433E858280797106D62AC62EC42D3724 +:102D000097D70100938707799C43BC4FADE397D7D2 +:102D10000100938727789C430547F8CFA247A1CBB2 +:102D200097D70100938707779C4391073E85EFD0A3 +:102D30005F922247FD576310F70297D701009387F0 +:102D400067759C439107BE8517E501001305458B08 +:102D5000EFD09F8519A897E701009387E78B9C43E5 +:102D60002247BA973ECE7245353CEFD02FEAE53583 +:102D7000653D97D701009387E7719C43BC4F3ECCDC +:102D8000E2479DC3B24789CB97D7010093878770ED +:102D90009C4323AC070409A897D701009387876F4A +:102DA0009C43B84F7D17B8CF97D701009387876EA4 +:102DB0009C4323AE07044535E2473E85B25045614A +:102DC0008280797106D62AC62EC432C236C0A93D89 +:102DD00097D701009387076C9C43F84F8947630D91 +:102DE000F70697D701009387E76A9C43B44F3247B1 +:102DF0001347F7FF758FB8CF97D70100938787697F +:102E00009C430547F8CF8247A1CB97D70100938712 +:102E100067689C4391073E85EFD0BF830247FD570B +:102E20006310F70297D701009387C7669C43910709 +:102E3000BE8517D501001305A57CEFD0EFF619A8C4 +:102E400097D701009387477D9C430247BA973ECCB2 +:102E50006245893AEFD08FDBFD3BF933924789CB4E +:102E600097D70100938707639C43B84F924798C355 +:102E700097D70100938707629C43F84F85476314F7 +:102E8000F70002CE31A897D701009387A7609C4333 +:102E9000B44F22471347F7FF758FB8CF85473ECE13 +:102EA00097D701009387075F9C4323AE07046533E0 +:102EB000F2473E85B25045618280797106D62AC6B6 +:102EC0002EC432C236C085473ECEB24781E773700A +:102ED000043001A0B2473ECC813B824789C7E2471C +:102EE000B84F824798C3E247FC4F3ECAE2470947C2 +:102EF000F8CF1247914763EEE704924713972700F4 +:102F000097D7010093878710BA97984397D7010006 +:102F10009387C70FBA978287E247B84FA2475D8F62 +:102F2000E247B8CF3DA0E247BC4F13871700E24706 +:102F3000B8CF05A0E2472247B8CF21A8524789471A +:102F40006306F700E2472247B8CF21A002CE11A0C6 +:102F5000010052478547631AF706E24791073E850D +:102F6000EFD02FEFE247D85797D701009387076B31 +:102F70009C4363F9E700E247D85797D7010093874E +:102F8000E76998C3E247D857BA878A07BA978A078A +:102F900017D701001307C75A3E97E2479107BE852E +:102FA0003A85EFD06FE0E2479C5781C773700430D9 +:102FB00001A0E247D85797D701009387A74D9C43BC +:102FC000DC5763F4E700EFD06FC47131F2473E8500 +:102FD000B25045618280397106DE2ACE2ECC32CACB +:102FE00036C83AC685473ED6F24781E7737004304B +:102FF00001A0F2473ED4EFD04FCFAA873ED2C247BE +:1030000089C7A257B84FC24798C3A257FC4F3ED0BA +:10301000A2570947F8CF5247914763EEE704D247DA +:103020001397270097D70100938787FFBA97984394 +:1030300097D701009387C7FEBA978287A257B84FE8 +:10304000E2475D8FA257B8CF3DA0A257BC4F138770 +:103050001700A257B8CF05A0A2576247B8CF21A842 +:10306000025789476306F700A2576247B8CF21A0ED +:1030700002D611A0010002578547631DF708A25729 +:103080009C5781C77370043001A097D701009387C4 +:10309000A75A9C43A1EBA25791073E85EFD06FDB67 +:1030A000A257D85797D70100938747579C4363F996 +:1030B000E700A257D85797D701009387275698C3A0 +:1030C000A257D857BA878A07BA978A0717D7010035 +:1030D000130707473E97A2579107BE853A85EFD061 +:1030E000AFCC11A8A257E107BE8517D50100130583 +:1030F000654EEFD06FCBA257D85797D70100938773 +:1031000067399C43DC5763F7E700B24781C7B24792 +:10311000054798C392573E85EFD02FBCB2573E85E6 +:10312000F25021618280797106D62AC62EC4B24738 +:1031300081E77370043001A0B2473ECEEFD0EFBA02 +:10314000AA873ECCF247FC4F3ECAF2470947F8CF68 +:10315000F247BC4F13871700F247B8CF5247854755 +:10316000631DF708F2479C5781C77370043001A0B4 +:1031700097D701009387474C9C43A1EBF2479107F7 +:103180003E85EFD00FCDF247D85797D701009387F0 +:10319000E7489C4363F9E700F247D85797D7010007 +:1031A0009387C74798C3F247D857BA878A07BA9711 +:1031B0008A0717D701001307A7383E97F2479107F0 +:1031C000BE853A85EFD04FBE11A8F247E107BE8514 +:1031D00017D5010013050540EFD00FBDF247D857B2 +:1031E00097D701009387072B9C43DC5763F7E700D1 +:1031F000A24781C7A247054798C3E2473E85EFD063 +:10320000CFAD0100B25045618280797106D62AC6E1 +:10321000B2473ECCE24799E797D7010093878727CB +:103220009C4311A0E2473ECC0134E247F84F894766 +:103230006318F700E24723AE070485473ECE11A08E +:1032400002CE113CF2473E85B250456182800111A9 +:1032500006CE02C61D2B97D70100938727419C43BA +:103260009DC38148014881470947814613060040B4 +:1032700097D50100938505DC170500001305051B94 +:10328000EFE0AF852AC6B24781E77370043001A032 +:10329000B2473E85F24005618280397106DE2ACE52 +:1032A0002ECC32CA36C83AC6E24799E302D62DA8D8 +:1032B0001305C002EF00302A2AD6B25795C7F12174 +:1032C000B257724798C3B257624798CFB257524726 +:1032D000D8CFB257424798D3B2573247D8D3B25714 +:1032E00091073E85EFD04FABE24781E77370043022 +:1032F00001A0B2573E85F25021618280397106DE0D +:103300002ACE2ECC32CA36C83AC602D6F24781E758 +:103310007370043001A097D70100938727359C4331 +:10332000B5CBE2473ED0D2473ED2F2473ED46247C9 +:10333000954763C4E704EFF0AFF22A87894763102B +:10334000F70297D70100938767329C431810814694 +:103350003246BA853E85EFD0AFE02AD625A897D76A +:1033600001009387A7309C43181081460146BA8517 +:103370003E85EFD0EFDE2AD631A897D7010093879C +:10338000E72E9C43181081464246BA853E85EFD011 +:103390000FF32AD6B2573E85F25021618280011187 +:1033A0002AC6B2473ECEB24781E77370043001A00F +:1033B000F2479C433E8505618280797106D62AC614 +:1033C0002EC497D701009387E7299C43DC47DC474D +:1033D0003ECEF24791073E85EFD0AFA7F247D84FD8 +:1033E0008547631BF702F247984FB247BA97B24638 +:1033F0002246BE857245B9222A878547631EF7009B +:1034000001478146324681457245CD3D2ACCE2478F +:1034100081E77370043001A0F247DC537245829754 +:103420000100B25045618280797106D62AC63C08F7 +:103430003E8541282ACEE247BE8572451920692281 +:10344000FDB7797106D62AC62EC4EFE06FF93C08A5 +:103450003E8545282ACEE247B9EFA24799EB32478D +:10346000F24763E8E700EFE06FF9F2453245B13724 +:10347000A9A0A24781CF97D701009387E71E9C435D +:103480009C4393B7170093F7F70F3EC497D70100FB +:103490009387C71D94433247F247B307F74022464C +:1034A000BE853685EFD0FFDDEFE04FF5AA8791E7C7 +:1034B000EFC0DFF519A0EFE06FF40100B2504561F5 +:1034C000828001112AC697D701009387A7199C43D0 +:1034D0009C4393B7170093F7F70F3E87B24798C303 +:1034E000B2479C4391EB97D701009387A7179C4362 +:1034F000DC479C433ECE11A002CEF2473E850561DB +:103500008280797106D62AC6EFE01F842ACE97D72B +:1035100001009387E7159C4372476377F700692A98 +:10352000B247054798C321A0B24723A0070097D709 +:1035300001009387E713724798C3F2473E85B25064 +:1035400045618280797106D62AC62EC432C236C041 +:1035500002CEB2472247D8C3B247324798CB224760 +:10356000924763E8E702124782471D8FB2479C4F9C +:103570006365F70085473ECE99A097D701009387F2 +:10358000A70E9843B2479107BE853A85EFD0CF8505 +:103590003DA0124782476379F7002247824763655F +:1035A000F70085473ECE21A897D701009387870B68 +:1035B0009843B2479107BE853A85EFD0EF82F24734 +:1035C0003E85B25045618280797106D6D9A0A24766 +:1035D00063C0070CC2473ECEF247DC4B91C7F247AF +:1035E00091073E85EFD0EF865C003E85193F2ACCDF +:1035F000A2472547636FF7081397270097D7010065 +:103600009387C7A3BA97984397D70100938707A3D7 +:10361000BA9782873247F2479C4FBA973247BA86A9 +:103620006246BE857245393F2A8785476313F70690 +:10363000F247DC5372458297F247D84F8547631AA9 +:10364000F7043247F2479C4FBA97014781463E86BE +:103650008145724565312ACAD24785EF73700430BF +:1036600001A03247F24798CFF2479C4F81E7737031 +:10367000043001A0F247984FE247BA97E24662460B +:10368000BE857245C13531A07245EF00C07F11A0E3 +:10369000010097D70100938767FD9C43380081465E +:1036A0000146BA853E85EFD06FD8AA87E39107F22D +:1036B0000100B25045618280797106D671A097D71A +:1036C0000100938727FA9C43DC479C433ECC97D765 +:1036D0000100938727F99C43DC47DC473ECAD24769 +:1036E00091073E85EFC0FFF6D247DC5352458297E3 +:1036F000D247D84F85476319F704D2479C4F62479A +:10370000BA973EC84247E24763F4E702D2474247CE +:10371000D8C3D247524798CB97D70100938787F4F5 +:103720009843D2479107BE853A85EFC0FFEB29A8A1 +:1037300001478146624681455245C9362AC6B2478D +:1037400081E77370043001A097D70100938787F158 +:103750009C439C43ADF797D701009387A7F09C4308 +:103760003ECE97D70100938727F0984397D7010063 +:10377000938747EF98C397D701009387E7EE724787 +:1037800098C30100B25045618280411106C6EFF036 +:10379000AFC997D70100938767ED9C43C9E717D559 +:1037A00001001305A5E9EFC0FFDB17D501001305E4 +:1037B00025EAEFC03FDB97D701009387A7EA17D729 +:1037C00001001307A7E798C397D701009387C7E9BC +:1037D00017D701001307C7E798C30146B145114544 +:1037E000EFC07FF72A8797D70100938727E898C310 +:1037F00097D70100938787E79C4381E77370043074 +:1038000001A097D70100938767E69C4389CF97D79C +:1038100001009387A7E59C4397D501009385858494 +:103820003E85EFD05F9CEFF00FC30100B240410135 +:103830008280797106D62AC6B2473ECEB24781E76A +:103840007370043001A0EFF02FBEF247DC4BB337AA +:10385000F00093F7F70F3ECCEFF0EFBFE2473E8565 +:10386000B25045618280797106D62AC6B2473ECEF3 +:10387000B24781E77370043001A0EFF0EFBAF2476E +:103880009C533ECCEFF02FBDE2473E85B2504561E0 +:103890008280797106D62AC62EC4B2473ECEB24780 +:1038A00081E77370043001A0EFF00FB8F2472247B0 +:1038B00098D3EFF04FBA0100B250456182800111F8 +:1038C00006CE714549292AC6B24789CBB24723A003 +:1038D0000700B24791073E85EFC0DFC8B2473E857B +:1038E000F24005618280397106DE2AC62EC432C2DA +:1038F00036C0B2473ED402D21247B70700FFF98F55 +:1039000081C77370043001A0924781E7737004305F +:1039100001A0EFF0EF94AA8799E3824799E38547E6 +:1039200011A0814781E77370043001A0EFE04FAB35 +:10393000A2579C433ED0A245324585240257A24758 +:103940005D8F9247F98F12476310F7020257A24723 +:10395000D98F3ED6A2579843924793C7F7FF7D8FE2 +:10396000A25798C302C025A0824799CFA257938639 +:1039700047001247B7070005D98F0246BE85368536 +:10398000EFE03F8902D621A0A2579C433ED6EFE04C +:10399000EFA62ACE8247B1CBF24799E3EFC01FA72B +:1039A000EFF06FAF2AD63257B7070002F98F85EBD9 +:1039B000EFF08FA7A2579C433ED632579247F98F1C +:1039C0001247631AF700A2579843924793C7F7FF2D +:1039D0007D8FA25798C3EFF00FA885473ED232578C +:1039E000B7070001FD17F98F3ED6B2573E85F2505A +:1039F000216182805D7186C62ACE2ECC32CA36C83D +:103A00003AC6F2473EDA02DC02D8F24781E7737029 +:103A1000043001A06247B70700FFF98F81C77370B8 +:103A2000043001A0E24781E77370043001A0EFF099 +:103A30002F83AA8799E3B24799E3854711A081476D +:103A400081E77370043001A0EFE08F99D2579C4357 +:103A50003ED64246E245325575242AD4A25799CF24 +:103A6000B2573EDE02C6D247A9CBD2579843E247AF +:103A700093C7F7FF7D8FD25798C381A0B24781E7E4 +:103A8000B2573EDE1DA8D24791C76257B707000163 +:103A9000D98F3EDCC24791C76257B7070004D98F60 +:103AA0003EDCD257938647006247E257D98F3246B1 +:103AB000BE853685EFE0EFF502DEEFE02F942AD2E7 +:103AC000B247B9CB925799E3EFC05F94EFF0AF9C48 +:103AD0002ADE7257B7070002F98F8DEBEFF0CF9413 +:103AE000D2579C433EDE4246E2457255212CAA87BE +:103AF00099CBD24789CBD2579843E24793C7F7FF78 +:103B00007D8FD25798C3EFF00F9502D87257B70741 +:103B10000001FD17F98F3EDEF2573E85B640616128 +:103B20008280797106D62AC62EC4B2473ECEB247ED +:103B300081E77370043001A02247B70700FFF98FB7 +:103B400081C77370043001A0EFF00F8EF2479C43E1 +:103B50003ECCF2479843A24793C7F7FF7D8FF247C9 +:103B600098C3EFF04F8FE2473E85B25045618280A7 +:103B7000797106D62AC6B2473ECEEFC01F97AA87F4 +:103B80003ECCF2479C433ECAE2473E85EFC0FF94DD +:103B9000D2473E85B250456182805D7186C62AC695 +:103BA0002EC402DCB2473ED802DAB24781E7737016 +:103BB000043001A02247B70700FFF98F81C7737057 +:103BC000043001A0C25791073ED6B257A1073ED498 +:103BD000EFE00F81B257DC473EDEC2579843A24761 +:103BE0005D8FC25798C3BDA8F257DC433ED2F2574F +:103BF0009C433ED002DA0257B70700FFF98F3ECE52 +:103C00000257B7070001FD17F98F3ED07247B7077B +:103C10000004F98F89EBC25798438257F98F89CFF7 +:103C200085473EDA11A8C25798438257F98F025749 +:103C30006314F70085473EDAD2579DC37247B70732 +:103C40000001F98F89C762578257D98F3EDCC2576E +:103C50009843B7070002D98FBE857255EFE00FF980 +:103C600092573EDE7257A257E310F7F8C2579843B7 +:103C7000E25793C7F7FF7D8FC25798C3EFD01FF865 +:103C8000C2579C433E85B64061618280797106D6F9 +:103C90002AC6B2473ECEF24791073ECCEFD05FF442 +:103CA0000DA0E247D847E247A1076315F7007370FC +:103CB000043001A0E247DC47B70500023E85EFE093 +:103CC000EFF2E2479C43F1FF7245752AEFD01FF3F4 +:103CD0000100B25045618280011106CE2AC62EC471 +:103CE000A24532455D3D0100F2400561828001112F +:103CF00006CE2AC62EC4A245324525350100F24023 +:103D00000561828001112AC62EC432C202CE9247BA +:103D100081EB3247A247F98F81CF85473ECE09A874 +:103D20003247A247F98F22476314F70085473ECEFA +:103D3000F2473E850561828001112AC6B2473ECC1A +:103D4000B24799E302CE21A0E2479C4F3ECEF24714 +:103D50003E8505618280397106DE2AC602D2EFD027 +:103D60003FE8976703009387E7919C4381EB5522D7 +:103D70009767030093870791054798C3B24789CF98 +:103D8000A1473E87B247BA973EC6B2478D8B89C7D7 +:103D9000B247F19B91073EC6B247F9CB3247E56780 +:103DA000ED1763E7E70C976703009387A78C3ED472 +:103DB000976703009387078C9C433ED631A0B25788 +:103DC0003ED4B2579C433ED6B257DC43324763F5EC +:103DD000E700B2579C43E5F7325797670300938794 +:103DE000E7896307F708A2579C432147BA973ED259 +:103DF000B2579843A25798C3B257D843B247B307B4 +:103E0000F740214706076378F7043257B247BA975D +:103E10003ECEB257D843B2471D8FF247D8C3B257F0 +:103E20003247D8C3F247DC433ECC97670300938701 +:103E300067843ED021A082579C433ED082579C434A +:103E4000DC436247E3E9E7FE82579843F24798C3B1 +:103E50008257724798C397C701009387E7649843D6 +:103E6000B257DC431D8F97C701009387E76398C360 +:103E7000EFD0DFD8925799E3EF00D03692573E85C6 +:103E8000F25021618280797106D62AC6B2473ECCB3 +:103E9000B247BDC3A147B307F0406247BA973ECCD3 +:103EA000E2473ECAEFD0DFD3D247DC433EC8975744 +:103EB00003009387277C3ECE21A0F2479C433ECE51 +:103EC000F2479C43DC434247E3E9E7FEF24798436D +:103ED000D24798C3F247524798C3D247D84397C7AF +:103EE00001009387675C9C433E9797C701009387C7 +:103EF000A75B98C3EFD09FD00100B250456182808C +:103F000097C701009387475A9C433E8582800100F2 +:103F10008280411197C7010093870776F19B3EC6C7 +:103F20009757030093870775324798C39757030045 +:103F30009387477423A2070097570300938707745A +:103F400065677117D8C3975703009387277323A01A +:103F50000700B2473EC4A24765677117D8C3A2479E +:103F6000175703001307877198C30100410182802E +:103F700001112AC62EC432C2A2473ECEB2473ECC61 +:103F80003247A247D98F8D8BA1E319A8F2479843F6 +:103F9000E24798C3E24791073ECCF24791073ECEF5 +:103FA0009247F5173247BA976247E361F7FE29A8AF +:103FB0007247930717003ECEE2479386170036CC30 +:103FC000034707002380E70032479247BA976247CA +:103FD000E360F7FEB2473E850561828001112AC683 +:103FE0002EC432C232479247D98F8D8B9DEFA247A4 +:103FF00093F7F70F3ECAD247A2075247D98F3ECA5E +:10400000D247C2075247D98F3ECAB2473ECE39A0E7 +:10401000F247138747003ACE524798C33247924738 +:10402000BA977247E366F7FE1DA0B2473ECC11A8CF +:10403000E247138717003ACC22471377F70F238004 +:10404000E70032479247BA976247E363F7FEB24709 +:104050003E850561828001112AC6B2473ECE21A06D +:10406000F24785073ECEF24783C70700F5FB72474C +:10407000B247B307F7403E850561828001112AC629 +:104080002EC4B247138717003AC683C70700A30F91 +:10409000F100A247138717003AC483C70700230F14 +:1040A000F1008347F10199C70347F1018347E1011B +:1040B000E309F7FC0347F1018347E101B307F74048 +:1040C0003E850561828001112AC62EC4B2473ECECC +:1040D00001002247930717003EC4F247938617005A +:1040E00036CE034707002380E70083C70700F5F3B8 +:1040F000B2473E850561828001112AC602CE02CCFC +:1041000021A0B24785073EC6B24703C70700930701 +:104110000002E308F7FEB24703C707009307D00287 +:104120006309F700B24703C707009307B0026311A2 +:10413000F704B24783C70700938737FD93B717008B +:1041400093F7F70F3ECCB24785073EC615A07247DE +:10415000BA878A07BA9786073ECEB24713871700F9 +:104160003AC683C70700938707FD7247BA973ECECA +:10417000B24783C70700E1FFE24789C7F247B307A9 +:10418000F04011A0F2473E850561828001112AC6E8 +:1041900097570300938707539C439386170017573D +:1041A00003001307275214C332471377F70F9756AC +:1041B000030093862655B6972380E7003247A94728 +:1041C000630BF700975703009387C74F98439307F4 +:1041D00000046315F704975703009387A7523ECE58 +:1041E00005A8F247138717003ACE03C70700B707A1 +:1041F00010F0938707F098C3975703009387874C75 +:104200009C431387F7FF975703009387A74B98C3E7 +:10421000975703009387074B9C43E1F781473E85FF +:10422000056182804D7123261114232481142322D9 +:10423000911423202115232E3113232C4113232ADB +:1042400051132ACE2ECC32C836CA3AC63EC442C218 +:1042500023260112B2473E8A814AC24752485286FB +:10426000D6863E85C285EF10303AAA872E883E86D4 +:10427000C2868327C112138717002326E1123287D3 +:104280008A07141AB69723AEE7EEB2473E848144FC +:10429000D247268763E8E702D24726876396E7007E +:1042A000C247228763E0E702B2473E8981494A86D6 +:1042B000CE864245D245EF106057AA872E883EC869 +:1042C00042CA49BF010029A0F247E2451245829740 +:1042D000A2471387F7FF3AC40327C112E346F7FE4C +:1042E00025A88327C1128A07181ABA9703A7C7EF10 +:1042F0008327C1128A07141AB69783A6C7EFA5476A +:1043000063F5D7009307700519A093070003BA97C8 +:104310007247E2453E8502978327C1121387F7FF54 +:104320002326E112E34FF0FA01008320C114032495 +:10433000811483244114032901148329C113032AFE +:104340008113832A41137161828041112AC62EC4D0 +:10435000A2460547635ED700B2479C439D07E19B99 +:1043600093868700324714C303A847009C4335A0B7 +:10437000224719CB3247184313064700B24690C272 +:104380001843BA87014811A832471843130647005B +:10439000B24690C21843BA8701483E85C2854101A2 +:1043A000828041112AC62EC4A2460547635ED7000B +:1043B000B2479C439D07E19B93868700324714C315 +:1043C00003A847009C4305A8224701CF3247184362 +:1043D00013064700B24690C21843BA877D873A88D1 +:1043E00019A83247184313064700B24690C2184333 +:1043F000BA877D873A883E85C285410182803971BE +:1044000006DE22DC26DA2AC62EC432C236C011A845 +:1044100063070424924785073EC2B247A24522851E +:104420008297924783C707003E8493075002E311A7 +:10443000F4FE924785073EC292473EC893070002AA +:10444000A30BF100FD573ECEFD573ECC02D0924764 +:10445000138717003AC283C707003E849307D4FD31 +:10446000130750056364F71E1397270097C70100D1 +:10447000938747C0BA97984397C70100938787BF30 +:10448000BA9782879307D002A30BF100C9B79307AD +:104490000003A30BF10065BF02CC6247BA878A070D +:1044A000BA978607A297938707FD3ECC924783C7AA +:1044B00007003E849307F00263D6870293079003B8 +:1044C00063C28702924785073EC2C1BF82471387F6 +:1044D00047003AC09C433ECC39A0F247E3D907F6E7 +:1044E00002CEB5B70100F247E3D307F6E2473ECE6E +:1044F000FD573ECCA9BF825785073ED089BF824772 +:10450000138747003AC09C433247A2453E85029735 +:10451000B1A28247138747003AC0844389E497C415 +:1045200001009384A4B4F2476357F0040347710178 +:104530009307D0026301F704E247BE852685EF109A +:10454000A02C2A87F247998F3ECE11A8834771018C +:104550003247A2453E850297F247FD173ECEF2470D +:10456000E346F0FE09A8B247A2452285829785045A +:10457000F247FD173ECE83C704003E841DC0E247CC +:10458000E3C307FEE247FD173ECCE247E3DD07FC4D +:1045900009A8B247A245130500028297F247FD170A +:1045A0003ECEF247E347F0FE55A88A8782553E8506 +:1045B000CD3BAA872E883ED442D6A2573258C28716 +:1045C00063D90702B247A2451305D0028297A255CC +:1045D000325681470148B386B740368533B5A700C8 +:1045E0003307C840B307A7403E87B6873A883ED412 +:1045F00042D6A9473ED21DA8A9473ED215A0A14741 +:104600003ED239A885473ED0B247A24513050003E4 +:104610008297B247A245130580078297C1473ED2D1 +:104620008A8782553E8515332AD42ED61257834762 +:1046300071013E88F2472256B256A2453245DD3618 +:1046400031A8B247A2452285829709A8B247A24560 +:10465000130550028297C2473EC20100D9B3010040 +:10466000F2506254D2542161828041112AC6B707A8 +:1046700010F0938707F2324798C301A05D7106D608 +:104680002AC62EDA32DC36DEBAC0BEC2C2C4C6C604 +:104690009C0891173ECEF247BE86324681451705EB +:1046A00000001305E5AEA13B81473E85B250616134 +:1046B000828001112AC62EC41EC2A2473ECEF247F6 +:1046C0009C4332471377F70F2380E700F2479C4360 +:1046D00013871700F24798C30100056182801D719E +:1046E00006DE2AC62EC4B2C4B6C6BAC8BECAC2CC7A +:1046F000C6CE9C103ED25C084C081706000013067C +:1047000086FB7D779346F7FF0565130505803388A3 +:10471000A5003378E8001368783B23A007013295A1 +:10472000698F13677733D8C333F7D50093154701E3 +:1047300037870300130737394D8F98C73377D60073 +:10474000931647013707030013077706558FD8C71D +:104750000F100000B2473ED69C10A1173ED422573E +:104760005C083E857C00BA862246BE854939B24740 +:1047700023800700B2473E87B257B307F7403E8514 +:10478000F250256182804111370510F0130545F480 +:1047900008412AC6370510F0130505F408412AC45C +:1047A000370510F0130545F40841B248E39EA8FC14 +:1047B00032452A8381431317030081462245AA8587 +:1047C0000146B3E7B6003368C700370710F013059A +:1047D00087F48565938585380146B386B700B6882A +:1047E000B3B8F8003307C800B387E8003E87B68740 +:1047F0003A881CC123220501010041018280411138 +:1048000006C651379307000873A047300100B24035 +:1048100041018280011106CE2AC617C50100130589 +:10482000E59AEFF0BFE50100F240056182800111D9 +:1048300006CE2AC617C501001305C599EFF01FE47F +:104840000100F24005618280797106D62AC602CE47 +:1048500029A00100F24785073ECE724785679387FE +:104860007738E3D8E7FE17C5010013052597EFF069 +:10487000FFE0F1BF411106C64922EF00E07BEF00E7 +:10488000102C0100B24041018280011106CE02C607 +:10489000EF00C0722A878547630CF70017C5010037 +:1048A00013054594EFF09FDDB24793E727003EC61E +:1048B000EF0030212A878547630CF70017C50100F8 +:1048C00013058594EFF09FDBB24793E747003EC6A0 +:1048D000EF0090662A878547630CF70017C5010033 +:1048E00013050595EFF09FD9B24793E787003EC6C1 +:1048F000B2473E85F24005618280011106CE02C6B4 +:10490000953F170700001307670481460546930586 +:10491000400617C501001305E593EFE01F982AC66E +:10492000B24799CBEFD04FC2AA87014781463E8656 +:1049300085453245EFE09F9C02C4EFD08FA08147B0 +:104940003E85F24005618280797106D62AC697C7F6 +:104950000100938767B49C431387470697C70100FC +:10496000938787B398C397C70100938727B39C4366 +:104970001387470697C70100938747B298C397C725 +:104980000100938767B198438567938777BB63D5A9 +:10499000E70497C70100938727B09843FD77938773 +:1049A00087443E9797C70100938707AF98C3F13DAF +:1049B0002ACEF24799EB97C70100938727AE984319 +:1049C00089679387773263DBE700F2473E85EFF034 +:1049D000DFC9EFD0EF9E21A0010011A00100B2506D +:1049E000456182807370043001A001008280411112 +:1049F0002AC62EC47370043001A0411106C60146B8 +:104A000091451545EFB03FD52A8797570300938707 +:104A100067D398C3975703009387C7D29C4397C522 +:104A200001009385E5833E85EFC0EFFB8148014897 +:104A30008147094781461306004097C501009385C9 +:104A40006583170500001305C503EFC01F89814862 +:104A5000014897570300938727CF054781461306E0 +:104A6000004097C501009385658117050000130577 +:104A70008541EFC09F860100B240410182803971BB +:104A800006DE2AC602D0A5A882572947B317F70029 +:104A90003ED6EFD06FAB2AD497570300938787CACF +:104AA0009C43780881463256BA853E85EFC00F9800 +:104AB000AA8799C7975703009387C7C9054798C323 +:104AC000EFD08FA82A87A257B307F7403ED21257DC +:104AD000B2576378F700975703009387A7C7054736 +:104AE00098C3B257BD07125763F8E7009757030002 +:104AF000938747C6054798C3825785073ED002571C +:104B00009147E3D3E7F802D015A89757030093879E +:104B100067C39C43181081460146BA853E85EFB0B5 +:104B20003FE42A8785476308F70097570300938778 +:104B300067C2054798C3825785073ED00257914701 +:104B4000E3D5E7FC02D0A5A882572947B317F700A1 +:104B50003ED6EFD06F9F2AD497570300938787BE26 +:104B60009C43181081463256BA853E85EFB05FDF10 +:104B7000AA8799C7975703009387C7BD054798C36E +:104B8000EFD08F9C2A87A257B307F7403ED2125727 +:104B9000B2576378F700975703009387A7BB054781 +:104BA00098C3B257BD07125763F8E7009757030041 +:104BB000938747BA054798C3825785073ED0025767 +:104BC0009147E3D3E7F8975703009387E7B823A00B +:104BD000070097570300938727B79C433E85EFC094 +:104BE000DFDF21A05145EFC07FA2975703009387D5 +:104BF000A7B6984393075005E316F7FE5145EFC05B +:104C0000FFA097570300938727B523A0070002D082 +:104C1000C1A0975703009387E7B29C437808814669 +:104C20000146BA853E85EFC06F802A8785476308B5 +:104C3000F700975703009387E7B1054798C3975745 +:104C40000300938727B09C43181081460146BA851C +:104C50003E85EFB0FFD02A8785476308F700975756 +:104C60000300938727AF054798C39757030093879F +:104C7000A7AE9843930750056318F70097570300B2 +:104C8000938747AD054798C3975703009387C7ABF2 +:104C90009C4391453E85EFC0DFAD97570300938756 +:104CA000A7AB9843930750056318F7009757030085 +:104CB000938747AA054798C3975703009387C7A8C8 +:104CC0009C4385453E85EFC0DFAA825785073ED0CD +:104CD00002579147E3DFE7F221A05145EFC01F9350 +:104CE00097570300938747A7984393075005E31608 +:104CF000F7FE5145EFC09F91975703009387C7A5D3 +:104D000023A0070002D015A897570300938787A315 +:104D10009C43780881460146BA853E85EFB01FF175 +:104D20002A8785476308F70097570300938787A270 +:104D3000054798C3825785073ED002579147E3D570 +:104D4000E7FC97570300938727A09C433E85EFC05D +:104D5000DFC821A05145EFC07F8B97570300938791 +:104D6000A79F984393075005E316F7FE5145EFC000 +:104D7000FF89975703009387279E23A0070002D03F +:104D8000C1A0975703009387E79B9C431810814667 +:104D90000146BA853E85EFB0BFBC2A8785476308C8 +:104DA000F700975703009387E79A054798C39757EB +:104DB0000300938727999C43780881460146BA856A +:104DC0003E85EFB0BFE62A8785476308F70097570F +:104DD000030093872798054798C397570300938745 +:104DE000A7979843930750056318F7009757030058 +:104DF00093874796054798C3975703009387C794AF +:104E00009C4391453E85EFC0DF96975703009387FB +:104E1000A7949843930750056318F700975703002A +:104E200093874793054798C3975703009387C79184 +:104E30009C4385453E85EFC0DF93825785073ED072 +:104E400002579147E3DFE7F221A05145EFC00FFC85 +:104E50009757030093874790984393075005E316AD +:104E6000F7FE5145EFC08FFA975703009387078EDF +:104E70009C4313871700975703009387278D98C388 +:104E800011B1797106D62AC60145EFC0FFA2EFC065 +:104E9000BFEB2ACE02CA975703009387E78B13070D +:104EA000500598C3975703009387C7899C435808B8 +:104EB00081461306F00ABA853E85EFB07FAAAA871D +:104EC00099C7975703009387E788054798C3EFC0B2 +:104ED000BFE72A87F247B307F7403ECC6247930704 +:104EE000E00A63E8E700975703009387A786054722 +:104EF00098C362479307E00B63F8E70097570300F6 +:104F000093874785054798C3975703009387C784BE +:104F10001307500598C30145EFC01F9AEFC0DFE2A9 +:104F20002ACE97570300938727831307500598C30A +:104F300097570300938707819C43580881461306BF +:104F4000F00ABA853E85EFB07FCEAA8799C79757FA +:104F5000030093872780054798C3EFC0FFDE2A87A9 +:104F6000F247B307F7403ECC62479307E00A63E895 +:104F7000E700974703009387E77D054798C362479B +:104F80009307E00B63F8E700974703009387877C5C +:104F9000054798C3974703009387077C130750057D +:104FA00098C3974703009387A77A9C4313871700FA +:104FB000974703009387C77998C3F9B5411185478F +:104FC0003EC6974703009387677898439747030047 +:104FD0009387C7789C436313F70002C69747030083 +:104FE00093870777984397470300938767779C4396 +:104FF0006313F70002C6974703009387A77598438A +:1050000085476313F70002C69747030093874774E9 +:105010009843974703009387A77498C39747030063 +:105020009387C7729843974703009387277398C362 +:10503000B2473E8541018280411106C69305800C2E +:105040001305800CEFB05F8D2A8797470300938785 +:10505000A77198C397470300938707711307A00AA6 +:10506000D8C3974703009387277023A4070081457F +:105070001305800CEFB05F8A2A8797470300938758 +:10508000A76ED8C7974703009387076E23A807002A +:10509000974703009387476D23AA070097470300AC +:1050A0009387876C9C4397B501009385A51D3E852A +:1050B000EFC06F93974703009387076BDC4797B563 +:1050C00001009385251D3E85EFC0EF9197470300B2 +:1050D000938787699C4399E7974703009387C7683D +:1050E000DC47A9CB81480148814701479746030027 +:1050F000938686671306004097B501009385851A4D +:10510000170500001305C515EFC02F9D8148014804 +:1051100081470147974603009386C6651306004002 +:1051200097B50100938585181705000013054513F1 +:10513000EFC0AF9A0100B24041018280797106D67A +:105140002AC62EC48146014681453245EFB05F81B3 +:105150002A8785476318F70097470300938787607E +:10516000054798C302CE15A88146014681453245C0 +:10517000EFB0DFAB2A8785476308F7009747030046 +:105180009387475E054798C3A2479C431387170040 +:10519000A24798C3F24785073ECE72479307700C2B +:1051A000E3F4E7FC8146014681453245EFB01FA894 +:1051B0002A8785476318F700974703009387875A24 +:1051C000054798C30100B25045618280797106D6C7 +:1051D0002AC62EC48146014681453245EFB01FA53F +:1051E0002A8785476318F7009747030093878757F7 +:1051F000054798C302CE15A8814601468145324530 +:10520000EFB00FF62A8785476308F700974703003A +:1052100093874755054798C3A2479C4313871700B8 +:10522000A24798C3F24785073ECE72479307700C9A +:10523000E3F4E7FC8146014681453245EFB04FF289 +:105240002A8785476318F70097470300938787519C +:10525000054798C30100B25045618280797106D636 +:105260002AC6B2473ECEF247D8439307A00A631935 +:10527000F700F2479843F247A107BE853A857D3D86 +:10528000F2479C438146014681453E85EFB01F9A17 +:105290002A8785476318F700974703009387874C51 +:1052A000054798C3F2479843F247A107BE853A8560 +:1052B000313FF2479843F247A107BE853A85BD3D8D +:1052C000D5B7411185473EC6974703009387874965 +:1052D0009C4391C302C6974703009387E7489847CA +:1052E000974703009387C7499C436314F70002C69E +:1052F00019A89747030093872747984797470300C4 +:105300009387074898C3974703009387E745D84B8F +:1053100097470300938707479C436314F70002C62F +:1053200019A89747030093872744D84B9747030052 +:105330009387474598C3B2473E854101828041111A +:1053400006C61145EFB0EFC92A8797470300938738 +:10535000A74398C397470300938707439C4397B598 +:105360000100938525F53E85EFB0FFE797470300E1 +:10537000938787419C43B5CB8148014897470300F9 +:1053800093874742094781461306004097B50100BD +:10539000938545F3170500001305E505EFB0FFF30E +:1053A0008148014897470300938707400547814696 +:1053B0001306004097B50100938545F117050000DD +:1053C00013056513EFB07FF181480148814701471C +:1053D00081461306004097B501009385A5EF170598 +:1053E00000001305451EEFB05FEF0100B240410120 +:1053F0008280797106D62AC6974703009387C738FB +:105400009C433E85EFB0CFC52A8785476318F700D8 +:105410009747030093878737054798C302CE15A89F +:1054200097470300938747369C43A1453E85EFB0DD +:105430006FC82A8785476308F7009747030093875B +:10544000E734054798C35145EFC04F9CF2478507A5 +:105450003ECE7247A547E3F5E7FC02CE0DA85145C5 +:10546000EFC0CF9A97470300938707329C433E854E +:10547000EFB00FBF2A8785476308F70097470300FF +:105480009387C730054798C3F24785073ECE7247DA +:10549000A547E3F6E7FC974703009387E72E9C4375 +:1054A0003E85EFB0EFBB2A8785476318F700974723 +:1054B00003009387A72D054798C397470300938759 +:1054C000A72D9C4313871700974703009387C72C8A +:1054D00098C3974703009387A72B054798C30145B7 +:1054E000EFC08FBD974703009387872A23A007004B +:1054F00021B7011106CE2AC6974703009387C72814 +:105500009C43F9553E85EFB0EFBA2A878547631370 +:10551000F706974703009387A727984385476309B2 +:10552000F7009747030093876726054798C389A824 +:1055300097470300938747259C433E85EFB04FB2C2 +:105540002A8785476308F700974703009387072456 +:10555000054798C3974703009387C723054798C318 +:105560000145EFC06FB5974703009387A72223A09B +:10557000070039A09747030093874721054798C341 +:1055800097470300938787219C431387170097470A +:105590000300938767209C436308F7009747030045 +:1055A0009387C71E054798C3974703009387071F34 +:1055B0009C4313871700974703009387271E98C3C0 +:1055C00025BF011106CE2AC6974703009387C71B44 +:1055D0009C4381453E85EFB0EFAD2A878547E315B3 +:1055E000F7FE974703009387E71B9C433E85EFC078 +:1055F000AF872A878D476305F7007370043001A0D9 +:10560000974703009387471A9C433E85EFC0CF8599 +:105610002A878D476305F7007370043001A0974710 +:1056200003009387271798438547631AF700974726 +:1056300003009387E715984385476309F700974769 +:1056400003009387A714054798C3F5A09747030065 +:10565000938707159C431387170097470300938789 +:10566000271498C397470300938707149C433E85EC +:10567000EFC0AFB6974703009387C7129C433E85A0 +:10568000EFC0AFB5974703009387C710984385478E +:10569000630AF700974703009387870F984385476E +:1056A0006318F700974703009387470E054798C391 +:1056B0000145EFC04F852A8789476305F70073705E +:1056C000043001A0974703009387C70D9C433E8594 +:1056D000EFB09FF92A8789476305F700737004309C +:1056E00001A0974703009387270C9C433E85EFB0AA +:1056F000BFF72A8789476305F7007370043001A05C +:1057000097470300938747089C433E85EFB04F952A +:105710002A8785476308F7009747030093870707A1 +:10572000054798C30145EFB01FFEAA87E38E07E83F +:105730007370043001A049BD41119747030093875E +:10574000E706984397470300938707059C4363192F +:10575000F7009747030093876703054798C319A885 +:10576000974703009387470398439747030093871E +:10577000E70398C3974703009387870398439747A6 +:1057800003009387A7019C436319F7009747030021 +:105790009387C7FF054798C319A8974703009387C6 +:1057A000E7FF9843974703009387870098C397477D +:1057B000030093872700984397470300938747FE8A +:1057C0009C436319F70097470300938727FC05471D +:1057D00098C319A897470300938787FC9843974776 +:1057E0000300938727FD98C397470300938707FA21 +:1057F000984385476314F70002C619A085473EC643 +:10580000B2473E8541018280B305B500930705008C +:105810006386B70003C70700631607003385A740F8 +:1058200067800000938717006FF09FFE130101FD52 +:1058300023229102232A51012326110223248102CB +:1058400023202103232E3101232C41012328610130 +:105850002326710123248101232291012320A10108 +:10586000930A050093840500639E0638130406001E +:105870009309050017B90100130949A663F8C51279 +:10588000B7070100138B05006378F6101307F00FBC +:105890003337C70013173700B357E6003309F90051 +:1058A000834609003387E60093060002B386E6408C +:1058B000638C0600B394D40033D7EA003314D600C7 +:1058C000336B9700B399DA00935A040193850A0069 +:1058D00013050B00EF00902A1309050093850A00B9 +:1058E000931B040113050B00EF00D02493DB0B0185 +:1058F000930405009305050013850B00EF00D020ED +:105900001319090193D70901B367F900138A040039 +:1059100063FEA700B3878700138AF4FF63E887005C +:1059200063F6A700138AE4FFB3878700B384A74018 +:1059300093850A0013850400EF0050241309050025 +:1059400093850A0013850400EF00D01E9399090186 +:1059500093040500930505001319090113850B0035 +:1059600093D90901EF00501AB36939011386040075 +:1059700063FCA900B30934011386F4FF63E68900D0 +:1059800063F4A9001386E4FF13140A013364C4000E +:10599000130A00006F000013B7070001130700018E +:1059A000E36CF6EE130780016FF01FEF138A060019 +:1059B000631A06009305000013051000EF0090170E +:1059C00013040500B7070100637EF4129307F00F7C +:1059D00063F48700130A8000B35744013309F900C8 +:1059E000034709009306000233074701B386E640E8 +:1059F00063940612B3848440130A1000135B0401FD +:105A000093050B0013850400EF00501713090500E0 +:105A100093050B0013850400931B0401EF00901104 +:105A200093DB0B01930405009305050013850B0020 +:105A3000EF00900D1319090193D70901B367F9001D +:105A4000938A040063FEA700B3878700938AF4FF5C +:105A500063E8870063F6A700938AE4FFB3878700B3 +:105A6000B384A74093050B0013850400EF001011C9 +:105A70001309050093050B0013850400EF00900B3C +:105A80009399090193040500930505001319090171 +:105A900013850B0093D90901EF001007B369390191 +:105AA0001386040063FCA900B30934011386F4FFD4 +:105AB00063E6890063F4A9001386E4FF13940A01E6 +:105AC0003364C4001305040093050A008320C10257 +:105AD0000324810283244102032901028329C10195 +:105AE000032A8101832A4101032B0101832BC10079 +:105AF000032C8100832C4100032D010013010103BD +:105B000067800000B7070001130A0001E366F4ECA8 +:105B1000130A80016FF05FEC3314D40033DAE40031 +:105B2000B399DA0033D7EA00935A0401B394D4004E +:105B300093850A0013050A00336B9700EF001004E9 +:105B40001309050093850A0013050A00931B04013D +:105B5000EF00407E93DB0B019304050093050500E5 +:105B600013850B00EF00407A1319090113570B013D +:105B70003367E900138A0400637EA70033078700B8 +:105B8000138AF4FF636887006376A700138AE4FF33 +:105B900033078700B304A74093850A0013850400E8 +:105BA000EF00C07D1309050093850A0013850400EA +:105BB000EF004078930405009305050013850B0062 +:105BC000EF00807413170B01135707011319090114 +:105BD000B367E9001387040063FEA700B38787005B +:105BE0001387F4FF63E8870063F6A7001387E4FFD9 +:105BF000B3878700131A0A01B384A740336AEA0007 +:105C00006FF0DFDF63ECD51EB707010063F4F60425 +:105C10001307F00FB335D7009395350033D7B6008F +:105C200097A701009387876BB387E70003C7070037 +:105C3000130A00023307B700330AEA4063160A0268 +:105C400013041000E3E096E833B6CA0013441600CC +:105C50006FF05FE7B707000193050001E3E0F6FC92 +:105C6000930580016FF09FFBB35CE600B39646019D +:105C7000B3ECDC0033D4E40093DB0C01B3974401B4 +:105C800033D7EA0093850B0013050400336BF7004C +:105C9000B3194601EF00806E1309050093850B00D0 +:105CA00013050400139C0C01EF00C068135C0C0189 +:105CB000930405009305050013050C00EF00C06474 +:105CC0001319090113570B013367E900138404000A +:105CD000637EA700330797011384F4FF636897017D +:105CE0006376A7001384E4FF33079701B304A7404A +:105CF00093850B0013850400EF004068130905002D +:105D000093850B0013850400EF00C0629304050027 +:105D10009305050013050C00EF00005F93170B01BE +:105D20001319090193D70701B367F900138604001B +:105D300063FEA700B38797011386F4FF63E897011A +:105D400063F6A7001386E4FFB387970113140401D9 +:105D5000B70B01003364C4001389FBFF337D2401BA +:105D600033F92901B384A7409305090013050D00F9 +:105D7000EF008059935C040193050900130B0500A3 +:105D800013850C00EF00405893D90901130C05004E +:105D90009385090013850C00EF00005713090500D7 +:105DA0009385090013050D00EF00005633058501AA +:105DB00093570B013385A70063748501330979017B +:105DC00093570501B387270163E6F402E392F4BC1D +:105DD000B70701009387F7FF3375F5001315050129 +:105DE000337BFB0033964A0133056501130A00003B +:105DF000E37AA6CC1304F4FF6FF09FB9130A0000F6 +:105E0000130400006FF01FCC130101FB2324810455 +:105E100023229104232E31032322910323261104EC +:105E200023202105232C4103232A51032328610326 +:105E300023267103232481032320A103232EB101F0 +:105E4000930C050093890500130405009384050055 +:105E5000639E062613090600138A060097AA01000E +:105E6000938ACA4763F4C514B70701006376F61234 +:105E70009307F00F63F4C700130A8000B35746017D +:105E8000B38AFA0003C70A0013050002330747016B +:105E9000330AE540630C0A00B395490133D7EC009F +:105EA00033194601B364B70033944C01935A090186 +:105EB00093850A0013850400EF00404C9309050008 +:105EC00093850A00131B090113850400EF00804627 +:105ED000135B0B019305050013050B00EF00C04297 +:105EE0009399090193570401B3E7F90063FAA700F6 +:105EF000B387270163E6270163F4A700B38727016F +:105F0000B384A74093850A0013850400EF0000477F +:105F10009309050093850A0013850400EF00804172 +:105F200013140401930505009399090113050B004F +:105F300013540401EF00403D33E48900637AA40068 +:105F400033042401636624016374A4003304240130 +:105F50003304A44033554401930500008320C10459 +:105F60000324810483244104032901048329C103F8 +:105F7000032A8103832A4103032B0103832BC102DC +:105F8000032C8102832C4102032D0102832DC101C8 +:105F90001301010567800000B7070001130A000123 +:105FA000E36EF6EC130A80016FF05FED631A0600F2 +:105FB0009305000013051000EF00C037130905001A +:105FC000B7070100637AF90E9307F00F63F4270116 +:105FD000130A8000B3574901B38AFA0003C70A00C5 +:105FE00013050002B384294133074701330AE54012 +:105FF000E30E0AEA33194901B3DAE900B39549011E +:1060000033D7EC0093540901336BB70013850A00B2 +:1060100093850400EF008036930905009385040002 +:10602000931B090113850A00EF00C03093DB0B01BD +:106030009305050013850B00EF00002D93990901CE +:1060400093570B01B3E7F90033944C0163FAA700AF +:10605000B387270163E6270163F4A700B38727010D +:10606000B38AA7409385040013850A00EF0000312E +:10607000930905009385040013850A00EF00802B27 +:106080009305050013850B00EF00002893150B0105 +:106090009399090193D50501B3E5B90063FAA50009 +:1060A000B385250163E6250163F4A500B3852501C9 +:1060B000B384A5406FF09FDFB7070001130A00010A +:1060C000E36AF9F0130A80016FF0DFF0E3E8D5E846 +:1060D000B707010063FCF604930BF00F33B5DB0048 +:1060E0001315350033D7A60097A701009387071F24 +:1060F000B387E70083CB070093050002B38BAB00A7 +:10610000338B7541631E0B0263E4360163EACC00F6 +:106110003384CC40B386D94033B58C00B384A640D9 +:1061200013050400938504006FF05FE3B7070001D7 +:1061300013050001E3E8F6FA130580016FF09FFAFA +:10614000B3966601335D7601336DDD0033D479019A +:10615000B395690133DC7C0193540D01336CBC00B1 +:106160001305040093850400B31A6601EF000021B3 +:10617000130A0500938504001305040033996C018C +:10618000931C0D01EF00001B93DC0C0113040500B0 +:106190009305050013850C00EF000017131A0A0180 +:1061A00013570C013367EA00130A0400637EA7004B +:1061B0003307A701130AF4FF6368A7016376A700FA +:1061C000130AE4FF3307A701B309A740938504002E +:1061D00013850900EF00801A93850400130405005D +:1061E00013850900EF0000159305050093040500D1 +:1061F00013850C00EF00401193150C0113140401DA +:1062000093D50501B365B4001387040063FEA500B0 +:10621000B385A5011387F4FF63E8A50163F6A50024 +:106220001387E4FFB385A501131A0A01B70C010017 +:10623000336AEA001384FCFFB3778A0033F48A00E0 +:10624000B384A54013850700930504002326F100BD +:10625000135A0A01EF00400B93090500930504004F +:1062600013050A00EF00400A13DC0A01930D050034 +:1062700093050C0013050A00EF0000098327C100F5 +:10628000130A050093050C0013850700EF00C007F3 +:106290003305B50113D709013307A7006374B701AC +:1062A000330A9A01B70701009387F7FF9355070157 +:1062B0003377F70013170701B3F7F900B3854501EA +:1062C000B307F70063E6B400639EB400637CF90093 +:1062D00033865741B3B7C700B385A541B385F540B1 +:1062E00093070600B307F9403339F900B385B4408A +:1062F000B385254133947501B3D767013365F40045 +:10630000B3D565016FF09FC51306050013050000A6 +:1063100093F61500638406003305C50093D5150078 +:1063200013161600E39605FE67800000634005061D +:1063300063C6050613860500930505001305F0FFE7 +:10634000630C060293061000637AB6006358C0001F +:106350001316160093961600E36AB6FE13050000A6 +:1063600063E6C500B385C5403365D50093D61600F6 +:1063700013561600E39606FE678000009382000025 +:10638000EFF05FFB13850500678002003305A04036 +:1063900063D80500B305B0406FF0DFF9B305B04036 +:1063A00093820000EFF01FF93305A04067800200E0 +:1063B0009382000063CA0500634C0500EFF09FF76D +:1063C0001385050067800200B305B040E35805FE61 +:1063D0003305A040EFF01FF63305B04067800200A0 +:02000004800278 +:1000000049444C4500000000482FFEFF102FFEFF22 +:100010001E2FFEFF2C2FFEFF342FFEFF5830FEFF59 +:100020002030FEFF2E30FEFF3C30FEFF4430FEFF4E +:10003000546D722053766300DC35FEFFDC35FEFF25 +:10004000DC35FEFF5A36FEFF2A36FEFF5036FEFF35 +:10005000DC35FEFFDC35FEFF5A36FEFF2A36FEFF9A +:10006000546D725100000000286E756C6C29000000 +:10007000DE43FEFFDC45FEFFD245FEFFDC45FEFF12 +:10008000DC45FEFFDC45FEFFDC45FEFF5C44FEFF79 +:10009000DC45FEFFDC45FEFF1444FEFF6A44FEFF24 +:1000A000DC45FEFF1E44FEFF2844FEFF2844FEFF01 +:1000B0002844FEFF2844FEFF2844FEFF2844FEFF9C +:1000C0002844FEFF2844FEFF2844FEFFDC45FEFFD7 +:1000D000DC45FEFFDC45FEFFDC45FEFFDC45FEFFA8 +:1000E000DC45FEFFDC45FEFFDC45FEFFDC45FEFF98 +:1000F000DC45FEFFDC45FEFFDC45FEFFDC45FEFF88 +:10010000DC45FEFFDC45FEFFDC45FEFFDC45FEFF77 +:10011000DC45FEFFDC45FEFFDC45FEFFDC45FEFF67 +:10012000DC45FEFFDC45FEFFDC45FEFFDC45FEFF57 +:10013000DC45FEFFDC45FEFFDC45FEFFDC45FEFF47 +:10014000DC45FEFFDC45FEFFDC45FEFFDC45FEFF37 +:10015000DC45FEFFDC45FEFFDC45FEFFDC45FEFF27 +:10016000DC45FEFFDC45FEFFDC45FEFFDC45FEFF17 +:100170008E44FEFF3A45FEFFDC45FEFFDC45FEFFF8 +:10018000DC45FEFFDC45FEFFDC45FEFFDC45FEFFF7 +:10019000DC45FEFF8644FEFFDC45FEFFDC45FEFF3E +:1001A0008E45FEFF9445FEFFDC45FEFFDC45FEFF6D +:1001B000A244FEFFDC45FEFF8845FEFFDC45FEFF56 +:1001C000DC45FEFFAC45FEFF6D69616F750A0000FE +:1001D0006D69616F75320A0068756E6772790A0021 +:1001E0004572726F7220696E20626C6F636B20744F +:1001F000696D652074657374207461736B73200D71 +:100200000A0000004572726F7220696E20636F757C +:100210006E74696E672073656D6170686F726520BA +:100220007461736B73200D0A000000004572726FD9 +:100230007220696E20726563757273697665206DD0 +:1002400075746578207461736B73200D0A0000006B +:10025000436865636B54696D65720000426C6F633F +:100260006B5F54696D655F517565756500000000D1 +:100270004254657374310000425465737432000057 +:10028000436F756E74696E675F53656D5F31000013 +:10029000436F756E74696E675F53656D5F32000002 +:1002A000434E543100000000434E54320000000021 +:1002B0005265637572736976655F4D757465780014 +:1002C0005265633100000000526563320000000097 +:1002D00052656333000000000001020203030303C0 +:1002E00004040404040404040505050505050505C6 +:1002F00005050505050505050606060606060606A6 +:10030000060606060606060606060606060606068D +:100310000606060606060606070707070707070775 +:10032000070707070707070707070707070707075D +:10033000070707070707070707070707070707074D +:10034000070707070707070707070707070707073D +:100350000707070707070707080808080808080825 +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B00008080808080808080808080808080808BD +:1003C00008080808080808080808080808080808AD +:0803D0000808080808080808E5 +:1003D8001000000000000000017A5200017C0101B9 +:1003E8001B0D02004C000000180000003854FEFFEE +:1003F800DC05000000440E307089039507810188F0 +:100408000292049305940696089709980A990B9AFC +:100418000C0370020AC144C844C944D244D344D42A +:1004280044D544D644D744D844D944DA440E004489 +:100438000B0000005000000068000000C459FEFFD7 +:100448000005000000440E50748802890393059942 +:100458000B810192049406950796089709980A9AC1 +:100468000C9B0D0320010AC144C844C944D244D39B +:1004780044D444D544D644D744D844D944DA44DB98 +:08048800440E00440B000000CB +:10049C00AAAAAAAA08000000FC8F01000400000010 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/test1_rv32ic_O3.hex b/VexRiscv/src/test/resources/freertos/test1_rv32ic_O3.hex new file mode 100644 index 0000000..bc1960e --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/test1_rv32ic_O3.hex @@ -0,0 +1,1856 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:10009000E1E1170502001305E56297A503009385CA +:1000A000A58301461122170502001305E5539705A4 +:1000B00002009385655F0146C52817B50300130547 +:1000C000E58197C503009385258137B6ABAB130651 +:1000D000B6BAD92817C1030013014180EF60304937 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2823E23A02200F322103400 +:1002400096DEEF00002B170102000321213D024141 +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E24123A0120023A291 +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF4050301701020003212F +:10033000E12E0241F65273901234B72200009382EC +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A23383A098 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2821C23A0220086DEEF20E6 +:100460004037170102000321611B0241F6527390CD +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF407010EF10301501E503 +:10050000B24041018280B24041016F20802C9307AC +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30902995 +:1005B0008280411122C406C62A8426C2EF20101B65 +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF2020616DD5EF2010013C44FD17DA +:1005F0003CC43C44E344F0FEFD573CC4EF2050199A +:10060000EF20D0167C40635BF0021C4885CB93043E +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF20E05C6DD5EF20C07C8B +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20901429CD0111FF +:1006500022CC2A8406CE26CA4AC84EC62E89EF204E +:10066000F01024404C5C832904002685EF60C059BB +:100670007D57232C0402B3079540CE974E9578C042 +:1006800048C0232434015CC438C4631109021C48E7 +:100690008DE7EF20F00FF2406244D2444249B24964 +:1006A0000545056182807370043001A013050401C3 +:1006B000B93D13054402A13DE9BF13050401EF2034 +:1006C00060538547E317F5FC9133E1B701E573709B +:1006D000043001A0011126CA4AC84EC606CE22CC5B +:1006E000AA842E89B289A5C9EF6000521305550569 +:1006F000EF30007D2A8435C5930745051CC144DCD5 +:1007000023202405EF20900624404C5C032904009C +:100710002685EF60604FFD56232C0402B3079540F9 +:100720003307A900CA9774C058C05CC434C4130509 +:10073000040123242401E13B13054402C93BEF20BB +:100740003005230834052285F2406244D2444249F0 +:10075000B2490561828013054005EF3060762A8436 +:1007600001E57370043001A000C051BF01E5737052 +:10077000043001A06375B5007370043001A041110D +:1007800022C409462E84814506C6893711C500DD7D +:10079000B2402244410182807370043001A05D7137 +:1007A00086C6A2C4A6C2CAC04EDE52DC56DA5AD8E9 +:1007B0005ED632C6630A051C6382051C89476399AD +:1007C000F600585D85476305F7007370043001A09B +:1007D0003689AE842A84EF20A06411E5B24781C730 +:1007E0007370043001A0EF206078185C5C5C014BF2 +:1007F000894BFD59130A0401930A44026365F70CFF +:1008000063077919B2476381072463150B00280831 +:10081000EF206051EF20C077EF10005AEF200075F5 +:100820007C4063943701232204043C4463943701E1 +:1008300023240404EF20C0756C002808EF20C0506A +:10084000631E051EEF208072185C5C5C630CF70A67 +:10085000EF200074EF2080713C44634FF00039A812 +:100860005685EF20203919C1EF2000593C44FD176F +:100870003CC43C446354F0005C50FDF32324340535 +:10088000EF200071EF20806E7C40634FF00039A8AC +:100890005285EF20203619C1EF2000567C40FD170D +:1008A0007CC07C406354F0001C48FDF323223405D7 +:1008B000EF20006EEF104075054BEF20206B185CA9 +:1008C0005C5CE37FF7F230406305060C631F09129E +:1008D0000844A685EF3000771C4434405840B69752 +:1008E0001CC463EAE70A1C5C14405850850714C412 +:1008F0001CDC5DC713054402EF20C02F8547631140 +:10090000F50AC9A8EF20C068B2455285EF20401013 +:10091000EF20C0653C44634FF00039A85685EF20B6 +:10092000602D19C1EF20404D3C44FD173CC43C44B0 +:100930006354F0005C50FDF323243405EF20406540 +:10094000EF20C0627C40634FF00039A85285EF2051 +:10095000602A19C1EF20404A7C40FD177CC07C40D2 +:100960006354F0001C48FDF323223405EF2040625D +:10097000EF10806931F1593C054B81B73C41E38F61 +:1009800007E27370043001A07370043001A030409E +:1009900021E61C4085C71C5C585085071CDC39FBD0 +:1009A000EF20005F0545B640264496440649F259BB +:1009B000625AD25A425BB25B616182804840EF204A +:1009C00040521C5C58502322040085071CDCE313B2 +:1009D00007F279D5253CE9B74844A685EF30806613 +:1009E0003C4058441440B307F0403E9758C4637CE1 +:1009F000D7025840BA975CC409475C506306E902C5 +:100A0000185C050718DCC9DFF5B54844A685EF304A +:100A100060633C4058441440B307F0403E9758C4CC +:100A2000E37CD7FCF9B75C50185C79DB185C7D1768 +:100A300018DC185C050718DCA5D76DBD2285953E2E +:100A4000EF10805C014585B7EF2080540145A1BFC0 +:100A5000411126C2AA841305400522C406C6EF3000 +:100A600020462A8415CD232C0502FD577CC105475D +:100A7000410558DC3CC42322040023200400232425 +:100A80000400232604002320040423089404413492 +:100A900013054402AD3C8146014681452285013360 +:100AA0002285B24022449244410182800DC5411109 +:100AB00022C426C206C64AC0032945002A84EF2064 +:100AC00060358144630CA900B24022442685024966 +:100AD0009244410182807370043001A05C448544DB +:100AE000FD175CC4F5F381460146814522854539F1 +:100AF000E1BF31CDA1C9011122CC26CA4AC852C4D6 +:100B000006CE4EC68947B68432892E8A2A846399D6 +:100B1000F600585D8547630FF7047370043001A039 +:100B20006D32185C5C5CAA896366F70281444E856D +:100B3000513AF240624426854249D244B249224A9F +:100B4000056182803C41C5DB7370043001A0737085 +:100B5000043001A0304041E21C40D5CB1C5C7D57E5 +:100B600085071CDC3C446387E7083C4485448507D3 +:100B70003CC475BF993A185C5C5CAA89636DF70246 +:100B8000304079DA4844D285EF30C04B3C4058447D +:100B90001440B307F0403E9758C46375D7005840DF +:100BA000BA975CC48947E39BF4FA1C5CC5DB1C5C08 +:100BB000FD171CDC65B7304045D24844D285EF3084 +:100BC00060483C4058441440B307F0403E9758C436 +:100BD000E367D7FCD9BFDDF40844D285EF30804607 +:100BE0001C4434405840B6971CC4E3E9E7F61C4067 +:100BF0001CC4ADB75C5085449DDB13054402EF1067 +:100C0000707F15D5E30509F2232099000DB7484000 +:100C1000EF20202D2322040091B721CD3C4181C734 +:100C20007370043001A01C41A1CB011122CC26CA53 +:100C30002A844AC84EC606CE2E894138185C5C5CB0 +:100C4000AA898144637DF7001C5C7D5785071CDC05 +:100C50003C446388E7023C44854485073CC44E8598 +:100C60009530F240624426854249D244B24905613A +:100C700082807370043001A05C41C5DB7370043066 +:100C800001A05C5099E38544D9BF13054402EF10DD +:100C9000707675D9E30909FE85472320F900E5B789 +:100CA000397106DE22DC26DA4AD84ED652D456D224 +:100CB00032C66302051C638A051AB68AAE892A8485 +:100CC000EF20001611E5B24781C77370043001A010 +:100CD000EF20C0291C5C63920714B247638E070A99 +:100CE0002808EF204004FD5413094402130A0401AC +:100CF000EF20002AEF10400CEF2040277C40639447 +:100D00009700232204043C446394970023240404A2 +:100D1000EF2000286C002808EF200003631305185B +:100D2000EF20C0241C5CADCFEF208026EF200024F4 +:100D30003C44634FF00039A84A85EF10B06B19C1ED +:100D4000EF20800B3C44FD173CC43C446354F0004E +:100D50005C50FDF324C4EF20A023EF2020217C4031 +:100D6000634FF00039A85285EF10D06819C1EF2009 +:100D7000A0087C40FD177CC07C406354F0001C48F8 +:100D8000FDF364C0EF20C020EF100028EF20001E0C +:100D90001C5CC1E7B247A9FFEF20801F014555A0A9 +:100DA000EF20001F1C40DDC7B2454A85EF105046BA +:100DB000EF20C01B3C44634FF00039A84A85EF1078 +:100DC000706319C1EF2040033C44FD173CC43C4410 +:100DD0006354F0005C50FDF324C4EF20601BEF204F +:100DE000E0187C40634FF00039A85285EF10906006 +:100DF00019C1EF2060007C40FD177CC07C4063542B +:100E0000F0001C48FDF364C0EF208018EF10C01FF5 +:100E100031C9EF20A0151C5CB5DFCE852285444486 +:100E2000EFF04FF7639C0A041C5C1840FD171CDCB4 +:100E300001E7EF20601A48C01C48A1EFEF204015E1 +:100E40000545F2506254D2544259B259225A925A2C +:100E500021618280EF2080114840EF10707EEF20EA +:100E6000201399B7EFF08FDA6DB73C41E38707E4C1 +:100E70007370043001A07370043001A05C5044C44E +:100E8000D5DF13054402EF10F0564DD9EFF00FD81F +:100E900075B713050401EF10F0558547E310F5FA17 +:100EA000F5B72285EFF0EFF0EF100016014551BFC6 +:100EB0001DC9411122C44AC006C626C244412E891A +:100EC0002A84EF1030756383A40281464A868145E7 +:100ED0002285F93385476300F502B24022449244EB +:100EE0000249410182807370043001A05C440545D1 +:100EF00085075CC4DDB75C4485075CC4F9BF15CDCC +:100F0000ADC9011122CC26CA4AC84EC652C42A8491 +:100F100006CE3289AE89EFF04FDB1C5C2A8A814411 +:100F200085E35285EFF00FDAF240624426854249AC +:100F3000D244B249224A056182807370043001A014 +:100F4000304001CE4C445C40B2954CC463E4F500A3 +:100F50000C404CC44E85EF30E00E1C5C7D57FD17F5 +:100F60001CDC7C40638CE7007C40854485077CC0AA +:100F70004DBF3C41D9D77370043001A01C4899E3A0 +:100F8000854445B713050401EF10D04675D9E30930 +:100F900009FE85472320F900E5B721C93C41A1CDD1 +:100FA000A1CB011122CC26CA4AC852C42A8406CE3B +:100FB0004EC62E8AEFF06FD11C5C2A89814491CBFA +:100FC0008329C400D2852285EFF0CFDC8544232617 +:100FD00034014A85EFF00FCFF24062442685424942 +:100FE000D244B249224A056182807370043001A064 +:100FF0007370043001A0EDDF7370043001A001E5CF +:101000007370043001A0411122C42A8406C6EF1077 +:10101000F075005CEF10D077B24022852244410188 +:10102000828001E57370043001A0411106C622C41C +:101030002A84EF10B0731C5C405C1D8CEF1050755F +:101040002285B24022444101828019C1085D82801C +:101050007370043001A01DCD979703009387078418 +:10106000D843630CE502D847630CE504D84B63070B +:10107000E504D84F6302E504D853630DE502D85761 +:101080006308E502D85B6303E502D85F630EE50001 +:101090006F2030757370043001A001470E07BA97B6 +:1010A00023A007006F20F0731D47CDBF1947FDB780 +:1010B0001547EDB71147DDB70D47CDB70947F9BF64 +:1010C0000547E9BF684582806CC5828003450505F8 +:1010D000828009C5085D1335150082807370043065 +:1010E00001A019C51C5D485D1D8D1335150082805A +:1010F0007370043001A0978703009387277A984381 +:1011000005C3984705C7984B05C7984F05C798531F +:1011100005C7985705C7985B15C7985F15C38280A8 +:1011200001470E07BA978CC3C8C382800547D5BF55 +:101130000947C5BF0D47F5B71147E5B71547D5B7FF +:101140001D47C5B71947F1BF9787030093870775F8 +:10115000D8436308E502D847630BE502D84B630A1E +:10116000E502D84F6309E502D8536308E502D85772 +:101170006307E502D85B6308E502D85F6303A70253 +:10118000828001470E07BA9723A007008280054797 +:10119000D5BF0947C5BF0D47F5B71147E5B7154797 +:1011A000D5B71D47C5B71947F1BF411122C426C2A3 +:1011B0004AC02A8406C6AE843289EF10305B78407C +:1011C000FD576314F700232204043844FD576314C9 +:1011D000F70023240404EF10B05B1C5C99E74A86F7 +:1011E000A68513054402EF10B015EF1030583C44AB +:1011F000635BF0025C5085CB9304440209A83C4435 +:10120000FD173CC43C446350F0025C5089CF2685F6 +:10121000EF10501E6DD5EF10303E3C44FD173CC41E +:101220003C44E344F0FEFD573CC4EF107056EF1011 +:10123000F0537C40635BF0021C4885CB93040401AF +:1012400009A87C40FD177CC07C406350F0021C481C +:1012500089CF2685EF10101A6DD5EF10F0397C403C +:10126000FD177CC07C40E344F0FEFD577CC0224467 +:10127000B2409244024941016F10905197F701002A +:101280009387C739944317F701001307E7371843CB +:10129000C8C2636EE502411122C406C617F70100F9 +:1012A000130787372A8408438C439105EFF04FA931 +:1012B00097F70100938787339C436376F40097F791 +:1012C000010023A58732B24022444101828017F7F2 +:1012D00001001307273408438C4391056FF04FA694 +:1012E00097F7010093878733984394437C43FD1716 +:1012F0007CC3FC4299E37360043082801DC1797124 +:101300004ECE56CA06D6BE8A22D426D24AD052CC57 +:101310005AC85EC69147BA8963F8E7007370043013 +:1013200001A07370043001A02A8BAE843289B68B81 +:10133000428A6308082213050006EF2070382A84C9 +:10134000630C05200A09232845034A869305500AA1 +:101350005285EF20305D03C704001C587119230A21 +:10136000E40203C704003E991379C9FF79C783C714 +:101370001400A30AF40283C71400E1C383C7240046 +:10138000230BF40283C72400CDCB83C73400A30B07 +:10139000F40283C73400D5C383C74400230CF4028E +:1013A00083C74400D9CB83C75400A30CF40283C77E +:1013B0005400C1C783C76400230DF40283C76400CF +:1013C000ADCF83C77400A30DF40283C77400B5C703 +:1013D00083C78400230EF40283C78400B9CF83C778 +:1013E0009400A30EF40283C79400A1CB83C7A4008A +:1013F000230FF40283C7A400A9C383C7B400A30FBB +:10140000F40283C7B40095CB83C7C4002300F4045F +:1014100083C7C4009DC383C7D400A300F40483C75B +:10142000D40081CF83C7E4002301F40483C7E40020 +:1014300089C783C7F400A301F404130A440052854A +:10144000A30104042326340323283405232A040497 +:10145000EFF02F8D13058401EFF0AF8C9547B38724 +:101460003741232C040400C81CCC40D023220404A0 +:10147000232E04045E86DA854A85EFF0AF8508C026 +:1014800063840A0023A08A007370043017F90100F6 +:10149000130909178327090097F40100938404189E +:1014A00091C798409C407C4385077CC317F7010097 +:1014B0001307C7151C43850797F6010023A8F614E8 +:1014C0009C40CDCB8327090089EB9C40DC5763E629 +:1014D000F90097F7010023A3871497F7010093877A +:1014E00027119C4317F701001307C71148541843ED +:1014F000850797F6010023ADF60E3CC46376A7007E +:1015000097F7010023A0A710D145EF50E06F97F7A0 +:1015100001009387E7223E95D285EFF0EF80832785 +:10152000090089C79C40FC436395070E8327090087 +:10153000054499C79C40DC5763F43701EFE01FED89 +:101540002285B250225492540259F249624AD24A38 +:10155000424BB24B456182805285EF2090287D54EA +:10156000C5B713152600EF20B0152A8AE31505DC50 +:101570007D54F9B797F7010023A2870A18438547DE +:10158000E31DF7F417F501001305851BEFE03FF8A5 +:1015900017F501001305051CEFE07FF717F50100B3 +:1015A0001305851CEFE0BFF617F501001305051DB7 +:1015B000EFE0FFF517F501001305851DEFE03FF59E +:1015C00017FB0100130B0B1E5A85EFE05FF497FA2F +:1015D0000100938A6A1E5685EFE07FF317F501003C +:1015E0001305C51EEFE0BFF217F501001305451FF7 +:1015F000EFE0FFF117F501001305C51FEFE03FF124 +:1016000097F7010023AA670197F7010023A4570168 +:10161000E9B5984094407C43FD177CC3FC42E397B6 +:1016200007F07360043019B7411122C406C626C200 +:101630004AC02A847370043097F40100938444FCF8 +:101640009C40F9E311E497F70100938727FD80435D +:10165000130944004A85EFE0BFF11C5489C7130504 +:101660008401EFE0FFF0CA8517F501001305451767 +:10167000EFE09FEB97F70100938787F9984397F77F +:1016800001009387E7F69C43050797F6010023A125 +:10169000E6F89840850797F6010023ABF6F401CBF6 +:1016A00097F70100938787F79843784349EF9C4069 +:1016B000B1C797F70100938767F69843630F8708D0 +:1016C00073700430984039EB17F701001307C7F423 +:1016D00014439442A5E27D5797F6010023A8E6F053 +:1016E000984009CF9843784311CB984394437C4367 +:1016F000FD177CC3FC4299E373600430B24022447E +:10170000924402494101828097F70100938707F1D3 +:1017100098439C437C4385077CC32DB794439843EF +:10172000F8420507F8C217F701001307E7EE144364 +:101730009442D5D2184358475847584397F601006A +:1017400023A6E6EA71BF984394437C43FD177CC30C +:10175000FC42B1FF7360043099BF97F70100938793 +:10176000A7E89C4381C77370043001A02244B240B3 +:101770009244024941016FE07FC941C517F701005A +:101780001307C7E914438147638BA606411106C6B8 +:1017900022C426C27370043097F60100938646E691 +:1017A0009C4291C710431C437C4285077CC29C42EB +:1017B000AA84404981C71C43FC43B9E797F701005D +:1017C000938787E59C43638A870497F70100938793 +:1017D00067E49C436383870497F70100938787013D +:1017E0006308F40297F70100938787FF6300F40210 +:1017F0008547B240224492443E85410182803E8525 +:1018000082807370043001A0E13C4DBF9147D5B791 +:101810009C5493B717008907E9BF8947D9BF7370F4 +:10182000043097F701009387A7DD984309EF15C5AA +:101830009C43485581CB97F70100938727DE984357 +:10184000784315E3828017F70100130727DD14435F +:101850001843F8420507F8C261FD17F701001307A6 +:10186000E7DB0843F1B7984394437C43FD177CC3FF +:10187000FC42E9FB736004308280411122C42A8457 +:1018800006C6EFE09FC411E497F70100938707D9DC +:1018900080434054EFE01FC3B240228522444101FF +:1018A0008280914763F5B7007370043001A0011185 +:1018B00022CC06CE26CA4AC84EC652C42A847370A9 +:1018C000043017F901001309A9D383270900CDEFCC +:1018D00071C43C486388F50817F70100130707D463 +:1018E00063FCB7001443638B860C18434457B3B4AE +:1018F000950093C4140029A00443818C93B4140070 +:1019000058546383E70A1C4C2CC863C607009547EC +:10191000B385B7400CCC93172700BA97584897F96E +:1019200001009389E9E18A07CE97631AF702130A47 +:1019300044005285EFE0DFC397F70100938787CC1F +:1019400048549C4363F6A70097F7010023ACA7CA4D +:10195000D145EF50602BD2854E95EFE0FFBC99C08A +:10196000EFE0DFAA8327090081CB97F70100938777 +:10197000E7CA9C43FC439DEBF2406244D244424997 +:10198000B249224A0561828097F70100938707C90F +:1019900098439C437C4385077CC305FC97F7010073 +:1019A0009387C7C7804335B74CD4B1BF6244F24078 +:1019B000D2444249B249224A05611DB2814489B7E5 +:1019C00025C1011126CA97F40100938424C59C40C7 +:1019D00006CE22CC4AC84EC6638DA702737004306F +:1019E00017F901001309C9C18327090091C798405D +:1019F0009C407C4385077CC3584997F70100938737 +:101A000067DF6302F7028327090081C79C40FC431C +:101A1000A5EBF2406244D2444249B249056182805A +:101A20007370043001A01C5517F70100130707DA83 +:101A3000E38BE7FCE9FB930945002A844E85EFE040 +:101A40003FB397F701009387E7BB48549C4363F685 +:101A5000A70097F7010023A7A7BAD145EF50C01AF6 +:101A600097F701009387C7CD3E95CE85EFE0DFABBA +:101A70009C405854DC57E368F7F8EFE03F9961B7B2 +:101A80006244F240D2444249B249056191B815CD51 +:101A9000011122CC26CA4EC62A8406CE4AC8EFE0DF +:101AA000DFA2584897F701009387C7D4AA898144D9 +:101AB0006300F7024E85EFE0FFA0F2406244268506 +:101AC0004249D244B249056182807370043001A05A +:101AD0001C5417F50100130565CFE38DA7FCF9FB36 +:101AE00097F70100938747B09C43A9EB97F7010054 +:101AF0009387C7B29C43445413094400DC574A857A +:101B0000B3B4F400EFE0DFA697F70100938787AF47 +:101B100048549C4393C4140063F6A70097F7010050 +:101B200023A2A7AED145EF50200E97F7010093876F +:101B300027C1CA853E95EFE03F9FADBF9305840165 +:101B4000EFE09F9E85BF0111056506CE22CC26CA17 +:101B50004AC84EC652C456C2EF208036630E051CDA +:101B6000AA8413050006EF20A0352A84630A051E07 +:101B700005669305500A04D92685EF20A05A04581B +:101B80008567F117BE94B7474C45938797449309EF +:101B900044005CD84E85230C0402A30104042326D0 +:101BA000040223280404232A0404EFE09F9713056A +:101BB0008401EFE01F979547232C0404F19800C897 +:101BC0001CCC40D023220404232E04040146971584 +:101BD00000009385E5B12685EFE0DF8F08C07370C4 +:101BE000043017F901001309A9A18327090097F40C +:101BF00001009384A4A291C798409C407C43850730 +:101C00007CC317F70100130767A01C43850797F6ED +:101C1000010023ADF69E9C40C9C38327090081EBD8 +:101C20009C40DC5789E797F7010023A9879E97F727 +:101C300001009387E79B9C4397F601009386869C5F +:101C400058549442850717F601002323F69A3CC4A2 +:101C500063F6E60097F7010023A6E79A931727009B +:101C6000BA978A0717F50100130585AD3E95CE8515 +:101C7000EFE09F8B8327090081C79C40FC43F1E77D +:101C80008327090091C39C40EF10F0498547630406 +:101C9000F50E5DE17370043001A097F7010023AFEA +:101CA000879618438547E314F7F817F501001305E5 +:101CB00025A9EFE0DF8517F501001305A5A9EFE0E1 +:101CC0001F8517F50100130525AAEFE05F8417F5BE +:101CD00001001305A5AAEFE09F8317F50100130586 +:101CE00025ABEFE0DF8297FA0100938AAAAB568515 +:101CF000EFE0FF8117FA0100130A0AAC5285EFE00A +:101D00001F8117F50100130565ACEFE05F8017F543 +:101D100001001305E5ACEFE08FFF17F50100130597 +:101D200065ADEFE0CFFE97F7010023A7578F97F738 +:101D3000010023A1478FE5BDF2406244D2444249ED +:101D4000B249224A924A05618280984094407C437D +:101D5000FD177CC3FC42E39507F2736004300DB7B6 +:101D60006244F2404249B249224A924A2685D2440C +:101D700005616F20002773700430FD5717F70100CD +:101D80002326F786624497F7010023ABA786F2402B +:101D9000D2444249B249224A924A97F7010023A508 +:101DA000078605616FE0EFCF7370043097F701008D +:101DB00023A807846FE02FDD17F701001307C78200 +:101DC0001C4385071CC382807370043097F70100A1 +:101DD00093870783984305E317F701001307C7822A +:101DE00008439C4381CB97F701009387278398434F +:101DF000784301EF828017F701001307278214430D +:101E00001843F8420507F8C2C1BF984394437C4386 +:101E1000FD177CC3FC42F9FF7360043082804111DE +:101E200006C622C4EFE06FEA97E701009387C77DFB +:101E30008043EFE02FE9B2402285224441018280B5 +:101E400097E701009387877C88438280797106D663 +:101E500022D426D24AD04ECE52CC56CA5AC85EC6DA +:101E600097E70100938747789C43B1EF17E701009C +:101E7000130787791C43850797E6010023A6F678A8 +:101E8000832A0700638F0A0697E701009387077686 +:101E90009C43814463F1FA0897F901009389498AC8 +:101EA00017EA0100130A8A7783270A008546D85764 +:101EB00093172700BA978A07BE9983A7090063FF83 +:101EC000F600854421A897E70100938727739C4378 +:101ED0008144850717E701002322F77297E7010085 +:101EE000938787719C4391C38544B25022542685C1 +:101EF00002599254F249624AD24A424BB24B45616E +:101F0000828017E40100130424711C409C43DDC34C +:101F10007370043001A017E401001304E46F97F913 +:101F200001009389E98117EA0100130A2A6F17EB70 +:101F30000100130B2B6D1C409C43B5C31C40DC47B8 +:101F400003A9C70083274900930B49005E8563EE10 +:101F5000FA04EFE0EFE1832789021305890199C3B1 +:101F6000EFE00FE18327C90203270B00DE851395FD +:101F700027003E950A054E956376F70017E70100A6 +:101F80002322F768EFE04FDA83270A000327C9020C +:101F9000DC57E362F7FA1C4085449C43C5F3FD57C8 +:101FA00017E701002324F76401B717E70100232F87 +:101FB000F762DDBD17E701001307C7651C40184332 +:101FC00097E6010023AAE66417E701002324F764DB +:101FD00097E70100938707629C43850717E7010095 +:101FE000232AF7601C409C4399E7FD5717E701003F +:101FF000232EF75E51BD1C40DC47DC47DC4317E76E +:1020000001002325F75E49B597E701009387C75D77 +:10201000984301E77370043001A0011106CE22CC71 +:1020200026CA4AC84EC652C456C25AC0737004303B +:1020300097E401009384C45C984061EB98437D175A +:1020400097E6010023A2E65A9C43D9EB97E70100EB +:102050009387C75B9C43C9C797EA0100938A4A6E7E +:1020600017EA0100130A0A5A17E901001309095B6C +:10207000854919A83385EA00EFE00FCB83270900D3 +:102080005854DC576379F70483A7CA08C1CF83A7E4 +:102090008A09C04713058401EFE08FCD130B44007C +:1020A0005A85EFE0EFCC5C5483260A00DA8513955D +:1020B00027003E950A052A875695E3FDF6FA17E7AD +:1020C00001002321F754EFE02FC68327090058545D +:1020D000DC57E36BF7FA97E7010023AF37516DB791 +:1020E00001449C4081CB97E70100938727539C4391 +:1020F000FC4395E72285F2406244D2444249B2490A +:10210000224A924A024B0561828017E701001307B9 +:10211000E75014431843F8420507F8C205B7EFF03B +:102120002F9CC9BF17E401001304444D1C4095C304 +:102130001C40054999CF193B09C597E7010023AD1C +:10214000274B1C40FD1717E701002329F74A1C40C5 +:10215000FDF397E701009387274A98438547E311EA +:10216000F7F8EFE0AFAA0544ADBF11CD81E573707C +:10217000043001A017E70100130707471C4399C764 +:102180007370043001A07370043001A0411122C4A7 +:1021900006C614431841850617E601002326D644D7 +:1021A00097E601009386464694423304B70000C187 +:1021B00063F7E602637FE40063FD860097E70100B2 +:1021C0009387C74588431105EFE08FBA2285EFF06A +:1021D000EF8A1D3D01C9B240224441018280E36F74 +:1021E000E4FCD9BF2244B24041016FE02FA211C9E3 +:1021F00017E701001307473F1C4391C77370043072 +:1022000001A06FE0AFA0411106C622C41843AA87FF +:10221000050797E6010023A9E63C97E601009386AF +:10222000E63F17E701001307273E184388423304AF +:10223000F7001105EFE0CFB32285EFF02F84E933EB +:1022400011E52244B24041016FE04F9CB24022446C +:1022500041018280411122C406C697E7010093879D +:10226000A7389C430144850717E70100232EF73662 +:1022700097E70100938787399C4363E3F50C17EEDA +:102280000100130E6E5197E201009382A24A0144AD +:102290000147854F1303500A21A0311E6387C20DE9 +:1022A00083278EFFBA85F5DB8327CEFFDC43232E01 +:1022B000FEFE6382C71B03AFC700DC432A97814E33 +:1022C000232EFEFE6385C70783A8C70093874803B4 +:1022D000232017015CC383A788042326F7011CC7AA +:1022E00083A7C8021CCB83A70805232C07005CCB5F +:1022F00003A8080383470800639A6704C287850719 +:1023000083C60700B3850741E38B66FE8981C2055A +:10231000C181231EB700850E1307070263001F0546 +:102320008327CEFFDC43232EFEFEE39FC7F98327DE +:102330004E00232EFEFE49BF1A9419C22320060028 +:10234000E1312285B2402244410182808145231E31 +:10235000B700850E13070702E3141FFD76941317C9 +:102360005400311EBA85E39DC2F397E701009387BD +:10237000A72A03AE070083270E00FDE397E70100BD +:102380009387472903AE070083270E00639807163B +:1023900017EE0100130ECE3A83270E0A639D071E27 +:1023A00083274E0BD9DB83278E0B97EE0100938E8C +:1023B000EE44DC4317E701002320F7446381D73361 +:1023C00083AFC700DC433307B500014397E6010044 +:1023D00023A4F6420D4F89429308500A6386D7071B +:1023E000C8479307450308C35CC33C452326E70160 +:1023F0001CC75C551CCB1C5599C3232657003C4970 +:10240000232C07005CCB0328050383470800639552 +:10241000172BC287850783C60700B3850741E38B67 +:1024200016FF8981C205C181231EB700050313076A +:102430000702E383AFF083278E0BDC4397E60100AE +:1024400023ACF63AE39ED7F983270E0C97E60100FA +:1024500023A4F63A71B783274E00232EFEFEA1BDBA +:1024600083274E00130F8E00D4432322DE00638C9B +:10247000E625B307E500D84283A2C600814E232299 +:10248000EE00894F1303500A6305EF068328C70047 +:102490001387480323A01701D8C303A7880423A6E2 +:1024A000F70198C703A7C80298CB03A7080523AC78 +:1024B0000700D8CB03A80803034708006318671C6C +:1024C0004287050783460700B3050741E38B66FE95 +:1024D0008981C205C181239EB700850E93870702BB +:1024E000638E121B83264E00D8422322EE00E31F88 +:1024F000EFF80327CE002322EE0049BF83274E00CA +:10250000130F8E00DC432322FE006389E71B83A2A6 +:10251000C700DC43AA95814E2322FE00894F130396 +:10252000500A6305FF0683A8C7009387480323A0CA +:102530001501DCC183A7880423A6F5019CC583A7E8 +:10254000C8029CC983A7080523AC0500DCC903A801 +:1025500008038347080063976710C287850783C60F +:10256000070033870741E38B66FE098342074183F7 +:10257000239EE500850E93850502638D120F832748 +:102580004E00DC432322FE00E31FFFF88327CE002A +:102590002322FE0049BF83274E0A17EF0100130FC5 +:1025A000AF24DC4317E70100232EF7226382E713F1 +:1025B00083A2C700DC43AA95814E17E701002323BD +:1025C000F722914F1303500A6387E70783A8C700D8 +:1025D0009387480323A01501DCC183A7880423A6A1 +:1025E000F5019CC583A7C8029CC983A7080523AC35 +:1025F0000500DCC903A80803834708006394670447 +:10260000C287850783C6070033870741E38B66FED1 +:10261000098342074183239EE500850E93850502C9 +:10262000638A120383274E0ADC4317E70100232B3A +:10263000F71AE39DE7F98327CE0A17E70100232362 +:10264000F71A69B70147239EE500850E93850502B9 +:10265000E39A12FD83274E0B769493155400E38E74 +:1026600007CC91B30147239EE500850E93850502B3 +:10267000E39712F117EE0100130E8E0C83270E0A5A +:10268000769493155400E38D07D031B78145239E8E +:10269000B700850E93870702E39612E597E70100DE +:1026A000938747F703AE070076949315540083276A +:1026B0000E00E38F07CC99B58145BDB38327CE00CB +:1026C0002322FE00A9B58326CE002322DE0055B3C7 +:1026D0008327CE0A17E701002326F710D1BD8327F1 +:1026E0000E0C17E701002329F710D9B9797126D20A +:1026F0004AD04ECE52CC56CA5AC85EC606D622D44E +:1027000097E90100938949EE17E90100130949038C +:1027100017EA0100130ACAEE97EA0100938A0AF049 +:1027200097EB0100938B8BEE97E40100938444EECA +:10273000054B21A883A709000324090A850717E789 +:1027400001002323F7EAC93011E89C40E5F783270D +:102750000900E37CFBFEEFD07FCBC5BF7370043074 +:1027600083270A00B9E38327C90AC0471305440039 +:10277000EFD01FE083A70B00FD1717E70100232709 +:10278000F7E89C40FD1717E701002323F7E88327AC +:102790000A0089C783A70A00FC4385E30858EF10A5 +:1027A00050042285EF10F0034DB703A70A0083A75A +:1027B0000A007C4385077CC37DB703A70A0083A674 +:1027C0000A007C43FD177CC3FC42E9FB73600430C4 +:1027D000F1B797E70100938727E19C43DDE74111BB +:1027E00006C622C426C297E7010023A707E017E721 +:1027F00001001307A7E21C43B7A6A5A59386565A66 +:102800009C5B8C43639BD500D0436318B600944710 +:102810006395C600DC476388D70008430C43938563 +:102820004503EF20B00017E701001307A7DD1443AD +:1028300017E401001304C4F011A81C43A9CF1C43E2 +:10284000FD1797E6010023AFF6DA144393972600AD +:10285000B6978A07A2979C43EDD3084393172500A8 +:10286000AA978A073307F4005443A107A297C442EA +:1028700044C36385F402DC44B240224417E70100FC +:10288000232EF7D8924441018280854717E7010043 +:102890002324F7D682807370043001A0C440D14550 +:1028A000EF408036229544C1F9B701114AC806CEDF +:1028B00022CC26CA4EC62A897370043097E40100E0 +:1028C000938404D49C4017E40100130424D5D9E771 +:1028D0006314090003290400930949004E85EFD0D1 +:1028E0003FC98327890289C713058901EFD05FC8D3 +:1028F000CE8517E501001305E5EFEFD0FFC29C4040 +:1029000081C71C40FC43ADE31C40638B27079C4000 +:102910009DCF737004309C40C1EB97E70100938713 +:10292000A7CF9843184325EBFD5717E70100232F46 +:10293000F7CA9C4089CF1C40FC4391CB18401440FF +:102940007C43FD177CC3FC4299E373600430F24082 +:102950006244D2444249B2490561828018401C4019 +:102960007C4385077CC3ADB7184014407C43FD17FA +:102970007CC3FC42D1FB736004301C40E39927F90F +:102980009C40A1C397E70100938707C69C43ADCB4A +:102990007370043001A09C43DC47DC47DC4317E73D +:1029A00001002325F7C471B718401C407C438507FC +:1029B0007CC397E70100938727C6984318432DD718 +:1029C000D9BF97E70100938767C417E70100130792 +:1029D00027D79C430327470B631DF700F24062444F +:1029E00097E7010023AC07C2D2444249B2490561CE +:1029F00082806244F240D2444249B2490561D1BB6F +:102A00006244F240D2444249B24905616FD01FA0EE +:102A10003DC9411126C297E40100938424C04AC0F5 +:102A20002E898C4006C622C4E105EFD07FB18840D4 +:102A30001105EFD0FFB3FD57630AF90497E70100D2 +:102A4000938787BC804398409C434A9440C3636CFF +:102A5000F40497E70100938727BC88438C409105D5 +:102A6000EFD01FAE97E70100938747B89C4363768A +:102A7000F40097E7010023AB87B6B24022449244AA +:102A80000249410182807370043001A08C402244CD +:102A9000B2409244024917E501001305A5D59105FE +:102AA00041016FD07FA897E701009387A7B68843BD +:102AB00022448C40B24092440249910541016FD0BA +:102AC0003FA811C997E70100938707B29C4399E794 +:102AD0007370043001A07370043001A0411126C24C +:102AE00097E40100938484B39840AE878C40B70686 +:102AF0000080D58F06C622C41CCFE1053284EFD0FA +:102B0000BFA288401105EFD0BFA6FD57630EF400A9 +:102B100097E70100938747AF8843B24092442295DC +:102B2000224441016FE08FF58C402244B240924430 +:102B300017E50100130505CC910541016FD0DF9E1B +:102B400025CD411126C297E40100938424AD4AC0EB +:102B50002E898C4006C622C4E1053284EFD0DF9C6A +:102B600088401105EFD0DFA08547630AF40497E79A +:102B70000100938767A9804398409C434A9440C3CF +:102B8000636CF40497E70100938707A988438C409E +:102B90009105EFD0FF9A97E70100938727A59C4303 +:102BA0006376F40097E7010023A287A4B240224491 +:102BB00092440249410182807370043001A08C402C +:102BC0002244B2409244024917E50100130585C230 +:102BD000910541016FD05F9597E70100938787A327 +:102BE000884322448C40B2409244024991054101FD +:102BF0006FD01F95411106C622C426C25C45C0474E +:102C000035CC930484012685EFD09F9697E7010089 +:102C10009387879D9C43B5E7930444002685EFD0B6 +:102C20003F9597E701009387E79D58549C4363F6CF +:102C3000E70097E7010023A7E79C93172700BA97BF +:102C40008A0717E501001305A5AFA6853E95EFD0CD +:102C5000BF8D97E701009387679C9C4358540145BB +:102C6000DC5763F8E700854717E701002326F7984C +:102C70000545B24022449244410182807370043081 +:102C800001A0A68517E50100130545B4EFD0DF8943 +:102C9000C9B797E70100938727959C4381E7737035 +:102CA000043001A0411106C622C426C2B707008025 +:102CB0004045CD8F1CC12DC4EFD09F8B93044400A1 +:102CC0002685EFD0FF8A97E701009387A793585492 +:102CD0009C4363F6E70097E7010023A5E79293176B +:102CE0002700BA978A0717E50100130565A53E95E9 +:102CF000A685EFD07F8397E70100938727929C43B7 +:102D000058540145DC5763F8E700854717E7010091 +:102D10002324F78E0545B24022449244410182802B +:102D20007370043001A011CD97E701009387878C61 +:102D3000984397E701009387278D9C4318C15CC196 +:102D400082807370043001A055C1D1CD411106C6F7 +:102D500022C42A877370043097E801009388488A58 +:102D600083A7080091CB97E701009387278B9443B3 +:102D70009C43FC428507FCC217E301001303C38890 +:102D80009C4103260300FD560144638FD70217EED2 +:102D90000100130E2E8603250E000328070054435E +:102DA0006305A80005446371D6023308D640054484 +:102DB000637CF80003250E00918F03260300B6976D +:102DC0009CC108C350C3014483A7080081CB97E787 +:102DD00001009387A7849C43FC4381EF2285B24086 +:102DE0002244410182807370043001A0737004306A +:102DF00001A0EFE0EFCEDDB7854717D70100232D07 +:102E0000F77E828019C1684582800145828011C1A8 +:102E10006CC5828097E70100938747808843828052 +:102E200097D701009387C77D9C43054589CB97D7EA +:102E300001009387677B8843133515000605828060 +:102E400031CD011126CA97D401009384247D9C4082 +:102E500006CE22CC4AC84EC65855DC576379F702D5 +:102E60001C4D63C707009C40D4579547958F1CCDD8 +:102E700093172700BA97584917E901001309498C9D +:102E80008A07CA97630DF7009C40DC575CD5F24077 +:102E90006244D2444249B2490561828082809309EA +:102EA00045002A844E85EFD0AFEC9C4017D7010037 +:102EB000130747751843DC575CD46376F70017D7C0 +:102EC00001002321F774139527003E9562440A05FB +:102ED000F240D244CE854A95B249424905616FD04D +:102EE000AFE419CD411106C622C426C297D701000E +:102EF0009387C77280436307A4007370043001A0F6 +:102F0000014582807C4881E77370043001A05454ED +:102F10003848FD177CC86383E60099C70145B24075 +:102F20002244924441018280930444002685EFD0DC +:102F30002FE4284897D701009387C76C984395479B +:102F4000898F48D41CCC6376A70097D7010023ABA8 +:102F5000A76AD145EF30504B97D701009387477E42 +:102F60003E95A685EFD04FDC054555BF7370043004 +:102F700097D701009387C7689C4391CB97D70100EF +:102F80009387C76998439C437C4385077CC38280B1 +:102F900097D701009387C7669C438DC397D70100DD +:102FA0009387C7679843784311CB984394437C43F6 +:102FB000FD177CC3FC4299E373600430828097D78D +:102FC00001009387A7659043944398439547D4564F +:102FD000084E958F1CCF828097D701009387076496 +:102FE000984309C79443F84A0507F8CA8843828082 +:102FF00079714AD006D622D426D24ECE2A89737051 +:10300000043097D401009384A45F9C4017D401003E +:103010001304C460BDE31C40BC4F89E71C40054756 +:10302000F8CFD1E19C4081C71C40FC43F1EB7370A9 +:1030300004309C408DEF1C40A84F11C56304090269 +:103040001C4023AC07041C4023AE07049C4081C7EE +:103050001C40FC438DEBB250225492540259F24969 +:103060004561828018403C4FFD173CCFE9BF1840B6 +:103070001C407C4385077CC37DBF18401C407C43BB +:1030800085077CC349BF184014407C43FD177CC3AF +:10309000FC42F1F373600430B2502254925402594E +:1030A000F2494561828008402EC61105EFD04FCC11 +:1030B000B245FD576380F50697D701009387C75443 +:1030C00083A9070018409C43AE992322370163EC83 +:1030D000F90497D701009387275488430C40910542 +:1030E000EFD00FC697D70100938747509C4363F6F4 +:1030F000F90097D7010023AB374FEFD02FB11DB7A1 +:10310000184014407C43FD177CC3FC428DF3736070 +:10311000043031BF0C4017D501001305A56D910592 +:10312000EFD08FC0D9BF97D701009387A74E8843B0 +:103130000C409105EFD0CFC0C9B779714AD04ECEBF +:1031400006D622D426D252CC2E89B289737004308E +:1031500097D401009384C44A9C4017D401001304FF +:10316000E44BF9EF18408947784F630CF7001C4097 +:103170001345F5FFB84F798DA8CF1C400547F8CF10 +:10318000ADE69C4081C71C40FC43F5E373700430FE +:103190009C40B1E7638609001C40BC4F23A0F900A6 +:1031A000184085470145784F630BF7001C401349D1 +:1031B000F9FF0545B84F3379E90023AC27051C40DA +:1031C00023AE07049C4081C71C40FC43C1E3B250BE +:1031D000225492540259F249624A45618280184051 +:1031E0001C407C4385077CC375B7084036C6110573 +:1031F000EFD00FB8B246FD576385F60897D70100A8 +:103200009387874003AA070018409C43369A2322DD +:1032100047016363FA0897D701009387E73F884324 +:103220000C409105EFD0CFB197D701009387073CB1 +:103230009C436370FA0697D7010023A9473B91A8E6 +:1032400018401C407C4385077CC329BF18401440AC +:103250007C43FD177CC3FC42BDFB73600430B2505D +:10326000225492540259F249624A456182801840C0 +:1032700014407C43FD177CC3FC4289FB736004301F +:1032800031B70C4017D501001305C5569105EFD095 +:10329000AFA9EFD0AF97F5B597D7010093878737E0 +:1032A00088430C409105EFD0AFA9E5B751C141115A +:1032B00006C622C426C24AC07370043097D40100E7 +:1032C000938404349C40B9EB99C23C4D9CC2B287B4 +:1032D0008946704D74CD2E872A846386D70663FC99 +:1032E000F6048D456387B70091456395B700630089 +:1032F000D60C38CC8547630FF60405449C4081CB3F +:1033000097D70100938787319C43FC43A9E322852B +:10331000B2402244924402494101828097D7010081 +:103320009387C72F98439C437C4385077CC369BF21 +:103330007370043001A08546E39ED7FA3C4D33E715 +:10334000B70038CD45BF3C4D85073CCD65B7EFD0C4 +:103350003FF975BF130944004A85EFD06FA197D795 +:1033600001009387272A48549C4363F6A70097D708 +:10337000010023A9A728D145EF30100997D70100F4 +:103380009387073C3E95CA85EFD00F9A1C5481C79E +:103390007370043001A097D70100938727289C43BE +:1033A0005854DC57E3FBE7F4EFD04F86B9B701443C +:1033B000B1B739CD011122CC26CA4AC84EC652C473 +:1033C00056C206CEBA89368AB2842E892A84EFD0B4 +:1033D000CF8FAA8A63050A003C4C2320FA00784C60 +:1033E00089477CCC6389F40263F4970C8D4663872C +:1033F000D40091466396D400630AF70A232C24056F +:103400008547631EF7061C5499CB7370043001A0E6 +:103410007370043001A03C4C85073CCCD5B797D7DE +:1034200001009387671C9C43ADEB93044400268501 +:10343000EFD00F9497D701009387C71C48549C4343 +:1034400063F6A70097D7010023AEA71AD145EF3046 +:10345000A07B97D701009387A72EA6853E95EFD036 +:10346000AF8C97D701009387671B9C435854DC5758 +:1034700063F7E70063850900854723A0F900054449 +:103480005685EFD02F842285F2406244D2444249CF +:10349000B249224A924A056182809305840117D578 +:1034A00001001305A532EFD02F8865BF0144C9BFC5 +:1034B0008547E397F4F43C4C33E92701232C24059A +:1034C00081B74DC1011122CC26CA2A844EC606CE30 +:1034D0004AC8AE84EFC07FFF8947744C7CCC3C4C1B +:1034E0000547AA8985073CCC6395E6061C5481C72D +:1034F0007370043001A097D701009387E70E9C43B7 +:10350000ADE7130944004A85EFD08F8697D70100B5 +:103510009387470F48549C4363F6A70097D7010051 +:1035200023A2A70ED145EF30206E97D701009387D5 +:103530002721CA853E95EFC03FFF97D701009387AB +:10354000E70D9C435854DC5763F5E70099C0854765 +:103550009CC06244F240D24442494E85B249056162 +:103560006FC05FF67370043001A09305840117D516 +:1035700001001305A525EFC03FFBC1B7AA8729C5E8 +:103580007370043017D701001307870714439DE2B7 +:10359000F04F894601456315D60023AE0704054563 +:1035A0001C4381CB97D70100938747079843784303 +:1035B00015E3828097D60100938646069042944296 +:1035C0007442850674C2E9B797D70100938707054F +:1035D0009C437DB7984394437C43FD177CC3FC42D6 +:1035E000E9FB7360043082805D71A6C2CAC052DC00 +:1035F00056DA5AD85ED686C6A2C44EDE62D466D2E9 +:103600006AD017D901001309690217DB0100130BF7 +:103610002B0197D401009384E40097DA0100938A88 +:10362000AA00054AA54B83270900984363010712A6 +:10363000DC4783A90700EFE02FF8EFE0EFF88327DE +:103640000B002A8C6362F50A97D7010023AAA7FC16 +:1036500001466370351B8840B3858941EFD0FFB4C4 +:10366000EFE09F9A630105128840814601462C00D5 +:10367000EFD00FE34DD9A247E3C807FEC24983A7A5 +:10368000490189C713854900EFC09FEEEFE0CFF3F2 +:1036900083270B002A8C636DF508A24717D701001A +:1036A000232087F9E3E2FBFCB317FA0013F70721A5 +:1036B000631A071613F7770C6318071E93F70702B0 +:1036C000C5D74E85EF00F01145B7DC47C04783AC46 +:1036D0000700930944004E85EFC09FE95C502285A6 +:1036E00082975C4C6384470B83270900984371FFE2 +:1036F00003A70A0097D6010023A486F397D60100FA +:1037000023A4F6F297D7010023A2E7F2EFE0DF8FC0 +:10371000A1BFDC47C04703AD0700930C440066859A +:10372000EFC01FE55C50228582975C4C6386470999 +:1037300083270900984371FF03A70A0097D6010069 +:1037400023A4F6EE97D7010023A2E7EEB9B7EFE086 +:10375000AFE6EFE06FE783270B002A8CE366F5F80E +:1037600083A70A00884081499043B385894117D7D0 +:103770000100232787EB13361600EFD01FA3EFE0DD +:10378000BF88E31305EEEFC07FC8F9BD1C4CCE85A2 +:10379000E69763E9FC049C4089CF02CA66CC22CE3E +:1037A000EFF00FE88840814601464C08EFC03FFF2C +:1037B00005FD7370043001A01C4CE685EA97636C2C +:1037C000FD049C4089CF02CA6ACC22CEEFF04FE5BF +:1037D0008840814601464C08EFC07FFC31F9737088 +:1037E000043001A0032509005CC000C8EFC05FD50C +:1037F000E5BDEFE07F8183270900DC47C047930CDC +:1038000044006685EFC0DFD65C4C638F47035C5095 +:103810002285829791BD032509005CC000C8EFC0D6 +:103820003FD239B7B24723ACF900A5CFE29723A224 +:10383000F90023A8390193854900636FFC0403A5AF +:103840000A00EFC0FFCF0DB51C4C00C83387F9004C +:1038500058C0636DEC02330C3C416363FC029C4036 +:1038600089CF02CA4ECC22CEEFF08FDB8840814652 +:1038700001464C08EFC0BFF259F97370043001A043 +:1038800003A50A00E685EFC0BFCB51B703250900A9 +:10389000E685EFC0FFCAA5BF03250900EFC05FCAD8 +:1038A000E1B37370043001A0B24783A6890123A855 +:1038B00039013387D70023A2E9006364EC04B3071E +:1038C000FC4063EBD70483A749024E85829783A708 +:1038D000C901E39B47D983A68901B2479840B697AF +:1038E00011CF02CA3ECC4ECEEFF08FD38840814636 +:1038F00001464C08EFC0BFEAE31805D673700430E8 +:1039000001A06374FC00E370F7FC032509009385B4 +:103910004900EFC0FFC289BB03A50A009385490097 +:10392000EFC01FC291B3411122C406C626C24AC0CD +:1039300017D40100130404CFEFF04FE31C4095CBE4 +:10394000EFF00FE51C409DC38148014881470947BE +:1039500081461306004097D50100938525A61705DB +:1039600000001305A5C8EFD07F9939E973700430C2 +:1039700001A017D90100130929E94A85EFC03FB911 +:1039800097D40100938484E92685EFC05FB801468F +:10399000B145114597D7010023AA27C997D7010040 +:1039A00023A497C8EFC09FD217D70100232CA7C626 +:1039B00011CD97D501009385E59FEFD0CFF349B79F +:1039C000B240224492440249410182807370043023 +:1039D00001A0A5C9797156CAAA8A1305C00222D4CA +:1039E00026D24AD04ECE52CC06D65AC85EC63A89A6 +:1039F000B689328AAE84E9212A8405C9EFF00FD74F +:103A000097D70100938707C29C439DCFEFF04FD813 +:103A1000130544002320540104CC232E4401232009 +:103A2000340323222403EFC0DFAF2285B250225497 +:103A300092540259F249624AD24A424BB24B456112 +:103A400082807370043001A097DB0100938BCBDB85 +:103A50005E85EFC0DFAB17DB0100130B2BDC5A8553 +:103A6000EFC0FFAA0146B145114597D7010023AF2A +:103A700077BB97D7010023A967BBEFC03FC517D716 +:103A800001002321A7BA01C997D50100938585922A +:103A9000EFD06FE6A5BF7370043001A039C50111E6 +:103AA00022CC06CE26CA17D401001304A4B783285B +:103AB00004002A88014563850802BA842EC236872D +:103AC00032C442C6954663C5B602EFF06FB589476A +:103AD000630BF5020840814601464C00EFC03FCC25 +:103AE000F2406244D244056182807370043001A0C8 +:103AF0004C0081463A864685EFC0BFFFF2406244E3 +:103B0000D244056182800840814626864C00EFC081 +:103B10001FC9F9B719C1084182807370043001A030 +:103B200001E57370043001A0411106C622C42A8445 +:103B3000EFF0CFC348483334A000EFF06FC52285C3 +:103B4000B24022444101828001E57370043001A03B +:103B5000411122C42A8406C6EFF04FC10050EFF095 +:103B60002FC3B240228522444101828001E5737057 +:103B7000043001A0411122C426C22E84AA8406C6A4 +:103B8000EFF0CFBE80D02244B240924441016FF0AA +:103B90002FC04111714522C406C61D262A8411C5B5 +:103BA000232005001105EFC09F962285B2402244D4 +:103BB0004101828001CDB70700FFED8F81C773708F +:103BC000043001A099E57370043001A073700430D3 +:103BD00001A0011122CC4AC84EC652C456C206CE1C +:103BE00026CABA893689B28A2E842A8AEFF04FA370 +:103BF00011E5638509007370043001A0EFE0CF9BED +:103C000083240A00B37794006316090295C763877B +:103C10000A009347F4FFE58F2320FA00EFE0CFBEC0 +:103C2000F240624426854249D244B249224A924A2D +:103C300005618280E30DF4FCE38209FEB335500197 +:103C4000E20563050900B7070004DD8D4E86C18DCE +:103C500013054A00EFE0FFE6EFE00FBB19E1EFC00C +:103C6000EFFAEFF0CFB593176500AA8963D8070084 +:103C7000B7040001FD14B3F499005DB7EFF00FAF86 +:103C800083290A00B3773401631E090089CB638856 +:103C90000A009347F4FFB3F737012320FA00EFF04F +:103CA0002FAFF9B7E31DF4FEDDB701C9B70700FF79 +:103CB000ED8F99C77370043001A07370043001A0B8 +:103CC000411122C42A8406C626C24AC02E89EFF0BA +:103CD000EFA904409345F9FFB3F795001CC0EFF03E +:103CE0002FABB24022442685024992444101828092 +:103CF000411122C42A8406C6EFC02FFD0040EFC048 +:103D00006FFCB240228522444101828009C93707F5 +:103D100000FFB3F7E50099C77370043001A073701A +:103D2000043001A06F20D07BB70700FFF18F81C75F +:103D30007370043001A001E67370043001A001111A +:103D400022CC4AC84EC652C406CE26CA3689AE898F +:103D50002A8A3284EFF0CF8C21E163050900737069 +:103D6000043001A0EFE04F8583240A00CE85528500 +:103D7000B3E49900613FB37794006307F4068324AA +:103D80000A00EFE06FA8F240624426854249D2441F +:103D9000B249224A05618280EFE00F8283240A0043 +:103DA000CE855285B3E434019537B3F78400638D33 +:103DB0008702E30609FCB70500054A86C18D130595 +:103DC0004A00EFE01FD0EFE02FA419E1EFC00FE4AD +:103DD000EFF0EF9E93176500AA8463DF0700B70733 +:103DE0000001FD17FD8C45B783270A001344F4FF3B +:103DF000E18F2320FA0071B7EFF04F9783240A0078 +:103E0000B3779400639787009347F4FFE58F2320EF +:103E1000FA00EFF0EF97E1B7411122C406C62A84F9 +:103E200026C2EFD07FF95C4085C308489304C400E4 +:103E30006316950015A0084863009502B7050002B7 +:103E4000EFE03FE55C40E5FB2285612A2244B24079 +:103E5000924441016FE04F9B7370043001A009C987 +:103E6000370700FFB3F7E50099C77370043001A06E +:103E70007370043001A06F20B06601C9B70700FF5E +:103E8000ED8F99C77370043001A07370043001A0E6 +:103E9000411122C426C206C62A84AE84EFF00F8DDB +:103EA000184093C7F4FFF98F1CC02244B2409244DB +:103EB00041016FF0EF8D19C1084D8280014582806C +:103EC000411122C406C62A84EFD01FEF97C7010014 +:103ED000938707769C43A1EBE56717D7010013078B +:103EE0006795F11797C6010023AAE67417C701006A +:103EF0002324F77417D701002320F79497C70100F4 +:103F00009387477317D701002326F792854717C772 +:103F100001002327077217C70100232D077017C759 +:103F200001002327F77019E8EFE00F8EEF10800FE4 +:103F300001442285B240224441018280130584005D +:103F400093773500C1EFE5671307F5FFE917E3ED58 +:103F5000E7FC97C601009386666E9C4229A09843B1 +:103F600011C7BE86BA87D843E36BA7FE17C7010007 +:103F70001307476CE38AE7FA9843414613848700A6 +:103F800098C2D843B306A7406378D6023388A70007 +:103F90002322D800C8C38325480097C60100938612 +:103FA000E66911A0BA8698425043E36DB6FE23201D +:103FB000E80023A00601D84397C701009387076F45 +:103FC0009C43998F17C701002322F76EEFE0CF8340 +:103FD00031DC2285B2402244410182807199110571 +:103FE0009DB729C9411122C406C62A84EFD0DFDC5F +:103FF000930584FF0326C4FF17C701001307076456 +:1040000011A03E871C43D443E3EDC6FE232CF4FEEF +:104010000CC397C701009387676998438327C4FF40 +:104020002244B240BA9717C701002321F768410123 +:104030006FD09FFD828097C70100938727678843D1 +:10404000828082803367B5000D8BAA871DE79308B5 +:10405000D6FFAA982A87AE866371150303A80600C7 +:1040600011079106232E07FFE36A17FF9347F5FF19 +:10407000C697F19B9107BE95AA972A9663F3C70A44 +:104080009386450013884700B3B6D70033B80501BF +:1040900033E7F50093C61600134818000D8BB3E6FE +:1040A000060113371700758F330EF64093861700FD +:1040B00029CF1337AE0031EB13532E002E88BE8666 +:1040C0000147832808000507110823A0160191065F +:1040D000E36967FE1377CEFFBA95BA976302EE04E1 +:1040E00083C60500138717002380D700637AC702B1 +:1040F00083C6150013872700A380D7006372C70209 +:1041000003C725002381E7008280B307F640AE97FE +:10411000850503C7F5FF8506238FE6FEE39AF5FEC6 +:1041200082808280B367C5008D8BB308C500E9C368 +:104130006371150FB307A0408D8B13873700954629 +:1041400093F5F50F130815003383A8407D166373AC +:10415000D70015476363E60CD5CF2300B5000547AC +:10416000638DE700A300B5000D4713082500639693 +:10417000E700130835002301B500139685004D8E26 +:1041800013970501518F3303F34093968501D98E20 +:1041900013562300AA97014794C305079107E36DBF +:1041A000C7FE1377C3FFB307E8006307E306238066 +:1041B000B70013871700637C1703A380B70013872A +:1041C0002700637617032381B700138737006370D6 +:1041D0001703A381B70013874700637A170123826F +:1041E000B7001387570063741701A382B70082805A +:1041F00093F5F50F13978500D98D139705014D8F12 +:10420000E37715FFAA87910723AEE7FEE3ED17FFDB +:10421000828082802A8895B78280AA8749BF834797 +:1042200005002A8799C7050583470500EDFF198D0D +:1042300082800145828005058347F5FF850503C718 +:10424000F5FF91C7E389E7FE3385E7408280814728 +:10425000E5BFAA87850503C7F5FF8507A38FE7FE9E +:1042600075FB828083460500930700026397F60082 +:10427000050583460500E38DF6FE938756FD93F70B +:10428000D70F85C7AA87814585CE01458507138647 +:1042900006FD1317250083C607003A9506053295DB +:1042A000F5F699C13305A0408280938536FD83469B +:1042B000150093B5150093071500E1FA0145D5B730 +:1042C000014582809C412380A7009C4185079CC1B9 +:1042D000828097C601009386E6369C4217570300FA +:1042E0001307475613861700BA972380A70097C570 +:1042F000010023A9C534A9476308F500930700040A +:104300006305F6000145828075DE370610F005076B +:104310008347F7FF2320F6F09C42FD1797C5010065 +:1043200023A2F532EDF7014582804D7123229114CD +:1043300023202115232A511323248113232611140A +:1043400023248114232E3113232C4113232861139A +:1043500023267113232291132320A113232EB1119D +:10436000AA842E8932C6130C5002A54A834709003D +:1043700063808703B9CB804011A0A1CB2300F40058 +:1043800080400509050480C083470900E39787FF43 +:104390000346190093081900C686130D0002FD5943 +:1043A0007D5A0148130550059307D6FD93F7F70F83 +:1043B000138916006363F52A17C70100130787C422 +:1043C0008A07BA979C43BA9782878320C114032433 +:1043D000811483244114032901148329C113032A5E +:1043E0008113832A4113032B0113832BC112032C46 +:1043F0008112832C4112032D0112832DC111716191 +:104400008280A14C02C4854763D50715B24780401E +:10441000938B770093F68BFF03AB060083A94600CE +:10442000938786003EC6668681465A85CE85EF20F4 +:10443000A02D2AC8814763853723930D4101854B01 +:10444000668681465A85CE85EF10504E8146668637 +:104450002A8BAE89EF20402BA24723A0AD00938684 +:104460001B00910D63843701B68BD9BFE37E9BFFA0 +:1044700063DD4601A2872380A70180407D1A930750 +:1044800014009CC03E84E3C846FF93962B001C0892 +:10449000BE9631A8938777052300F4008040FD1B6A +:1044A00093871B00050480C0F116E351F0EC9C4299 +:1044B000E3E2FAFE93870703C5B79C409306000327 +:1044C00032472380D7009C4093064700C14C138697 +:1044D000170090C013068007A380C700804002C465 +:1044E000BA87050480C003AB0700814936C625BFE3 +:1044F000B24783AC0700938B470063840C1C635F57 +:1045000040199307D0026319FD1683C70C0085C3B9 +:1045100063DC09189440850C7D1A2380F6008040E6 +:10452000050480C083C70C00E5F7635D400180404F +:10453000930700022300F40080407D1A050480C028 +:10454000E31A0AFE5EC61DB502C48547A94CE3CF37 +:1045500007EBB24780409386470071B703C6160049 +:10456000CA8699B59C40930650022380D7008040AC +:10457000050480C0E5BBC14C02C471B5B24703C697 +:104580001600CA8683A9070091073EC6E35E0AE0CB +:104590004E8AFD5911BD03C61600130DD002CA86FE +:1045A00021B59347FAFFFD8703C61600337AFA0058 +:1045B000CA86DDBB03C61600130D0003CA86EDB321 +:1045C00083C51600930906FDCA86938705FD2E86CE +:1045D000E3EEFAFA93972900BE9985068609AE990B +:1045E00083C50600938909FD938705FD2E86E3F3B5 +:1045F000FAFE69BF32479C40144311073AC6238034 +:10460000D7008040050480C095B3854763D3070B6E +:10461000B24793867700E19A938786003EC683A9C6 +:1046200046009C4263DC090A94401306D002B3079B +:10463000F0402380C6008040B336F000B30930411B +:10464000050480C03E8BB389D940A94C02C4E1BBAC +:1046500003C616000508CA8681BB9C40468921B75F +:10466000E37D9BDD8547814B8546E3C547E131BD51 +:1046700097CC0100938C0CD5CE856685EF10405306 +:10468000330AAA40635C400180402300A4018040BB +:104690007D1A050480C0E31A0AFE014A83C70C0094 +:1046A000E38207EAE3C809E6FD197D57E394E9E6EA +:1046B000ADBDB247938647009C4336C693D9F741B8 +:1046C00095B7635640019307D002E313FDFA97CCE8 +:1046D0000100938C2CCF930780021DBD3E8BA94C0B +:1046E00002C4804089B34D71232E3113B7090040B5 +:1046F0009387F9FF2324811423229114232C41133F +:10470000232A511323261114232021152328611352 +:104710002326711323248113232291132320A11311 +:10472000232EB1112A8A2EC417C40100130484F168 +:10473000975A0300938A0A1197C40100938404A234 +:104740003EC693055002294513080004B70610F031 +:1047500083470A006386B704C1C71840050A1306D9 +:104760001700569797C8010023AEC8EC2300F70046 +:104770006382A706E31E06FD175703001307870C85 +:1047800005078347F7FF23A0F6F01C40FD1717C667 +:1047900001002329F6EAEDF783470A00E39EB7FA02 +:1047A00083461A0013051A002A87930B00027D5BCB +:1047B0007D5C014893055005A5489387D6FD93F786 +:1047C000F70F130A170063E7F5348A07A6979C438F +:1047D000A6978287175703001307C70655F28DBFA8 +:1047E0008320C11403248114832441140329011458 +:1047F0008329C113032A8113832A4113032B011335 +:10480000832BC112032C8112832C4112032D011220 +:10481000832DC11171618280A14C014D854763DBFD +:104820000719A2479D07E19B83AD070003AB470033 +:10483000138787003AC4668681466E85DA85EF1055 +:10484000B06C2AC863066D35130941018549668637 +:1048500081466E85DA85EF10700D66868146AA8DD9 +:104860002E8BEF10706A2320A90085091109E3102F +:104870006DFFE3FE9DFD13060004B70610F063D143 +:1048800089031C4013871700D69797C5010023ABF7 +:10489000E5DA23807701630CC7047D1CE3C389FF3D +:1048A000B2476800A548B386F9008A061C08BE9680 +:1048B000294813030004370610F0E384A6E89C425D +:1048C0009305000363F4F800930570051840AE9754 +:1048D00093051700569717CE01002325BED6230057 +:1048E000F7006380072B638C6526F116F9B717571D +:1048F0000300130727F505078347F7FF23A0F6F00A +:104900001C40FD1797C5010023AEF5D2EDF77D1CC5 +:1049100071B71C40130600041387170097C50100E8 +:1049200023A2E5D2B386FA00930500032380B600E4 +:104930006300C73E890797C6010023A5F6D05697A6 +:10494000930680072300D70017570300130787EF4C +:10495000B70610F0639EC70005078347F7FF23A043 +:10496000F6F01C40FD1717C60100232DF6CCEDF71D +:10497000A247C14C014D91072247014B832D0700EF +:104980003EC455BDA24783AC070013894700638F1F +:104990000C3A635480219307D002639EFB2E03C719 +:1049A0000C00BA856310072025AC8547A94C014D42 +:1049B000E3C907E7A2479107C1B7A247834617009B +:1049C000528703AB070091073EC4E3580CDE5A8CB4 +:1049D0007D5BE5B383461700930BD0025287F1BB92 +:1049E0009347FCFFFD8783461700337CFC0052870A +:1049F000E9B383461700930B000352877DBB034640 +:104A00001700138B06FD5287930706FDB286E3EE6F +:104A1000F8FA93172B00DA9705078607B297034633 +:104A20000700138B07FD930706FDB286E3F3F8FE3C +:104A300069BFA2451C402946984193861700D69726 +:104A400017C501002320D5C02380E7001389450046 +:104A50006301C72A930700046387F6204AC4D5B1CF +:104A6000854763DF0729A24713877700619B930778 +:104A700087003EC4032B47001C43635B0B30184088 +:104A8000130600049306170097C5010023ACD5BA9E +:104A900056979305D0022300B7006388C62CB3074E +:104AA000F0403337F000330B6041BE8D330BEB40E9 +:104AB000A94C014D49B38346170005085287F5B943 +:104AC000834617005287D5B91C409306000413870C +:104AD000170017C601002327E6B6D6971306500223 +:104AE0002380C700E31FD7C417570300130787D5D8 +:104AF000B70610F005078347F7FF23A0F6F01C4028 +:104B0000FD1717C60100232FF6B2EDF71DB9C14CF2 +:104B1000014D29B31C40930600042A8A138717000D +:104B200017C601002320E6B2D69713065002238051 +:104B3000C700E318D7C0175703001307A7D0B7065D +:104B400010F005078347F7FF23A0F6F01C40FD1780 +:104B500017C601002328F6AEEDF72A8ADDB61757EF +:104B60000300130727CE05078347F7FF2320F6F03E +:104B70001C40FD1797C5010023A6F5ACEDF7F11613 +:104B80002DBB175703001307E7CBF1FDF11635B323 +:104B9000E3FC9DCB8549C5B1014C03C70C00BA8528 +:104BA000E30E07EAFD58294513080004B70610F084 +:104BB00063570B0A1C4013861700D69717C30100D2 +:104BC0002322C3A82380E7006384A50663040609A3 +:104BD000850C03C70C007D1CBA8579FBE35080E986 +:104BE0001C409305000213060004B70610F021A034 +:104BF0007D1CE3050CE613871700D6972380B700CA +:104C000017C501002320E5A4BA87E313C7FE175791 +:104C10000300130727C305078347F7FF23A0F6F018 +:104C20001C40FD1717C50100232EF5A0EDF7C9B7ED +:104C300017570300130707C141DE05078347F7FF36 +:104C400023A0F6F01C40FD1717C60100232CF69E8A +:104C5000EDF7BDBF175703001307C7BEF9BF7D1B94 +:104C6000E31A1BF5A5BF175703001307A7BDB70627 +:104C700010F005078347F7FF23A0F6F01C40FD174F +:104C800017C601002320F69CEDF74AC45DBC97BC13 +:104C90000100938C2C73DA856685EF007071330CFC +:104CA000AC40E35C80EF1C4013060004B70610F034 +:104CB00021A07D1CE3020CEE13871700D6972380FA +:104CC000770197C5010023AFE596BA87E313C7FEC6 +:104CD00017570300130707B705078347F7FF23A0FC +:104CE000F6F01C40FD1797C5010023ADF594EDF7D4 +:104CF000C9B7175703001307E7B4B5FA4AC491B40C +:104D0000A247138747009C433AC413DBF741B5B36E +:104D100017570300130707B3B70610F005078347BB +:104D2000F7FF23A0F6F01C40FD1717C60100232B48 +:104D3000F690EDF7854717C701002325F7909307F5 +:104D4000800717570300230FF7AE1DB1635680018C +:104D50009307D002E39DFBF297BC0100938C8C6615 +:104D6000130780029305800235BD97560300938692 +:104D700066AD370610F0850603C7F6FF2320E6F080 +:104D800018407D1797C5010023AEE58A6DF701BB7A +:104D9000BE8DA94C014D45B4B70710F023A0A7F272 +:104DA00001A03971130341022ED29A8506CE32D466 +:104DB00036D63AD83EDA42DC46DE1AC62D32F2400A +:104DC0000145216182805D711303810322D42AC6CB +:104DD00032DC2A841A86680006D6BEC236DEBAC025 +:104DE000C2C4C6C61ACEEFF04FD4B2472380070024 +:104DF0003245B250018D225461618280B70710F0B4 +:104E000083A647F403A607F403A747F4E31AD7FEE3 +:104E1000856693868638B29633B6C600B305E6003B +:104E200023A4D7F423A6B7F48280B70710F003A712 +:104E300047F403A607F483A647F4E31AD7FE856672 +:104E400093868638B29633B6C60023A4D7F4B3054A +:104E5000E60023A6B7F49307000873A047308280CA +:104E6000797122D406D626D24AD04ECE52CC56CA1A +:104E70005AC85EC697B701009387477D17B40100F3 +:104E80001304847C98431C40930647069387470687 +:104E900017B60100232AF67A856717B60100232783 +:104EA000D67A938777BB63CDD700B2502254925401 +:104EB0000259F249624AD24A424BB24B4561828062 +:104EC000FD779387C74A3E9797B7010023A0E778FD +:104ED0007D238547631DF504EF00D0078549114BFD +:104EE000814BA144314A814A2A896303350717B5AA +:104EF00001001305E54FEFF0DFEAEF00F03DD2844B +:104F00006306350717B5010013050551EFF07FE97A +:104F10002685EFF07FE82254B25092540259F249AC +:104F2000624AD24A424BB24B45616FC0FFE717B5A8 +:104F300001001305A549EFF0DFE6EF00B001854958 +:104F4000194B894BA944394A894A2A89E31135FB0F +:104F5000EF009038E31825FB639C0A00184089672E +:104F6000938777328144E3C5E7FA81B7DA844DB796 +:104F7000DE8479BF17B501001305454C6FF07FE261 +:104F800017B501001305054C6FF0BFE1411122C4B4 +:104F900026C206C6856417B401001304244B938708 +:104FA00084380100FD17F5FF2285EFF09FDFC5BFB4 +:104FB000411106C6A929D125B24041016F007027D1 +:104FC000411106C622C426C25D2985476313F50434 +:104FD00091440144EF00007885476309F50017B557 +:104FE00001001305E540EFF0DFDB2684EF00D02E53 +:104FF0008547630AF50017B501001305E541EFF099 +:105000005FDA136484002285B24022449244410155 +:10501000828017B501001305653BEFF09FD89944D6 +:1050200009444DBF7370043001A082807370043056 +:1050300001A0797126D24AD04ECE52CC56CA5AC857 +:1050400006D622D417BA0100130A4A6217BB010020 +:10505000130B0B619304500505499309E00A930A69 +:10506000E00B0145EFD07F84EFC01FD62A840325D3 +:105070000A0081461306F00A6C0002C697B70100C9 +:1050800023AC975CEFB0AFF109C597B7010023A738 +:10509000275DEFC07FD3018D63F4A90063F6AA00FA +:1050A00097B7010023AC275B014597B7010023A503 +:1050B000975AEFD08FFFEFC03FD12A8403250A0013 +:1050C00081461306F00A6C0097B7010023A6975893 +:1050D000EFB01FBD09C597B7010023A12759EFC045 +:1050E000BFCE018D63F4A90063F6AA0097B7010053 +:1050F00023A6275797B7010023A0975683270B00B5 +:10510000850717B70100232DF754A1BF397126DA9F +:105110004AD84ED652D456D25AD05ECE62CC66CA47 +:1051200006DE22DC6AC817BA0100130A2A5417B92E +:1051300001001309695297BB0100938BEB5297BC96 +:105140000100938C2C52294C054B914A85499304BC +:10515000500502C401463314CC00EFC0FFC62A8DAF +:1051600003250A00814622866C00EFB07FB309C593 +:1051700097B7010023A4374FEFC01FC53305A541E2 +:105180009307F4006376850017B701002328374D95 +:1051900063FDA724224697B7010023A1374D0506DA +:1051A00032C4E3DACAFA02C403250A008146014682 +:1051B0002C00EFB0CFDE6306652597B7010023AF63 +:1051C0003749A24785073EC4E3D0FAFE02C4014630 +:1051D0003314CC00EFC05FBF2A8D03250A0081463F +:1051E00022862C00EFB0AFDB09C597B7010023A7DB +:1051F0003747EFC07FBD3305A5419307F4006376C1 +:10520000850017B70100232B374563FAA71C2246F8 +:1052100097B7010023A43745050632C4E3DACAFA7A +:1052200003A50B0097B7010023A80742EFC04FF971 +:1052300083270900638997005145EFC05FFB8327EF +:105240000900E39B97FE5145EFC07FFA97B7010035 +:1052500023A4074002C403250A00814601466C00CE +:10526000EFB01FA4814601462C006306650197B785 +:10527000010023A5373F03250A00EFB04FD2914527 +:105280006306650197B7010023AA373D832709000C +:1052900003A50B006396970097B7010023A0373D45 +:1052A000EFC02FE083270900854503A50B00639617 +:1052B000970097B7010023A3373BEFC08FDEA247CB +:1052C00085073EC4E3D9FAF883270900514563896D +:1052D0009700EFC0DFF1832709005145E39B97FE5C +:1052E000EFC0FFF097B7010023A8073602C40325DB +:1052F0000A00814601466C00EFB09F9A630D650F6E +:1053000097B7010023AC3735A24785073EC4E3D0E9 +:10531000FAFE03A50B00EFC0AFEA832709006389FB +:1053200097005145EFC0BFEC83270900E39B97FE30 +:105330005145EFC0DFEB97B7010023AF073002C440 +:1053400003250A00814601462C00EFB04FC5814677 +:1053500001466C006306650197B7010023A0373151 +:1053600003250A00EFB0DF9391456306650197B707 +:10537000010023A5372F8327090003A50B0063969F +:10538000970097B7010023AB372DEFC08FD183274C +:105390000900854503A50B006396970097B70100A8 +:1053A00023AE372BEFC0EFCFA24785073EC4E3D92A +:1053B000FAF883270900514563899700EFC03FE35E +:1053C000832709005145E39B97FEEFC05FE283A767 +:1053D0000C00850717B701002326F7289DBB22463E +:1053E000050632C4E3D6CADE25BD2246050632C410 +:1053F000E3D3CAD64DBBA24785073EC4E3D9FAEE34 +:1054000009BFA24785073EC4E3D0FADAC1B3411110 +:1054100001469145154506C6EFB04FAB97B5010063 +:105420009385050497B7010023A2A724EFB0BFCC52 +:10543000814801488147094781461306004097B5D6 +:1054400001009385250317050000130565CCEFB017 +:10545000FFEAB2408148014897B701009387C7200F +:10546000054781461306004097B501009385050165 +:1054700017050000130525BC41016FB03FE817B7C1 +:1054800001001307271E97B601009386661D17B605 +:1054900001001306E61B1C4310428C4217B50100A5 +:1054A0001305451B0328050001456386C500B38726 +:1054B00007413335F00097B701009387271A9C43C3 +:1054C00094421843FD17B337F000B307F04017B606 +:1054D0000100232FD6167D8D97B6010023ACE6166A +:1054E0008280011122CC06CE26CA4AC84EC652C4BA +:1054F00058419307A00A2A8404416309F7108146A2 +:10550000014681452685EFB0AFF985476316F50062 +:1055100097B7010023A2A71605498549032A04006D +:105520008146014681455285EFB08FF76304250B14 +:105530009304800C8146014681455285EFB02FA629 +:105540001C446300250D850717B701002326371378 +:10555000FD141CC4E5F08146014681455285EFB03B +:105560000FA46316250197B7010023A72711032A6B +:1055700004008146014681455285EFB04FA2630089 +:1055800025079304800C8146014681455285EFB082 +:105590002FF11C44630A2505850717B70100232D49 +:1055A000370DFD141CC4E5F0814601468145528546 +:1055B000EFB00FEFE31425F7032A040081460146FC +:1055C0008145528597B7010023A8270BEFB04FED17 +:1055D000E31025F797B7010023A0270B91BF97B7DA +:1055E000010023AB270971BF8507FD141CC4C1FC52 +:1055F0008146014681455285EFB08FEAE31025F3DD +:1056000065BF8507FD141CC495F4B1B781460146FA +:1056100081452685EFB0AF9885476316F50097B7AB +:10562000010023ABA7041309800C8549814601467C +:1056300081452685EFB0CFE61C44630A3503850714 +:1056400017B70100232A37037D191CC4E31009FE94 +:105650002685814601468145EFB08FE485470440A9 +:10566000E31FF5E897B7010023A8A70049BD850708 +:105670007D191CC4E31C09FAE1BF41119305800C9C +:105680001305800C06C622C4EFB04F8E9747030067 +:1056900023AAA71E9307A00A174703002326F71E75 +:1056A00081451305800C9747030023A1071EEFB027 +:1056B000EF8B9747030023ADA71C9747030023AB4D +:1056C000071C174403001304E41B9747030023A59A +:1056D000071C084097B501009385C5DAEFB0BFA15C +:1056E000484497B501009385E5DAEFB0DFA01C4090 +:1056F000A1CF81480148814701479746030093861F +:1057000066181306004097B501009385A5D91705C3 +:105710000000130545DDEFB07FBE2244B240814852 +:105720000148814701479746030093866616130692 +:10573000004097B50100938565D717050000130554 +:1057400085DA41016FB09FBB5C44C5F7B24022448B +:105750004101828017B70100130707F210439747F2 +:1057600003009387271217B701001307A7F0184308 +:10577000944701456389E60098471335160097B6AC +:10578000010023A9E6EE17B70100130767EED44B1B +:1057900018436388E600DC4B17B70100232AF7ECB7 +:1057A000828001458280797122D426D24AD04ECEA1 +:1057B00052CC56CA5AC85EC662C406D697B4010017 +:1057C000938484EE97B90100938949EC17BA0100DC +:1057D000130A8AEB97BA0100938A4AEC97BB01003F +:1057E000938B0BEC17BC0100130C8CEA05440D499C +:1057F000094B88408145EFB0AFEBE31C85FE03A564 +:105800000900EFB09FF7631C250B03250A00EFB0DA +:10581000DFF66313250B83A70A006387870097B71A +:10582000010023A187E8F1B783A70B00E39987FE66 +:1058300083270C0003250A00850717B701002329D9 +:10584000F7E4EFC0EF9703A50900EFC06F9783A7B8 +:105850000A006387870483A70B00638387040145DD +:10586000EFB0FFFB6317650503A50900EFB0FFF07C +:10587000631D650503250A00EFB03FF0631465055D +:105880008840EFB0AFA26306850097B7010023AB55 +:1058900087E00145EFB0BFF829DD7370043001A047 +:1058A000014597B7010023AF87DEEFB05FF7E30D47 +:1058B00065FB7370043001A07370043001A0737035 +:1058C000043001A07370043001A07370043001A093 +:1058D000011122CC26CA4AC84EC652C406CE17B9F8 +:1058E0000100130969DC17BA0100130A6ADB97B4D7 +:1058F0000100938424DA97B901009389E9D905441A +:105900002DA097B7010023AF87D89C4003A70900BB +:1059100085076386E70097B7010023A587D89C40D9 +:10592000850717B701002327F7D603250900F95586 +:10593000EFB00FD8E31785FC83270A00E39387FCB9 +:1059400003250900EFB08F966306850097B7010025 +:1059500023AA87D4014597B7010023A187D4EFC0BC +:10596000DFF497B7010023AB07D245B701114AC84E +:1059700017B90100130949D30325090022CC4EC6EB +:1059800006CE26CA054497B901009389E9D0EFB045 +:10599000EF9163058508A94403250900A145EFB0EF +:1059A0002FD163028508FD14514597B7010023AB41 +:1059B00087CEEFC0DF83EDF0A9445145EFC03F83B0 +:1059C00003250900FD14EFB06F8E6306850097B7BD +:1059D000010023A987CCF5F003250900EFB00F8D56 +:1059E0006316850097B7010023AE87CA83A7090015 +:1059F0000145850717B701002320F7CA97B70100B3 +:105A000023A087CAEFC07FEA0325090097B70100EA +:105A100023A807C8EFB08F89E31F85F697B7010069 +:105A200023A287C88DBFFD145145EFC04FFCADF4D4 +:105A300061B74111114506C6EFB08F8197B50100DE +:105A4000938545A797B7010023A0A7C6EFB0AFEA9B +:105A500097B70100938747C59C43A5CF814801486C +:105A600097B70100938787C2094781461306004014 +:105A700097B50100938505A517050000130545EFAF +:105A8000EFB0DF878148014897B701009387C7BF10 +:105A9000054781461306004097B50100938505A38D +:105AA00017050000130505E3EFB05F85B24081489C +:105AB00001488147014781461306004097B5010020 +:105AC000938545A117050000130525CE41016FB050 +:105AD000FF82B2404101828097B701009387C7BB24 +:105AE00097B60100938606BA984394426382E6060D +:105AF0009C4397B601009386A6B8944217B701005D +:105B00002322F7B897B701009387C7B898436382F9 +:105B1000E6069C4397B60100938646B6944217B7B3 +:105B20000100232FF7B497B70100938767B6984316 +:105B30006382E6069C4317B701002321F7B497B7A9 +:105B40000100938727B688437D153335A0008280F6 +:105B5000854717B701002327F7B497B6010093864E +:105B600026B297B701009387E7B298439442E39235 +:105B7000E6FA854717B701002326F7B297B601006A +:105B80009386C6AF97B70100938787B09843944236 +:105B9000E392E6FA854717B701002325F7B097B7D8 +:105BA0000100938727B088437D153335A00082809C +:105BB000B305B500930705006386B70003C7070068 +:105BC000631607003385A74067800000938717009E +:105BD0006FF09FFE130101FB23229104232C41034C +:105BE0002322910323261104232481042320210549 +:105BF000232E3103232A5103232861032326710313 +:105C0000232481032320A103232EB101930C05003B +:105C1000138A05009304000063DE05003305A040ED +:105C20003337A000B305B040930C0500338AE5403C +:105C30009304F0FF63DA06003306C040B337C000B8 +:105C4000B306D040B386F640930A06009389060057 +:105C500013840C0013090A006396062817AB010091 +:105C6000130B4B656370CA16B70701006372F61415 +:105C70009307F00F63F4C70093098000B357360110 +:105C8000330BFB0083470B0013050002B38737017A +:105C9000B309F540638C0900B3153A01B3D7FC0092 +:105CA000B31A360133E9B70033943C0113DB0A0120 +:105CB00093050B0013050900EF00507C130A050043 +:105CC00093050B00939B0A0113050900EF009076E2 +:105CD00093DB0B019305050013850B00EF00D072D9 +:105CE000131A0A0193570401B367FA0063FAA70075 +:105CF000B387570163E6570163F4A700B3875701E1 +:105D00003389A74093050B0013050900EF001077B6 +:105D1000130A050093050B0013050900EF009071AD +:105D20001314040193050500131A0A0113850B00CF +:105D300013540401EF00506D33648A00637AA400A9 +:105D400033045401636654016374A40033045401A2 +:105D50003304A4403354340193050000638A0400E3 +:105D600033048040B3378000B305B040B385F540BD +:105D7000130504008320C104032481048324410407 +:105D8000032901048329C103032A8103832A4103D0 +:105D9000032B0103832BC102032C8102832C4102BC +:105DA000032D0102832DC10113010105678000004D +:105DB000B707000193090001E362F6EC9309800143 +:105DC0006FF0DFEB631A0600930500001305100067 +:105DD000EF005066930A0500B707010063FAFA0E58 +:105DE0009307F00F63F4570193098000B3D73A018A +:105DF000330BFB0083470B001305000233095A41A4 +:105E0000B3873701B309F540E38209EAB39A3A014F +:105E1000335BFA00B3153A01B3D7FC0093DB0A01F8 +:105E200033E9B70013050B0093850B00EF001065F5 +:105E3000130A050093850B00139C0A0113050B0040 +:105E4000EF00505F135C0C019305050013050C0077 +:105E5000EF00905B131A0A0193570901B367FA0028 +:105E600033943C0163FAA700B387570163E65701F7 +:105E700063F4A700B3875701338BA74093850B00CA +:105E800013050B00EF00905F130A050093850B00CC +:105E900013050B00EF00105A9305050013050C00C5 +:105EA000EF00905693160901131A0A0193D60601C2 +:105EB000B366DA0063FAA600B386560163E65601BC +:105EC00063F4A600B38656013389A6406FF01FDE47 +:105ED000B707000193090001E3EAFAF09309800192 +:105EE0006FF0DFF06376DA0093050A006FF01FE7CA +:105EF000B707010063FAF604930BF00F33B5DB002C +:105F00001315350033D7A60097A701009387873A6A +:105F1000B387E70083CB070093050002B38BAB0088 +:105F2000338B7541631C0B0263E4460163EACC00CA +:105F30003384CC40B306DA4033B98C003389264130 +:105F4000930509006FF09FE1B707000113050001F9 +:105F5000E3EAF6FA130580016FF0DFFAB396660103 +:105F6000335D7601336DDD00B35D7A01B3156A01EF +:105F700033DC7C0113540D01336CBC0013850D0020 +:105F800093050400B3196601EF00504F930A050012 +:105F90009305040013850D0033996C01931C0D01CA +:105FA000EF00504993DC0C01130A0500930505002E +:105FB00013850C00EF005045939A0A0113570C010A +:105FC00033E7EA00930D0A00637EA7003307A701B9 +:105FD000930DFAFF6368A7016376A700930DEAFFAC +:105FE0003307A701330AA7409305040013050A00ED +:105FF000EF00D04893050400930A050013050A003A +:10600000EF005043930505001304050013850C00B1 +:10601000EF00903F93150C01939A0A0193D5050167 +:10602000B3E5BA001307040063FEA500B385A5011C +:106030001307F4FF63E8A50163F6A5001307E4FF67 +:10604000B385A501939D0D01B70C0100B3EDED00E3 +:106050001384FCFFB3F78D0033F48900338AA54025 +:1060600013850700930504002326F10093DD0D013D +:10607000EF00903993050400930A050013850D0085 +:10608000EF00903813DC090193050C002324A100D4 +:1060900013850D00EF0050378327C10013040C0057 +:1060A00093050400130C050013850700EF00D0359D +:1060B0008326810013D70A013305D5003307A700D3 +:1060C0006374D700330C9C01B70701009387F7FF77 +:1060D000935507013377F70013170701B3F7FA0059 +:1060E000B3858501B307F7006366BA00631EBA0083 +:1060F000637CF90033863741B3B7C700B385A54148 +:10610000B385F54093070600B307F9403339F9002A +:10611000B305BA40B385254133947501B3D7670100 +:106120003364F400B3D565016FF05FC3130101FD63 +:1061300023229102232A51012326110223248102C2 +:1061400023202103232E3101232C41012328610127 +:106150002326710123248101232291012320A101FF +:10616000930A050093840500639E06381304060015 +:106170009309050017A901001309C91363F8C51293 +:10618000B7070100138B05006378F6101307F00FB3 +:106190003337C70013173700B357E6003309F90048 +:1061A000834609003387E60093060002B386E64083 +:1061B000638C0600B394D40033D7EA003314D600BE +:1061C000336B9700B399DA00935A040193850A0060 +:1061D00013050B00EF00902A1309050093850A00B0 +:1061E000931B040113050B00EF00D02493DB0B017C +:1061F000930405009305050013850B00EF00D020E4 +:106200001319090193D70901B367F900138A040030 +:1062100063FEA700B3878700138AF4FF63E8870053 +:1062200063F6A700138AE4FFB3878700B384A7400F +:1062300093850A0013850400EF005024130905001C +:1062400093850A0013850400EF00D01E939909017D +:1062500093040500930505001319090113850B002C +:1062600093D90901EF00501AB3693901138604006C +:1062700063FCA900B30934011386F4FF63E68900C7 +:1062800063F4A9001386E4FF13140A013364C40005 +:10629000130A00006F000013B70700011307000185 +:1062A000E36CF6EE130780016FF01FEF138A060010 +:1062B000631A06009305000013051000EF00901705 +:1062C00013040500B7070100637EF4129307F00F73 +:1062D00063F48700130A8000B35744013309F900BF +:1062E000034709009306000233074701B386E640DF +:1062F00063940612B3848440130A1000135B0401F4 +:1063000093050B0013850400EF00501713090500D7 +:1063100093050B0013850400931B0401EF009011FB +:1063200093DB0B01930405009305050013850B0017 +:10633000EF00900D1319090193D70901B367F90014 +:10634000938A040063FEA700B3878700938AF4FF53 +:1063500063E8870063F6A700938AE4FFB3878700AA +:10636000B384A74093050B0013850400EF001011C0 +:106370001309050093050B0013850400EF00900B33 +:106380009399090193040500930505001319090168 +:1063900013850B0093D90901EF001007B369390188 +:1063A0001386040063FCA900B30934011386F4FFCB +:1063B00063E6890063F4A9001386E4FF13940A01DD +:1063C0003364C4001305040093050A008320C1024E +:1063D0000324810283244102032901028329C1018C +:1063E000032A8101832A4101032B0101832BC10070 +:1063F000032C8100832C4100032D010013010103B4 +:1064000067800000B7070001130A0001E366F4EC9F +:10641000130A80016FF05FEC3314D40033DAE40028 +:10642000B399DA0033D7EA00935A0401B394D40045 +:1064300093850A0013050A00336B9700EF001004E0 +:106440001309050093850A0013050A00931B040134 +:10645000EF00407E93DB0B019304050093050500DC +:1064600013850B00EF00407A1319090113570B0134 +:106470003367E900138A0400637EA70033078700AF +:10648000138AF4FF636887006376A700138AE4FF2A +:1064900033078700B304A74093850A0013850400DF +:1064A000EF00C07D1309050093850A0013850400E1 +:1064B000EF004078930405009305050013850B0059 +:1064C000EF00807413170B0113570701131909010B +:1064D000B367E9001387040063FEA700B387870052 +:1064E0001387F4FF63E8870063F6A7001387E4FFD0 +:1064F000B3878700131A0A01B384A740336AEA00FE +:106500006FF0DFDF63ECD51EB707010063F4F6041C +:106510001307F00FB335D7009395350033D7B60086 +:1065200097A70100938707D9B387E70003C7070040 +:10653000130A00023307B700330AEA4063160A025F +:1065400013041000E3E096E833B6CA0013441600C3 +:106550006FF05FE7B707000193050001E3E0F6FC89 +:10656000930580016FF09FFBB35CE600B396460194 +:10657000B3ECDC0033D4E40093DB0C01B3974401AB +:1065800033D7EA0093850B0013050400336BF70043 +:10659000B3194601EF00806E1309050093850B00C7 +:1065A00013050400139C0C01EF00C068135C0C0180 +:1065B000930405009305050013050C00EF00C0646B +:1065C0001319090113570B013367E9001384040001 +:1065D000637EA700330797011384F4FF6368970174 +:1065E0006376A7001384E4FF33079701B304A74041 +:1065F00093850B0013850400EF0040681309050024 +:1066000093850B0013850400EF00C062930405001E +:106610009305050013050C00EF00005F93170B01B5 +:106620001319090193D70701B367F9001386040012 +:1066300063FEA700B38797011386F4FF63E8970111 +:1066400063F6A7001386E4FFB387970113140401D0 +:10665000B70B01003364C4001389FBFF337D2401B1 +:1066600033F92901B384A7409305090013050D00F0 +:10667000EF008059935C040193050900130B05009A +:1066800013850C00EF00405893D90901130C050045 +:106690009385090013850C00EF00005713090500CE +:1066A0009385090013050D00EF00005633058501A1 +:1066B00093570B013385A700637485013309790172 +:1066C00093570501B387270163E6F402E392F4BC14 +:1066D000B70701009387F7FF3375F5001315050120 +:1066E000337BFB0033964A0133056501130A000032 +:1066F000E37AA6CC1304F4FF6FF09FB9130A0000ED +:10670000130400006FF01FCC130101FB232481044C +:1067100023229104232E31032322910323261104E3 +:1067200023202105232C4103232A5103232861031D +:1067300023267103232481032320A103232EB101E7 +:10674000930C05009389050013040500938405004C +:10675000639E062613090600138A060097AA010005 +:10676000938A4AB563F4C514B70701006376F6123D +:106770009307F00F63F4C700130A8000B357460174 +:10678000B38AFA0003C70A00130500023307470162 +:10679000330AE540630C0A00B395490133D7EC0096 +:1067A00033194601B364B70033944C01935A09017D +:1067B00093850A0013850400EF00404C93090500FF +:1067C00093850A00131B090113850400EF0080461E +:1067D000135B0B019305050013050B00EF00C0428E +:1067E0009399090193570401B3E7F90063FAA700ED +:1067F000B387270163E6270163F4A700B387270166 +:10680000B384A74093850A0013850400EF00004776 +:106810009309050093850A0013850400EF00804169 +:1068200013140401930505009399090113050B0046 +:1068300013540401EF00403D33E48900637AA4005F +:1068400033042401636624016374A4003304240127 +:106850003304A44033554401930500008320C10450 +:106860000324810483244104032901048329C103EF +:10687000032A8103832A4103032B0103832BC102D3 +:10688000032C8102832C4102032D0102832DC101BF +:106890001301010567800000B7070001130A00011A +:1068A000E36EF6EC130A80016FF05FED631A0600E9 +:1068B0009305000013051000EF00C0371309050011 +:1068C000B7070100637AF90E9307F00F63F427010D +:1068D000130A8000B3574901B38AFA0003C70A00BC +:1068E00013050002B384294133074701330AE54009 +:1068F000E30E0AEA33194901B3DAE900B395490115 +:1069000033D7EC0093540901336BB70013850A00A9 +:1069100093850400EF0080369309050093850400F9 +:10692000931B090113850A00EF00C03093DB0B01B4 +:106930009305050013850B00EF00002D93990901C5 +:1069400093570B01B3E7F90033944C0163FAA700A6 +:10695000B387270163E6270163F4A700B387270104 +:10696000B38AA7409385040013850A00EF00003125 +:10697000930905009385040013850A00EF00802B1E +:106980009305050013850B00EF00002893150B01FC +:106990009399090193D50501B3E5B90063FAA50000 +:1069A000B385250163E6250163F4A500B3852501C0 +:1069B000B384A5406FF09FDFB7070001130A000101 +:1069C000E36AF9F0130A80016FF0DFF0E3E8D5E83D +:1069D000B707010063FCF604930BF00F33B5DB003F +:1069E0001315350033D7A60097A701009387878C2E +:1069F000B387E70083CB070093050002B38BAB009E +:106A0000338B7541631E0B0263E4360163EACC00ED +:106A10003384CC40B386D94033B58C00B384A640D0 +:106A200013050400938504006FF05FE3B7070001CE +:106A300013050001E3E8F6FA130580016FF09FFAF1 +:106A4000B3966601335D7601336DDD0033D4790191 +:106A5000B395690133DC7C0193540D01336CBC00A8 +:106A60001305040093850400B31A6601EF000021AA +:106A7000130A0500938504001305040033996C0183 +:106A8000931C0D01EF00001B93DC0C0113040500A7 +:106A90009305050013850C00EF000017131A0A0177 +:106AA00013570C013367EA00130A0400637EA70042 +:106AB0003307A701130AF4FF6368A7016376A700F1 +:106AC000130AE4FF3307A701B309A7409385040025 +:106AD00013850900EF00801A938504001304050054 +:106AE00013850900EF0000159305050093040500C8 +:106AF00013850C00EF00401193150C0113140401D1 +:106B000093D50501B365B4001387040063FEA500A7 +:106B1000B385A5011387F4FF63E8A50163F6A5001B +:106B20001387E4FFB385A501131A0A01B70C01000E +:106B3000336AEA001384FCFFB3778A0033F48A00D7 +:106B4000B384A54013850700930504002326F100B4 +:106B5000135A0A01EF00400B930905009305040046 +:106B600013050A00EF00400A13DC0A01930D05002B +:106B700093050C0013050A00EF0000098327C100EC +:106B8000130A050093050C0013850700EF00C007EA +:106B90003305B50113D709013307A7006374B701A3 +:106BA000330A9A01B70701009387F7FF935507014E +:106BB0003377F70013170701B3F7F900B3854501E1 +:106BC000B307F70063E6B400639EB400637CF9008A +:106BD00033865741B3B7C700B385A541B385F540A8 +:106BE00093070600B307F9403339F900B385B44081 +:106BF000B385254133947501B3D767013365F4003C +:106C0000B3D565016FF09FC513060500130500009D +:106C100093F61500638406003305C50093D515006F +:106C200013161600E39605FE678000006340050614 +:106C300063C6050613860500930505001305F0FFDE +:106C4000630C060293061000637AB6006358C00016 +:106C50001316160093961600E36AB6FE130500009D +:106C600063E6C500B385C5403365D50093D61600ED +:106C700013561600E39606FE67800000938200001C +:106C8000EFF05FFB13850500678002003305A0402D +:106C900063D80500B305B0406FF0DFF9B305B0402D +:106CA00093820000EFF01FF93305A04067800200D7 +:106CB0009382000063CA0500634C0500EFF09FF764 +:106CC0001385050067800200B305B040E35805FE58 +:106CD0003305A040EFF01FF63305B0406780020097 +:106CE000011122CC26CA2A84AE844AC84EC652C498 +:106CF00056C206CEEFB04F8C1C4003290401B709E1 +:106D00000001B3E59700930AC4000CC08144FD194B +:106D1000370A00021840638C2A03032609004A85BB +:106D2000B3654701B376360193175600758F032973 +:106D3000490063C4070001E7F1BFE39DE6FC931738 +:106D4000760063D30700D58CEFB0BFF4E1B793C4EE +:106D5000F4FFF98C04C0EFB02FAB0840F24062445E +:106D6000D2444249B249224A924A05618280411185 +:106D700006C622C4EFE0AFE9EFE03F90EFE07FCB43 +:106D800017E7FFFF1307070E8146054693054006E8 +:106D9000179501001305056CEFC0BFC319C92A84FC +:106DA000EFB08F822A860147814685452285EFC054 +:106DB000FFCEEFA05FD9B24022440145410182805D +:02000004800278 +:100000005C45FEFF5A46FEFF6445FEFF5A46FEFF72 +:100010005A46FEFF5A46FEFF5A46FEFF7C45FEFF4B +:100020005A46FEFF5A46FEFF9645FEFFA245FEFFDA +:100030005A46FEFFB445FEFFC045FEFFC045FEFF29 +:10004000C045FEFFC045FEFFC045FEFFC045FEFFA8 +:10005000C045FEFFC045FEFFC045FEFF5A46FEFFFD +:100060005A46FEFF5A46FEFF5A46FEFF5A46FEFF1C +:100070005A46FEFF5A46FEFF5A46FEFF5A46FEFF0C +:100080005A46FEFF5A46FEFF5A46FEFF5A46FEFFFC +:100090005A46FEFF5A46FEFF5A46FEFF5A46FEFFEC +:1000A0005A46FEFF5A46FEFF5A46FEFF5A46FEFFDC +:1000B0005A46FEFF5A46FEFF5A46FEFF5A46FEFFCC +:1000C0005A46FEFF5A46FEFF5A46FEFF5A46FEFFBC +:1000D0005A46FEFF5A46FEFF5A46FEFF5A46FEFFAC +:1000E0005A46FEFF5A46FEFF5A46FEFF5A46FEFF9C +:1000F0005A46FEFF5A46FEFF5A46FEFF5A46FEFF8C +:10010000F445FEFF0A46FEFF5A46FEFF5A46FEFF32 +:100110005A46FEFF5A46FEFF5A46FEFF5A46FEFF6B +:100120005A46FEFF5046FEFF5A46FEFF5A46FEFF65 +:100130000244FEFFBA44FEFF5A46FEFF5A46FEFF47 +:10014000F044FEFF5A46FEFF4845FEFF5A46FEFFBA +:100150005A46FEFF7645FEFF6849FEFFBC49FEFF9A +:100160007049FEFFBC49FEFFBC49FEFFBC49FEFFD3 +:10017000BC49FEFF6248FEFFBC49FEFFBC49FEFFD2 +:100180007C48FEFF8848FEFFBC49FEFF9A48FEFF00 +:10019000A648FEFFA648FEFFA648FEFFA648FEFFB3 +:1001A000A648FEFFA648FEFFA648FEFFA648FEFFA3 +:1001B000A648FEFFBC49FEFFBC49FEFFBC49FEFF4E +:1001C000BC49FEFFBC49FEFFBC49FEFFBC49FEFF27 +:1001D000BC49FEFFBC49FEFFBC49FEFFBC49FEFF17 +:1001E000BC49FEFFBC49FEFFBC49FEFFBC49FEFF07 +:1001F000BC49FEFFBC49FEFFBC49FEFFBC49FEFFF7 +:10020000BC49FEFFBC49FEFFBC49FEFFBC49FEFFE6 +:10021000BC49FEFFBC49FEFFBC49FEFFBC49FEFFD6 +:10022000BC49FEFFBC49FEFFBC49FEFFBC49FEFFC6 +:10023000BC49FEFFBC49FEFFBC49FEFFBC49FEFFB6 +:10024000BC49FEFFBC49FEFFBC49FEFFBC49FEFFA6 +:10025000BC49FEFFBC49FEFFDA48FEFF0849FEFF2D +:10026000BC49FEFFBC49FEFFBC49FEFFBC49FEFF86 +:10027000BC49FEFFBC49FEFFBC49FEFF5E49FEFFD4 +:10028000BC49FEFFBC49FEFFC046FEFFBA47FEFF69 +:10029000BC49FEFFBC49FEFF2C48FEFFBC49FEFFE7 +:1002A0005248FEFFBC49FEFFBC49FEFFB649FEFFB7 +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020626C6F636B2074696D65207465737443 +:1003E000207461736B73200D0A0000004572726FF8 +:1003F0007220696E20636F756E74696E6720736515 +:100400006D6170686F7265207461736B73200D0A83 +:10041000000000004572726F7220696E2072656381 +:10042000757273697665206D757465782074617373 +:100430006B73200D0A0000006D69616F750A000082 +:100440006D69616F75320A0068756E6772790A00AE +:10045000436865636B54696D65720000426C6F633D +:100460006B5F54696D655F517565756500000000CF +:100470004254657374310000425465737432000055 +:10048000436F756E74696E675F53656D5F31000011 +:10049000436F756E74696E675F53656D5F32000000 +:1004A000434E543100000000434E5432000000001F +:1004B0005265637572736976655F4D757465780012 +:1004C0005265633100000000526563320000000095 +:0804D0005265633300000000D7 +:1004D8001000000000000000017A5200017C0101B8 +:1004E8001B0D02005000000018000000E056FEFF3F +:1004F8005805000000440E507489039406990B8136 +:1005080001880292049305950796089709980A9A14 +:100518000C9B0D036C010AC144C844C944D244D39E +:1005280044D444D544D644D744D844D944DA44DBE7 +:10053800440E00440B0000004C0000006C0000005A +:10054800E45BFEFFDC05000000440E307089039573 +:1005580007810188029204930594069608970998E2 +:100568000A990B9A0C0370020AC144C844C944D2C0 +:1005780044D344D444D544D644D744D844D944DA9F +:10058800440E00440B00000050000000BC000000B6 +:100598007061FEFF0005000000440E507488028957 +:1005A800039305990B810192049406950796089781 +:1005B80009980A9A0C9B0D0320010AC144C844C932 +:1005C80044D244D344D444D544D644D744D844D957 +:0C05D80044DA44DB440E00440B00000039 +:0806A800FC8F010004000000BA +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/freertos/test1_rv32im_O3.hex b/VexRiscv/src/test/resources/freertos/test1_rv32im_O3.hex new file mode 100644 index 0000000..35209e6 --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/test1_rv32im_O3.hex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diff --git a/VexRiscv/src/test/resources/freertos/test1_rv32imac_O3.hex b/VexRiscv/src/test/resources/freertos/test1_rv32imac_O3.hex new file mode 100644 index 0000000..31f2c7a --- /dev/null +++ b/VexRiscv/src/test/resources/freertos/test1_rv32imac_O3.hex @@ -0,0 +1,1733 @@ +:0200000480007A +:10000000B72F0000938F0F8073900F3015A8130047 +:100010000000130000001300000013000000130094 +:100020000000130000001300000013000000130084 +:100030000000130000001300000013000000010086 +:10004000970200009382020C73905230814001416C +:100050008141014281420143814301448144014580 +:100060008145014681460147814701488148014950 +:100070008149014A814A014B814B014C814C014D20 +:10008000814D014E814E014F814F971102009381A6 +:1000900021D6170502001305E556979503009385B1 +:1000A000A57701461122170502001305254897057B +:1000B00002009385A5530146C52817A50300130523 +:1000C000E57597B503009385257537B6ABAB130679 +:1000D000B6BAD92817B1030013014174EF608059F3 +:1000E000860293D21200F512638802008242110147 +:1000F0006F00001073002030824211017300203025 +:10010000711116C0F3222034E3CC02FC82421101AB +:10011000130141F806C20AC40EC612C816CA1ACC88 +:100120001ECE22D026D22AD42ED632D836DA3ADCC7 +:100130003EDEC2C0C6C2CAC4CEC6D2C8D6CADACC97 +:10014000DECEE2D0E6D2EAD4EED6F2D8F6DAFADCA7 +:10015000FEDE73252034F32510340A8601A07310C7 +:10016000153492402241B2414242D2426243F243AC +:10017000025492542255B2554256D2566257F25703 +:10018000064896482649B649464AD64A664BF64B33 +:10019000065C965C265DB65D465ED65E665FF65F83 +:1001A0001301C1077300203010C16374B50011053D +:1001B000E5BF828000000000000000000000000099 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F000197106C00AC20EC412C616C81ACA1ECC8D +:1002000022CE26D02AD22ED432D636D83ADA3EDCC6 +:1002100042DEC6C0CAC2CEC4D2C6D6C8DACADECC96 +:10022000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDCA6 +:100230009702020083A2C23223A02200F3221034CC +:1002400096DEEF00002B170102000321613102410D +:10025000F65273901234B72200009382028873A082 +:10026000023082403242C2425243E24372448254DC +:100270001255A2553256C2565257E2577258864806 +:100280001649A649364AC64A564BE64B764C865C1A +:10029000165DA65D365EC65E565FE65F0961730059 +:1002A0002030970202009382E23523A0120023A29D +:1002B000220023A4320023A6420023A8520023AA2E +:1002C000620023AC720023AE820023A0920223A21C +:1002D000A20223A4B20223A6C20223A8D20223AA06 +:1002E000E20223ACF20223AE020323A0120523A2F2 +:1002F000220523A4320523A6420523A8520523AADA +:10030000620523AC720523AE820523A0920723A2C7 +:10031000A20723A4B20723A6C20723A8D20723AAB1 +:10032000E20723ACF207EF40702E17010200032111 +:1003300021230241F65273901234B72200009382B7 +:10034000028873A0023082403242C2425243E243EA +:10035000724482541255A2553256C2565257E25731 +:10036000725886481649A649364AC64A564BE64B45 +:10037000764C865C165DA65D365EC65E565FE65FB1 +:10038000096173002030970202009382A22783A0A4 +:10039000020003A1420083A1820003A2C20083A243 +:1003A000020103A3420183A3820103A4C20183A427 +:1003B000020203A5420283A5820203A6C20283A60B +:1003C000020303A7420383A7820303A8C20383A8EF +:1003D000020403A9420483A9820403AAC20483AAD3 +:1003E000020503AB420583AB820503ACC20583ACB7 +:1003F000020603AD420683AD820603AEC20683AE9B +:10040000020703AFC20983AF820782807370043092 +:10041000197106C00AC20EC412C616C81ACA1ECC6A +:1004200022CE26D02AD22ED432D636D83ADA3EDCA4 +:1004300042DEC6C0CAC2CEC4D2C6D6C8DACADECC74 +:10044000E2CEE6D0EAD2EED4F2D6F6D8FADAFEDC84 +:100450009702020083A2C21023A0220086DEEF20B2 +:10046000E035170102000321A10F0241F6527390FB +:100470001234B72200009382028873A002308240B7 +:100480003242C2425243E243724482541255A25550 +:100490003256C2565257E257725886481649A649F4 +:1004A000364AC64A564BE64B764C865C165DA65DD0 +:1004B000365EC65E565FE65F09617300203073707A +:1004C000043001A07310453082807325403073F0F2 +:1004D00043308280970700009387A7FE232EB5FE46 +:1004E0002322C5FA232635F82320F5F8130505F84D +:1004F0008280411106C6EF40900EEF10D01301E547 +:10050000B24041018280B24041016F20202B93070D +:1005100085007D575CC118C55CC51CC9232005003A +:1005200082802328050082805C41DCC1984798C501 +:1005300098474CC38CC788C91C4185071CC1828061 +:100540009041FD57130785006314F60039A83E87D4 +:100550005C439443E37DD6FEDCC18CC798C54CC395 +:1005600088C91C4185071CC1828018495C43EDB7CE +:10057000584114451C4914C71845544154C3D84325 +:100580006314A7001845D8C32328050088437D15A8 +:1005900088C382803041AE8701CE4C455841B29528 +:1005A0004CC563E4E5000C414CC53E856F30B02777 +:1005B0008280411122C406C62A8426C2EF20301947 +:1005C0003C44635BF0025C5085CB9304440209A871 +:1005D0003C44FD173CC43C446350F0025C5089CF5E +:1005E0002685EF20405F6DD5EF20207F3C44FD172E +:1005F0003CC43C44E344F0FEFD573CC4EF2070177C +:10060000EF20F0147C40635BF0021C4885CB930420 +:10061000040109A87C40FD177CC07C406350F002B7 +:100620001C4889CF2685EF20005B6DD5EF20E07A4E +:100630007C40FD177CC07C40E344F0FEFD577CC04D +:100640002244B240924441016F20B01229C54111A9 +:1006500022C426C22A8406C6AE84EF20500F34403E +:100660005C5C1840232C0402B387F6027D5670C0F0 +:1006700018C430C4B386D740BA9736975CC058C404 +:1006800091EC1C489DE3EF20D00EB24022449244EE +:100690000545410182807370043001A013050401F7 +:1006A000BD3513054402A535F9BF13050401EF203C +:1006B00080528547E319F5FC913BF1B701E5737072 +:1006C000043001A0011126CA4AC84EC606CE22CC6B +:1006D000B2892E89AA84A5C53305B502130555052F +:1006E000EF30207C2A8435C1930745051CC144DCCA +:1006F00023202405EF20B00534405C5C18407D5673 +:10070000B387F602232C040270C018C430C413054A +:100710000401B386D740BA9736975CC058C4C53B2E +:1007200013054402ED33EF20D0042308340522855D +:10073000F2406244D2444249B249056182801305C5 +:100740004005EF3000762A8401E57370043001A083 +:1007500000C071BF01E57370043001A06375B5007E +:100760007370043001A0411122C409462E848145D2 +:1007700006C6A93711C500DDB2402244410182807E +:100780007370043001A05D7186C6A2C4A6C2CAC03F +:100790004EDE52DC56DA5AD85ED632C6630A051CE3 +:1007A0006382051C89476399F600585D8547630598 +:1007B000F7007370043001A03689AE842A84EF20DC +:1007C000406411E5B24781C77370043001A0EF2087 +:1007D0000078185C5C5C014B894BFD59130A0401DD +:1007E000930A44026365F70C63077919B247638182 +:1007F000072463150B002808EF200051EF206077D5 +:10080000EF10205AEF20A0747C406394370123221C +:1008100004043C446394370123240404EF206075EE +:100820006C002808EF206050631E051EEF20207228 +:10083000185C5C5C630CF70AEF20A073EF2020715A +:100840003C44634FF00039A85685EF20C03819C1E9 +:10085000EF20A0583C44FD173CC43C446354F000D6 +:100860005C50FDF323243405EF20A070EF20206EB0 +:100870007C40634FF00039A85285EF20C03519C184 +:10088000EF20A0557C40FD177CC07C406354F000F5 +:100890001C48FDF323223405EF20A06DEF10607596 +:1008A000054BEF20C06A185C5C5CE37FF7F23040D8 +:1008B0006305060C631F09120844A685EF30A07675 +:1008C0001C4434405840B6971CC463EAE70A1C5CD9 +:1008D00014405850850714C41CDC5DC7130544023E +:1008E000EF20602F85476311F50AC9A8EF206068E3 +:1008F000B2455285EF20E00FEF2060653C44634F26 +:10090000F00039A85685EF20002D19C1EF20E04CEA +:100910003C44FD173CC43C446354F0005C50FDF380 +:1009200023243405EF20E064EF2060627C40634FB5 +:10093000F00039A85285EF20002A19C1EF20E049C4 +:100940007C40FD177CC07C406354F0001C48FDF3E4 +:1009500023223405EF20E061EF10A06931F17D34EE +:10096000054B81B73C41E38F07E27370043001A06F +:100970007370043001A0304021E61C4085C71C5C28 +:10098000585085071CDC39FBEF20A05E0545B640BA +:10099000264496440649F259625AD25A425BB25BE7 +:1009A000616182804840EF20E0511C5C5850232256 +:1009B000040085071CDCE31307F279D5813CE9B715 +:1009C0004844A685EF3020663C4058441440B307A5 +:1009D000F0403E9758C4637CD7025840BA975CC435 +:1009E00009475C506306E902185C050718DCC9DF9B +:1009F000F5B54844A685EF3000633C4058441440A8 +:100A0000B307F0403E9758C4E37CD7FCF9B75C507D +:100A1000185C79DB185C7D1718DC185C050718DC9E +:100A2000A5D76DBD22857136EF10A05C014585B755 +:100A3000EF2020540145A1BF411126C2AA8413050D +:100A4000400522C406C6EF30C0452A8415CD232CAC +:100A50000502FD577CC10547410558DC3CC42322F3 +:100A60000400232004002324040023260400232060 +:100A7000040423089404613C13054402493C814664 +:100A800001468145228501332285B24022449244A9 +:100A9000410182800DC5411122C426C206C64AC04A +:100AA000032945002A84EF2000358144630CA90006 +:100AB000B2402244268502499244410182807370EB +:100AC000043001A05C448544FD175CC4F5F3814605 +:100AD0000146814522854539E1BF31CDA1C90111CA +:100AE00022CC26CA4AC852C406CE4EC68947B6840E +:100AF00032892E8A2A846399F600585D8547630FF0 +:100B0000F7047370043001A0C932185C5C5CAA89D8 +:100B10006366F70281444E857532F2406244268551 +:100B20004249D244B249224A056182803C41C5DB38 +:100B30007370043001A07370043001A0304041E2B2 +:100B40001C40D5CB1C5C7D5785071CDC3C4463876F +:100B5000E7083C44854485073CC475BFBD32185C3A +:100B60005C5CAA89636DF702304079DA4844D2852B +:100B7000EF30604B3C4058441440B307F0403E9780 +:100B800058C46375D7005840BA975CC48947E39B43 +:100B9000F4FA1C5CC5DB1C5CFD171CDC65B730403F +:100BA00045D24844D285EF3000483C405844144078 +:100BB000B307F0403E9758C4E367D7FCD9BFDDF4D4 +:100BC0000844D285EF3020461C4434405840B69744 +:100BD0001CC4E3E9E7F61C401CC4ADB75C50854477 +:100BE0009DDB13054402EF10107F15D5E30509F2D4 +:100BF000232099000DB74840EF20C02C2322040089 +:100C000091B721CD3C4181C77370043001A01C41D4 +:100C1000A1CB011122CC26CA2A844AC84EC606CED0 +:100C20002E896530185C5C5CAA898144637DF7007D +:100C30001C5C7D5785071CDC3C446388E7023C4410 +:100C4000854485073CC44E85B538F240624426850C +:100C50004249D244B249056182807370043001A0D8 +:100C60005C41C5DB7370043001A05C5099E385449E +:100C7000D9BF13054402EF10107675D9E30909FEB8 +:100C800085472320F900E5B7397106DE22DC26DA34 +:100C90004AD84ED652D456D232C66302051C638A55 +:100CA000051AB68AAE892A84EF20A01511E5B2474D +:100CB00081C77370043001A0EF2060291C5C63922F +:100CC0000714B247638E070A2808EF20E003FD549B +:100CD00013094402130A0401EF20A029EF10600C4D +:100CE000EF20E0267C4063949700232204043C44D8 +:100CF0006394970023240404EF20A0276C002808A5 +:100D0000EF20A00263130518EF2060241C5CADCF18 +:100D1000EF202026EF20A0233C44634FF00039A8A9 +:100D20004A85EF10506B19C1EF20200B3C44FD1792 +:100D30003CC43C446354F0005C50FDF324C4EF20F9 +:100D40004023EF20C0207C40634FF00039A852853B +:100D5000EF10706819C1EF2040087C40FD177CC07F +:100D60007C406354F0001C48FDF364C0EF20602019 +:100D7000EF102028EF20A01D1C5CC1E7B247A9FF9F +:100D8000EF20201F014555A0EF20A01E1C40DDC70D +:100D9000B2454A85EF10F045EF20601B3C44634F9D +:100DA000F00039A84A85EF10106319C1EF20E00266 +:100DB0003C44FD173CC43C446354F0005C50FDF3DC +:100DC00024C4EF20001BEF2080187C40634FF0000C +:100DD00039A85285EF10306019C1EF2000007C4027 +:100DE000FD177CC07C406354F0001C48FDF364C0D8 +:100DF000EF202018EF10E01F31C9EF2040151C5CD8 +:100E0000B5DFCE8522854444EFF0CFF8639C0A0419 +:100E10001C5C1840FD171CDC01E7EF20001A48C0DD +:100E20001C48A1EFEF20E0140545F2506254D25463 +:100E30004259B259225A925A21618280EF202011E0 +:100E40004840EF10107EEF20C01299B7EFF00FDC92 +:100E50006DB73C41E38707E47370043001A0737001 +:100E6000043001A05C5044C4D5DF13054402EF10E8 +:100E700090564DD9EFF08FD975B713050401EF10D7 +:100E800090558547E310F5FAF5B72285EFF06FF23C +:100E9000EF102016014551BF1DC9411122C44AC09F +:100EA00006C626C244412E892A84EF10D07463837B +:100EB000A40281464A8681452285F933854763002D +:100EC000F502B2402244924402494101828073708B +:100ED000043001A05C44054585075CC4DDB75C4473 +:100EE00085075CC4F9BF15CDADC9011122CC26CA56 +:100EF0004AC84EC652C42A8406CE3289AE89EFF063 +:100F0000CFDC1C5C2A8A814485E35285EFF08FDBBD +:100F1000F240624426854249D244B249224A0561E0 +:100F200082807370043001A0304001CE4C445C409C +:100F3000B2954CC463E4F5000C404CC44E85EF30D0 +:100F4000800E1C5C7D57FD171CDC7C40638CE70029 +:100F50007C40854485077CC04DBF3C41D9D7737028 +:100F6000043001A01C4899E3854445B713050401EA +:100F7000EF10704675D9E30909FE85472320F90073 +:100F8000E5B721C93C41A1CDA1CB011122CC26CA94 +:100F90004AC852C42A8406CE4EC62E8AEFF0EFD23B +:100FA0001C5C2A89814491CB8329C400D285228587 +:100FB000EFF04FDE8544232634014A85EFF08FD0D1 +:100FC000F240624426854249D244B249224A056130 +:100FD00082807370043001A07370043001A0EDDFD3 +:100FE0007370043001A001E57370043001A0411159 +:100FF00022C42A8406C6EF109075005CEF1070774B +:10100000B240228522444101828001E573700430A0 +:1010100001A0411106C622C42A84EF1050731C5C43 +:10102000405C1D8CEF10F0742285B24022444101D7 +:10103000828019C1085D82807370043001A01DCDCB +:101040009787030093878779D843630CE502D847D5 +:10105000630CE504D84B6307E504D84F6302E5044D +:10106000D853630DE502D8576308E502D85B6303E4 +:10107000E502D85F630EE5006F20D0747370043012 +:1010800001A001470E07BA9723A007006F209073B5 +:101090001D47CDBF1947FDB71547EDB71147DDB760 +:1010A0000D47CDB70947F9BF0547E9BF68458280BD +:1010B0006CC5828003450505828009C5085D13352E +:1010C000150082807370043001A019C51C5D485D55 +:1010D0001D8D1335150082807370043001A0978731 +:1010E00003009387A76F984305C3984705C7984B9C +:1010F00005C7984F05C7985305C7985705C7985B0C +:1011000015C7985F15C3828001470E07BA978CC335 +:10111000C8C382800547D5BF0947C5BF0D47F5B78E +:101120001147E5B71547D5B71D47C5B71947F1BFF3 +:10113000978703009387876AD8436308E502D847F7 +:10114000630BE502D84B630AE502D84F6309E50259 +:10115000D8536308E502D8576307E502D85B6308F4 +:10116000E502D85F6303A702828001470E07BA97A2 +:1011700023A0070082800547D5BF0947C5BF0D479B +:10118000F5B71147E5B71547D5B71D47C5B7194797 +:10119000F1BF411122C426C24AC02A8406C6AE84C9 +:1011A0003289EF10D05A7840FD576314F70023229C +:1011B00004043844FD576314F70023240404EF109B +:1011C000505B1C5C99E74A86A68513054402EF1024 +:1011D0005015EF10D0573C44635BF0025C5085CB58 +:1011E0009304440209A83C44FD173CC43C446350AA +:1011F000F0025C5089CF2685EF10F01D6DD5EF1001 +:10120000D03D3C44FD173CC43C44E344F0FEFD5754 +:101210003CC4EF101056EF1090537C40635BF0021B +:101220001C4885CB9304040109A87C40FD177CC0B1 +:101230007C406350F0021C4889CF2685EF10B0191E +:101240006DD5EF1090397C40FD177CC07C40E344A5 +:10125000F0FEFD577CC02244B24092440249410155 +:101260006F10305197F701009387872F944317F73A +:1012700001001307A72D1843C8C2636EE502411190 +:1012800022C406C617F701001307472D2A84084316 +:101290008C439105EFF0CFAA97F701009387472978 +:1012A0009C436376F40097F7010023A38728B2409C +:1012B00022444101828017F701001307E729084300 +:1012C0008C4391056FF0CFA797F7010093874729CB +:1012D000984394437C43FD177CC3FC4299E37360BD +:1012E000043082801DC179714ECE56CA06D6BE8AA0 +:1012F00022D426D24AD052CC5AC85EC69147BA8967 +:1013000063F8E7007370043001A07370043001A02B +:101310002A8BAE843289B68B428A63080822130571 +:101320000006EF2010382A84630C05200A092328C0 +:1013300045034A869305500A5285EF20D05C03C7C7 +:1013400004001C587119230AE40203C704003E99E3 +:101350001379C9FF79C783C71400A30AF40283C7AE +:101360001400E1C383C72400230BF40283C72400C5 +:10137000CDCB83C73400A30BF40283C73400D5C39D +:1013800083C74400230CF40283C74400D9CB83C72E +:101390005400A30CF40283C75400C1C783C7640080 +:1013A000230DF40283C76400ADCF83C77400A30D7F +:1013B000F40283C77400B5C783C78400230EF40208 +:1013C00083C78400B9CF83C79400A30EF40283C7F8 +:1013D0009400A1CB83C7A400230FF40283C7A40009 +:1013E000A9C383C7B400A30FF40283C7B40095CB8D +:1013F00083C7C4002300F40483C7C4009DC383C70C +:10140000D400A300F40483C7D40081CF83C7E400D1 +:101410002301F40483C7E40089C783C7F400A30150 +:10142000F404130A44005285A30104042326340360 +:1014300023283405232A0404EFF0AF8E130584011A +:10144000EFF02F8E9547B3873741232C040400C853 +:101450001CCC40D023220404232E04045E86DA85AB +:101460004A85EFF02F8708C063840A0023A08A0012 +:101470007370043017F901001309C90C83270900A0 +:1014800097F401009384C40D91C798409C407C431D +:1014900085077CC317F701001307870B1C438507DB +:1014A00097F6010023A6F60A9C40CDCB83270900BE +:1014B00089EB9C40DC5763E6F90097F7010023A114 +:1014C000870A97F701009387E706984397F601008C +:1014D000938686075C549442050717F60100232B78 +:1014E000E60438C463F6F60017F70100232EF7046C +:1014F0005147B387E70217F5010013056518D28538 +:101500003E95EFF06F828327090089C79C40FC431A +:101510006395070E83270900054499C79C40DC5753 +:1015200063F43701EFE09FEE2285B25022549254CB +:101530000259F249624AD24A424BB24B456182801B +:101540005285EF2030287D54C5B713152600EF20B3 +:1015500050152A8AE31505DC7D54F9B797F7010089 +:1015600023A0870018438547E31DF7F417F5010012 +:1015700013050511EFE0BFF917F5010013058511FB +:10158000EFE0FFF817F5010013050512EFE03FF853 +:1015900017F5010013058512EFE07FF717F501003D +:1015A00013050513EFE0BFF617FB0100130B8B13B8 +:1015B0005A85EFE0DFF597FA0100938AEA13568522 +:1015C000EFE0FFF417F5010013054514EFE03FF4D9 +:1015D00017F501001305C514EFE07FF317F50100BF +:1015E00013054515EFE0BFF297F7010023A867F751 +:1015F00097F7010023A257F7E9B5984094407C4340 +:10160000FD177CC3FC42E39707F07360043019B701 +:10161000411122C406C626C24AC02A84737004300F +:1016200097F40100938404F29C40F9E311E497F7E6 +:1016300001009387E7F28043130944004A85EFE0F5 +:101640003FF31C5489C713058401EFE07FF2CA857C +:1016500017F501001305C50CEFE01FED97F701002A +:10166000938747EF984397F701009387A7EC9C4334 +:10167000050797F6010023AFE6EC9840850797F63B +:10168000010023A9F6EA01CB97F70100938747ED04 +:101690009843784349EF9C40B1C797F7010093877F +:1016A00027EC9843630F870873700430984039EB38 +:1016B00017F70100130787EA14439442A5E27D5708 +:1016C00097F6010023A6E6E6984009CF98437843B1 +:1016D00011CB984394437C43FD177CC3FC4299E3B0 +:1016E00073600430B2402244924402494101828036 +:1016F00097F701009387C7E698439C437C4385078F +:101700007CC32DB794439843F8420507F8C217F7F6 +:1017100001001307A7E414439442D5D21843584755 +:101720005847584397F6010023A4E6E071BF984359 +:1017300094437C43FD177CC3FC42B1FF73600430CB +:1017400099BF97F70100938767DE9C4381C7737049 +:10175000043001A02244B2409244024941016FE0AA +:10176000FFCA41C517F70100130787DF14438147FC +:10177000638BA606411106C622C426C273700430CC +:1017800097F60100938606DC9C4291C710431C43E8 +:101790007C4285077CC29C42AA84404981C71C4385 +:1017A000FC43B9E797F70100938747DB9C43638AC3 +:1017B000870497F70100938727DA9C4363838704A4 +:1017C00097F70100938707F76308F40297F7010082 +:1017D000938707F56300F4028547B24022449244A0 +:1017E0003E85410182803E8582807370043001A075 +:1017F000E13C4DBF9147D5B79C5493B7170089077B +:10180000E9BF8947D9BF7370043097F70100938708 +:1018100067D3984309EF15C59C43485581CB97F78B +:1018200001009387E7D39843784315E3828017F745 +:1018300001001307E7D214431843F8420507F8C222 +:1018400061FD17F701001307A7D10843F1B79843CB +:1018500094437C43FD177CC3FC42E9FB7360043076 +:101860008280411122C42A8406C6EFE01FC611E41B +:1018700097F701009387C7CE80434054EFE09FC4A1 +:10188000B2402285224441018280914763F5B7002E +:101890007370043001A0011122CC06CE26CA4AC8BA +:1018A0004EC652C42A847370043017F9010013091C +:1018B00069C983270900D5EF79C43C486389F508D5 +:1018C00017F701001307C7C963FCB7001443638C03 +:1018D000860C18434457B3B4950093C4140029A050 +:1018E0000443818C93B4140058546384E70A1C4C5D +:1018F0002CC863C607009547B385B7400CCC931737 +:101900002700BA97584897F90100938969D78A0741 +:10191000CE97631BF702130A44005285EFE05FC5C0 +:1019200017F70100130747C25C5418436376F700AA +:1019300017F70100232AF7C05147B387E702D28582 +:101940003385F900EFE05FBE99C0EFE03FAC83273D +:10195000090081CB97F70100938787C09C43FC4324 +:101960009DEBF2406244D2444249B249224A0561A9 +:10197000828097F701009387A7BE98439C437C43DE +:1019800085077CC31DF897F70100938767BD8043E7 +:101990002DB74CD4A9BF6244F240D2444249B24967 +:1019A000224A056115B2814481B725C1011126CAB9 +:1019B00097F401009384C4BA9C4006CE22CC4AC856 +:1019C0004EC6638DA7027370043017F90100130926 +:1019D00069B78327090091C798409C407C438507DD +:1019E0007CC3584997F701009387C7D46302F70275 +:1019F0008327090081C79C40FC43A5EBF240624469 +:101A0000D2444249B249056182807370043001A01A +:101A10001C5517F70100130767CFE38BE7FCE9FBC1 +:101A2000930945002A844E85EFE09FB417F7010023 +:101A3000130787B15C5418436376F70017F701006A +:101A40002324F7B05147B387E70217F501001305C8 +:101A500025C3CE853E95EFE03FAD9C405854DC5702 +:101A6000E368F7F8EFE09F9A61B76244F240D2442E +:101A70004249B249056189B815CD011122CC26CA67 +:101A80004AC82A8406CE4EC6EFE03FA4584897F7CE +:101A90000100938727CA2A8981446300F7024A8597 +:101AA000EFE05FA2F240624426854249D244B24947 +:101AB000056182807370043001A01C5417F5010089 +:101AC0001305C5C4E38DA7FCF9FB97F701009387C5 +:101AD000E7A59C43A9EB97F70100938767A89C4370 +:101AE000445493094400DC574E85B3B4F400EFE04E +:101AF0003FA817F70100130727A55C54184393C4A8 +:101B000014006376F70017F70100232FF7A251475F +:101B1000B387E70217F50100130585B6CE853E951C +:101B2000EFE09FA0ADBF93058401EFE0FF9F85BF6D +:101B30000111056506CE22CC26CA4AC84EC652C43B +:101B400056C2EF200036630E051CAA84130500065A +:101B5000EF2020352A84630A051E05669305500A86 +:101B600004D92685EF20205A04588567F117BE94C2 +:101B7000B7474C4593879744930944005CD84E85FA +:101B8000230C0402A30104042326040223280404D2 +:101B9000232A0404EFE0FF9813058401EFE07F9807 +:101BA0009547232C0404F19800C81CCC40D0232274 +:101BB0000404232E04040146971500009385E5B123 +:101BC0002685EFE03F9108C07370043017F90100DB +:101BD000130949978327090097F4010093844498D7 +:101BE00091C798409C407C4385077CC317F7010050 +:101BF000130707961C43850797F6010023AAF6945E +:101C00009C40C9C38327090081EB9C40DC5789E7CE +:101C100097F7010023A6879497F701009387879190 +:101C20009C4397F601009386269258549442850768 +:101C300017F601002320F6903CC463F6E60097F700 +:101C4000010023A3E79093172700BA978A0717F597 +:101C500001001305E5A23E95CE85EFE0FF8C8327BA +:101C6000090081C79C40FC43F1E78327090091C329 +:101C70009C40EF10704985476304F50E5DE1737079 +:101C8000043001A097F7010023AC878C18438547E7 +:101C9000E314F7F817F501001305859EEFE03F8781 +:101CA00017F501001305059FEFE07F8617F501008A +:101CB0001305859FEFE0BF8517F50100130505A00B +:101CC000EFE0FF8417F50100130585A0EFE03F84E6 +:101CD00097FA0100938A0AA15685EFE05F8317FA0D +:101CE0000100130A6AA15285EFE07F8217F5010017 +:101CF0001305C5A1EFE0BF8117F50100130545A24B +:101D0000EFE0FF8017F501001305C5A2EFE03F806B +:101D100097F7010023A4578597F7010023AE478367 +:101D2000E5BDF2406244D2444249B249224A924A55 +:101D300005618280984094407C43FD177CC3FC423F +:101D4000E39507F2736004300DB76244F2404249F4 +:101D5000B249224A924A2685D24405616F208026E4 +:101D600073700430FD5717E701002323F77C6244AA +:101D700097E7010023A8A77CF240D2444249B24928 +:101D8000224A924A97E7010023A2077C05616FE08F +:101D90004FD17370043097E7010023A5077A6FE0F5 +:101DA0008FDE17E70100130767781C4385071CC304 +:101DB00082807370043097E701009387A778984377 +:101DC00005E317E701001307677808439C4381CBBD +:101DD00097E701009387C7789843784301EF8280A3 +:101DE00017E701001307C77714431843F8420507A4 +:101DF000F8C2C1BF984394437C43FD177CC3FC42A7 +:101E0000F9FF736004308280411106C622C4EFE0FE +:101E1000CFEB97E70100938767738043EFE08FEA8A +:101E2000B240228522444101828097E701009387D6 +:101E3000277288438280797106D622D426D24AD06E +:101E40004ECE52CC56CA5AC85EC697E70100938759 +:101E5000E76D9C43B1EF17E701001307276F1C43A1 +:101E6000850797E6010023A3F66E832A0700638F98 +:101E70000A0697E701009387A76B9C43814463F1AF +:101E8000FA0897E901009389A97F17EA0100130A6C +:101E90002A6D83270A008546D85793172700BA97DB +:101EA0008A07BE9983A7090063FFF600854421A82D +:101EB00097E701009387C7689C438144850717E72C +:101EC0000100232FF76697E70100938727679C435C +:101ED00091C38544B2502254268502599254F24946 +:101EE000624AD24A424BB24B4561828017E40100FC +:101EF0001304C4661C409C43DDC37370043001A00E +:101F000017E401001304846597E901009389497778 +:101F100017EA0100130ACA6417EB0100130BCB6226 +:101F20001C409C43B5C31C40DC4703A9C700832762 +:101F30004900930B49005E8563EEFA04EFE04FE33E +:101F4000832789021305890199C3EFE06FE2832794 +:101F5000C90203270B00DE85139527003E950A056D +:101F60004E956376F70017E70100232FF75CEFE04B +:101F7000AFDB83270A000327C902DC57E362F7FAC5 +:101F80001C4085449C43C5F3FD5717E701002321FE +:101F9000F75A01B717E70100232CF758DDBD17E703 +:101FA00001001307675B1C40184397E6010023A755 +:101FB000E65A17E701002321F75A97E701009387B4 +:101FC000A7579C43850717E701002327F7561C40B6 +:101FD0009C4399E7FD5717E70100232BF75451BDA8 +:101FE0001C40DC47DC47DC4317E701002322F754A1 +:101FF00049B597E7010093876753984301E77370EA +:10200000043001A0011106CE22CC26CA4AC84EC611 +:1020100052C456C25AC07370043097E401009384CE +:102020006452984061EB98437D1797E6010023AF17 +:10203000E64E9C43D9EB97E70100938767519C4399 +:10204000C9C797EA0100938AAA6317EA0100130A35 +:10205000AA4F17E901001309A950854919A833852A +:10206000EA00EFE06FCC832709005854DC5763790E +:10207000F70483A7CA08C1CF83A78A09C0471305FD +:102080008401EFE0EFCE130B44005A85EFE04FCE12 +:102090005C5483260A00DA85139527003E950A05CD +:1020A0002A875695E3FDF6FA17E70100232EF74835 +:1020B000EFE08FC7832709005854DC57E36BF7FA2A +:1020C00097E7010023AC37476DB701449C4081CBB3 +:1020D00097E701009387C7489C43FC4395E7228517 +:1020E000F2406244D2444249B249224A924A024BE7 +:1020F0000561828017E701001307874614431843E0 +:10210000F8420507F8C205B7EFF00F9CC9BF17E406 +:1021100001001304E4421C4095C31C40054999CFBB +:10212000193B09C597E7010023AA27411C40FD1769 +:1021300017E701002326F7401C40FDF397E7010055 +:102140009387C73F98438547E311F7F8EFE00FAC5B +:102150000544ADBF11CD81E57370043001A017E7D0 +:1021600001001307A73C1C4399C77370043001A0FA +:102170007370043001A0411122C406C614431841F3 +:10218000850617E601002323D63A97E601009386D9 +:10219000E63B94423304B70000C163F7E602637F75 +:1021A000E40063FD860097E701009387673B88435F +:1021B0001105EFE0EFBB2285EFF0CF8A1D3D01C98D +:1021C000B240224441018280E36FE4FCD9BF224443 +:1021D000B24041016FE08FA311C917E70100130757 +:1021E000E7341C4391C77370043001A06FE00FA265 +:1021F000411106C622C41843AA87050797E60100C5 +:1022000023A6E63297E601009386863517E701009C +:102210001307C733184388423304F7001105EFE072 +:102220002FB52285EFF00F84E93311E52244B24047 +:1022300041016FE0AF9DB2402244410182804111D3 +:1022400022C406C697E701009387472E9C430144AA +:10225000850717E70100232BF72C97E701009387E9 +:10226000272F9C4363E3F50C17EE0100130ECE46B7 +:1022700097E201009382024001440147854F130316 +:10228000500A21A0311E6387C20D83278EFFBA85B5 +:10229000F5DB8327CEFFDC43232EFEFE6382C71BC4 +:1022A00003AFC700DC432A97814E232EFEFE6385D1 +:1022B000C70783A8C70093874803232017015CC37F +:1022C00083A788042326F7011CC783A7C8021CCB59 +:1022D00083A70805232C07005CCB03A808038347CA +:1022E0000800639A6704C287850783C60700B38521 +:1022F0000741E38B66FE8981C205C181231EB700B9 +:10230000850E1307070263001F058327CEFFDC43FA +:10231000232EFEFEE39FC7F983274E00232EFEFEE9 +:1023200049BF1A9419C223200600E1312285B24028 +:102330002244410182808145231EB700850E130788 +:102340000702E3141FFD769413175400311EBA855B +:10235000E39DC2F397E701009387472003AE070090 +:1023600083270E00FDE397E701009387E71E03AE86 +:10237000070083270E006398071617EE0100130E5F +:102380002E3083270E0A639D071E83274E0BD9DB51 +:1023900083278E0B97EE0100938E4E3ADC4317E7AE +:1023A0000100232BF7386381D73383AFC700DC43A9 +:1023B0003307B500014397E6010023AFF6360D4F12 +:1023C00089429308500A6386D707C8479307450395 +:1023D00008C35CC33C452326E7011CC75C551CCBE6 +:1023E0001C5599C3232657003C49232C07005CCB7E +:1023F00003280503834708006395172BC2878507C9 +:1024000083C60700B3850741E38B16FF8981C205A8 +:10241000C181231EB700050313070702E383AFF052 +:1024200083278E0BDC4397E6010023A7F630E39E5B +:10243000D7F983270E0C97E6010023AFF62E71B76C +:1024400083274E00232EFEFEA1BD83274E00130FCF +:102450008E00D4432322DE00638CE625B307E5001B +:10246000D84283A2C600814E2322EE00894F130377 +:10247000500A6305EF068328C7001387480323A08B +:102480001701D8C303A7880423A6F70198C703A799 +:10249000C80298CB03A7080523AC0700D8CB03A834 +:1024A0000803034708006318671C42870507834633 +:1024B0000700B3050741E38B66FE8981C205C18130 +:1024C000239EB700850E93870702638E121B832617 +:1024D0004E00D8422322EE00E31FEFF80327CE0080 +:1024E0002322EE0049BF83274E00130F8E00DC43EA +:1024F0002322FE006389E71B83A2C700DC43AA9561 +:10250000814E2322FE00894F1303500A6305FF0604 +:1025100083A8C7009387480323A01501DCC183A7C4 +:10252000880423A6F5019CC583A7C8029CC983A77C +:10253000080523AC0500DCC903A80803834708008D +:1025400063976710C287850783C6070033870741F3 +:10255000E38B66FE098342074183239EE500850ED7 +:1025600093850502638D120F83274E00DC432322DF +:10257000FE00E31FFFF88327CE002322FE0049BFA1 +:1025800083274E0A17EF0100130F0F1ADC4317E7DA +:1025900001002329F7186382E71383A2C700DC43F5 +:1025A000AA95814E17E70100232EF716914F1303CA +:1025B000500A6387E70783A8C7009387480323A0CF +:1025C0001501DCC183A7880423A6F5019CC583A758 +:1025D000C8029CC983A7080523AC0500DCC903A871 +:1025E00008038347080063946704C287850783C68E +:1025F000070033870741E38B66FE09834207418367 +:10260000239EE500850E93850502638A12038327C6 +:102610004E0ADC4317E701002326F710E39DE7F994 +:102620008327CE0A17E70100232EF70E69B701476B +:10263000239EE500850E93850502E39A12FD83270C +:102640004E0B769493155400E38E07CC91B301475B +:10265000239EE500850E93850502E39712F117EEA0 +:102660000100130EEE0183270E0A76949315540091 +:10267000E38D07D031B78145239EB700850E938740 +:102680000702E39612E597E701009387E7EC03AEB4 +:10269000070076949315540083270E00E38F07CC30 +:1026A00099B58145BDB38327CE002322FE00A9B58D +:1026B0008326CE002322DE0055B38327CE0A17E7F8 +:1026C00001002321F706D1BD83270E0C17E7010077 +:1026D0002324F706D9B9797126D24AD04ECE52CCEE +:1026E00056CA5AC85EC606D622D497E90100938915 +:1026F000E9E317E901001309A9F817EA0100130A31 +:102700006AE497EA0100938AAAE597EB0100938BAC +:102710002BE497E401009384E4E3054B21A883A70D +:1027200009000324090A850717E701002320F7E0C1 +:10273000C93011E89C40E5F783270900E37CFBFEE4 +:10274000EFD0DFCCC5BF7370043083270A00B9E334 +:102750008327C90AC04713054400EFD07FE183A750 +:102760000B00FD1717E701002324F7DE9C40FD173F +:1027700017E701002320F7DE83270A0089C783A714 +:102780000A00FC4385E30858EF10D0032285EF10C0 +:1027900070034DB703A70A0083A70A007C4385078F +:1027A0007CC37DB703A70A0083A60A007C43FD17FC +:1027B0007CC3FC42E9FB73600430F1B797E701008A +:1027C0009387C7D69C43DDE3411106C697E7010016 +:1027D00023A607D617E70100130787D81C43B7A61F +:1027E000A5A59386565A9C5B8C43639BD500D0432A +:1027F0006318B60094476395C600DC476388D7002A +:1028000008430C4393854503EF20700097E60100D1 +:10281000938686D3904217E70100130767E611A855 +:102820009C42B9CB9C42FD1717E60100232EF6D03F +:10283000904293172600B2978A07BA979C43EDD32C +:10284000904293172600B2978A07B305F700D44148 +:10285000A107BA97D442D4C16383F602DC46B240E2 +:1028600017E70100232EF7CE41018280854717E745 +:1028700001002325F7CC82807370043001A0D1477A +:102880003306F602D442329754C3C9BF01114AC875 +:1028900006CE22CC26CA4EC62A897370043097E42D +:1028A0000100938424CA9C4017E40100130444CB24 +:1028B000D9E76314090003290400930949004E85F0 +:1028C000EFD01FCB8327890289C713058901EFD079 +:1028D0003FCACE8517E501001305C5E5EFD0DFC47B +:1028E0009C4081C71C40FC43ADE31C40638B270721 +:1028F0009C409DCF737004309C40C1EB97E7010072 +:102900009387C7C59843184325EBFD5717E7010088 +:102910002320F7C29C4089CF1C40FC4391CB184038 +:1029200014407C43FD177CC3FC4299E37360043080 +:10293000F2406244D2444249B24905618280184063 +:102940001C407C4385077CC3ADB7184014407C43D2 +:10295000FD177CC3FC42D1FB736004301C40E3993B +:1029600027F99C40A1C397E70100938727BC9C43AC +:10297000ADCB7370043001A09C43DC47DC47DC43E3 +:1029800017E701002326F7BA71B718401C407C43B3 +:1029900085077CC397E70100938747BC984318439A +:1029A0002DD7D9BF97E70100938787BA17E70100B2 +:1029B000130707CD9C430327470B631DF700F24025 +:1029C000624497E7010023AD07B8D2444249B249B7 +:1029D000056182806244F240D2444249B2490561B5 +:1029E000F1BB6244F240D2444249B24905616FD022 +:1029F000FFA13DC9411126C297E40100938444B66A +:102A00004AC02E898C4006C622C4E105EFD05FB3D0 +:102A100088401105EFD0DFB5FD57630AF90497E749 +:102A200001009387A7B2804398409C434A9440C3D7 +:102A3000636CF40497E70100938747B288438C40A6 +:102A40009105EFD0FFAF97E70100938767AE9C43F6 +:102A50006376F40097E7010023AC87ACB2402244D0 +:102A600092440249410182807370043001A08C407D +:102A70002244B2409244024917E50100130585CB78 +:102A8000910541016FD05FAA97E701009387C7AC1A +:102A9000884322448C40B24092440249910541014E +:102AA0006FD01FAA11C997E70100938727A89C43FD +:102AB00099E77370043001A07370043001A04111D4 +:102AC00026C297E401009384A4A99840AE878C4065 +:102AD000B7060080D58F06C622C41CCFE10532841C +:102AE000EFD09FA488401105EFD09FA8FD57630E3B +:102AF000F40097E70100938767A58843B2409244AA +:102B00002295224441016FE0EFF58C402244B2400F +:102B1000924417E501001305E5C1910541016FD00D +:102B2000BFA025CD411126C297E40100938444A3A0 +:102B30004AC02E898C4006C622C4E1053284EFD0FB +:102B4000BF9E88401105EFD0BFA28547630AF404F9 +:102B500097E701009387879F804398409C434A945E +:102B600040C3636CF40497E701009387279F884371 +:102B70008C409105EFD0DF9C97E701009387479B3E +:102B80009C436376F40097E7010023A3879AB24041 +:102B9000224492440249410182807370043001A0B2 +:102BA0008C402244B2409244024917E501001305CB +:102BB00065B8910541016FD03F9797E70100938772 +:102BC000A799884322448C40B2409244024991051F +:102BD00041016FD0FF96411106C622C426C25C4552 +:102BE000C04735CC930484012685EFD07F9897E7C2 +:102BF00001009387A7939C43B5E79304440026857F +:102C0000EFD01F9797E701009387079458549C4390 +:102C100063F6E70097E7010023A8E79293172700E0 +:102C2000BA978A0717E50100130585A5A6853E9585 +:102C3000EFD09F8F97E70100938787929C4358546A +:102C40000145DC5763F8E700854717E701002327B4 +:102C5000F78E0545B2402244924441018280737050 +:102C6000043001A0A68517E50100130525AAEFD0C1 +:102C7000BF8BC9B797E701009387478B9C4381E7D8 +:102C80007370043001A0411106C622C426C2B707E2 +:102C900000804045CD8F1CC12DC4EFD07F8D9304A3 +:102CA00044002685EFD0DF8C97E701009387C78922 +:102CB00058549C4363F6E70097E7010023A6E78892 +:102CC00093172700BA978A0717E501001305459B5C +:102CD0003E95A685EFD05F8597E7010093874788EB +:102CE0009C4358540145DC5763F8E700854717E7D4 +:102CF00001002325F7840545B24022449244410156 +:102D000082807370043001A011CD97E70100938792 +:102D1000A782984397E70100938747839C4318C194 +:102D20005CC182807370043001A055C1D1CD4111C6 +:102D300006C622C42A877370043097E8010093887E +:102D4000688083A7080091CB97E7010093874781AC +:102D500094439C43FC428507FCC217D30100130334 +:102D6000E37E9C4103260300FD560144638FD70296 +:102D700017DE0100130E4E7C03250E00032807000A +:102D800054436305A80005446371D6023308D64056 +:102D90000544637CF80003250E00918F0326030091 +:102DA000B6979CC108C350C3014483A7080081CBD8 +:102DB00097D701009387C77A9C43FC4381EF228514 +:102DC000B2402244410182807370043001A07370CC +:102DD000043001A0EFE04FCFDDB7854717D70100E2 +:102DE000232EF774828019C1684582800145828054 +:102DF00011C16CC5828097D701009387677688439D +:102E0000828097D701009387E7739C43054589CB60 +:102E100097D70100938787718843133515000605FE +:102E2000828031CD011126CA97D401009384447366 +:102E30009C4006CE22CC4AC84EC65855DC57637912 +:102E4000F7021C4D63C707009C40D4579547958FE8 +:102E50001CCD93172700BA97584917E901001309A9 +:102E600029828A07CA97630DF7009C40DC575CD51E +:102E7000F2406244D2444249B24905618280828074 +:102E8000930945002A844E85EFD08FEE9C4017D7DA +:102E900001001307676B1843DC575CD46376F700B7 +:102EA00017D701002322F76A139527003E95624445 +:102EB0000A05F240D244CE854A95B249424905619D +:102EC0006FD08FE619CD411106C622C426C297D70E +:102ED00001009387E76880436307A40073700430A0 +:102EE00001A0014582807C4881E77370043001A015 +:102EF00054543848FD177CC86383E60099C70145E0 +:102F0000B2402244924441018280930444002685C9 +:102F1000EFD00FE63C4817D701001307E7621443D0 +:102F200015471D8F5CD418CC63F6F60017D7010047 +:102F3000232CF7605147B387E70217D5010013052B +:102F40002574A6853E95EFD02FDE054555BF7370DD +:102F5000043097D701009387E75E9C4391CB97D7C6 +:102F600001009387E75F98439C437C4385077CC3BC +:102F7000828097D701009387E75C9C438DC397D7E6 +:102F800001009387E75D9843784311CB98439443BE +:102F90007C43FD177CC3FC4299E37360043082805C +:102FA00097D701009387C75B904394439843954715 +:102FB000D456084E958F1CCF828097D701009387F7 +:102FC000275A984309C79443F84A0507F8CA884323 +:102FD000828079714AD006D622D426D24ECE2A8952 +:102FE0007370043097D401009384C4559C4017D467 +:102FF00001001304E456BDE31C40BC4F89E71C40AC +:103000000547F8CFD1E19C4081C71C40FC43F1EB60 +:10301000737004309C408DEF1C40A84F11C56304B1 +:1030200009021C4023AC07041C4023AE07049C404B +:1030300081C71C40FC438DEBB2502254925402597C +:10304000F2494561828018403C4FFD173CCFE9BFF3 +:1030500018401C407C4385077CC37DBF18401C4042 +:103060007C4385077CC349BF184014407C43FD174F +:103070007CC3FC42F1F373600430B250225492548A +:103080000259F2494561828008402EC61105EFD0F1 +:103090002FCEB245FD576380F50697D70100938781 +:1030A000E74A83A9070018409C43AE9923223701C1 +:1030B00063ECF90497D701009387474A88430C4093 +:1030C0009105EFD0EFC797D70100938767469C43E0 +:1030D00063F6F90097D7010023AC3745EFD00FB363 +:1030E0001DB7184014407C43FD177CC3FC428DF390 +:1030F0007360043031BF0C4017D5010013058563A0 +:103100009105EFD06FC2D9BF97D701009387C7440D +:1031100088430C409105EFD0AFC2C9B779714AD04E +:103120004ECE06D622D426D252CC2E89B2897370C6 +:10313000043097D401009384E4409C4017D40100EC +:1031400013040442F9EF18408947784F630CF700E5 +:103150001C401345F5FFB84F798DA8CF1C4005479B +:10316000F8CFADE69C4081C71C40FC43F5E373708B +:1031700004309C40B1E7638609001C40BC4F23A08B +:10318000F900184085470145784F630BF7001C4054 +:103190001349F9FF0545B84F3379E90023AC2705FA +:1031A0001C4023AE07049C4081C71C40FC43C1E384 +:1031B000B250225492540259F249624A45618280C7 +:1031C00018401C407C4385077CC375B7084036C651 +:1031D0001105EFD0EFB9B246FD576385F60897D7D2 +:1031E00001009387A73603AA070018409C43369A2C +:1031F000232247016363FA0897D7010093870736B4 +:1032000088430C409105EFD0AFB397D70100938767 +:1032100027329C436370FA0697D7010023AA4731EF +:1032200091A818401C407C4385077CC329BF1840E7 +:1032300014407C43FD177CC3FC42BDFB736004302B +:10324000B250225492540259F249624A4561828036 +:10325000184014407C43FD177CC3FC4289FB73601B +:10326000043031B70C4017D501001305A54C91056A +:10327000EFD08FABEFD08F99F5B597D7010093873B +:10328000A72D88430C409105EFD08FABE5B751C116 +:10329000411106C622C426C24AC07370043097D4B6 +:1032A00001009384242A9C40B9EB99C23C4D9CC2F6 +:1032B000B2878946704D74CD2E872A846386D706DF +:1032C00063FCF6048D456387B70091456395B700AD +:1032D0006300D60C38CC8547630FF60405449C4048 +:1032E00081CB97D701009387A7279C43FC43A9E391 +:1032F0002285B2402244924402494101828097D7FC +:1033000001009387E72598439C437C4385077CC352 +:1033100069BF7370043001A08546E39ED7FA3C4D27 +:1033200033E7B70038CD45BF3C4D85073CCD65B789 +:10333000EFD09FF975BF130944004A85EFD04FA322 +:1033400017D70100130747205C5418436376F70032 +:1033500017D70100232AF71E5147B387E70217D575 +:1033600001001305E531CA853E95EFD0EF9B1C5453 +:1033700081C77370043001A097D701009387471E5F +:103380009C435854DC57E3FBE7F4EFD02F88B9B7E0 +:103390000144B1B739CD011122CC26CA4AC84EC664 +:1033A00052C456C206CEBA89368AB2842E892A847D +:1033B000EFD0AF91AA8A63050A003C4C2320FA00A3 +:1033C000784C89477CCC6389F40263F4970C8D4672 +:1033D0006387D40091466396D400630AF70A232CCE +:1033E00024058547631EF7061C5499CB737004307F +:1033F00001A07370043001A03C4C85073CCCD5B7CC +:1034000097D70100938787129C43ADEB9304440048 +:103410002685EFD0EF9517D701001307E7125C540C +:1034200018436376F70017D70100232FF710514791 +:10343000B387E70217D5010013058524A6853E95BD +:10344000EFD08F8E97D70100938787119C435854F4 +:10345000DC5763F7E70063850900854723A0F9007F +:1034600005445685EFD00F862285F2406244D2444F +:103470004249B249224A924A0561828093058401F9 +:1034800017D5010013058528EFD00F8A65BF0144C9 +:10349000C9BF8547E397F4F43C4C33E92701232C5B +:1034A000240581B74DC1011122CC26CA2A844AC8FD +:1034B00006CE4EC6AE84EFD04F818947744C7CCC8B +:1034C0003C4C05472A8985073CCC6395E6061C548D +:1034D00081C77370043001A097D701009387070557 +:1034E0009C43ADE7930944004E85EFD06F8817D712 +:1034F0000100130767055C5418436376F70017D77C +:1035000001002323F7045147B387E70217D50100D1 +:1035100013050517CE853E95EFD00F8197D7010093 +:10352000938707049C435854DC5763F5E70099C020 +:1035300085479CC06244F240D244B2494A85424920 +:1035400005616FC03FF87370043001A093058401DA +:1035500017D501001305851BEFC01FFDC1B7AA8752 +:1035600029C57370043017D701001307A7FD144352 +:103570009DE2F04F894601456315D60023AE07044E +:1035800005451C4381CB97D70100938767FD98437E +:10359000784315E3828097D60100938666FC9042BB +:1035A00094427442850674C2E9B797D701009387A5 +:1035B00027FB9C437DB7984394437C43FD177CC312 +:1035C000FC42E9FB7360043082805D71A6C2CAC010 +:1035D00052DC56DA5AD85ED686C6A2C44EDE62D413 +:1035E00066D26AD017D90100130989F817DB0100E8 +:1035F000130B4BF797D40100938404F797DA01007B +:10360000938ACAF6054AA54B8327090098436301AC +:103610000712DC4783A90700EFE0AFF8EFE06FF98E +:1036200083270B002A8C6362F50A97D7010023AB2E +:10363000A7F201466370351B8840B3858941EFD0FE +:103640005FB5EFE01F9B630105128840814601468C +:103650002C00EFD06FE34DD9A247E3C807FEC24963 +:1036600083A7490189C713854900EFC07FF0EFE0C8 +:103670004FF483270B002A8C636DF508A24717D7F8 +:103680000100232187EFE3E2FBFCB317FA0013F7F5 +:103690000721631A071613F7770C6318071E93F7B1 +:1036A0000702C5D74E85EF00F01145B7DC47C0478C +:1036B00083AC0700930944004E85EFC07FEB5C505C +:1036C000228582975C4C6384470B832709009843CB +:1036D00071FF03A70A0097D6010023A586E997D6B4 +:1036E000010023A5F6E897D7010023A3E7E8EFE060 +:1036F0005F90A1BFDC47C04703AD0700930C4400B7 +:103700006685EFC0FFE65C50228582975C4C63863D +:10371000470983270900984371FF03A70A0097D63A +:10372000010023A5F6E497D7010023A3E7E4B9B786 +:10373000EFE02FE7EFE0EFE783270B002A8CE3664B +:10374000F5F883A70A00884081499043B3858941F1 +:1037500017D70100232887E113361600EFD07FA387 +:10376000EFE03F89E31305EEEFC05FCAF9BD1C4CE3 +:10377000CE85E69763E9FC049C4089CF02CA66CCFB +:1037800022CEEFF00FE88840814601464C08EFC09A +:103790009FFF05FD7370043001A01C4CE685EA977D +:1037A000636CFD049C4089CF02CA6ACC22CEEFF044 +:1037B0004FE58840814601464C08EFC0DFFC31F9F7 +:1037C0007370043001A0032509005CC000C8EFC07D +:1037D0003FD7E5BDEFE0FF8183270900DC47C04705 +:1037E000930C44006685EFC0BFD85C4C638F4703E1 +:1037F0005C502285829791BD032509005CC000C8FA +:10380000EFC01FD439B7B24723ACF900A5CFE29778 +:1038100023A2F90023A8390193854900636FFC04B2 +:1038200003A50A00EFC0DFD10DB51C4C00C83387DB +:10383000F90058C0636DEC02330C3C416363FC0239 +:103840009C4089CF02CA4ECC22CEEFF08FDB88405D +:10385000814601464C08EFC01FF359F973700430DC +:1038600001A003A50A00E685EFC09FCD51B703254F +:103870000900E685EFC0DFCCA5BF03250900EFC036 +:103880003FCCE1B37370043001A0B24783A6890135 +:1038900023A839013387D70023A2E9006364EC042D +:1038A000B307FC4063EBD70483A749024E85829798 +:1038B00083A7C901E39B47D983A68901B2479840F2 +:1038C000B69711CF02CA3ECC4ECEEFF08FD38840D0 +:1038D000814601464C08EFC01FEBE31805D6737014 +:1038E000043001A06374FC00E370F7FC03250900B9 +:1038F00093854900EFC0DFC489BB03A50A00938507 +:103900004900EFC0FFC391B3411122C406C626C2CD +:103910004AC017D40100130424C5EFF04FE31C4044 +:1039200095CBEFF00FE51C409DC3814801488147CE +:10393000094781461306004097D50100938505A8E5 +:10394000170500001305A5C8EFD0DF9939E973709A +:10395000043001A017D90100130909DF4A85EFC01F +:103960001FBB97D40100938464DF2685EFC03FBA64 +:103970000146B145114597D7010023AB27BF97D723 +:10398000010023A597BEEFC07FD317D70100232DD9 +:10399000A7BC11CD97D501009385C5A1EFD02FF419 +:1039A00049B7B24022449244024941018280737077 +:1039B000043001A0A5C9797156CAAA8A1305C002AC +:1039C00022D426D24AD04ECE52CC06D65AC85EC693 +:1039D0003A89B689328AAE84E9212A8405C9EFF092 +:1039E0000FD797D70100938727B89C439DCFEFF05F +:1039F0004FD8130544002320540104CC232E440146 +:103A00002320340323222403EFC0BFB12285B25008 +:103A1000225492540259F249624AD24A424BB24B62 +:103A2000456182807370043001A097DB0100938BA5 +:103A3000ABD15E85EFC0BFAD17DB0100130B0BD21E +:103A40005A85EFC0DFAC0146B145114597D701005B +:103A500023A077B397D7010023AA67B1EFC01FC691 +:103A600017D701002322A7B001C997D5010093857C +:103A70006594EFD0CFE6A5BF7370043001A039C5BF +:103A8000011122CC06CE26CA17D401001304C4ADFE +:103A9000832804002A88014563850802BA842EC25F +:103AA000368732C442C6954663C5B602EFF06FB59D +:103AB0008947630BF5020840814601464C00EFC080 +:103AC0009FCCF2406244D24405618280737004301E +:103AD00001A04C0081463A864685EFD00F80F24027 +:103AE0006244D244056182800840814626864C00AB +:103AF000EFC07FC9F9B719C10841828073700430E3 +:103B000001A001E57370043001A0411106C622C472 +:103B10002A84EFF0CFC348483334A000EFF06FC5DC +:103B20002285B24022444101828001E57370043055 +:103B300001A0411122C42A8406C6EFF04FC10050F3 +:103B4000EFF02FC3B240228522444101828001E57B +:103B50007370043001A0411122C426C22E84AA84AD +:103B600006C6EFF0CFBE80D02244B240924441015D +:103B70006FF02FC04111714522C406C61D262A844C +:103B800011C5232005001105EFC07F982285B240A2 +:103B900022444101828001CDB70700FFED8F81C72C +:103BA0007370043001A099E57370043001A0737044 +:103BB000043001A0011122CC4AC84EC652C456C2DC +:103BC00006CE26CABA893689B28A2E842A8AEFF0AE +:103BD0004FA311E5638509007370043001A0EFE085 +:103BE0004F9C83240A00B37794006316090295C79B +:103BF00063870A009347F4FFE58F2320FA00EFE084 +:103C00004FBFF240624426854249D244B249224A1B +:103C1000924A05618280E30DF4FCE38209FEB3352C +:103C20005001E20563050900B7070004DD8D4E86EB +:103C3000C18D13054A00EFE0FFE6EFE08FBB19E10D +:103C4000EFC0CFFCEFF0CFB593176500AA8963D81A +:103C50000700B7040001FD14B3F499005DB7EFF05D +:103C60000FAF83290A00B3773401631E090089CBA3 +:103C700063880A009347F4FFB3F737012320FA0063 +:103C8000EFF02FAFF9B7E31DF4FEDDB701C9B707B9 +:103C900000FFED8F99C77370043001A0737004307A +:103CA00001A0411122C42A8406C626C24AC02E8918 +:103CB000EFF0EFA904409345F9FFB3F795001CC05E +:103CC000EFF02FABB24022442685024992444101D5 +:103CD0008280411122C42A8406C6EFC00FFF004033 +:103CE000EFC04FFEB240228522444101828009C9C3 +:103CF000370700FFB3F7E50099C77370043001A0E0 +:103D00007370043001A06F20100EB70700FFF18F11 +:103D100081C77370043001A001E67370043001A004 +:103D2000011122CC4AC84EC652C406CE26CA3689D4 +:103D3000AE892A8A3284EFF0CF8C21E16305090035 +:103D40007370043001A0EFE0CF8583240A00CE8594 +:103D50005285B3E49900613FB37794006307F4069A +:103D600083240A00EFE0EFA8F2406244268542492E +:103D7000D244B249224A05618280EFE08F828324D7 +:103D80000A00CE855285B3E434019537B3F7840039 +:103D9000638D8702E30609FCB70500054A86C18DDD +:103DA00013054A00EFE01FD0EFE0AFA419E1EFC028 +:103DB000EFE5EFF0EF9E93176500AA8463DF07003D +:103DC000B7070001FD17FD8C45B783270A00134490 +:103DD000F4FFE18F2320FA0071B7EFF04F978324AF +:103DE0000A00B3779400639787009347F4FFE58F49 +:103DF0002320FA00EFF0EF97E1B7411122C406C685 +:103E00002A8426C2EFD0FFF95C4085C3084893049A +:103E1000C4006316950015A0084863009502B70515 +:103E20000002EFE03FE55C40E5FB2285612A224489 +:103E3000B240924441016FE0CF9B7370043001A007 +:103E400009C9370700FFB3F7E50099C7737004305D +:103E500001A07370043001A06F20E07801C9B7079A +:103E600000FFED8F99C77370043001A073700430A8 +:103E700001A0411122C426C206C62A84AE84EFF0F6 +:103E80000F8D184093C7F4FFF98F1CC02244B24035 +:103E9000924441016FF0EF8D19C1084D82800145B8 +:103EA0008280411122C406C62A84EFD09FEF97C7B3 +:103EB00001009387276C9C43A1EBE56717D70100AE +:103EC0001307478BF11797C6010023ABE66A17C7A4 +:103ED00001002325F76A17D70100232FF78897C71A +:103EE00001009387676917D701002325F788854765 +:103EF00017C701002328076817C70100232E07668C +:103F000017C701002328F76619E8EFE08F8EEF103E +:103F1000800F01442285B240224441018280130572 +:103F2000840093773500C1EFE5671307F5FFE917C4 +:103F3000E3EDE7FC97C60100938686649C4229A0C6 +:103F4000984311C7BE86BA87D843E36BA7FE17C74D +:103F5000010013076762E38AE7FA98434146138436 +:103F6000870098C2D843B306A7406378D602338847 +:103F7000A7002322D800C8C38325480097C60100A4 +:103F80009386066011A0BA8698425043E36DB6FE50 +:103F90002320E80023A00601D84397C70100938798 +:103FA00027659C43998F17C701002323F764EFE02F +:103FB0004F8431DC2285B2402244410182807199D4 +:103FC00011059DB729C9411122C406C62A84EFD024 +:103FD0005FDD930584FF0326C4FF17C701001307A5 +:103FE000275A11A03E871C43D443E3EDC6FE232C81 +:103FF000F4FE0CC397C701009387875F984383271C +:10400000C4FF2244B240BA9717C701002322F75ECB +:1040100041016FD01FFE828097C701009387475DE3 +:104020008843828082803367B5000D8BAA871DE7A5 +:104030009308D6FFAA982A87AE866371150303A852 +:10404000060011079106232E07FFE36A17FF934727 +:10405000F5FFC697F19B9107BE95AA972A9663F341 +:10406000C70A9386450013884700B3B6D70033B814 +:10407000050133E7F50093C61600134818000D8BB1 +:10408000B3E6060113371700758F330EF64093869B +:10409000170029CF1337AE0031EB13532E002E88B3 +:1040A000BE860147832808000507110823A01601D2 +:1040B0009106E36967FE1377CEFFBA95BA9763025C +:1040C000EE0483C60500138717002380D700637AA8 +:1040D000C70283C6150013872700A380D700637229 +:1040E000C70203C725002381E7008280B307F6409B +:1040F000AE97850503C7F5FF8506238FE6FEE39A95 +:10410000F5FE82808280B367C5008D8BB308C50041 +:10411000E9C36371150FB307A0408D8B1387370078 +:10412000954693F5F50F130815003383A8407D16C7 +:104130006373D70015476363E60CD5CF2300B50042 +:104140000547638DE700A300B5000D471308250060 +:104150006396E700130835002301B5001396850028 +:104160004D8E13970501518F3303F34093968501CC +:10417000D98E13562300AA97014794C305079107C8 +:10418000E36DC7FE1377C3FFB307E8006307E306D9 +:104190002380B70013871700637C1703A380B70041 +:1041A00013872700637617032381B700138737002F +:1041B00063701703A381B70013874700637A170161 +:1041C0002382B7001387570063741701A382B700D7 +:1041D000828093F5F50F13978500D98D139705010C +:1041E0004D8FE37715FFAA87910723AEE7FEE3ED36 +:1041F00017FF828082802A8895B78280AA8749BF6C +:10420000834705002A8799C7050583470500EDFF09 +:10421000198D82800145828005058347F5FF85055C +:1042200003C7F5FF91C7E389E7FE3385E740828046 +:104230008147E5BFAA87850503C7F5FF8507A38FDB +:10424000E7FE75FB828083460500930700026397B3 +:10425000F600050583460500E38DF6FE938756FDBF +:1042600093F7D70F85C7AA87814585CE0145850776 +:10427000138606FD1317250083C607003A95060529 +:104280003295F5F699C13305A0408280938536FDBD +:104290008346150093B5150093071500E1FA014513 +:1042A000D5B7014582809C412380A7009C418507AA +:1042B0009CC1828097C601009386062D9C421757A9 +:1042C00003001307274C13861700BA972380A70013 +:1042D00097C5010023AAC52AA9476308F5009307DB +:1042E00000046305F6000145828075DE370610F094 +:1042F00005078347F7FF2320F6F09C42FD1797C57B +:10430000010023A3F528EDF7014582804D7123229A +:10431000911423202115232A5113232481132326AA +:10432000111423248114232E3113232C4113232809 +:10433000611323267113232291132320A113232E0B +:10434000B111AA842E8932C6130C5002A54A8347A4 +:10435000090063808703B9CB804011A0A1CB230063 +:10436000F40080400509050480C083470900E397F5 +:1043700087FF0346190093081900C686130D000233 +:10438000FD597D5A0148130550059307D6FD93F753 +:10439000F70F138916006363F52A17C70100130787 +:1043A00067C68A07BA979C43BA9782878320C1144D +:1043B0000324811483244114032901148329C11384 +:1043C000032A8113832A4113032B0113832BC11268 +:1043D000032C8112832C4112032D0112832DC11154 +:1043E00071618280A14C02C4854763D50715B2472D +:1043F0008040938B770093F68BFF03AB060083A975 +:104400004600938786003EC6668681465A85CE85DD +:10441000EF1030682AC8814763853723930D410127 +:10442000854B668681465A85CE85EF10B030814631 +:1044300066862A8BAE89EF10D065A24723A0AD0017 +:1044400093861B00910D63843701B68BD9BFE37E41 +:104450009BFF63DD4601A2872380A70180407D1A70 +:10446000930714009CC03E84E3C846FF93962B003C +:104470001C08BE9631A8938777052300F40080407E +:10448000FD1B93871B00050480C0F116E351F0EC7F +:104490009C42E3E2FAFE93870703C5B79C4093066C +:1044A000000332472380D7009C4093064700C14C4D +:1044B0001386170090C013068007A380C7008040B2 +:1044C00002C4BA87050480C003AB0700814936C621 +:1044D00025BFB24783AC0700938B470063840C1C55 +:1044E000635F40199307D0026319FD1683C70C0060 +:1044F00085C363DC09189440850C7D1A2380F6007F +:104500008040050480C083C70C00E5F7635D40016F +:104510008040930700022300F40080407D1A0504C8 +:1045200080C0E31A0AFE5EC61DB502C48547A94CC9 +:10453000E3CF07EBB24780409386470071B703C6CD +:104540001600CA8699B59C40930650022380D70076 +:104550008040050480C0E5BBC14C02C471B5B247C0 +:1045600003C61600CA8683A9070091073EC6E35E0C +:104570000AE04E8AFD5911BD03C61600130DD00284 +:10458000CA8621B59347FAFFFD8703C61600337A22 +:10459000FA00CA86DDBB03C61600130D0003CA86E7 +:1045A000EDB383C51600930906FDCA86938705FD02 +:1045B0002E86E3EEFAFA93972900BE9985068609BE +:1045C000AE9983C50600938909FD938705FD2E8664 +:1045D000E3F3FAFE69BF32479C40144311073AC621 +:1045E0002380D7008040050480C095B3854763D3FE +:1045F000070BB24793867700E19A938786003EC601 +:1046000083A946009C4263DC090A94401306D00249 +:10461000B307F0402380C6008040B336F000B309F2 +:104620003041050480C03E8BB389D940A94C02C4F7 +:10463000E1BB03C616000508CA8681BB9C404689BB +:1046400021B7E37D9BDD8547814B8546E3C547E187 +:1046500031BD97CC0100938CECD6CE856685EF10EA +:104660004053330AAA40635C400180402300A40108 +:1046700080407D1A050480C0E31A0AFE014A83C700 +:104680000C00E38207EAE3C809E6FD197D57E394CD +:10469000E9E6ADBDB247938647009C4336C693D941 +:1046A000F74195B7635640019307D002E313FDFA33 +:1046B00097CC0100938C0CD1930780021DBD3E8BDB +:1046C000A94C02C4804089B34D71232E3113B70920 +:1046D00000409387F9FF2324811423229114232C73 +:1046E0004113232A51132326111423202115232893 +:1046F0006113232671132324811323229113232072 +:10470000A113232EB1112A8A2EC417C40100130449 +:10471000A4E7975A0300938AEA0697C4010093849A +:10472000E4A33EC693055002294513080004B706CA +:1047300010F083470A006386B704C1C71840050A12 +:1047400013061700569797C8010023AFC8E223004D +:10475000F7006382A706E31E06FD17570300130741 +:10476000670205078347F7FF23A0F6F01C40FD17FB +:1047700017C60100232AF6E0EDF783470A00E39EFF +:10478000B7FA83461A0013051A002A87930B000212 +:104790007D5B7D5C014893055005A5489387D6FD58 +:1047A00093F7F70F130A170063E7F5348A07A69704 +:1047B0009C43A6978287175703001307A7FC55F25F +:1047C0008DBF8320C1140324811483244114032941 +:1047D00001148329C113032A8113832A4113032B54 +:1047E0000113832BC112032C8112832C4112032D40 +:1047F0000112832DC11171618280A14C014D854749 +:1048000063DB0719A2479D07E19B83AD070003AB5C +:104810004700138787003AC4668681466E85DA852D +:10482000EF1030272AC863066D3513094101854909 +:10483000668681466E85DA85EF10C06F6686814692 +:10484000AA8D2E8BEF10F0242320A90085091109D1 +:10485000E3106DFFE3FE9DFD13060004B70610F0A4 +:1048600063D189031C4013871700D69797C50100B1 +:1048700023ACE5D023807701630CC7047D1CE3C320 +:1048800089FFB2476800A548B386F9008A061C086C +:10489000BE96294813030004370610F0E384A6E807 +:1048A0009C429305000363F4F800930570051840DB +:1048B000AE9793051700569717CE01002326BECC5E +:1048C0002300F7006380072B638C6526F116F9B788 +:1048D00017570300130707EB05078347F7FF23A0CC +:1048E000F6F01C40FD1797C5010023AFF5C8EDF7A2 +:1048F0007D1C71B71C40130600041387170097C571 +:10490000010023A3E5C8B386FA00930500032380C2 +:10491000B6006300C73E890797C6010023A6F6C606 +:104920005697930680072300D700175703001307F5 +:1049300067E5B70610F0639EC70005078347F7FFDA +:1049400023A0F6F01C40FD1717C60100232EF6C267 +:10495000EDF7A247C14C014D91072247014B832D32 +:1049600007003EC455BDA24783AC0700138947002A +:10497000638F0C3A635480219307D002639EFB2E11 +:1049800003C70C00BA856310072025AC8547A94CE6 +:10499000014DE3C907E7A2479107C1B7A247834684 +:1049A0001700528703AB070091073EC4E3580CDEA3 +:1049B0005A8C7D5BE5B383461700930BD002528778 +:1049C000F1BB9347FCFFFD8783461700337CFC0057 +:1049D0005287E9B383461700930B000352877DBBD0 +:1049E00003461700138B06FD5287930706FDB28618 +:1049F000E3EEF8FA93172B00DA9705078607B297CC +:104A000003460700138B07FD930706FDB286E3F309 +:104A1000F8FE69BFA2451C402946984193861700BD +:104A2000D69717C501002321D5B62380E700138947 +:104A300045006301C72A930700046387F6204AC430 +:104A4000D5B1854763DF0729A24713877700619BAC +:104A5000930787003EC4032B47001C43635B0B3066 +:104A60001840130600049306170097C5010023ADF4 +:104A7000D5B056979305D0022300B7006388C62CA3 +:104A8000B307F0403337F000330B6041BE8D330B7A +:104A9000EB40A94C014D49B38346170005085287E6 +:104AA000F5B9834617005287D5B91C409306000418 +:104AB0001387170017C601002328E6ACD697130604 +:104AC00050022380C700E31FD7C417570300130702 +:104AD00067CBB70610F005078347F7FF23A0F6F072 +:104AE0001C40FD1717C601002320F6AAEDF71DB9DB +:104AF000C14C014D29B31C40930600042A8A138738 +:104B0000170017C601002321E6A8D6971306500206 +:104B10002380C700E318D7C017570300130787C6C1 +:104B2000B70610F005078347F7FF23A0F6F01C40F7 +:104B3000FD1717C601002329F6A4EDF72A8ADDB672 +:104B400017570300130707C405078347F7FF232000 +:104B5000F6F01C40FD1797C5010023A7F5A2EDF75D +:104B6000F1162DBB175703001307C7C1F1FDF1164E +:104B700035B3E3FC9DCB8549C5B1014C03C70C009F +:104B8000BA85E30E07EAFD58294513080004B70665 +:104B900010F063570B0A1C4013861700D69717C3F3 +:104BA00001002323C39E2380E7006384A5066304DA +:104BB0000609850C03C70C007D1CBA8579FBE35000 +:104BC00080E91C409305000213060004B70610F0AC +:104BD00021A07D1CE3050CE613871700D6972380E0 +:104BE000B70017C501002321E59ABA87E313C7FE72 +:104BF00017570300130707B905078347F7FF23A0DB +:104C0000F6F01C40FD1717C50100232FF596EDF7B0 +:104C1000C9B7175703001307E7B641DE05078347F7 +:104C2000F7FF23A0F6F01C40FD1717C60100232D47 +:104C3000F694EDF7BDBF175703001307A7B4F9BFEC +:104C40007D1BE31A1BF5A5BF17570300130787B396 +:104C5000B70610F005078347F7FF23A0F6F01C40C6 +:104C6000FD1717C601002321F692EDF74AC45DBC7B +:104C700097BC0100938C0C75DA856685EF00707126 +:104C8000330CAC40E35C80EF1C4013060004B70615 +:104C900010F021A07D1CE3020CEE13871700D697BD +:104CA0002380770197C5010023A0E58EBA87E3131F +:104CB000C7FE175703001307E7AC05078347F7FF45 +:104CC00023A0F6F01C40FD1797C5010023AEF58A1E +:104CD000EDF7C9B7175703001307C7AAB5FA4AC4B7 +:104CE00091B4A247138747009C433AC413DBF741B2 +:104CF000B5B3175703001307E7A8B70610F0050769 +:104D00008347F7FF23A0F6F01C40FD1717C60100EC +:104D1000232CF686EDF7854717C701002326F78673 +:104D20009307800717570300230EF7A41DB163569E +:104D300080019307D002E39DFBF297BC0100938CA6 +:104D40006C68130780029305800235BD97560300F7 +:104D5000938646A3370610F0850603C7F6FF232087 +:104D6000E6F018407D1797C5010023AFE5806DF789 +:104D700001BBBE8DA94C014D45B4B70710F023A06F +:104D8000A7F201A03971130341022ED29A8506CEF3 +:104D900032D436D63AD83EDA42DC46DE1AC62D3256 +:104DA000F2400145216182805D711303810322D4A9 +:104DB0002AC632DC2A841A86680006D6BEC236DECF +:104DC000BAC0C2C4C6C61ACEEFF04FD4B2472380D1 +:104DD00007003245B250018D225461618280B707CD +:104DE00010F083A647F403A607F403A747F4E31AD9 +:104DF000D7FE856693868638B29633B6C600B3056D +:104E0000E60023A4D7F423A6B7F48280B70710F0F6 +:104E100003A747F403A607F483A647F4E31AD7FED3 +:104E2000856693868638B29633B6C60023A4D7F437 +:104E3000B305E60023A6B7F49307000873A0473034 +:104E40008280797122D406D626D24AD04ECE52CC58 +:104E500056CA5AC85EC697B701009387677317B4DE +:104E600001001304A47298431C40930647069387DD +:104E7000470617B60100232BF670856717B60100A9 +:104E80002328D670938777BB63CDD700B2502254C6 +:104E900092540259F249624AD24A424BB24B45619E +:104EA0008280FD779387C74A3E9797B7010023A179 +:104EB000E76E7D238547631DF504EF00D007854924 +:104EC000114B814BA144314A814A2A89630335073A +:104ED00017B501001305C551EFF0DFEAEF00F03D13 +:104EE000D2846306350717B501001305E552EFF0CC +:104EF0007FE92685EFF07FE82254B25092540259A0 +:104F0000F249624AD24A424BB24B45616FC07FE8D8 +:104F100017B501001305854BEFF0DFE6EF00B00198 +:104F20008549194B894BA944394A894A2A89E31191 +:104F300035FBEF009038E31825FB639C0A0018400E +:104F40008967938777328144E3C5E7FA81B7DA84CA +:104F50004DB7DE8479BF17B501001305254E6FF0FC +:104F60007FE217B501001305E54D6FF0BFE1411178 +:104F700022C426C206C6856417B401001304044D7A +:104F8000938784380100FD17F5FF2285EFF09FDF3E +:104F9000C5BF411106C6A929D125B24041016F0004 +:104FA0007027411106C622C426C25D2985476313B6 +:104FB000F50491440144EF00007885476309F5004A +:104FC00017B501001305C542EFF0DFDB2684EF00C3 +:104FD000D02E8547630AF50017B501001305C543B8 +:104FE000EFF05FDA136484002285B24022449244D9 +:104FF0004101828017B501001305453DEFF09FD8B0 +:10500000994409444DBF7370043001A082807370CD +:10501000043001A0797126D24AD04ECE52CC56CA65 +:105020005AC806D622D417BA0100130A6A5817BB09 +:105030000100130B2B579304500505499309E00A0F +:10504000930AE00B0145EFD07F84EFC09FD62A84FE +:1050500003250A0081461306F00A6C0002C697B7C2 +:10506000010023AD9752EFB00FF209C597B70100C9 +:1050700023A82753EFC0FFD3018D63F4A90063F683 +:10508000AA0097B7010023AD2751014597B701004A +:1050900023A69750EFD08FFFEFC0BFD12A840325FE +:1050A0000A0081461306F00A6C0097B7010023A797 +:1050B000974EEFB07FBD09C597B7010023A2274FD8 +:1050C000EFC03FCF018D63F4A90063F6AA0097B744 +:1050D000010023A7274D97B7010023A1974C8327F1 +:1050E0000B00850717B70100232EF74AA1BF3971BE +:1050F00026DA4AD84ED652D456D25AD05ECE62CC98 +:1051000066CA06DE22DC6AC817BA0100130A4A4AD8 +:1051100017B901001309894897BB0100938B0B490C +:1051200097BC0100938C4C48294C054B914A85490A +:105130009304500502C401463314CC00EFC07FC76E +:105140002A8D03250A00814622866C00EFB0DFB36A +:1051500009C597B7010023A53745EFC09FC53305A3 +:10516000A5419307F4006376850017B70100232952 +:10517000374363FDA724224697B7010023A2374394 +:10518000050632C4E3DACAFA02C403250A008146DE +:1051900001462C00EFB02FDF6306652597B70100AD +:1051A00023A03741A24785073EC4E3D0FAFE02C4DC +:1051B00001463314CC00EFC0DFBF2A8D03250A005F +:1051C000814622862C00EFB00FDC09C597B701009D +:1051D00023A8373DEFC0FFBD3305A5419307F40079 +:1051E0006376850017B70100232C373B63FAA71CB1 +:1051F000224697B7010023A5373B050632C4E3DA00 +:10520000CAFA03A50B0097B7010023A90738EFC01E +:10521000CFF983270900638997005145EFC0DFFB71 +:1052200083270900E39B97FE5145EFC0FFFA97B72C +:10523000010023A5073602C403250A008146014662 +:105240006C00EFB07FA4814601462C006306650127 +:1052500097B7010023A6373503250A00EFB0AFD278 +:1052600091456306650197B7010023AB3733832768 +:10527000090003A50B006396970097B7010023A1CF +:105280003733EFC08FE083270900854503A50B0066 +:105290006396970097B7010023A43731EFC0EFDE84 +:1052A000A24785073EC4E3D9FAF883270900514590 +:1052B00063899700EFC05FF2832709005145E39BA4 +:1052C00097FEEFC07FF197B7010023A9072C02C416 +:1052D00003250A00814601466C00EFB0FF9A630D7A +:1052E000650F97B7010023AD372BA24785073EC452 +:1052F000E3D0FAFE03A50B00EFC02FEB83270900D4 +:10530000638997005145EFC03FED83270900E39B78 +:1053100097FE5145EFC05FEC97B7010023A0072827 +:1053200002C403250A00814601462C00EFB0AFC538 +:10533000814601466C006306650197B7010023A111 +:10534000372703250A00EFB03F94914563066501B6 +:1053500097B7010023A637258327090003A50B0073 +:105360006396970097B7010023AC3723EFC0EFD1C6 +:1053700083270900854503A50B006396970097B71F +:10538000010023AF3721EFC04FD0A24785073EC4AD +:10539000E3D9FAF883270900514563899700EFC0E4 +:1053A000BFE3832709005145E39B97FEEFC0DFE28F +:1053B00083A70C00850717B701002327F71E9DBBA5 +:1053C0002246050632C4E3D6CADE25BD22460506BE +:1053D00032C4E3D3CAD64DBBA24785073EC4E3D946 +:1053E000FAEE09BFA24785073EC4E3D0FADAC1B39B +:1053F000411101469145154506C6EFB02FAC97B552 +:1054000001009385E50597B7010023A3A71AEFB024 +:105410001FCD814801488147094781461306004056 +:1054200097B501009385050517050000130565CCA8 +:10543000EFB05FEBB2408148014897B70100938716 +:10544000E716054781461306004097B5010093858E +:10545000E50217050000130525BC41016FB09FE868 +:1054600017B701001307471497B6010093868613F8 +:1054700017B60100130606121C4310428C4217B5E2 +:105480000100130565110328050001456386C50069 +:10549000B38707413335F00097B701009387471072 +:1054A0009C4394421843FD17B337F000B307F04014 +:1054B00017B601002320D60E7D8D97B6010023ADCF +:1054C000E60C8280011122CC06CE26CA4AC84EC6FE +:1054D00052C458419307A00A2A8404416309F71073 +:1054E0008146014681452685EFB00FFA8547631650 +:1054F000F50097B7010023A3A70C05498549032AA6 +:1055000004008146014681455285EFB0EFF7630400 +:10551000250B9304800C8146014681455285EFB0EE +:105520008FA61C446300250D850717B701002327AC +:105530003709FD141CC4E5F08146014681455285BA +:10554000EFB06FA46316250197B7010023A82707C2 +:10555000032A04008146014681455285EFB0AFA27F +:10556000630025079304800C8146014681455285DE +:10557000EFB08FF11C44630A2505850717B70100BA +:10558000232E3703FD141CC4E5F0814601468145F6 +:105590005285EFB06FEFE31425F7032A040081462C +:1055A00001468145528597B7010023A92701EFB035 +:1055B000AFEDE31025F797B7010023A1270191BFB5 +:1055C00097B7010023AC27FF71BF8507FD141CC4EA +:1055D000C1FC8146014681455285EFB0EFEAE310F8 +:1055E00025F365BF8507FD141CC495F4B1B781464A +:1055F000014681452685EFB00F9985476316F50072 +:1056000097B7010023ACA7FA1309800C854981469E +:10561000014681452685EFB02FE71C44630A350318 +:10562000850717B70100232B37F97D191CC4E31038 +:1056300009FE2685814601468145EFB0EFE48547A6 +:105640000440E31FF5E897B7010023A9A7F649BD79 +:1056500085077D191CC4E31C09FAE1BF41119305BC +:10566000800C1305800C06C622C4EFB0AF8E97479E +:10567000030023A9A7149307A00A174703002325B3 +:10568000F71481451305800C9747030023A00714E6 +:10569000EFB04F8C9747030023ACA7129747030046 +:1056A00023AA0712174403001304C41197470300E9 +:1056B00023A40712084097B501009385A5DCEFB03D +:1056C0001FA2484497B501009385C5DCEFB03FA108 +:1056D0001C40A1CF814801488147014797460300FC +:1056E0009386460E1306004097B50100938585DB2F +:1056F00017050000130545DDEFB0DFBE2244B240C0 +:105700008148014881470147974603009386460C2C +:105710001306004097B50100938545D91705000091 +:10572000130585DA41016FB0FFBB5C44C5F7B24099 +:1057300022444101828017B70100130727E8104374 +:10574000974703009387070817B701001307C7E6B9 +:105750001843944701456389E600984713351600BE +:1057600097B6010023AAE6E417B70100130787E400 +:10577000D44B18436388E600DC4B17B70100232B9A +:10578000F7E2828001458280797122D426D24AD004 +:105790004ECE52CC56CA5AC85EC662C406D697B41C +:1057A00001009384A4E497B90100938969E217BAD0 +:1057B0000100130AAAE197BA0100938A6AE297BB33 +:1057C0000100938B2BE217BC0100130CACE00544E5 +:1057D0000D49094B88408145EFB00FECE31C85FE75 +:1057E00003A50900EFB0FFF7631C250B03250A0092 +:1057F000EFB03FF76313250B83A70A006387870089 +:1058000097B7010023A287DEF1B783A70B00E399C6 +:1058100087FE83270C0003250A00850717B70100C0 +:10582000232AF7DAEFC06F9803A50900EFC0EF97BE +:1058300083A70A006387870483A70B006383870419 +:105840000145EFB05FFC6317650503A50900EFB0E4 +:105850005FF1631D650503250A00EFB09FF0631437 +:1058600065058840EFB00FA36306850097B7010078 +:1058700023AC87D60145EFB01FF929DD73700430E2 +:1058800001A0014597B7010023A087D6EFB0BFF76D +:10589000E30D65FB7370043001A07370043001A048 +:1058A0007370043001A07370043001A07370043071 +:1058B00001A0011122CC26CA4AC84EC652C406CE47 +:1058C00017B90100130989D217BA0100130A8AD146 +:1058D00097B40100938444D097B90100938909D00B +:1058E00005442DA097B7010023A087D09C4003A7B3 +:1058F000090085076386E70097B7010023A687CED6 +:105900009C40850717B701002328F7CC0325090021 +:10591000F955EFB06FD8E31785FC83270A00E393AE +:1059200087FC03250900EFB0EF966306850097B763 +:10593000010023AB87CA014597B7010023A287CA9C +:10594000EFC0DFF497B7010023AC07C845B70111DA +:105950004AC817B90100130969C90325090022CCF7 +:105960004EC606CE26CA054497B90100938909C7D9 +:10597000EFB04F9263058508A94403250900A145AE +:10598000EFB08FD163028508FD14514597B7010030 +:1059900023AC87C4EFC05F84EDF0A9445145EFC04C +:1059A000BF8303250900FD14EFB0CF8E6306850089 +:1059B00097B7010023AA87C2F5F003250900EFB0CD +:1059C0006F8D6316850097B7010023AF87C083A74B +:1059D00009000145850717B701002321F7C097B7D4 +:1059E000010023A187C0EFC07FEA0325090097B714 +:1059F000010023A907BEEFB0EF89E31F85F697B733 +:105A0000010023A387BE8DBFFD145145EFC0CFFC1D +:105A1000ADF461B74111114506C6EFB0EF8197B5FE +:105A20000100938525A997B7010023A1A7BCEFB07A +:105A30000FEB97B70100938767BB9C43A5CF8148C5 +:105A4000014897B701009387A7B809478146130615 +:105A5000004097B501009385E5A6170500001305E2 +:105A600045EFEFB03F888148014897B70100938721 +:105A7000E7B5054781461306004097B501009385B9 +:105A8000E5A417050000130505E3EFB0BF85B2409C +:105A9000814801488147014781461306004097B578 +:105AA0000100938525A317050000130525CE4101AC +:105AB0006FB05F83B2404101828097B70100938746 +:105AC000E7B197B60100938626B09843944263826B +:105AD000E6069C4397B601009386C6AE944217B77C +:105AE00001002323F7AE97B701009387E7AE9843F1 +:105AF0006382E6069C4397B60100938666AC9442A7 +:105B000017B701002320F7AC97B70100938787AC44 +:105B100098436382E6069C4317B701002322F7AA45 +:105B200097B70100938747AC88437D153335A000B4 +:105B30008280854717B701002328F7AA97B601008E +:105B4000938646A897B70100938707A99843944284 +:105B5000E392E6FA854717B701002327F7A897B61F +:105B600001009386E6A597B701009387A7A69843FF +:105B70009442E392E6FA854717B701002326F7A679 +:105B800097B70100938747A688437D153335A0005A +:105B90008280AA95AA876385B70003C7070001E73B +:105BA0003385A74082808507FDB7814863DA050009 +:105BB0003305A040B337A000B305B0409D8DFD581C +:105BC00063D906003306C040B337C000B306D040E7 +:105BD0009D8E32883683AA872E87639F061C97A6E0 +:105BE00001009386266D63F1C50C416E6377C60B89 +:105BF000130EF00F6373CE002143335E6600F296FE +:105C000003CE06001A9E130300023303C341630C44 +:105C10000300B3956500335EC50133186600336732 +:105C2000BE00B3176500135E0801B355C703131513 +:105C30000801418193D607013376C703B305B50246 +:105C40004206D18E63F8B600C29663E5060163F39F +:105C5000B600C2968D8E33F7C603C207C183B3D692 +:105C6000C603B306D50213150701C98F63F8D70021 +:105C7000C29763E5070163F3D700C297958F33D5C9 +:105C800067008145638A0800B307A0403337F000FE +:105C9000B305B0403E85998D8280370E00014143A7 +:105CA000E36DC6F5614391BF01E6054833586802CC +:105CB00041676373E8081307F00F6373070121431B +:105CC00033576800BA9603C606001A9613030002FB +:105CD0003303C34063190306B38505419356080196 +:105CE00013150801418113D6070133F7D502B3D547 +:105CF000D5024207518FB305B5026378B7004297CA +:105D0000636507016373B7004297B305B74033F784 +:105D1000D502C207C183B3D5D502B305B5021315A9 +:105D20000701C98F63F8B700C29763E5070163F302 +:105D3000B700C2978D8FA1B7370700014143E361D8 +:105D4000E8F86143B5BF3318680033DEC500935EE1 +:105D500008013356C500B31765003355DE03B3950C +:105D600065004D8E93150801C18193560601337766 +:105D7000DE033385A5024207558F6378A70042975B +:105D8000636507016373A7004297B306A74033F723 +:105D9000D60342064182B3D6D6034207B386D50264 +:105DA000B365C70063F8D500C29563E5050163F3E9 +:105DB000D500C295958D1DB7E3E6D5EC416863F536 +:105DC0000605930EF00F33B8DE000E0833DE060131 +:105DD00017A301001303034E7293834E0300130EA7 +:105DE0000002C29E330EDE4163170E0263E4B6006A +:105DF0006369C500B307C540958D3335F50033871A +:105E0000A5403E85BA85BDBD370300014148E3EF9B +:105E100066FA614865BF3357D601B396C601D98E7D +:105E2000B357D50133D7D501B395C501CD8F93D5E0 +:105E300006013373B702139F0601135F0F0113D8D6 +:105E400007013316C6013315C5013357B7024203A4 +:105E500033680301B30FEF023A83637CF80136988D +:105E60001303F7FF6367D8006375F8011303E7FFB7 +:105E700036983308F841B37FB8023358B802C20FDE +:105E8000B3050F03139F0701135F0F0133EFEF01FA +:105E90004287637CBF00369F1307F8FF6367DF000C +:105EA0006375BF001307E8FF369F4203C16F33637A +:105EB000E3009387FFFF13580601330FBF40B3750C +:105EC000F30013530301F18F3387F502B307F30295 +:105ED000B385050333030303BE95135807012E98BA +:105EE0006373F8007E93C167FD17935508013378FB +:105EF000F80042087D8F9A9542976366BF00631B46 +:105F0000BF006379E5003306C7403337C700958D7E +:105F1000998D32873307E5403335E500B305BF403F +:105F2000898DB397D5013357C70133E5E700B3D562 +:105F3000C50189BBAE87328836872A8363960620DF +:105F400097A801009388083763FEC50A4167637408 +:105F5000E60A1307F00F3337C7000E07B356E60003 +:105F6000B69883C60800369793060002998E99CAA0 +:105F7000B397D7003357E5003318D600B365F70061 +:105F80003313D50013550801B3D7A5021316080122 +:105F900041829356030133F7A502B305F602420787 +:105FA000D98E3E8763FCB600C2961387F7FF63E77E +:105FB000060163F5B6001387E7FFC2968D8EB3F72F +:105FC000A602420313530301B3D6A602C20733E36A +:105FD0006700B305D6023685637BB3004293138511 +:105FE000F6FF636603016374B3001385E6FF42079F +:105FF000498F81454DA8B70600014147E360D6F6B9 +:106000006147A9BF01E6854633D8C602C166637EF3 +:10601000D8089306F00F63F306012147B356E80052 +:10602000B69883C60800BA9613070002158F49E791 +:10603000B38707418545135608019318080193D883 +:1060400008019356030133F7C702B3D7C7024207CB +:10605000D98E3385F8023E8763FCA600C29613876B +:10606000F7FF63E7060163F5A6001387E7FFC29613 +:10607000898EB3F7C602420313530301B3D6C60297 +:10608000C20733E36700B388D8023685637B130108 +:1060900042931385F6FF636603016374130113854E +:1060A000E6FF4207498F3A858280B70600014147E3 +:1060B000E366D8F661479DB73318E800B3D5D7003B +:1060C0003313E500B356D50013550801B397E70025 +:1060D00033F7A502B3E8F60093170801C18313D67E +:1060E0000801B3D5A5024207518FB386B7022E86A9 +:1060F000637CD70042971386F5FF636707016375DA +:10610000D7001386E5FF4297B306D74033F7A602C0 +:10611000C20893D80801B3D6A6024207B385D702B6 +:10612000B3671701368763FCB700C2971387F6FF82 +:1061300063E7070163F5B7001387E6FFC2978D8F0A +:1061400093150601D98DC5BD63E2D514416763FE81 +:10615000E6021308F00F3337D8000E0733D8E600F5 +:1061600097A5010093850515C29503C80500930501 +:1061700000023A98B385054185E10547E3E5F6F26B +:106180003335C5001347150039BFB7050001414736 +:10619000E3E6B6FC6147D9B733570601B396B600BC +:1061A000D98E93DE060133D70701B378D703B397AF +:1061B000B700335805013363F80093970601C18394 +:1061C000135803013316B6003357D703C20833E818 +:1061D0000801338FE7023A8E637CE8013698130E8C +:1061E000F7FF6367D8006375E801130EE7FF369881 +:1061F0003308E841B378D8033358D803C208B38EC4 +:10620000070393170301C183B3E7F800428763FCD8 +:10621000D701B6971307F8FF63E7D70063F5D701F7 +:106220001307E8FFB697420EB387D741C16E3367B5 +:10623000EE001388FEFF337307019358070133788C +:1062400006014182330E03033388080393560E017F +:106250003303C30242939A96B388C80263F30601DC +:10626000F69813D60601B29863E01703E39317D9A3 +:10627000C167FD17FD8EC206337EFE003315B500E3 +:10628000F2968145E371D5E27D17A5B381450147BB +:1062900019BDB2883687AA872E886398061C97A6F0 +:1062A00001009386260163F8C50A4163637E660890 +:1062B0001303F00F6373C30021473353E6009A962C +:1062C00003CE0600130300023A9E3303C341630C5E +:1062D0000300B3956500335EC501B31866003368EB +:1062E000BE00B317650013D608013377C8021395B3 +:1062F0000801418193D607013358C8024207D98E5D +:106300003308050363F80601C69663E5160163F3D7 +:106310000601C696B386064133F7C602C207C1839B +:10632000B3D6C602B306D50213150701C98F63F8A9 +:10633000D700C69763E5170163F3D700C697958F1B +:1063400033D5670081458280370300014147E3660A +:1063500066F661479DB701E60546B35816034166E8 +:1063600063F3C8081306F00F63731601214733D691 +:10637000E800B29603CE0600130300023A9E3303F0 +:10638000C34163190306B385154113D7080113955B +:106390000801418113D60701B3F6E502B3D5E50242 +:1063A000C206D18EB305B50263F8B600C69663E5A2 +:1063B000160163F3B600C696B385B640B3F6E502A0 +:1063C000C207C183B3D5E502B305B5021395060133 +:1063D000C98F63F8B700C69763E5170163F3B70089 +:1063E000C6978D8FB1BF370600014147E3E1C8F87A +:1063F0006147B5BFB398680033D7C501B3176500CF +:10640000335EC50113D50801B376A702B3956500C5 +:10641000336EBE0093950801C18113560E013357A8 +:10642000A702C206D18E3387E50263F8E600C6965E +:1064300063E5160163F3E600C6963386E640B3765D +:10644000A602420E135E0E013356A602C206338622 +:10645000C502B3E5C60163F8C500C69563E515013D +:1064600063F3C500C695918D0DB7E3EED5EC41679A +:1064700063F5E604930EF00F33B7DE000E0733D357 +:10648000E60097A801009388E8E29A9883CE080076 +:10649000130E0002BA9E330EDE4163170E0263E450 +:1064A000B6006369C500B307C540958D3335F50067 +:1064B0003388A5403E85C28579B5B70800014147BC +:1064C000E3EF16FB614765BF3357D601B396C601AC +:1064D000D98EB357D50133D7D501B395C501CD8F2B +:1064E00093D506013373B702139F0601135F0F01A3 +:1064F00093D807013316C6013315C5013357B702C8 +:106500004203B36813013308EF023A8363FC0801C6 +:10651000B6981303F7FF63E7D80063F50801130388 +:10652000E7FFB698B3880841B3FFB802B3D8B80202 +:10653000C20FB3051F03139F0701135F0F0133EF52 +:10654000EF014687637CBF00369F1387F8FF6367C0 +:10655000DF006375BF001387E8FF369F4203C16801 +:106560003363E3009387F8FF13580601330FBF40EE +:10657000B375F30013530301F18F3387F502B307AB +:10658000F302B385050333030303BE9513580701D4 +:106590002E986373F8004693C167FD179355080161 +:1065A0003378F80042087D8F9A9542976366BF0062 +:1065B000631BBF006379E5003306C7403337C7006C +:1065C000958D998D32873307E5403335E500B30566 +:1065D000BF40898DB397D5013357C70133E5E70035 +:1065E000B3D5C5018DB3011122CC26CA2A84AE844D +:1065F0004AC84EC652C456C206CEEFB08FFA1C40EF +:1066000003290401B7090001B3E59700930AC40008 +:106610000CC08144FD19370A00021840638C2A031C +:10662000032609004A85B3654701B37636019317FF +:106630005600758F0329490063C4070001E7F1BFC5 +:10664000E39DE6FC9317760063D30700D58CEFC07B +:106650006FE2E1B793C4F4FFF98C04C0EFB07F9907 +:106660000840F2406244D2444249B249224A924A26 +:1066700005618280411106C622C4EFE07FD7EFE0BA +:10668000FFFDEFF02FB917E7FFFF1307C77B814628 +:1066900005469305400617A501001305A5DBEFD0BD +:1066A0006FB119C92A84EFB0CFF02A86014781461D +:1066B00085452285EFD0AFBCEFB08FC7B2402244F2 +:0666C0000145410182804A +:02000004800278 +:100000003E45FEFF3C46FEFF4645FEFF3C46FEFFEA +:100010003C46FEFF3C46FEFF3C46FEFF5E45FEFFC3 +:100020003C46FEFF3C46FEFF7845FEFF8445FEFF52 +:100030003C46FEFF9645FEFFA245FEFFA245FEFFA1 +:10004000A245FEFFA245FEFFA245FEFFA245FEFF20 +:10005000A245FEFFA245FEFFA245FEFF3C46FEFF75 +:100060003C46FEFF3C46FEFF3C46FEFF3C46FEFF94 +:100070003C46FEFF3C46FEFF3C46FEFF3C46FEFF84 +:100080003C46FEFF3C46FEFF3C46FEFF3C46FEFF74 +:100090003C46FEFF3C46FEFF3C46FEFF3C46FEFF64 +:1000A0003C46FEFF3C46FEFF3C46FEFF3C46FEFF54 +:1000B0003C46FEFF3C46FEFF3C46FEFF3C46FEFF44 +:1000C0003C46FEFF3C46FEFF3C46FEFF3C46FEFF34 +:1000D0003C46FEFF3C46FEFF3C46FEFF3C46FEFF24 +:1000E0003C46FEFF3C46FEFF3C46FEFF3C46FEFF14 +:1000F0003C46FEFF3C46FEFF3C46FEFF3C46FEFF04 +:10010000D645FEFFEC45FEFF3C46FEFF3C46FEFFAB +:100110003C46FEFF3C46FEFF3C46FEFF3C46FEFFE3 +:100120003C46FEFF3246FEFF3C46FEFF3C46FEFFDD +:10013000E443FEFF9C44FEFF3C46FEFF3C46FEFFC0 +:10014000D244FEFF3C46FEFF2A45FEFF3C46FEFF32 +:100150003C46FEFF5845FEFF4A49FEFF9E49FEFF12 +:100160005249FEFF9E49FEFF9E49FEFF9E49FEFF4B +:100170009E49FEFF4448FEFF9E49FEFF9E49FEFF4A +:100180005E48FEFF6A48FEFF9E49FEFF7C48FEFF78 +:100190008848FEFF8848FEFF8848FEFF8848FEFF2B +:1001A0008848FEFF8848FEFF8848FEFF8848FEFF1B +:1001B0008848FEFF9E49FEFF9E49FEFF9E49FEFFC6 +:1001C0009E49FEFF9E49FEFF9E49FEFF9E49FEFF9F +:1001D0009E49FEFF9E49FEFF9E49FEFF9E49FEFF8F +:1001E0009E49FEFF9E49FEFF9E49FEFF9E49FEFF7F +:1001F0009E49FEFF9E49FEFF9E49FEFF9E49FEFF6F +:100200009E49FEFF9E49FEFF9E49FEFF9E49FEFF5E +:100210009E49FEFF9E49FEFF9E49FEFF9E49FEFF4E +:100220009E49FEFF9E49FEFF9E49FEFF9E49FEFF3E +:100230009E49FEFF9E49FEFF9E49FEFF9E49FEFF2E +:100240009E49FEFF9E49FEFF9E49FEFF9E49FEFF1E +:100250009E49FEFF9E49FEFFBC48FEFFEA48FEFFA6 +:100260009E49FEFF9E49FEFF9E49FEFF9E49FEFFFE +:100270009E49FEFF9E49FEFF9E49FEFF4049FEFF4C +:100280009E49FEFF9E49FEFFA246FEFF9C47FEFFE1 +:100290009E49FEFF9E49FEFF0E48FEFF9E49FEFF5F +:1002A0003448FEFF9E49FEFF9E49FEFF9849FEFF2F +:1002B000000102020303030304040404040404040D +:1002C00005050505050505050505050505050505DE +:1002D00006060606060606060606060606060606BE +:1002E00006060606060606060606060606060606AE +:1002F000070707070707070707070707070707078E +:10030000070707070707070707070707070707077D +:10031000070707070707070707070707070707076D +:10032000070707070707070707070707070707075D +:10033000080808080808080808080808080808083D +:10034000080808080808080808080808080808082D +:10035000080808080808080808080808080808081D +:10036000080808080808080808080808080808080D +:1003700008080808080808080808080808080808FD +:1003800008080808080808080808080808080808ED +:1003900008080808080808080808080808080808DD +:1003A00008080808080808080808080808080808CD +:1003B000546D725100000000546D7220537663003A +:1003C000286E756C6C2900004572726F7220696E20 +:1003D00020626C6F636B2074696D65207465737443 +:1003E000207461736B73200D0A0000004572726FF8 +:1003F0007220696E20636F756E74696E6720736515 +:100400006D6170686F7265207461736B73200D0A83 +:10041000000000004572726F7220696E2072656381 +:10042000757273697665206D757465782074617373 +:100430006B73200D0A0000006D69616F750A000082 +:100440006D69616F75320A0068756E6772790A00AE +:10045000436865636B54696D65720000426C6F633D +:100460006B5F54696D655F517565756500000000CF +:100470004254657374310000425465737432000055 +:10048000436F756E74696E675F53656D5F31000011 +:10049000436F756E74696E675F53656D5F32000000 +:1004A000434E543100000000434E5432000000001F +:1004B0005265637572736976655F4D757465780012 +:1004C0005265633100000000526563320000000095 +:0804D0005265633300000000D7 +:1004D8001000000000000000017A5200017C0101B8 +:1004E8001B0D02001000000018000000B656FEFFA9 +:1004F8008A03000000000000100000002C0000002B +:100508002C5AFEFF5E0300000000000010000000EF +:1005180040000000765DFEFF54030000000000006C +:0805EC00FC8F01000400000077 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/C.ADD.elf.hex b/VexRiscv/src/test/resources/hex/C.ADD.elf.hex new file mode 100644 index 0000000..6d13438 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/C.ADD.elf.hex @@ -0,0 +1,50 @@ +:0200000480007A +:1000000001000100010001000100010001000100E8 +:1000100001000100010001000100010001000100D8 +:1000200001000100010001000100010001000100C8 +:1000300001000100010001000100010001000100B8 +:1000400001000100010001000100010001000100A8 +:100050000100010001000100010001000100010098 +:100060000100010001000100010001000100010088 +:100070000100010001000100010001000100010078 +:100080000100010001000100010001000100010068 +:100090000100010001000100010001000100010058 +:1000A0000100010001000100010001000100010048 +:1000B0000100010001000100010001000100010038 +:1000C0000100010001000100010001000100010028 +:1000D0000100010001000100010001000100010018 +:1000E00001000100010001000100010001001711E1 +:1000F0000000130121F10142814192910EC081441F +:100100000544269422C201469305F0FFB2952EC401 +:100110000147B78600009386F6FFBA9636C60148B7 +:10012000A167C2973EC8171100001301E1EE05490F +:100130008148CA9846C0054A8549D2994EC2054BA6 +:10014000930AF0FFDA9A56C4054CB78B0000938BE4 +:10015000FBFFE29B5EC6054DA16CEA9C66C81711C9 +:1001600000001301A1EC130EF0FF814DF29D6EC053 +:10017000130FF0FF854EFA9E76C29301F0FF930FA6 +:10018000F0FF8E9F7EC41304F0FF3782000013023D +:10019000F2FF229212C69305F0FFA164AE9426C826 +:1001A000171100001301C1E9B78600009386F6FF1E +:1001B0000146369632C0B78700009387F7FF0547A0 +:1001C0003E973AC2B78800009388F8FF1308F0FF03 +:1001D000469842C4B78900009389F9FF3789000027 +:1001E0001309F9FF4E994AC6B78A0000938AFAFFAD +:1001F000216A569A52C8171100001301A1E5A16B9C +:10020000014B5E9B5AC0A16C054C669C62C2A16DFD +:10021000130DF0FF6E9D6AC4A16E378E0000130EA1 +:10022000FEFF769E72C6A16F216F7E9F7AC817155A +:100230000000130525DD971500009385A5E337061B +:1002400010F01306C6F2630CB500544514C21445F1 +:1002500014C2544114C2144114C24105EDB737050C +:1002600010F0130505F22320050000000000000037 +:0202700000008C +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFF00000000000000000000000084 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/C.ADDI.elf.hex b/VexRiscv/src/test/resources/hex/C.ADDI.elf.hex new file mode 100644 index 0000000..a8a4139 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/C.ADDI.elf.hex @@ -0,0 +1,45 @@ +:0200000480007A +:1000000001000100010001000100010001000100E8 +:1000100001000100010001000100010001000100D8 +:1000200001000100010001000100010001000100C8 +:1000300001000100010001000100010001000100B8 +:1000400001000100010001000100010001000100A8 +:100050000100010001000100010001000100010098 +:100060000100010001000100010001000100010088 +:100070000100010001000100010001000100010078 +:100080000100010001000100010001000100010068 +:100090000100010001000100010001000100010058 +:1000A0000100010001000100010001000100010048 +:1000B0000100010001000100010001000100010038 +:1000C0000100010001000100010001000100010028 +:1000D0000100010001000100010001000100010018 +:1000E00001000100010001000100010001001711E1 +:1000F0000000130121F1814185010EC00142090276 +:1001000012C201443D0422C48144C10426C6814573 +:10011000FD052EC817110000130101F00546050664 +:1001200032C08546890636C205473D073AC4854731 +:10013000C1073EC605487D0842C8171100001301DB +:10014000E1EE9308F0FF850846C01309F0FF0909A6 +:100150004AC29309F0FFBD094EC4130AF0FF410AD9 +:1001600052C6930AF0FFFD0A56C81711000013018A +:1001700021ED370B0800130BFBFF050B5AC0B70B23 +:100180000800938BFBFF890B5EC2370C0800130C31 +:10019000FCFF3D0C62C4B70C0800938CFCFFC10C43 +:1001A00066C6370D0800130DFDFF7D0D6AC81711D7 +:1001B0000000130121EAB70D0800850D6EC0370E4F +:1001C0000800090E72C2B70E0800BD0E76C4370FC4 +:1001D0000800410F7AC6B70F0800FD0F7EC817153B +:1001E0000000130525E2971500009385A5E8370662 +:1001F00010F01306C6F2630CB500544514C2144542 +:1002000014C2544114C2144114C24105EDB737055C +:1002100010F0130505F22320050000000000000087 +:020220000000DC +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFF00000000000000000000000084 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/C.ADDI16SP.elf.hex b/VexRiscv/src/test/resources/hex/C.ADDI16SP.elf.hex new file mode 100644 index 0000000..09bccd7 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/C.ADDI16SP.elf.hex @@ -0,0 +1,29 @@ +:0200000480007A +:1000000001000100010001000100010001000100E8 +:1000100001000100010001000100010001000100D8 +:1000200001000100010001000100010001000100C8 +:1000300001000100010001000100010001000100B8 +:1000400001000100010001000100010001000100A8 +:100050000100010001000100010001000100010098 +:100060000100010001000100010001000100010088 +:100070000100010001000100010001000100010078 +:100080000100010001000100010001000100010068 +:100090000100010001000100010001000100010058 +:1000A0000100010001000100010001000100010048 +:1000B0000100010001000100010001000100010038 +:1000C0000100010001000100010001000100010028 +:1000D0000100010001000100010001000100010018 +:1000E0000100010001000100010001000100971062 +:1000F0000000938020F1410123A020009710000010 +:10010000938080F0056123A0200097100000938069 +:10011000E0EF216123A0200097100000938040EFC2 +:100120007D6123A02000971000009380A0EE017154 +:1001300023A02000171500001305C5EC971500003B +:10014000938545EE370610F01306C6F2630CB50032 +:10015000544514C2144514C2544114C2144114C26B +:100160004105EDB7370510F0130505F22320050012 +:0201700000008D +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFF000000000000000000000000D4 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/C.ADDI4SPN.elf.hex b/VexRiscv/src/test/resources/hex/C.ADDI4SPN.elf.hex new file mode 100644 index 0000000..f2c2416 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/C.ADDI4SPN.elf.hex @@ -0,0 +1,29 @@ +:0200000480007A +:1000000001000100010001000100010001000100E8 +:1000100001000100010001000100010001000100D8 +:1000200001000100010001000100010001000100C8 +:1000300001000100010001000100010001000100B8 +:1000400001000100010001000100010001000100A8 +:100050000100010001000100010001000100010098 +:100060000100010001000100010001000100010088 +:100070000100010001000100010001000100010078 +:100080000100010001000100010001000100010068 +:100090000100010001000100010001000100010058 +:1000A0000100010001000100010001000100010048 +:1000B0000100010001000100010001000100010038 +:1000C0000100010001000100010001000100010028 +:1000D0000100010001000100010001000100010018 +:1000E0000100010001000100010001000100971062 +:1000F0000000938020F1500023A0C0009710000062 +:10010000938080F0340023A0D000971000009380EB +:10011000E0EF780023A0E00097100000938040EF0C +:100120001C0823A0F000971000009380A0EEE01FB1 +:1001300023A08000171500001305C5EC97150000DB +:10014000938545EE370610F01306C6F2630CB50032 +:10015000544514C2144514C2544114C2144114C26B +:100160004105EDB7370510F0130505F22320050012 +:0201700000008D +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFF000000000000000000000000D4 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/C.AND.elf.hex b/VexRiscv/src/test/resources/hex/C.AND.elf.hex new file mode 100644 index 0000000..0a92a0b --- /dev/null +++ b/VexRiscv/src/test/resources/hex/C.AND.elf.hex @@ -0,0 +1,50 @@ +:0200000480007A +:1000000001000100010001000100010001000100E8 +:1000100001000100010001000100010001000100D8 +:1000200001000100010001000100010001000100C8 +:1000300001000100010001000100010001000100B8 +:1000400001000100010001000100010001000100A8 +:100050000100010001000100010001000100010098 +:100060000100010001000100010001000100010088 +:100070000100010001000100010001000100010078 +:100080000100010001000100010001000100010068 +:100090000100010001000100010001000100010058 +:1000A0000100010001000100010001000100010048 +:1000B0000100010001000100010001000100010038 +:1000C0000100010001000100010001000100010028 +:1000D0000100010001000100010001000100010018 +:1000E00001000100010001000100010001001711E1 +:1000F0000000130121F181458144ED8C26C0814629 +:100100000546758E32C281471307F0FF7D8F3AC4D2 +:100110008144378400001304F4FF658C22C6014635 +:10012000A165F18D2EC8171100001301E1EE0547FE +:100130008146F98E36C005448547E18F3EC285452C +:100140009304F0FFED8C26C4854637860000130625 +:10015000F6FF758E32C6854721677D8F3AC8171125 +:1001600000001301A1EC9304F0FF0144658C22C050 +:100170001306F0FF8545F18D2EC21307F0FF93069D +:10018000F0FFF98E36C41304F0FFB78700009387A1 +:10019000F7FFE18F3EC69305F0FFA164ED8C26C802 +:1001A000171100001301C1E9B78600009386F6FF1E +:1001B0000146758E32C0B78700009387F7FF054769 +:1001C0007D8F3AC2B78400009384F4FF1304F0FFDC +:1001D000658C22C4378600001306F6FFB785000041 +:1001E0009385F5FFF18D2EC6378700001307F7FFC3 +:1001F000A166F98E36C8171100001301A1E521642C +:100200008147E18F3EC0A1658544ED8C26C2A16681 +:100210001306F0FF758E32C4A167378700001307FD +:10022000F7FF7D8F3AC6A1642164658C22C817153B +:100230000000130525DD971500009385A5E337061B +:1002400010F01306C6F2630CB500544514C21445F1 +:1002500014C2544114C2144114C24105EDB737050C +:1002600010F0130505F22320050000000000000037 +:0202700000008C +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFF00000000000000000000000084 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/C.ANDI.elf.hex b/VexRiscv/src/test/resources/hex/C.ANDI.elf.hex new file mode 100644 index 0000000..841633f --- /dev/null +++ b/VexRiscv/src/test/resources/hex/C.ANDI.elf.hex @@ -0,0 +1,45 @@ +:0200000480007A +:1000000001000100010001000100010001000100E8 +:1000100001000100010001000100010001000100D8 +:1000200001000100010001000100010001000100C8 +:1000300001000100010001000100010001000100B8 +:1000400001000100010001000100010001000100A8 +:100050000100010001000100010001000100010098 +:100060000100010001000100010001000100010088 +:100070000100010001000100010001000100010078 +:100080000100010001000100010001000100010068 +:100090000100010001000100010001000100010058 +:1000A0000100010001000100010001000100010048 +:1000B0000100010001000100010001000100010038 +:1000C0000100010001000100010001000100010028 +:1000D0000100010001000100010001000100010018 +:1000E00001000100010001000100010001001711E1 +:1000F0000000130121F1814581892EC00146058A46 +:1001000032C28146C18A36C401477D8B3AC68147D7 +:10011000859B3EC817110000130101F005440188BA +:1001200022C08544858826C28545C1892EC40546DE +:100130007D8A32C68546859A36C81711000013019C +:10014000E1EE1307F0FF018B3AC09307F0FF858BB8 +:100150003EC21304F0FF418822C49304F0FFFD88DF +:1001600026C69305F0FF85992EC8171100001301CC +:1001700021ED370608001306F6FF018A32C0B706E4 +:1001800008009386F6FF858A36C2370708001307F2 +:10019000F7FF418B3AC4B70708009387F7FFFD8B41 +:1001A0003EC6370408001304F4FF059822C817114F +:1001B0000000130121EAB7040800818826C0B705B2 +:1001C000080085892EC237060800418A32C4B70666 +:1001D0000800FD8A36C637070800059B3AC8171580 +:1001E0000000130525E2971500009385A5E8370662 +:1001F00010F01306C6F2630CB500544514C2144542 +:1002000014C2544114C2144114C24105EDB737055C +:1002100010F0130505F22320050000000000000087 +:020220000000DC +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFF00000000000000000000000084 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/C.BEQZ.elf.hex b/VexRiscv/src/test/resources/hex/C.BEQZ.elf.hex new file mode 100644 index 0000000..bfc304a --- /dev/null +++ b/VexRiscv/src/test/resources/hex/C.BEQZ.elf.hex @@ -0,0 +1,33 @@ +:0200000480007A +:1000000001000100010001000100010001000100E8 +:1000100001000100010001000100010001000100D8 +:1000200001000100010001000100010001000100C8 +:1000300001000100010001000100010001000100B8 +:1000400001000100010001000100010001000100A8 +:100050000100010001000100010001000100010098 +:100060000100010001000100010001000100010088 +:100070000100010001000100010001000100010078 +:100080000100010001000100010001000100010068 +:100090000100010001000100010001000100010058 +:1000A0000100010001000100010001000100010048 +:1000B0000100010001000100010001000100010038 +:1000C0000100010001000100010001000100010028 +:1000D0000100010001000100010001000100010018 +:1000E00001000100010001000100010001001711E1 +:1000F0000000130121F181479D8F89C7B7270100B7 +:100100009387B73A3EC0171100001301E1EF054491 +:10011000018C09C4372401001304B43A22C017111A +:1001200000001301A1EEFD54858C89C4B7240100A1 +:100130009384B43A26C017110000130161EDB7850E +:1001400000009385F5FF8D8D89C5B7250100938546 +:10015000B53A2EC0171100001301C1EB2166118EB4 +:1001600009C6372601001306B63A32C0171500003B +:10017000130545E9971500009385C5EA370610F089 +:100180001306C6F2630CB500544514C2144514C2DC +:10019000544114C2144114C24105EDB7370510F0A3 +:1001A000130505F2232005000000000000000000F8 +:0201B00000004D +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFF000000000000000000000000D4 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/C.BNEZ.elf.hex b/VexRiscv/src/test/resources/hex/C.BNEZ.elf.hex new file mode 100644 index 0000000..584372d --- /dev/null +++ b/VexRiscv/src/test/resources/hex/C.BNEZ.elf.hex @@ -0,0 +1,30 @@ +:0200000480007A +:1000000001000100010001000100010001000100E8 +:1000100001000100010001000100010001000100D8 +:1000200001000100010001000100010001000100C8 +:1000300001000100010001000100010001000100B8 +:1000400001000100010001000100010001000100A8 +:100050000100010001000100010001000100010098 +:100060000100010001000100010001000100010088 +:100070000100010001000100010001000100010078 +:100080000100010001000100010001000100010068 +:100090000100010001000100010001000100010058 +:1000A0000100010001000100010001000100010048 +:1000B0000100010001000100010001000100010038 +:1000C0000100010001000100010001000100010028 +:1000D0000100010001000100010001000100010018 +:1000E00001000100010001000100010001001711E1 +:1000F0000000130121F1814691E2814636C01711BB +:100100000000130161F0054711E301473AC01711E0 +:1001100000001301A1EFFD5791E381473EC0171185 +:1001200000001301E1EE378400001304F4FF11E036 +:10013000014422C0171100001301C1EDA16491E038 +:10014000814426C0171500001305C5EB9715000064 +:10015000938545ED370610F01306C6F2630CB50023 +:10016000544514C2144514C2544114C2144114C25B +:100170004105EDB7370510F0130505F22320050002 +:0201800000007D +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFF000000000000000000000000D4 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/C.J.elf.hex b/VexRiscv/src/test/resources/hex/C.J.elf.hex new file mode 100644 index 0000000..2a0b074 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/C.J.elf.hex @@ -0,0 +1,32 @@ +:0200000480007A +:1000000001000100010001000100010001000100E8 +:1000100001000100010001000100010001000100D8 +:1000200001000100010001000100010001000100C8 +:1000300001000100010001000100010001000100B8 +:1000400001000100010001000100010001000100A8 +:100050000100010001000100010001000100010098 +:100060000100010001000100010001000100010088 +:100070000100010001000100010001000100010078 +:100080000100010001000100010001000100010068 +:100090000100010001000100010001000100010058 +:1000A0000100010001000100010001000100010048 +:1000B0000100010001000100010001000100010038 +:1000C0000100010001000100010001000100010028 +:1000D0000100010001000100010001000100010018 +:1000E00001000100010001000100010001001711E1 +:1000F0000000130121F1814529A0B7250100938556 +:10010000B53A2EC017110000130101F0054629A0D1 +:10011000372601001306B63A32C01711000013014A +:10012000E1EEFD5629A0B72601009386B63A36C007 +:10013000171100001301C1ED378700001307F7FF07 +:1001400029A0372701001307B73A3AC0171100005A +:10015000130141ECA16729A0B72701009387B73AA3 +:100160003EC0171500001305E5E9971500009385BB +:1001700065EB370610F01306C6F2630CB500544564 +:1001800014C2144514C2544114C2144114C241058E +:10019000EDB7370510F0130505F223200500000028 +:0201A00000005D +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFF000000000000000000000000D4 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/C.JAL.elf.hex b/VexRiscv/src/test/resources/hex/C.JAL.elf.hex new file mode 100644 index 0000000..77d4b06 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/C.JAL.elf.hex @@ -0,0 +1,32 @@ +:0200000480007A +:1000000001000100010001000100010001000100E8 +:1000100001000100010001000100010001000100D8 +:1000200001000100010001000100010001000100C8 +:1000300001000100010001000100010001000100B8 +:1000400001000100010001000100010001000100A8 +:100050000100010001000100010001000100010098 +:100060000100010001000100010001000100010088 +:100070000100010001000100010001000100010078 +:100080000100010001000100010001000100010068 +:100090000100010001000100010001000100010058 +:1000A0000100010001000100010001000100010048 +:1000B0000100010001000100010001000100010038 +:1000C0000100010001000100010001000100010028 +:1000D0000100010001000100010001000100010018 +:1000E00001000100010001000100010001001711E1 +:1000F0000000130121F101442920372401001304D9 +:10010000B43A22C017110000130101F085442920E0 +:10011000B72401009384B43A26C0171100001301DC +:10012000E1EEFD552920B72501009385B53A2EC093 +:10013000171100001301C1ED378600001306F6FF0A +:100140002920372601001306B63A32C017110000E5 +:10015000130141ECA1662920B72601009386B63A27 +:1001600036C0171500001305E5E9971500009385C3 +:1001700065EB370610F01306C6F2630CB500544564 +:1001800014C2144514C2544114C2144114C241058E +:10019000EDB7370510F0130505F223200500000028 +:0201A00000005D +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFF000000000000000000000000D4 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/C.JALR.elf.hex b/VexRiscv/src/test/resources/hex/C.JALR.elf.hex new file mode 100644 index 0000000..f81d7a1 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/C.JALR.elf.hex @@ -0,0 +1,35 @@ +:0200000480007A +:1000000001000100010001000100010001000100E8 +:1000100001000100010001000100010001000100D8 +:1000200001000100010001000100010001000100C8 +:1000300001000100010001000100010001000100B8 +:1000400001000100010001000100010001000100A8 +:100050000100010001000100010001000100010098 +:100060000100010001000100010001000100010088 +:100070000100010001000100010001000100010078 +:100080000100010001000100010001000100010068 +:100090000100010001000100010001000100010058 +:1000A0000100010001000100010001000100010048 +:1000B0000100010001000100010001000100010038 +:1000C0000100010001000100010001000100010028 +:1000D0000100010001000100010001000100010018 +:1000E00001000100010001000100010001001711E1 +:1000F0000000130121F10145170600001306260137 +:100100000296372501001305B53A32C017110000D9 +:10011000130181EF0545970600009386260182961C +:10012000372501001305B53A36C017110000130139 +:10013000E1ED7D55170700001307270102973725CA +:1001400001001305B53A3AC017110000130141EC44 +:10015000378500001305F5FF9707000093872701F7 +:100160008297372501001305B53A3EC017110000EC +:10017000130141EA216517080000130828010298BD +:10018000372501001305B53A42C0171500001305C5 +:1001900065E7971500009385E5E8370610F013062C +:1001A000C6F2630CB500544514C2144514C2544140 +:1001B00014C2144114C24105EDB7370510F0130500 +:1001C00005F22320050000000000000000000000F0 +:0201D00000002D +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFF000000000000000000000000D4 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/C.JR.elf.hex b/VexRiscv/src/test/resources/hex/C.JR.elf.hex new file mode 100644 index 0000000..f1f4321 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/C.JR.elf.hex @@ -0,0 +1,35 @@ +:0200000480007A +:1000000001000100010001000100010001000100E8 +:1000100001000100010001000100010001000100D8 +:1000200001000100010001000100010001000100C8 +:1000300001000100010001000100010001000100B8 +:1000400001000100010001000100010001000100A8 +:100050000100010001000100010001000100010098 +:100060000100010001000100010001000100010088 +:100070000100010001000100010001000100010078 +:100080000100010001000100010001000100010068 +:100090000100010001000100010001000100010058 +:1000A0000100010001000100010001000100010048 +:1000B0000100010001000100010001000100010038 +:1000C0000100010001000100010001000100010028 +:1000D0000100010001000100010001000100010018 +:1000E00001000100010001000100010001001711E1 +:1000F0000000130121F101459701000093812101C6 +:100100008281372501001305B53A0EC01711000092 +:10011000130181EF0545170200001302220102823C +:10012000372501001305B53A12C01711000013015D +:10013000E1ED7D55170400001304240102843725E6 +:1001400001001305B53A22C017110000130141EC5C +:10015000378500001305F5FF970400009384240100 +:100160008284372501001305B53A26C01711000017 +:10017000130141EA216597050000938525018285D9 +:10018000372501001305B53A2EC0171500001305D9 +:1001900065E7971500009385E5E8370610F013062C +:1001A000C6F2630CB500544514C2144514C2544140 +:1001B00014C2144114C24105EDB7370510F0130500 +:1001C00005F22320050000000000000000000000F0 +:0201D00000002D +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFF000000000000000000000000D4 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/C.LI.elf.hex b/VexRiscv/src/test/resources/hex/C.LI.elf.hex new file mode 100644 index 0000000..487d914 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/C.LI.elf.hex @@ -0,0 +1,45 @@ +:0200000480007A +:1000000001000100010001000100010001000100E8 +:1000100001000100010001000100010001000100D8 +:1000200001000100010001000100010001000100C8 +:1000300001000100010001000100010001000100B8 +:1000400001000100010001000100010001000100A8 +:100050000100010001000100010001000100010098 +:100060000100010001000100010001000100010088 +:100070000100010001000100010001000100010078 +:100080000100010001000100010001000100010068 +:100090000100010001000100010001000100010058 +:1000A0000100010001000100010001000100010048 +:1000B0000100010001000100010001000100010038 +:1000C0000100010001000100010001000100010028 +:1000D0000100010001000100010001000100010018 +:1000E00001000100010001000100010001001711E1 +:1000F0000000130121F1814181410EC001420542FE +:1001000012C20144414422C48144FD4426C68145B3 +:1001100085552EC817110000130101F00546014650 +:1001200032C08546854636C2054741473AC48547B1 +:10013000FD473EC60548055842C817110000130187 +:10014000E1EE9308F0FF814846C01309F0FF05492E +:100150004AC29309F0FFC1494EC4130AF0FF7D4A19 +:1001600052C6930AF0FF855A56C8171100001301B2 +:1001700021ED370B0800130BFBFF014B5AC0B70BE7 +:100180000800938BFBFF854B5EC2370C0800130CF5 +:10019000FCFF414C62C4B70C0800938CFCFFFD4C83 +:1001A00066C6370D0800130DFDFF055D6AC81711FF +:1001B0000000130121EAB70D0800814D6EC0370E13 +:1001C0000800054E72C2B70E0800C14E76C4370F44 +:1001D00008007D4F7AC6B70F0800855F7EC81715E7 +:1001E0000000130525E2971500009385A5E8370662 +:1001F00010F01306C6F2630CB500544514C2144542 +:1002000014C2544114C2144114C24105EDB737055C +:1002100010F0130505F22320050000000000000087 +:020220000000DC +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFF00000000000000000000000084 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/C.LUI.elf.hex b/VexRiscv/src/test/resources/hex/C.LUI.elf.hex new file mode 100644 index 0000000..052bcc3 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/C.LUI.elf.hex @@ -0,0 +1,45 @@ +:0200000480007A +:1000000001000100010001000100010001000100E8 +:1000100001000100010001000100010001000100D8 +:1000200001000100010001000100010001000100C8 +:1000300001000100010001000100010001000100B8 +:1000400001000100010001000100010001000100A8 +:100050000100010001000100010001000100010098 +:100060000100010001000100010001000100010088 +:100070000100010001000100010001000100010078 +:100080000100010001000100010001000100010068 +:100090000100010001000100010001000100010058 +:1000A0000100010001000100010001000100010048 +:1000B0000100010001000100010001000100010038 +:1000C0000100010001000100010001000100010028 +:1000D0000100010001000100010001000100010018 +:1000E00001000100010001000100010001001711E1 +:1000F0000000130121F1814185610EC001420962B6 +:1001000012C201443D6422C48144FD6426C6814577 +:10011000FD752EC817110000130101F00546056694 +:1001200032C08546896636C205473D673AC4854771 +:10013000FD673EC605487D7842C8171100001301CF +:10014000E1EE9308F0FF856846C01309F0FF0969E6 +:100150004AC29309F0FFBD694EC4130AF0FF7D6ADD +:1001600052C6930AF0FFFD7A56C81711000013011A +:1001700021ED370B0800130BFBFF056B5AC0B70BC3 +:100180000800938BFBFF896B5EC2370C0800130CD1 +:10019000FCFF3D6C62C4B70C0800938CFCFFFD6C47 +:1001A00066C6370D0800130DFDFF7D7D6AC8171167 +:1001B0000000130121EAB70D0800856D6EC0370EEF +:1001C0000800096E72C2B70E0800BD6E76C4370F04 +:1001D00008007D6F7AC6B70F0800FD7F7EC817152F +:1001E0000000130525E2971500009385A5E8370662 +:1001F00010F01306C6F2630CB500544514C2144542 +:1002000014C2544114C2144114C24105EDB737055C +:1002100010F0130505F22320050000000000000087 +:020220000000DC +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFF00000000000000000000000084 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/C.LW.elf.hex b/VexRiscv/src/test/resources/hex/C.LW.elf.hex new file mode 100644 index 0000000..e96e6c5 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/C.LW.elf.hex @@ -0,0 +1,39 @@ +:0200000480007A +:1000000001000100010001000100010001000100E8 +:1000100001000100010001000100010001000100D8 +:1000200001000100010001000100010001000100C8 +:1000300001000100010001000100010001000100B8 +:1000400001000100010001000100010001000100A8 +:100050000100010001000100010001000100010098 +:100060000100010001000100010001000100010088 +:100070000100010001000100010001000100010078 +:100080000100010001000100010001000100010068 +:100090000100010001000100010001000100010058 +:1000A0000100010001000100010001000100010048 +:1000B0000100010001000100010001000100010038 +:1000C0000100010001000100010001000100010028 +:1000D0000100010001000100010001000100010018 +:1000E00001000100010001000100010001001711E1 +:1000F0000000130121F1171700001307E7F118435F +:100100003AC017110000130121F0971700009387E0 +:10011000A7F0DC433EC017110000130121EF1714B4 +:100120000000130464EF004422C017110000130103 +:1001300021EE97140000938424EEE45026C017119A +:100140000000130121ED971600009386E6ECF45EA3 +:1001500036C0171500001305E5EA971500009385D2 +:1001600065F4370610F01306C6F2630CB50054456B +:1001700014C2144514C2544114C2144114C241059E +:10018000EDB7370510F0130505F223200500000038 +:0201900000006D +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFF000000000100000002000000D1 +:1010200003000000040000000500000006000000AE +:101030000700000008000000090000000A0000008E +:101040000B0000000C0000000D0000000E0000006E +:101050000F0000001000000011000000120000004E +:10106000130000001400000015000000160000002E +:101070001700000018000000190000001A0000000E +:101080001B0000001C0000001D0000001E000000EE +:101090001F00000000000000000000000000000031 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/C.LWSP.elf.hex b/VexRiscv/src/test/resources/hex/C.LWSP.elf.hex new file mode 100644 index 0000000..06251c2 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/C.LWSP.elf.hex @@ -0,0 +1,40 @@ +:0200000480007A +:1000000001000100010001000100010001000100E8 +:1000100001000100010001000100010001000100D8 +:1000200001000100010001000100010001000100C8 +:1000300001000100010001000100010001000100B8 +:1000400001000100010001000100010001000100A8 +:100050000100010001000100010001000100010098 +:100060000100010001000100010001000100010088 +:100070000100010001000100010001000100010078 +:100080000100010001000100010001000100010068 +:100090000100010001000100010001000100010058 +:1000A0000100010001000100010001000100010048 +:1000B0000100010001000100010001000100010038 +:1000C0000100010001000100010001000100010028 +:1000D0000100010001000100010001000100010018 +:1000E0000100010001000100010001000100971062 +:1000F0000000938020F1171100001301E1F102428A +:1001000023A0400097100000938000F0171100001A +:10011000130181F0124423A0800097100000938007 +:10012000E0EE17110000130121EF224823A0000187 +:10013000971000009380C0ED171100001301C1ED6E +:10014000F65F23A0F001971000009380A0EC171138 +:100150000000130161ECFE5A23A0500117150000A6 +:10016000130545EA971500009385C5F3370610F08F +:100170001306C6F2630CB500544514C2144514C2EC +:10018000544114C2144114C24105EDB7370510F0B3 +:10019000130505F223200500000000000000000008 +:0201A00000005D +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFF000000000100000002000000D1 +:1010200003000000040000000500000006000000AE +:101030000700000008000000090000000A0000008E +:101040000B0000000C0000000D0000000E0000006E +:101050000F0000001000000011000000120000004E +:10106000130000001400000015000000160000002E +:101070001700000018000000190000001A0000000E +:101080001B0000001C0000001D0000001E000000EE +:101090001F00000000000000000000000000000031 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/C.MV.elf.hex b/VexRiscv/src/test/resources/hex/C.MV.elf.hex new file mode 100644 index 0000000..7c5c0d3 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/C.MV.elf.hex @@ -0,0 +1,50 @@ +:0200000480007A +:1000000001000100010001000100010001000100E8 +:1000100001000100010001000100010001000100D8 +:1000200001000100010001000100010001000100C8 +:1000300001000100010001000100010001000100B8 +:1000400001000100010001000100010001000100A8 +:100050000100010001000100010001000100010098 +:100060000100010001000100010001000100010088 +:100070000100010001000100010001000100010078 +:100080000100010001000100010001000100010068 +:100090000100010001000100010001000100010058 +:1000A0000100010001000100010001000100010048 +:1000B0000100010001000100010001000100010038 +:1000C0000100010001000100010001000100010028 +:1000D0000100010001000100010001000100010018 +:1000E00001000100010001000100010001001711E1 +:1000F0000000130121F101468145B2852EC0014760 +:100100008546BA8636C201449307F0FFA2873EC4F3 +:100110008145B78400009384F4FFAE8426C68146EF +:100120002166368632C8171100001301E1EE8547BB +:1001300001473E873AC085440544268422C20546CD +:100140009305F0FFB2852EC40547B786000093865D +:10015000F6FFBA8636C60544A167A2873EC81711C6 +:1001600000001301A1EC9305F0FF8144AE8426C08A +:100170009306F0FF0546368632C29307F0FF130759 +:10018000F0FF3E873AC49304F0FF37840000130465 +:10019000F4FF268422C61306F0FFA165B2852EC89F +:1001A000171100001301C1E9378700001307F7FF9B +:1001B0008146BA8636C0378400001304F4FF8547B1 +:1001C000A2873EC2B78500009385F5FF9304F0FF38 +:1001D000AE8426C4B78600009386F6FF37860000FB +:1001E0001306F6FF368632C6B78700009387F7FFFF +:1001F00021673E873AC8171100001301A1E5A164E9 +:100200000144268422C021668545B2852EC221671D +:100210009306F0FFBA8636C42164B787000093873F +:10022000F7FFA2873EC6A165A164AE8426C8171554 +:100230000000130525DD971500009385A5E337061B +:1002400010F01306C6F2630CB500544514C21445F1 +:1002500014C2544114C2144114C24105EDB737050C +:1002600010F0130505F22320050000000000000037 +:0202700000008C +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFF00000000000000000000000084 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/C.OR.elf.hex b/VexRiscv/src/test/resources/hex/C.OR.elf.hex new file mode 100644 index 0000000..c1ede6c --- /dev/null +++ b/VexRiscv/src/test/resources/hex/C.OR.elf.hex @@ -0,0 +1,50 @@ +:0200000480007A +:1000000001000100010001000100010001000100E8 +:1000100001000100010001000100010001000100D8 +:1000200001000100010001000100010001000100C8 +:1000300001000100010001000100010001000100B8 +:1000400001000100010001000100010001000100A8 +:100050000100010001000100010001000100010098 +:100060000100010001000100010001000100010088 +:100070000100010001000100010001000100010078 +:100080000100010001000100010001000100010068 +:100090000100010001000100010001000100010058 +:1000A0000100010001000100010001000100010048 +:1000B0000100010001000100010001000100010038 +:1000C0000100010001000100010001000100010028 +:1000D0000100010001000100010001000100010018 +:1000E00001000100010001000100010001001711E1 +:1000F0000000130121F101448147C18F3EC08145B9 +:100100008544CD8C26C281461306F0FF558E32C43D +:100110008147378700001307F7FF5D8F3AC6814498 +:100120002164458C22C8171100001301E1EE054639 +:100130008145D18D2EC005478546D98E36C20544EE +:100140009307F0FFC18F3EC48545B78400009384B8 +:10015000F4FFCD8C26C685462166558E32C8171110 +:1001600000001301A1EC9307F0FF01475D8F3AC037 +:100170009304F0FF0544458C22C21306F0FF93055B +:10018000F0FFD18D2EC41307F0FFB78600009386D1 +:10019000F6FFD98E36C61304F0FFA167C18F3EC8A3 +:1001A000171100001301C1E9B78500009385F5FF21 +:1001B0008144CD8C26C0B78600009386F6FF0546A5 +:1001C000558E32C2B78700009387F7FF1307F0FF01 +:1001D0005D8F3AC4B78400009384F4FF3784000035 +:1001E0001304F4FF458C22C6378600001306F6FF81 +:1001F000A165D18D2EC8171100001301A1E521675B +:100200008146D98E36C021648547C18F3EC2A16523 +:100210009304F0FFCD8C26C4A16637860000130638 +:10022000F6FF558E32C6A16721675D8F3AC8171554 +:100230000000130525DD971500009385A5E337061B +:1002400010F01306C6F2630CB500544514C21445F1 +:1002500014C2544114C2144114C24105EDB737050C +:1002600010F0130505F22320050000000000000037 +:0202700000008C +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFF00000000000000000000000084 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/C.SLLI.elf.hex b/VexRiscv/src/test/resources/hex/C.SLLI.elf.hex new file mode 100644 index 0000000..575e531 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/C.SLLI.elf.hex @@ -0,0 +1,45 @@ +:0200000480007A +:1000000001000100010001000100010001000100E8 +:1000100001000100010001000100010001000100D8 +:1000200001000100010001000100010001000100C8 +:1000300001000100010001000100010001000100B8 +:1000400001000100010001000100010001000100A8 +:100050000100010001000100010001000100010098 +:100060000100010001000100010001000100010088 +:100070000100010001000100010001000100010078 +:100080000100010001000100010001000100010068 +:100090000100010001000100010001000100010058 +:1000A0000100010001000100010001000100010048 +:1000B0000100010001000100010001000100010038 +:1000C0000100010001000100010001000100010028 +:1000D0000100010001000100010001000100010018 +:1000E00001000100010001000100010001001711E1 +:1000F0000000130121F1814186010EC001420A0274 +:1001000012C201443E0422C48144C20426C6814571 +:10011000FE052EC817110000130101F00546060662 +:1001200032C085468A0636C205473E073AC485472F +:10013000C2073EC605487E0842C8171100001301D9 +:10014000E1EE9308F0FF860846C01309F0FF0A09A4 +:100150004AC29309F0FFBE094EC4130AF0FF420AD7 +:1001600052C6930AF0FFFE0A56C817110000130189 +:1001700021ED370B0800130BFBFF060B5AC0B70B22 +:100180000800938BFBFF8A0B5EC2370C0800130C30 +:10019000FCFF3E0C62C4B70C0800938CFCFFC20C41 +:1001A00066C6370D0800130DFDFF7E0D6AC81711D6 +:1001B0000000130121EAB70D0800860D6EC0370E4E +:1001C00008000A0E72C2B70E0800BE0E76C4370FC2 +:1001D0000800420F7AC6B70F0800FE0F7EC8171539 +:1001E0000000130525E2971500009385A5E8370662 +:1001F00010F01306C6F2630CB500544514C2144542 +:1002000014C2544114C2144114C24105EDB737055C +:1002100010F0130505F22320050000000000000087 +:020220000000DC +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFF00000000000000000000000084 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/C.SRAI.elf.hex b/VexRiscv/src/test/resources/hex/C.SRAI.elf.hex new file mode 100644 index 0000000..4b3fe72 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/C.SRAI.elf.hex @@ -0,0 +1,45 @@ +:0200000480007A +:1000000001000100010001000100010001000100E8 +:1000100001000100010001000100010001000100D8 +:1000200001000100010001000100010001000100C8 +:1000300001000100010001000100010001000100B8 +:1000400001000100010001000100010001000100A8 +:100050000100010001000100010001000100010098 +:100060000100010001000100010001000100010088 +:100070000100010001000100010001000100010078 +:100080000100010001000100010001000100010068 +:100090000100010001000100010001000100010058 +:1000A0000100010001000100010001000100010048 +:1000B0000100010001000100010001000100010038 +:1000C0000100010001000100010001000100010028 +:1000D0000100010001000100010001000100010018 +:1000E00001000100010001000100010001001711E1 +:1000F0000000130121F18144858426C08145898552 +:100100002EC201463D8632C48146C18636C60147AD +:100110007D873AC817110000130101F085478587D4 +:100120003EC00544098422C28544BD8426C4854559 +:10013000C1852EC605467D8632C817110000130101 +:10014000E1EE9306F0FF858636C01307F0FF0987BE +:100150003AC29307F0FFBD873EC41304F0FF418409 +:1001600022C69304F0FFFD8426C817110000130176 +:1001700021EDB70508009385F5FF85852EC037066C +:1001800008001306F6FF098632C2B70608009386F8 +:10019000F6FFBD8636C4370708001307F7FF41870F +:1001A0003AC6B70708009387F7FFFD873EC81711C7 +:1001B0000000130121EA37040800058422C0B704B7 +:1001C0000800898426C2B7050800BD852EC43706FD +:1001D0000800418632C6B7060800FD8636C81715E6 +:1001E0000000130525E2971500009385A5E8370662 +:1001F00010F01306C6F2630CB500544514C2144542 +:1002000014C2544114C2144114C24105EDB737055C +:1002100010F0130505F22320050000000000000087 +:020220000000DC +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFF00000000000000000000000084 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/C.SRLI.elf.hex b/VexRiscv/src/test/resources/hex/C.SRLI.elf.hex new file mode 100644 index 0000000..7d38870 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/C.SRLI.elf.hex @@ -0,0 +1,45 @@ +:0200000480007A +:1000000001000100010001000100010001000100E8 +:1000100001000100010001000100010001000100D8 +:1000200001000100010001000100010001000100C8 +:1000300001000100010001000100010001000100B8 +:1000400001000100010001000100010001000100A8 +:100050000100010001000100010001000100010098 +:100060000100010001000100010001000100010088 +:100070000100010001000100010001000100010078 +:100080000100010001000100010001000100010068 +:100090000100010001000100010001000100010058 +:1000A0000100010001000100010001000100010048 +:1000B0000100010001000100010001000100010038 +:1000C0000100010001000100010001000100010028 +:1000D0000100010001000100010001000100010018 +:1000E00001000100010001000100010001001711E1 +:1000F0000000130121F1014705833AC0814789833C +:100100003EC201443D8022C48144C18026C681454F +:10011000FD812EC817110000130101F0054605826C +:1001200032C08546898236C205473D833AC4854739 +:10013000C1833EC605447D8022C81711000013010B +:10014000E1EE9304F0FF858026C09305F0FF8981DE +:100150002EC21306F0FF3D8232C49306F0FFC18227 +:1001600036C61307F0FF7D833AC81711000013014C +:1001700021EDB70708009387F7FF85833EC037045A +:1001800008001304F4FF098022C2B7040800938416 +:10019000F4FFBD8026C4B70508009385F5FFC18133 +:1001A0002EC6370608001306F6FF7D8232C81711E7 +:1001B0000000130121EAB7060800858236C0370720 +:1001C000080009833AC2B7070800BD833EC437045C +:1001D0000800418022C6B7040800FD8026C8171514 +:1001E0000000130525E2971500009385A5E8370662 +:1001F00010F01306C6F2630CB500544514C2144542 +:1002000014C2544114C2144114C24105EDB737055C +:1002100010F0130505F22320050000000000000087 +:020220000000DC +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFF00000000000000000000000084 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/C.SUB.elf.hex b/VexRiscv/src/test/resources/hex/C.SUB.elf.hex new file mode 100644 index 0000000..6091458 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/C.SUB.elf.hex @@ -0,0 +1,50 @@ +:0200000480007A +:1000000001000100010001000100010001000100E8 +:1000100001000100010001000100010001000100D8 +:1000200001000100010001000100010001000100C8 +:1000300001000100010001000100010001000100B8 +:1000400001000100010001000100010001000100A8 +:100050000100010001000100010001000100010098 +:100060000100010001000100010001000100010088 +:100070000100010001000100010001000100010078 +:100080000100010001000100010001000100010068 +:100090000100010001000100010001000100010058 +:1000A0000100010001000100010001000100010048 +:1000B0000100010001000100010001000100010038 +:1000C0000100010001000100010001000100010028 +:1000D0000100010001000100010001000100010018 +:1000E00001000100010001000100010001001711E1 +:1000F0000000130121F1814581448D8C26C0814689 +:100100000546158E32C281471307F0FF1D8F3AC492 +:100110008144378400001304F4FF058C22C6014695 +:10012000A165918D2EC8171100001301E1EE05475E +:100130008146998E36C005448547818F3EC28545EC +:100140009304F0FF8D8C26C4854637860000130685 +:10015000F6FF158E32C6854721671D8F3AC81711E5 +:1001600000001301A1EC9304F0FF0144058C22C0B0 +:100170001306F0FF8545918D2EC21307F0FF9306FD +:10018000F0FF998E36C41304F0FFB7870000938701 +:10019000F7FF818F3EC69305F0FFA1648D8C26C8C2 +:1001A000171100001301C1E9B78600009386F6FF1E +:1001B0000146158E32C0B78700009387F7FF0547C9 +:1001C0001D8F3AC2B78400009384F4FF1304F0FF3C +:1001D000058C22C4378600001306F6FFB7850000A1 +:1001E0009385F5FF918D2EC6378700001307F7FF23 +:1001F000A166998E36C8171100001301A1E521648C +:100200008147818F3EC0A16585448D8C26C2A16641 +:100210001306F0FF158E32C4A1673787000013075D +:10022000F7FF1D8F3AC6A1642164058C22C81715FB +:100230000000130525DD971500009385A5E337061B +:1002400010F01306C6F2630CB500544514C21445F1 +:1002500014C2544114C2144114C24105EDB737050C +:1002600010F0130505F22320050000000000000037 +:0202700000008C +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFF00000000000000000000000084 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/C.SW.elf.hex b/VexRiscv/src/test/resources/hex/C.SW.elf.hex new file mode 100644 index 0000000..32f8750 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/C.SW.elf.hex @@ -0,0 +1,41 @@ +:0200000480007A +:1000000001000100010001000100010001000100E8 +:1000100001000100010001000100010001000100D8 +:1000200001000100010001000100010001000100C8 +:1000300001000100010001000100010001000100B8 +:1000400001000100010001000100010001000100A8 +:100050000100010001000100010001000100010098 +:100060000100010001000100010001000100010088 +:100070000100010001000100010001000100010078 +:100080000100010001000100010001000100010068 +:100090000100010001000100010001000100010058 +:1000A0000100010001000100010001000100010048 +:1000B0000100010001000100010001000100010038 +:1000C0000100010001000100010001000100010028 +:1000D0000100010001000100010001000100010018 +:1000E00001000100010001000100010001001711E1 +:1000F0000000130121F10144171600001306C6F198 +:1001000000C2004222C0171100001301E1EF854434 +:1001100097170000938747F0C4C3C44326C0171144 +:1001200000001301A1EE7D55971400009384C4EEE6 +:1001300088C488442AC017110000130161EDB786F6 +:1001400000009386F6FF171700001307E7EC14CBA7 +:10015000144B36C0171100001301C1EBA16717162D +:100160000000130666EB7CDE7C5E3EC017150000C7 +:10017000130545E9971500009385C5F2370610F081 +:100180001306C6F2630CB500544514C2144514C2DC +:10019000544114C2144114C24105EDB7370510F0A3 +:1001A000130505F2232005000000000000000000F8 +:0201B00000004D +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFF00000000000000000000000054 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/C.SWSP.elf.hex b/VexRiscv/src/test/resources/hex/C.SWSP.elf.hex new file mode 100644 index 0000000..269b8d9 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/C.SWSP.elf.hex @@ -0,0 +1,41 @@ +:0200000480007A +:1000000001000100010001000100010001000100E8 +:1000100001000100010001000100010001000100D8 +:1000200001000100010001000100010001000100C8 +:1000300001000100010001000100010001000100B8 +:1000400001000100010001000100010001000100A8 +:100050000100010001000100010001000100010098 +:100060000100010001000100010001000100010088 +:100070000100010001000100010001000100010078 +:100080000100010001000100010001000100010068 +:100090000100010001000100010001000100010058 +:1000A0000100010001000100010001000100010048 +:1000B0000100010001000100010001000100010038 +:1000C0000100010001000100010001000100010028 +:1000D0000100010001000100010001000100010018 +:1000E0000100010001000100010001000100971062 +:1000F0000000938020F1171100001301E1F181410C +:100100000EC0824123A03000971000009380C0EF02 +:1001100017110000130141F0054212C2124223A040 +:10012000400097100000938060EE1711000013014B +:10013000A1EE7D58C2C0064823A000019710000020 +:10014000938000ED17110000130101ED378C0000C2 +:10015000130CFCFFE2DC665C23A08001971000001A +:10016000938040EB17110000130101EBA16FFEDF3C +:10017000FE5F23A0F001171500001305A5E89715F1 +:100180000000938525F2370610F01306C6F2630CC3 +:10019000B500544514C2144514C2544114C214414C +:1001A00014C24105EDB7370510F0130505F2232001 +:0201B000050048 +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFF00000000000000000000000054 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/C.XOR.elf.hex b/VexRiscv/src/test/resources/hex/C.XOR.elf.hex new file mode 100644 index 0000000..dea9ef2 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/C.XOR.elf.hex @@ -0,0 +1,50 @@ +:0200000480007A +:1000000001000100010001000100010001000100E8 +:1000100001000100010001000100010001000100D8 +:1000200001000100010001000100010001000100C8 +:1000300001000100010001000100010001000100B8 +:1000400001000100010001000100010001000100A8 +:100050000100010001000100010001000100010098 +:100060000100010001000100010001000100010088 +:100070000100010001000100010001000100010078 +:100080000100010001000100010001000100010068 +:100090000100010001000100010001000100010058 +:1000A0000100010001000100010001000100010048 +:1000B0000100010001000100010001000100010038 +:1000C0000100010001000100010001000100010028 +:1000D0000100010001000100010001000100010018 +:1000E00001000100010001000100010001001711E1 +:1000F0000000130121F181440144258C22C00146F6 +:100100008545B18D2EC201479306F0FFB98E36C4E6 +:100110000144B78700009387F7FFA18F3EC6814552 +:10012000A164AD8C26C8171100001301E1EE8546CD +:100130000146358E32C0854705473D8F3AC285441A +:100140001304F0FF258C22C40546B7850000938573 +:10015000F5FFB18D2EC60547A166B98E36C81711B9 +:1001600000001301A1EC1304F0FF8147A18F3EC0F2 +:100170009305F0FF8544AD8C26C29306F0FF13066D +:10018000F0FF358E32C49307F0FF37870000130766 +:10019000F7FF3D8F3AC69304F0FF2164258C22C8F7 +:1001A000171100001301C1E9378600001306F6FF9E +:1001B0008145B18D2EC0378700001307F7FF8546B4 +:1001C000B98E36C2378400001304F4FF9307F0FFA2 +:1001D000A18F3EC4B78500009385F5FFB78400006A +:1001E0009384F4FFAD8C26C6B78600009386F6FF95 +:1001F0002166358E32C8171100001301A1E5A167F1 +:1002000001473D8F3AC0A1640544258C22C2216676 +:100210009305F0FFB18D2EC42167B7860000938649 +:10022000F6FFB98E36C62164A167A18F3EC81715A7 +:100230000000130525DD971500009385A5E337061B +:1002400010F01306C6F2630CB500544514C21445F1 +:1002500014C2544114C2144114C24105EDB737050C +:1002600010F0130505F22320050000000000000037 +:0202700000008C +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFF00000000000000000000000084 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/DIV.elf.hex b/VexRiscv/src/test/resources/hex/DIV.elf.hex new file mode 100644 index 0000000..3b01cf9 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/DIV.elf.hex @@ -0,0 +1,52 @@ +:0200000480007A +:1000000017110000130101001309000093080000FC +:10001000B348190323201101130A000093091000AB +:10002000B3493A0323223101130B0000930AF0FF76 +:10003000B34A5B0323245101130C0000B70B00806B +:10004000938BFBFFB34B7C0323267101130D000040 +:10005000B70C0080B34C9D032328910117110000B9 +:10006000130181FB130E1000930D0000B34DBE036E +:100070002320B101130F1000930E1000B34EDF03C5 +:100080002322D10193011000930FF0FFB3CFF103AE +:100090002324F10113041000370200801302F2FF41 +:1000A000334244022326410093051000B704008028 +:1000B000B3C495022328910017110000130101F722 +:1000C0009306F0FF1306000033C6C6022320C100CA +:1000D0009307F0FF1307100033C7E7022322E10064 +:1000E0009308F0FF1308F0FF33C80803232401012D +:1000F0009309F0FF370900801309F9FF33C9290379 +:1001000023262101930AF0FF370A008033CA4A03ED +:100110002328410117110000130181F2B70B008061 +:10012000938BFBFF130B000033CB6B032320610188 +:10013000B70C0080938CFCFF130C100033CC8C03A5 +:1001400023228101B70D0080938DFDFF130DF0FF79 +:1001500033CDAD032324A101B70E0080938EFEFFA3 +:10016000370E0080130EFEFF33CECE032326C101CF +:10017000B70F0080938FFFFF370F008033CFEF035F +:100180002328E101171100001301C1EC37020080A0 +:1001900093010000B341320223203100B7040080F4 +:1001A0001304100033C48402232281003706008028 +:1001B0009305F0FFB345B6022324B1003707008052 +:1001C000B70600809386F6FFB346D7022326D100F8 +:1001D00037080080B7070080B347F8022328F100F2 +:1001E00017150000130505E297150000938585EEAD +:1001F000370610F01306C6F26306B5028326C50063 +:100200002320D600832685002320D60083264500A0 +:100210002320D600832605002320D60013050501E0 +:100220006FF09FFD370510F0130505F22320050040 +:0402300000000000CA +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFF000000000000000028 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/DIVU.elf.hex b/VexRiscv/src/test/resources/hex/DIVU.elf.hex new file mode 100644 index 0000000..59987dc --- /dev/null +++ b/VexRiscv/src/test/resources/hex/DIVU.elf.hex @@ -0,0 +1,52 @@ +:0200000480007A +:1000000017110000130101001309000093080000FC +:10001000B358190323201101130A0000930910009B +:10002000B3593A0323223101130B0000930AF0FF66 +:10003000B35A5B0323245101130C0000B70B00805B +:10004000938BFBFFB35B7C0323267101130D000030 +:10005000B70C0080B35C9D032328910117110000A9 +:10006000130181FB130E1000930D0000B35DBE035E +:100070002320B101130F1000930E1000B35EDF03B5 +:100080002322D10193011000930FF0FFB3DFF1039E +:100090002324F10113041000370200801302F2FF41 +:1000A000335244022326410093051000B704008018 +:1000B000B3D495022328910017110000130101F712 +:1000C0009306F0FF1306000033D6C6022320C100BA +:1000D0009307F0FF1307100033D7E7022322E10054 +:1000E0009308F0FF1308F0FF33D80803232401011D +:1000F0009309F0FF370900801309F9FF33D9290369 +:1001000023262101930AF0FF370A008033DA4A03DD +:100110002328410117110000130181F2B70B008061 +:10012000938BFBFF130B000033DB6B032320610178 +:10013000B70C0080938CFCFF130C100033DC8C0395 +:1001400023228101B70D0080938DFDFF130DF0FF79 +:1001500033DDAD032324A101B70E0080938EFEFF93 +:10016000370E0080130EFEFF33DECE032326C101BF +:10017000B70F0080938FFFFF370F008033DFEF034F +:100180002328E101171100001301C1EC37020080A0 +:1001900093010000B351320223203100B7040080E4 +:1001A0001304100033D48402232281003706008018 +:1001B0009305F0FFB355B6022324B1003707008042 +:1001C000B70600809386F6FFB356D7022326D100E8 +:1001D00037080080B7070080B357F8022328F100E2 +:1001E00017150000130505E297150000938585EEAD +:1001F000370610F01306C6F26306B5028326C50063 +:100200002320D600832685002320D60083264500A0 +:100210002320D600832605002320D60013050501E0 +:100220006FF09FFD370510F0130505F22320050040 +:0402300000000000CA +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFF000000000000000028 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-ADD-01.elf.hex b/VexRiscv/src/test/resources/hex/I-ADD-01.elf.hex new file mode 100644 index 0000000..038e1f0 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-ADD-01.elf.hex @@ -0,0 +1,65 @@ +:0200000480007A +:100000009710000093800000171100001301810277 +:1000100083A1000013020000930210001303F0FFFD +:10002000B70300809383F3FF3704008033824100DD +:10003000B382510033836100B38371003384810044 +:100040002320310023224100232451002326610074 +:1000500023287100232A8100971000009380C0FAA2 +:1000600017110000130181FE03A400009304000097 +:10007000130510009305F0FF370600801306F6FF06 +:10008000B7060080B30494003305A400B305B400A0 +:100090003306C400B306D40023208100232291003C +:1000A0002324A1002326B1002328C100232AD10044 +:1000B00097100000938080F517110000130181FA5A +:1000C00083A6000013070000930710001308F0FF39 +:1000D000B70800809388F8FF370900803387E6006F +:1000E000B387F60033880601B388160133892601E9 +:1000F0002320D1002322E1002324F1002326010143 +:1001000023281101232A210197100000938040F039 +:1001100017110000130181F603A9000093090000E4 +:10012000130A1000930AF0FF370B0080130BFBFF3C +:10013000B70B0080B3093901330A4901B30A5901E9 +:10014000330B6901B30B79012320210123223101F3 +:10015000232441012326510123286101232A71010F +:1001600097100000938000EB17110000130181F23B +:1001700083AB0000130C0000930C1000130DF0FF74 +:10018000B70D0080938DFDFF370E0080338C8B01FF +:10019000B38C9B01338DAB01B38DBB01338ECB018F +:1001A0002320710123228101232491012326A1010F +:1001B0002328B101232AC101971C0000938CCCE5B0 +:1001C000171D0000130D8DEE03AE0C00930D1000F3 +:1001D000B30EBE01338FBE01B30FBF01B380BF01A9 +:1001E0003381B001B301B1012320BD012322CD0130 +:1001F0002324DD012326ED012328FD01232A1D00F0 +:10020000232C2D00232E3D0097100000938000E149 +:1002100017110000130181EB03AE0000B79DFFF73B +:10022000938D8D813300BE012320010097100000C3 +:10023000938000DF17110000130181E903AE000075 +:10024000B79DFFF7938D8D813300BE01B30200008F +:10025000232001002322510097100000938080DCAE +:1002600017110000130141E783A100003382010050 +:10027000B30202003303500033070300B307070043 +:1002800033880700B30C0001330D9001B30D0D004E +:10029000232041002322A1012324B10117150000CE +:1002A000130545D9971500009385C5E3370610F06F +:1002B0001306C6F26306B5028326C5002320D600C6 +:1002C000832685002320D600832645002320D600E0 +:1002D000832605002320D600130505016FF09FFD3E +:1002E000370510F0130505F223200500000000007B +:0402F000000000000A +:101000000000000001000000FFFFFFFFFFFFFF7F67 +:1010100000000080CDAB00007856341298BADCFE98 +:10102000145892360000000000000000000000008C +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:1010D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF20 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-ADDI-01.elf.hex b/VexRiscv/src/test/resources/hex/I-ADDI-01.elf.hex new file mode 100644 index 0000000..672d384 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-ADDI-01.elf.hex @@ -0,0 +1,56 @@ +:0200000480007A +:100000009710000093800000171100001301810277 +:1000100083A10000138211009382F17F1383F1FF0B +:1000200093830100138401802320310023224100A7 +:10003000232451002326610023287100232A8100F4 +:1000400097100000938040FC17110000130101007D +:1000500003A40000930414001305F47F9305F4FF38 +:1000600013060400930604802320810023229100BC +:100070002324A1002326B1002328C100232AD10074 +:1000800097100000938080F817110000130181FD84 +:1000900083A60000138716009387F67F1388F6FF68 +:1000A00093880600138906802320D1002322E100D3 +:1000B0002324F1002326010123281101232A2101F1 +:1000C000971000009380C0F417110000130101FB8A +:1000D00003A9000093091900130AF97F930AF9FF95 +:1000E000130B0900930B09802320210123223101E6 +:1000F000232441012326510123286101232A710170 +:1001000097100000938000F117110000130181F88F +:1001100083AB0000138C1B00938CFB7F138DFBFFC4 +:10012000938D0B00138E0B802320710123228101FC +:10013000232491012326A1012328B101232AC101EF +:10014000171D0000130D4DED971D0000938D0DF64A +:10015000032E0D00930E1E00138F1E00930F1F0021 +:1001600093801F00138110009301110023A0CD0183 +:1001700023A2DD0123A4ED0123A6FD0123A81D0078 +:1001800023AA2D0023AC3D0097100000938000E9C6 +:1001900017110000130141F383A200001380120025 +:1001A0002320010097100000938080E717110000C2 +:1001B0001301C1F183A2000013801200930210000A +:1001C000232001002322510097100000938080E536 +:1001D00017110000130101F083A100001382010038 +:1001E0009302020013830200130703009307070022 +:1001F00013880700930C0800138D0C00930D0D005D +:1002000023203100232241002324A1012326B10110 +:1002100017150000130505E297150000938585EC7E +:10022000370610F01306C6F26306B5028326C50032 +:100230002320D600832685002320D6008326450070 +:100240002320D600832605002320D60013050501B0 +:100250006FF09FFD370510F0130505F22320050010 +:04026000000000009A +:101000000000000001000000FFFFFFFFFFFFFF7F67 +:1010100000000080CDAB00007856341298BADCFE98 +:10102000145892360000000000000000000000008C +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:1010D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF20 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-AND-01.elf.hex b/VexRiscv/src/test/resources/hex/I-AND-01.elf.hex new file mode 100644 index 0000000..5ac425d --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-AND-01.elf.hex @@ -0,0 +1,66 @@ +:0200000480007A +:100000009710000093800000171100001301810277 +:1000100083A1000013020000930210001303F0FFFD +:10002000B70300809383F3FF3704008033F241006D +:10003000B3F2510033F36100B3F3710033F4810084 +:100040002320310023224100232451002326610074 +:1000500023287100232A8100971000009380C0FAA2 +:1000600017110000130181FE03A400009304000097 +:10007000130510009305F0FF370600801306F6FF06 +:10008000B7060080B37494003375A400B375B40050 +:100090003376C400B376D40023208100232291005C +:1000A0002324A1002326B1002328C100232AD10044 +:1000B00097100000938080F517110000130181FA5A +:1000C00083A6000013070000930710001308F0FF39 +:1000D000B70800809388F8FF3709008033F7E600FF +:1000E000B3F7F60033F80601B3F8160133F9260129 +:1000F0002320D1002322E1002324F1002326010143 +:1001000023281101232A210197100000938040F039 +:1001100017110000130181F603A9000093090000E4 +:10012000130A1000930AF0FF370B0080130BFBFF3C +:10013000B70B0080B3793901337A4901B37A590199 +:10014000337B6901B37B7901232021012322310113 +:10015000232441012326510123286101232A71010F +:1001600097100000938000EB17110000130181F23B +:1001700083AB0000130C0000930C1000130DF0FF74 +:10018000B70D0080938DFDFF370E008033FC8B018F +:10019000B3FC9B0133FDAB01B3FDBB0133FECB01CF +:1001A0002320710123228101232491012326A1010F +:1001B0002328B101232AC101971C0000938CCCE5B0 +:1001C000171D0000130D8DEE03AE0C001302F00797 +:1001D0009302F0031303F0019303F0001304700083 +:1001E00093043000B37E4E0033FF5E00B37F6F0098 +:1001F000B3F07F0033F18000B371910023204D00F4 +:100200002322CD012324DD012326ED012328FD0136 +:10021000232A1D00232C2D00232E3D0097100000C3 +:100220009380C0DF17110000130141EA03AE000004 +:10023000B79DFFF7938D8D813370BE0123200100A0 +:10024000971000009380C0DD17110000130141E8F2 +:1002500003AE0000B79DFFF7938D8D813370BE0113 +:10026000B3720000232001002322510097100000E8 +:10027000938040DB17110000130101E683A1000009 +:100280009303F0FF33F27100B372720033F3530043 +:1002900033777300B377770033F87700B3FC03014B +:1002A00033FD9301B37D7D00232041002322A10172 +:1002B0002324B101171500001305C5D797150000B9 +:1002C000938545E2370610F01306C6F26306B502C1 +:1002D0008326C5002320D600832685002320D60050 +:1002E000832645002320D600832605002320D60040 +:1002F000130505016FF09FFD370510F0130505F29A +:0403000023200500B1 +:101000000000000001000000FFFFFFFFFFFFFF7F67 +:1010100000000080FFFFCDAB7856341298BADCFE9A +:10102000145892360000000000000000000000008C +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:1010D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF20 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-ANDI-01.elf.hex b/VexRiscv/src/test/resources/hex/I-ANDI-01.elf.hex new file mode 100644 index 0000000..ffcf164 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-ANDI-01.elf.hex @@ -0,0 +1,56 @@ +:0200000480007A +:100000009710000093800000171100001301810277 +:1000100083A1000013F2110093F2F17F13F3F1FFBB +:1000200093F3010013F401802320310023224100C7 +:10003000232451002326610023287100232A8100F4 +:1000400097100000938040FC17110000130101007D +:1000500003A40000937414001375F47F9375F4FFE8 +:1000600013760400937604802320810023229100DC +:100070002324A1002326B1002328C100232AD10074 +:1000800097100000938080F817110000130181FD84 +:1000900083A6000013F7160093F7F67F13F8F6FF18 +:1000A00093F8060013F906802320D1002322E100F3 +:1000B0002324F1002326010123281101232A2101F1 +:1000C000971000009380C0F417110000130101FB8A +:1000D00003A9000093791900137AF97F937AF9FF45 +:1000E000137B0900937B0980232021012322310106 +:1000F000232441012326510123286101232A710170 +:1001000097100000938000F117110000130181F88F +:1001100083AB000013FC1B0093FCFB7F13FDFBFF74 +:1001200093FD0B0013FE0B8023207101232281011C +:10013000232491012326A1012328B101232AC101EF +:10014000171D0000130D4DED971D0000938D0DF64A +:10015000032E0D00937EFE0713FFFE03937FFF0126 +:1001600093F0FF0013F170009371310023A0CD01D3 +:1001700023A2DD0123A4ED0123A6FD0123A81D0078 +:1001800023AA2D0023AC3D0097100000938000E9C6 +:1001900017110000130141F383A2000013F01200B5 +:1001A0002320010097100000938080E717110000C2 +:1001B0001301C1F183A2000013F01200937210002A +:1001C000232001002322510097100000938080E536 +:1001D00017110000130101F083A1000013F2F1FFD9 +:1001E0009372F2FF13F3F2FF1377F3FF9377F7FFA6 +:1001F00013F8F7FF937CF8FF13FDFCFF937DFDFFE1 +:1002000023203100232241002324A1012326B10110 +:1002100017150000130505E297150000938585EC7E +:10022000370610F01306C6F26306B5028326C50032 +:100230002320D600832685002320D6008326450070 +:100240002320D600832605002320D60013050501B0 +:100250006FF09FFD370510F0130505F22320050010 +:04026000000000009A +:101000000000000001000000FFFFFFFFFFFFFF7F67 +:1010100000000080FFFFCDAB7856341298BADCFE9A +:10102000145892360000000000000000000000008C +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:1010D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF20 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-AUIPC-01.elf.hex b/VexRiscv/src/test/resources/hex/I-AUIPC-01.elf.hex new file mode 100644 index 0000000..2846a05 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-AUIPC-01.elf.hex @@ -0,0 +1,42 @@ +:0200000480007A +:100000001717000013070700971700009387870151 +:10001000832007003711000013014100B7F1FFFFF3 +:100020009381810037F2FF7F1302C200B702008084 +:100030009382020133812000B3813000338240007B +:10004000B3825000170300009713000017F8FFFF5A +:1000500097FEFF7F970F008033431300B3C3230045 +:1000600033483800B3CE4E00B3CF5F0023A0670003 +:1000700023A2770023A4070123A6D70123A8F70111 +:1000800097180000938848F8171800001308C8FA5A +:10009000B710111193801011372122221301212250 +:1000A000B737333393873733374E4444130E4E44B8 +:1000B000375F5555130F5F5583A108003702008045 +:1000C000B7F2FF7F9382420013038000B713000052 +:1000D0009383C30037F4FFFF13040401338241000C +:1000E000B382510033836100B38371003384810094 +:1000F0009700008017F1FF7F97070000171E000090 +:1001000017FFFFFFB3C0400033415100B3C7670082 +:10011000334E7E00334F8F00232018002322280007 +:100120002324F8002326C8012328E801171900001A +:100130001309C9ED97180000938848F1832009003E +:10014000032149008321890017020000130242FFA6 +:100150009707000093878701170F0000130F0F0404 +:10016000970200009382C2FD1708000013080800E0 +:10017000970F0000938F8F0233421200B3C72700FE +:10018000334F3F00B382124033482800B3CF3F00C3 +:1001900023A0480023A2F80023A4E80123A65800C6 +:1001A00023A8080123AAF80117150000130585E705 +:1001B00097150000938505EB370610F01306C6F27D +:1001C0006306B5028326C5002320D600832685005A +:1001D0002320D600832645002320D6008326050051 +:1001E0002320D600130505016FF09FFD370510F0A1 +:1001F000130505F2232005000000000000000000A8 +:0402000000000000FA +:1010000044000080F00000803C0100806801008006 +:1010100098010080000000000000000000000000B7 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-BEQ-01.elf.hex b/VexRiscv/src/test/resources/hex/I-BEQ-01.elf.hex new file mode 100644 index 0000000..233692b --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-BEQ-01.elf.hex @@ -0,0 +1,72 @@ +:0200000480007A +:100000009710000093800000171100001301810178 +:1000100083A1000013020000930210001303F0FFFD +:10002000B70300809383F3FF37040080930F000031 +:100030006384410093EF1F006384510093EF2F000E +:100040006384610093EF4F006384710093EF8F002E +:100050006384810093EF0F012320310023224100AC +:10006000232451002326610023287100232A8100C4 +:10007000232CF10197100000938000F91711000064 +:10008000130101FC03A300009303000013041000FC +:100090009304F0FF370500801305F5FFB7050080D6 +:1000A000930F00006304730093EF1F006304830049 +:1000B00093EF2F006304930093EF4F006304A300BA +:1000C00093EF8F006304B30093EF0F0123206100CF +:1000D0002322710023248100232691002328A100DC +:1000E000232AB100232CF10197100000938000F225 +:1000F00017110000130181F603A60000930600000B +:10010000130710009307F0FF370800801308F8FF6B +:10011000B7080080930F00006304D60093EF1F0020 +:100120006304E60093EF2F006304F60093EF4F00A3 +:100130006304060193EF8F006304160193EF0F0130 +:100140002320C1002322D1002324E1002326F10033 +:1001500023280101232A1101232CF101971000000B +:10016000938000EB17110000130101F103A90000B7 +:1001700093090000130A1000930AF0FF370B008068 +:10018000130BFBFFB70B0080930F000063043901D2 +:1001900093EF1F006304490193EF2F00630459019B +:1001A00093EF4F006304690193EF8F0063047901BB +:1001B00093EF0F0123202101232231012324410148 +:1001C0002326510123286101232A7101232CF101E7 +:1001D00097100000938000E417110000130181EBD9 +:1001E00003AC0000930C0000130D1000930DF0FF02 +:1001F000370E0080130EFEFFB70E0080930F000035 +:1002000063049C0193EF1F006304AC0193EF2F0084 +:100210006304BC0193EF4F006304CC0193EF8F00A4 +:100220006304DC0193EF0F0123208101232291015C +:100230002324A1012326B1012328C101232AD101AE +:10024000232CF101971D0000938D8DE69300000093 +:10025000130110009301F0FF370200801302F2FF38 +:10026000B7020080930F00006384000093EF1F002B +:100270006304010093EF2F006384010093EF4F00AC +:100280006304020093EF8F006384020093EF0F0179 +:100290006304100093EF0F026304200093EF0F0438 +:1002A0006304300093EF0F086304400093EF0F10D6 +:1002B0006304500093EF0F2023A0FD01971A000064 +:1002C000938A8AD5171B0000130BCBDE83AF0A007D +:1002D0001301F0FF9301F0FF37D2ED0F130292BA32 +:1002E00063840F0213010000930100001302000059 +:1002F000B74165879381113263840F0213010000B7 +:10030000930100001302000037E1BC9A130101EFD2 +:10031000E3800FFE130100009301000013020000B0 +:1003200023200B0023222B0023243B0023264B00F9 +:1003300017150000130505CF97150000938585D884 +:10034000370610F01306C6F26306B5028326C50011 +:100350002320D600832685002320D600832645004F +:100360002320D600832605002320D600130505018F +:100370006FF09FFD370510F0130505F223200500EF +:040380000000000079 +:101000000000000001000000FFFFFFFFFFFFFF7F67 +:101010000000008000000000000000000000000050 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-BGE-01.elf.hex b/VexRiscv/src/test/resources/hex/I-BGE-01.elf.hex new file mode 100644 index 0000000..bb6b931 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-BGE-01.elf.hex @@ -0,0 +1,72 @@ +:0200000480007A +:100000009710000093800000171100001301810178 +:1000100083A1000013020000930210001303F0FFFD +:10002000B70300809383F3FF37040080930F000031 +:1000300063D4410093EF1F0063D4510093EF2F006E +:1000400063D4610093EF4F0063D4710093EF8F008E +:1000500063D4810093EF0F0123203100232241005C +:10006000232451002326610023287100232A8100C4 +:10007000232CF10197100000938000F91711000064 +:10008000130101FC03A300009303000013041000FC +:100090009304F0FF370500801305F5FFB7050080D6 +:1000A000930F00006354730093EF1F0063548300A9 +:1000B00093EF2F006354930093EF4F006354A3001A +:1000C00093EF8F006354B30093EF0F01232061007F +:1000D0002322710023248100232691002328A100DC +:1000E000232AB100232CF10197100000938000F225 +:1000F00017110000130181F603A60000930600000B +:10010000130710009307F0FF370800801308F8FF6B +:10011000B7080080930F00006354D60093EF1F00D0 +:100120006354E60093EF2F006354F60093EF4F0003 +:100130006354060193EF8F006354160193EF0F0190 +:100140002320C1002322D1002324E1002326F10033 +:1001500023280101232A1101232CF101971000000B +:10016000938000EB17110000130101F103A90000B7 +:1001700093090000130A1000930AF0FF370B008068 +:10018000130BFBFFB70B0080930F00006354390182 +:1001900093EF1F006354490193EF2F0063545901FB +:1001A00093EF4F006354690193EF8F00635479011B +:1001B00093EF0F0123202101232231012324410148 +:1001C0002326510123286101232A7101232CF101E7 +:1001D00097100000938000E417110000130181EBD9 +:1001E00003AC0000930C0000130D1000930DF0FF02 +:1001F000370E0080130EFEFFB70E0080930F000035 +:1002000063549C0193EF1F006354AC0193EF2F00E4 +:100210006354BC0193EF4F006354CC0193EF8F0004 +:100220006354DC0193EF0F0123208101232291010C +:100230002324A1012326B1012328C101232AD101AE +:10024000232CF101971D0000938D8DE69300000093 +:10025000130110009301F0FF370200801302F2FF38 +:10026000B7020080930F000063D4000093EF1F00DB +:100270006354010093EF2F0063D4010093EF4F000C +:100280006354020093EF8F0063D4020093EF0F01D9 +:100290006354100093EF0F026354200093EF0F0498 +:1002A0006354300093EF0F086354400093EF0F1036 +:1002B0006354500093EF0F2023A0FD01971A000014 +:1002C000938A8AD5171B0000130BCBDE83AF0A007D +:1002D0001301F0FF9301F0FF37D2ED0F130292BA32 +:1002E00063D40F0213010000930100001302000009 +:1002F000B74165879381113263D40F021301000067 +:10030000930100001302000037E1BC9A130101EFD2 +:10031000E3D00FFE13010000930100001302000060 +:1003200023200B0023222B0023243B0023264B00F9 +:1003300017150000130505CF97150000938585D884 +:10034000370610F01306C6F26306B5028326C50011 +:100350002320D600832685002320D600832645004F +:100360002320D600832605002320D600130505018F +:100370006FF09FFD370510F0130505F223200500EF +:040380000000000079 +:101000000000000001000000FFFFFFFFFFFFFF7F67 +:10101000000000800100000000000000000000004F +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-BGEU-01.elf.hex b/VexRiscv/src/test/resources/hex/I-BGEU-01.elf.hex new file mode 100644 index 0000000..d4a6175 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-BGEU-01.elf.hex @@ -0,0 +1,72 @@ +:0200000480007A +:100000009710000093800000171100001301810178 +:1000100083A1000013020000930210001303F0FFFD +:10002000B70300809383F3FF37040080930F000031 +:1000300063F4410093EF1F0063F4510093EF2F002E +:1000400063F4610093EF4F0063F4710093EF8F004E +:1000500063F4810093EF0F0123203100232241003C +:10006000232451002326610023287100232A8100C4 +:10007000232CF10197100000938000F91711000064 +:10008000130101FC03A300009303000013041000FC +:100090009304F0FF370500801305F5FFB7050080D6 +:1000A000930F00006374730093EF1F006374830069 +:1000B00093EF2F006374930093EF4F006374A300DA +:1000C00093EF8F006374B30093EF0F01232061005F +:1000D0002322710023248100232691002328A100DC +:1000E000232AB100232CF10197100000938000F225 +:1000F00017110000130181F603A60000930600000B +:10010000130710009307F0FF370800801308F8FF6B +:10011000B7080080930F00006374D60093EF1F00B0 +:100120006374E60093EF2F006374F60093EF4F00C3 +:100130006374060193EF8F006374160193EF0F0150 +:100140002320C1002322D1002324E1002326F10033 +:1001500023280101232A1101232CF101971000000B +:10016000938000EB17110000130101F103A90000B7 +:1001700093090000130A1000930AF0FF370B008068 +:10018000130BFBFFB70B0080930F00006374390162 +:1001900093EF1F006374490193EF2F0063745901BB +:1001A00093EF4F006374690193EF8F0063747901DB +:1001B00093EF0F0123202101232231012324410148 +:1001C0002326510123286101232A7101232CF101E7 +:1001D00097100000938000E417110000130181EBD9 +:1001E00003AC0000930C0000130D1000930DF0FF02 +:1001F000370E0080130EFEFFB70E0080930F000035 +:1002000063749C0193EF1F006374AC0193EF2F00A4 +:100210006374BC0193EF4F006374CC0193EF8F00C4 +:100220006374DC0193EF0F012320810123229101EC +:100230002324A1012326B1012328C101232AD101AE +:10024000232CF101971D0000938D8DE69300000093 +:10025000130110009301F0FF370200801302F2FF38 +:10026000B7020080930F000063F4000093EF1F00BB +:100270006374010093EF2F0063F4010093EF4F00CC +:100280006374020093EF8F0063F4020093EF0F0199 +:100290006374100093EF0F026374200093EF0F0458 +:1002A0006374300093EF0F086374400093EF0F10F6 +:1002B0006374500093EF0F2023A0FD01971A0000F4 +:1002C000938A8AD5171B0000130BCBDE83AF0A007D +:1002D0001301F0FF9301F0FF37D2ED0F130292BA32 +:1002E00063F40F02130100009301000013020000E9 +:1002F000B74165879381113263F40F021301000047 +:10030000930100001302000037E1BC9A130101EFD2 +:10031000E3F00FFE13010000930100001302000040 +:1003200023200B0023222B0023243B0023264B00F9 +:1003300017150000130505CF97150000938585D884 +:10034000370610F01306C6F26306B5028326C50011 +:100350002320D600832685002320D600832645004F +:100360002320D600832605002320D600130505018F +:100370006FF09FFD370510F0130505F223200500EF +:040380000000000079 +:101000000000000001000000FFFFFFFFFFFFFF7F67 +:10101000000000800100000000000000000000004F +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-BLT-01.elf.hex b/VexRiscv/src/test/resources/hex/I-BLT-01.elf.hex new file mode 100644 index 0000000..80906cc --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-BLT-01.elf.hex @@ -0,0 +1,72 @@ +:0200000480007A +:100000009710000093800000171100001301810178 +:1000100083A1000013020000930210001303F0FFFD +:10002000B70300809383F3FF37040080930F000031 +:1000300063C4410093EF1F0063C4510093EF2F008E +:1000400063C4610093EF4F0063C4710093EF8F00AE +:1000500063C4810093EF0F0123203100232241006C +:10006000232451002326610023287100232A8100C4 +:10007000232CF10197100000938000F91711000064 +:10008000130101FC03A300009303000013041000FC +:100090009304F0FF370500801305F5FFB7050080D6 +:1000A000930F00006344730093EF1F0063448300C9 +:1000B00093EF2F006344930093EF4F006344A3003A +:1000C00093EF8F006344B30093EF0F01232061008F +:1000D0002322710023248100232691002328A100DC +:1000E000232AB100232CF10197100000938000F225 +:1000F00017110000130181F603A60000930600000B +:10010000130710009307F0FF370800801308F8FF6B +:10011000B7080080930F00006344D60093EF1F00E0 +:100120006344E60093EF2F006344F60093EF4F0023 +:100130006344060193EF8F006344160193EF0F01B0 +:100140002320C1002322D1002324E1002326F10033 +:1001500023280101232A1101232CF101971000000B +:10016000938000EB17110000130101F103A90000B7 +:1001700093090000130A1000930AF0FF370B008068 +:10018000130BFBFFB70B0080930F00006344390192 +:1001900093EF1F006344490193EF2F00634459011B +:1001A00093EF4F006344690193EF8F00634479013B +:1001B00093EF0F0123202101232231012324410148 +:1001C0002326510123286101232A7101232CF101E7 +:1001D00097100000938000E417110000130181EBD9 +:1001E00003AC0000930C0000130D1000930DF0FF02 +:1001F000370E0080130EFEFFB70E0080930F000035 +:1002000063449C0193EF1F006344AC0193EF2F0004 +:100210006344BC0193EF4F006344CC0193EF8F0024 +:100220006344DC0193EF0F0123208101232291011C +:100230002324A1012326B1012328C101232AD101AE +:10024000232CF101971D0000938D8DE69300000093 +:10025000130110009301F0FF370200801302F2FF38 +:10026000B7020080930F000063C4000093EF1F00EB +:100270006344010093EF2F0063C4010093EF4F002C +:100280006344020093EF8F0063C4020093EF0F01F9 +:100290006344100093EF0F026344200093EF0F04B8 +:1002A0006344300093EF0F086344400093EF0F1056 +:1002B0006344500093EF0F2023A0FD01971A000024 +:1002C000938A8AD5171B0000130BCBDE83AF0A007D +:1002D0001301F0FF9301F0FF37D2ED0F130292BA32 +:1002E00063C40F0213010000930100001302000019 +:1002F000B74165879381113263C40F021301000077 +:10030000930100001302000037E1BC9A130101EFD2 +:10031000E3C00FFE13010000930100001302000070 +:1003200023200B0023222B0023243B0023264B00F9 +:1003300017150000130505CF97150000938585D884 +:10034000370610F01306C6F26306B5028326C50011 +:100350002320D600832685002320D600832645004F +:100360002320D600832605002320D600130505018F +:100370006FF09FFD370510F0130505F223200500EF +:040380000000000079 +:101000000000000001000000FFFFFFFFFFFFFF7F67 +:1010100000000080FFFFFFFF000000000000000054 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-BLTU-01.elf.hex b/VexRiscv/src/test/resources/hex/I-BLTU-01.elf.hex new file mode 100644 index 0000000..1271908 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-BLTU-01.elf.hex @@ -0,0 +1,72 @@ +:0200000480007A +:100000009710000093800000171100001301810178 +:1000100083A1000013020000930210001303F0FFFD +:10002000B70300809383F3FF37040080930F000031 +:1000300063E4410093EF1F0063E4510093EF2F004E +:1000400063E4610093EF4F0063E4710093EF8F006E +:1000500063E4810093EF0F0123203100232241004C +:10006000232451002326610023287100232A8100C4 +:10007000232CF10197100000938000F91711000064 +:10008000130101FC03A300009303000013041000FC +:100090009304F0FF370500801305F5FFB7050080D6 +:1000A000930F00006364730093EF1F006364830089 +:1000B00093EF2F006364930093EF4F006364A300FA +:1000C00093EF8F006364B30093EF0F01232061006F +:1000D0002322710023248100232691002328A100DC +:1000E000232AB100232CF10197100000938000F225 +:1000F00017110000130181F603A60000930600000B +:10010000130710009307F0FF370800801308F8FF6B +:10011000B7080080930F00006364D60093EF1F00C0 +:100120006364E60093EF2F006364F60093EF4F00E3 +:100130006364060193EF8F006364160193EF0F0170 +:100140002320C1002322D1002324E1002326F10033 +:1001500023280101232A1101232CF101971000000B +:10016000938000EB17110000130101F103A90000B7 +:1001700093090000130A1000930AF0FF370B008068 +:10018000130BFBFFB70B0080930F00006364390172 +:1001900093EF1F006364490193EF2F0063645901DB +:1001A00093EF4F006364690193EF8F0063647901FB +:1001B00093EF0F0123202101232231012324410148 +:1001C0002326510123286101232A7101232CF101E7 +:1001D00097100000938000E417110000130181EBD9 +:1001E00003AC0000930C0000130D1000930DF0FF02 +:1001F000370E0080130EFEFFB70E0080930F000035 +:1002000063649C0193EF1F006364AC0193EF2F00C4 +:100210006364BC0193EF4F006364CC0193EF8F00E4 +:100220006364DC0193EF0F012320810123229101FC +:100230002324A1012326B1012328C101232AD101AE +:10024000232CF101971D0000938D8DE69300000093 +:10025000130110009301F0FF370200801302F2FF38 +:10026000B7020080930F000063E4000093EF1F00CB +:100270006364010093EF2F0063E4010093EF4F00EC +:100280006364020093EF8F0063E4020093EF0F01B9 +:100290006364100093EF0F026364200093EF0F0478 +:1002A0006364300093EF0F086364400093EF0F1016 +:1002B0006364500093EF0F2023A0FD01971A000004 +:1002C000938A8AD5171B0000130BCBDE83AF0A007D +:1002D0001301F0FF9301F0FF37D2ED0F130292BA32 +:1002E0006364F00313010000930100001302000097 +:1002F000B7416587938111326364F00313010000F5 +:10030000930100001302000037E1BC9A130101EFD2 +:10031000E360F0FF130100009301000013020000EE +:1003200023200B0023222B0023243B0023264B00F9 +:1003300017150000130505CF97150000938585D884 +:10034000370610F01306C6F26306B5028326C50011 +:100350002320D600832685002320D600832645004F +:100360002320D600832605002320D600130505018F +:100370006FF09FFD370510F0130505F223200500EF +:040380000000000079 +:101000000000000001000000FFFFFFFFFFFFFF7F67 +:10101000000000800100000000000000000000004F +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-BNE-01.elf.hex b/VexRiscv/src/test/resources/hex/I-BNE-01.elf.hex new file mode 100644 index 0000000..31f96bf --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-BNE-01.elf.hex @@ -0,0 +1,72 @@ +:0200000480007A +:100000009710000093800000171100001301810178 +:1000100083A1000013020000930210001303F0FFFD +:10002000B70300809383F3FF37040080930F000031 +:100030006394410093EF1F006394510093EF2F00EE +:100040006394610093EF4F006394710093EF8F000E +:100050006394810093EF0F0123203100232241009C +:10006000232451002326610023287100232A8100C4 +:10007000232CF10197100000938000F91711000064 +:10008000130101FC03A300009303000013041000FC +:100090009304F0FF370500801305F5FFB7050080D6 +:1000A000930F00006314730093EF1F006314830029 +:1000B00093EF2F006314930093EF4F006314A3009A +:1000C00093EF8F006314B30093EF0F0123206100BF +:1000D0002322710023248100232691002328A100DC +:1000E000232AB100232CF10197100000938000F225 +:1000F00017110000130181F603A60000930600000B +:10010000130710009307F0FF370800801308F8FF6B +:10011000B7080080930F00006314D60093EF1F0010 +:100120006314E60093EF2F006314F60093EF4F0083 +:100130006314060193EF8F006314160193EF0F0110 +:100140002320C1002322D1002324E1002326F10033 +:1001500023280101232A1101232CF101971000000B +:10016000938000EB17110000130101F103A90000B7 +:1001700093090000130A1000930AF0FF370B008068 +:10018000130BFBFFB70B0080930F000063143901C2 +:1001900093EF1F006314490193EF2F00631459017B +:1001A00093EF4F006314690193EF8F00631479019B +:1001B00093EF0F0123202101232231012324410148 +:1001C0002326510123286101232A7101232CF101E7 +:1001D00097100000938000E417110000130181EBD9 +:1001E00003AC0000930C0000130D1000930DF0FF02 +:1001F000370E0080130EFEFFB70E0080930F000035 +:1002000063149C0193EF1F006314AC0193EF2F0064 +:100210006314BC0193EF4F006314CC0193EF8F0084 +:100220006314DC0193EF0F0123208101232291014C +:100230002324A1012326B1012328C101232AD101AE +:10024000232CF101971D0000938D8DE69300000093 +:10025000130110009301F0FF370200801302F2FF38 +:10026000B7020080930F00006394000093EF1F001B +:100270006314010093EF2F006394010093EF4F008C +:100280006314020093EF8F006394020093EF0F0159 +:100290006314100093EF0F026314200093EF0F0418 +:1002A0006314300093EF0F086314400093EF0F10B6 +:1002B0006314500093EF0F2023A0FD01971A000054 +:1002C000938A8AD5171B0000130BCBDE83AF0A007D +:1002D0001301F0FF9301F0FF37D2ED0F130292BA32 +:1002E00063940F0213010000930100001302000049 +:1002F000B74165879381113263940F0213010000A7 +:10030000930100001302000037E1BC9A130101EFD2 +:10031000E3900FFE130100009301000013020000A0 +:1003200023200B0023222B0023243B0023264B00F9 +:1003300017150000130505CF97150000938585D884 +:10034000370610F01306C6F26306B5028326C50011 +:100350002320D600832685002320D600832645004F +:100360002320D600832605002320D600130505018F +:100370006FF09FFD370510F0130505F223200500EF +:040380000000000079 +:101000000000000001000000FFFFFFFFFFFFFF7F67 +:1010100000000080FFFFFFFF000000000000000054 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-CSRRC-01.elf.hex b/VexRiscv/src/test/resources/hex/I-CSRRC-01.elf.hex new file mode 100644 index 0000000..6d1beab --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-CSRRC-01.elf.hex @@ -0,0 +1,39 @@ +:0200000480007A +:10000000971700009387070093001000130100006A +:10001000B701F17F9381F1FF370200809302F0FF77 +:1000200073900234F3B00034F3900234733101342E +:1000300073910234F3B10134F39102347332023418 +:1000400073920234F3B20234F312003423A0070097 +:1000500023A2170023A4270023A6370023A84700C4 +:1000600023AA570097120000938242FB93051000C9 +:1000700013060000B706F17F9386F6FF370700806E +:100080009307F0FF73900734F3B5053473360634E5 +:10009000F3B6063473370734F3B7073473380034D4 +:1000A00023A0B20023A2C20023A4D20023A6E20010 +:1000B00023A8F20023AA0201171D0000130D8DF7DB +:1000C000B75A3412938A8A67130AF0FF73100A34FE +:1000D00073BB0A34F33A0B34F31B0A3473BC0B348E +:1000E000F33C003423205D0123226D0123247D0194 +:1000F00023268D0123289D01971000009380C0F4D2 +:10010000377F7242130FFFE673100F3473300F34D2 +:1001100023A0000023A2E00117110000130141F306 +:10012000B79FFFF7938F8F8173900F347330003434 +:1001300073300034F33F0034232001002322F10107 +:1001400017110000130141F11302F0FFB752389666 +:1001500093824227B7531632938383497310023434 +:10016000F3B20234F3B30334733404342320510064 +:10017000232271002324810017150000130585E850 +:1001800097150000938505EE370610F01306C6F2AA +:100190006306B5028326C5002320D600832685008A +:1001A0002320D600832645002320D6008326050081 +:1001B0002320D600130505016FF09FFD370510F0D1 +:1001C000130505F2232005000000000000000000D8 +:0401D000000000002B +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-CSRRCI-01.elf.hex b/VexRiscv/src/test/resources/hex/I-CSRRCI-01.elf.hex new file mode 100644 index 0000000..a8ee8bd --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-CSRRCI-01.elf.hex @@ -0,0 +1,25 @@ +:0200000480007A +:1000000097170000938707001304F0FF7310043460 +:10001000F3F00034F31004347371003473110434BA +:10002000F3F10F34F311043473720834731204348F +:10003000F3F20734F312043423A0070023A21700BD +:1000400023A4270023A6370023A8470023AA57008C +:1000500023AC870097120000938282FC1304F0FF08 +:1000600073100434F3F5003473760034F3F60F3470 +:1000700073770834F3F707347378003423A0B200A1 +:1000800023A2C20023A4D20023A6E20023A8F200E8 +:1000900023AA020123AC820097100000938000FA8B +:1000A000375A1632130A8A4973100A3473F0073428 +:1000B000731A0A3423A0000023A240011715000080 +:1000C000130545F4971500009385C5F7370610F022 +:1000D0001306C6F26306B5028326C5002320D600A8 +:1000E000832685002320D600832645002320D600C2 +:1000F000832605002320D600130505016FF09FFD20 +:10010000370510F0130505F223200500000000005C +:0401100000000000EB +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-CSRRS-01.elf.hex b/VexRiscv/src/test/resources/hex/I-CSRRS-01.elf.hex new file mode 100644 index 0000000..7378539 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-CSRRS-01.elf.hex @@ -0,0 +1,38 @@ +:0200000480007A +:10000000971700009387070093001000130100006A +:10001000B701F17F9381F1FF370200809302F0FF77 +:1000200073100034F3A00034F31000347321013452 +:1000300073110034F3A10134F3110034732202343C +:1000400073120034F3A20234F312003423A0070029 +:1000500023A2170023A4270023A6370023A84700C4 +:1000600023AA570097120000938242FB93051000C9 +:1000700013060000B706F17F9386F6FF370700806E +:100080009307F0FF73100034F3A50534732606348C +:10009000F3A6063473270734F3A707347328003414 +:1000A00023A0B20023A2C20023A4D20023A6E20010 +:1000B00023A8F20023AA0201171D0000130D8DF7DB +:1000C000B75A3412938A8A677310003473AB0A34B8 +:1000D000F32B0B34F31B003473AC0B34F32C0034D0 +:1000E00023205D0123226D0123247D0123268D0120 +:1000F00023289D0197100000938000F5377F7242FE +:10010000130FFFE673100F3473200F3423A0000089 +:1001100023A2E00117110000130181F3B79FFFF73D +:10012000938F8F8173900F347320003473200034C9 +:10013000F32F0034232001002322F10117110000C6 +:10014000130181F1B753163293838349B75238961E +:100150009382422773100034F3A20234F3A30334D2 +:1001600073240434232051002322710023248100AE +:1001700017150000130505E997150000938585EE16 +:10018000370610F01306C6F26306B5028326C500D3 +:100190002320D600832685002320D6008326450011 +:1001A0002320D600832605002320D6001305050151 +:1001B0006FF09FFD370510F0130505F223200500B1 +:0401C000000000003B +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-CSRRSI-01.elf.hex b/VexRiscv/src/test/resources/hex/I-CSRRSI-01.elf.hex new file mode 100644 index 0000000..509c638 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-CSRRSI-01.elf.hex @@ -0,0 +1,24 @@ +:0200000480007A +:10000000971700009387070073100034F3E0003463 +:10001000F31000347361003473110034F3E10F34D2 +:10002000F31100347362083473120034F3E20734BE +:10003000F312003423A0070023A2170023A42700F3 +:1000400023A6370023A8470023AA570097120000D1 +:100050009382C2FC73100034F3E5003473660034FD +:10006000F3E60F3473670834F3E70734736800343A +:1000700023A0020023A2B20023A4C20023A6D20020 +:1000800023A8E20023AAF20023AC0201971000008B +:10009000938080FA375A1632130A8A4973100A3449 +:1000A00073E00734F31A0A3423A0000023A250019E +:1000B00023A44001171500001305C5F4971500008F +:1000C000938545F8370610F01306C6F26306B502AD +:1000D0008326C5002320D600832685002320D60052 +:1000E000832645002320D600832605002320D60042 +:1000F000130505016FF09FFD370510F0130505F29C +:0401000023200500B3 +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-CSRRW-01.elf.hex b/VexRiscv/src/test/resources/hex/I-CSRRW-01.elf.hex new file mode 100644 index 0000000..703d98c --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-CSRRW-01.elf.hex @@ -0,0 +1,30 @@ +:0200000480007A +:1000000097170000938707009300100093010000EA +:100010009302F0FFB70D0080938DFDFFB70E0080B7 +:10002000731000347391003473920134739302346B +:10003000739E0D34739F0E34F31F003423A02700EA +:1000400023A2470023A4670023A6C70123A8E70132 +:1000500023AAF701171D0000130D4DFCB7503412F1 +:100060009380806737E1BC9A130101EF73900034ED +:10007000F311013473920134F31202347313003418 +:1000800023203D0023224D0023245D0023266D0004 +:1000900097100000938080F9377172421301F1E6E6 +:1000A000731001347310003423A0000017110000F6 +:1000B000130101F8B79DFFF7938D8D8173900D3477 +:1000C0007310003473100034F31200342320010045 +:1000D0002322510017110000130101F6B753163205 +:1000E000938383493763721413036383B75238963B +:1000F0009382422773100334F3920234F393033450 +:100100007314003423205100232271002324810022 +:1001100017150000130505EF97150000938585F26C +:10012000370610F01306C6F26306B5028326C50033 +:100130002320D600832685002320D6008326450071 +:100140002320D600832605002320D60013050501B1 +:100150006FF09FFD370510F0130505F22320050011 +:04016000000000009B +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-CSRRWI-01.elf.hex b/VexRiscv/src/test/resources/hex/I-CSRRWI-01.elf.hex new file mode 100644 index 0000000..4b277a4 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-CSRRWI-01.elf.hex @@ -0,0 +1,16 @@ +:0200000480007A +:1000000097170000938707007310003473D10034F2 +:100010007352003473D30F3473DE0734735F0834C4 +:10002000F35F003423A0070023A2270023A4470086 +:1000300023A6670023A8C70123AAE70123ACF70181 +:10004000971000009380C0FD73D0073473500034C4 +:1000500023A00000171500001305C5FA971500002E +:10006000938545FC370610F01306C6F26306B50209 +:100070008326C5002320D600832685002320D600B2 +:10008000832645002320D600832605002320D600A2 +:10009000130505016FF09FFD370510F0130505F2FC +:0400A0002320050014 +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-DELAY_SLOTS-01.elf.hex b/VexRiscv/src/test/resources/hex/I-DELAY_SLOTS-01.elf.hex new file mode 100644 index 0000000..fa7dadd --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-DELAY_SLOTS-01.elf.hex @@ -0,0 +1,29 @@ +:0200000480007A +:100000009710000093800000371111111301111196 +:100010006F0080001301000023A020009710000053 +:10002000938080FE37212222130121221702000033 +:1000300013020201670002001301000023A0200048 +:1000400097100000938080FC93025000130360001F +:10005000373133331301313363845200130100000D +:1000600023A0200097100000938080FA9302500094 +:100070001303600037414444130141446394620018 +:100080001301000023A0200097100000938080F847 +:100090009302500013036000375155551301515519 +:1000A00063C462001301000023A020009710000029 +:1000B000938080F6930250001303600037616666F8 +:1000C0001301616663E462001301000023A02000B5 +:1000D00097100000938080F4930250001303600097 +:1000E0003771777713017177635453001301000060 +:1000F00023A0200097100000938080F2930250000C +:10010000130360003791888813018188637453005A +:100110001301000023A0200017150000130585EE31 +:1001200097150000938505F0370610F01306C6F208 +:100130006306B5028326C5002320D60083268500EA +:100140002320D600832645002320D60083260500E1 +:100150002320D600130505016FF09FFD370510F031 +:10016000130505F223200500000000000000000038 +:04017000000000008B +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-EBREAK-01.elf.hex b/VexRiscv/src/test/resources/hex/I-EBREAK-01.elf.hex new file mode 100644 index 0000000..9454b06 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-EBREAK-01.elf.hex @@ -0,0 +1,15 @@ +:0200000480007A +:10000000970000009380C002F39F503097100000CB +:10001000938040FF3711111113011111730010006B +:1000200023A0000073905F306F008002732F1034A4 +:10003000130F4F0073101F34732F203423A0E001DF +:1000400023A2200023A400009380C000730020306E +:1000500017150000130505FB97150000938585FB18 +:10006000370610F01306C6F26306B5028326C500F4 +:100070002320D600832685002320D6008326450032 +:100080002320D600832605002320D6001305050172 +:100090006FF09FFD370510F0130505F223200500D2 +:0400A000000000005C +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-ECALL-01.elf.hex b/VexRiscv/src/test/resources/hex/I-ECALL-01.elf.hex new file mode 100644 index 0000000..06c1ba8 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-ECALL-01.elf.hex @@ -0,0 +1,15 @@ +:0200000480007A +:10000000970000009380C002F39F503097100000CB +:10001000938040FF3711111113011111730000007B +:1000200023A0000073905F306F008002732F1034A4 +:10003000130F4F0073101F34732F203423A0E001DF +:1000400023A2200023A400009380C000730020306E +:1000500017150000130505FB97150000938585FB18 +:10006000370610F01306C6F26306B5028326C500F4 +:100070002320D600832685002320D6008326450032 +:100080002320D600832605002320D6001305050172 +:100090006FF09FFD370510F0130505F223200500D2 +:0400A000000000005C +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-ENDIANESS-01.elf.hex b/VexRiscv/src/test/resources/hex/I-ENDIANESS-01.elf.hex new file mode 100644 index 0000000..643a59d --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-ENDIANESS-01.elf.hex @@ -0,0 +1,17 @@ +:0200000480007A +:10000000171800001308480097180000938888000C +:100010008320080003510800835128000342F8FFA1 +:100020008342080003431800834328000344380038 +:1000300023A0180023A2280023A4380023A64800E8 +:1000400023A8580023AA680023AC780023AE8800B8 +:1000500017150000130505FC97150000938585FD15 +:10006000370610F01306C6F26306B5028326C500F4 +:100070002320D600832685002320D6008326450032 +:100080002320D600832605002320D6001305050172 +:100090006FF09FFD370510F0130505F223200500D2 +:0400A000000000005C +:10100000EFCDAB8967452301000000000000000020 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-FENCE.I-01.elf.hex b/VexRiscv/src/test/resources/hex/I-FENCE.I-01.elf.hex new file mode 100644 index 0000000..e15c2ec --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-FENCE.I-01.elf.hex @@ -0,0 +1,16 @@ +:0200000480007A +:10000000171800001308480097180000938888000C +:10001000930100008320080003214800171A000004 +:10002000130A4AFE970A0000938A4A0183270A00AE +:1000300023A0FA000F1000003701000023A01800D1 +:1000400023A2280023A4380023A6F80017150000D7 +:10005000130545FC971500009385C5FC370610F085 +:100060001306C6F26306B5028326C5002320D60018 +:10007000832685002320D600832645002320D60032 +:10008000832605002320D600130505016FF09FFD90 +:10009000370510F0130505F22320050000000000CD +:0400A000000000005C +:10100000B3011100300000001200000000000000D9 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-IO.elf.hex b/VexRiscv/src/test/resources/hex/I-IO.elf.hex new file mode 100644 index 0000000..038e1f0 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-IO.elf.hex @@ -0,0 +1,65 @@ +:0200000480007A +:100000009710000093800000171100001301810277 +:1000100083A1000013020000930210001303F0FFFD +:10002000B70300809383F3FF3704008033824100DD +:10003000B382510033836100B38371003384810044 +:100040002320310023224100232451002326610074 +:1000500023287100232A8100971000009380C0FAA2 +:1000600017110000130181FE03A400009304000097 +:10007000130510009305F0FF370600801306F6FF06 +:10008000B7060080B30494003305A400B305B400A0 +:100090003306C400B306D40023208100232291003C +:1000A0002324A1002326B1002328C100232AD10044 +:1000B00097100000938080F517110000130181FA5A +:1000C00083A6000013070000930710001308F0FF39 +:1000D000B70800809388F8FF370900803387E6006F +:1000E000B387F60033880601B388160133892601E9 +:1000F0002320D1002322E1002324F1002326010143 +:1001000023281101232A210197100000938040F039 +:1001100017110000130181F603A9000093090000E4 +:10012000130A1000930AF0FF370B0080130BFBFF3C +:10013000B70B0080B3093901330A4901B30A5901E9 +:10014000330B6901B30B79012320210123223101F3 +:10015000232441012326510123286101232A71010F +:1001600097100000938000EB17110000130181F23B +:1001700083AB0000130C0000930C1000130DF0FF74 +:10018000B70D0080938DFDFF370E0080338C8B01FF +:10019000B38C9B01338DAB01B38DBB01338ECB018F +:1001A0002320710123228101232491012326A1010F +:1001B0002328B101232AC101971C0000938CCCE5B0 +:1001C000171D0000130D8DEE03AE0C00930D1000F3 +:1001D000B30EBE01338FBE01B30FBF01B380BF01A9 +:1001E0003381B001B301B1012320BD012322CD0130 +:1001F0002324DD012326ED012328FD01232A1D00F0 +:10020000232C2D00232E3D0097100000938000E149 +:1002100017110000130181EB03AE0000B79DFFF73B +:10022000938D8D813300BE012320010097100000C3 +:10023000938000DF17110000130181E903AE000075 +:10024000B79DFFF7938D8D813300BE01B30200008F +:10025000232001002322510097100000938080DCAE +:1002600017110000130141E783A100003382010050 +:10027000B30202003303500033070300B307070043 +:1002800033880700B30C0001330D9001B30D0D004E +:10029000232041002322A1012324B10117150000CE +:1002A000130545D9971500009385C5E3370610F06F +:1002B0001306C6F26306B5028326C5002320D600C6 +:1002C000832685002320D600832645002320D600E0 +:1002D000832605002320D600130505016FF09FFD3E +:1002E000370510F0130505F223200500000000007B +:0402F000000000000A +:101000000000000001000000FFFFFFFFFFFFFF7F67 +:1010100000000080CDAB00007856341298BADCFE98 +:10102000145892360000000000000000000000008C +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:1010D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF20 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-JAL-01.elf.hex b/VexRiscv/src/test/resources/hex/I-JAL-01.elf.hex new file mode 100644 index 0000000..e92f828 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-JAL-01.elf.hex @@ -0,0 +1,46 @@ +:0200000480007A +:10000000971000009380000137513412130181676B +:100010006F0080001301000023A0000023A2200035 +:1000200097100000938080FF1301F0FF9301F0FF11 +:1000300037D2ED0F130292BA6F0080021301000055 +:100040009301000013020000B741658793811132CC +:100050006F008002130100009301000013020000F2 +:1000600037E1BC9A130101EF6FF01FFE130100008E +:10007000930100001302000023A0000023A220002F +:1000800023A4300023A6400097140000938484F733 +:1000900017150000130585F91301F0FF9301F0FF18 +:1000A0001302F0FF9302F0FF3753555513035355D6 +:1000B00083AC040003AC4400EF00C001B72122224E +:1000C00093812122EF0F0003B742444493824244BC +:1000D0006F004004371111111301111167800000E6 +:1000E00013010000930100001302000093020000BE +:1000F00013030000373233331302323367800F00AB +:10010000130100009301000013020000930200009D +:1001100013030000B3C3900133C48F0123202500D3 +:10012000232235002324450023265500232865007B +:10013000232A7500232C850097140000938404ED76 +:1001400017150000130545F01301F0FF9301F0FFB0 +:100150001302F0FF9302F0FF1303F0FF03AC04005F +:1001600083AC44006F004002B77177779381717759 +:1001700067800F00130100009301000013020000CC +:100180009302000013030000376166661301616685 +:10019000EFFF9FFD3792888813028288EF000001ED +:1001A00037B3AAAA1303A3AA6F004002B7A2999972 +:1001B0009382929967800000130100009301000070 +:1001C000130200009302000013030000B3C38F0169 +:1001D00033C4900123202500232235002324450029 +:1001E0002326550023286500232A7500232C85002B +:1001F00017150000130505E297150000938585E6A5 +:10020000370610F01306C6F26306B5028326C50052 +:100210002320D600832685002320D6008326450090 +:100220002320D600832605002320D60013050501D0 +:100230006FF09FFD370510F0130505F22320050030 +:0402400000000000BA +:10100000BC000080C800008094010080A001008026 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-JALR-01.elf.hex b/VexRiscv/src/test/resources/hex/I-JALR-01.elf.hex new file mode 100644 index 0000000..aa5d082 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-JALR-01.elf.hex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diff --git a/VexRiscv/src/test/resources/hex/I-LB-01.elf.hex b/VexRiscv/src/test/resources/hex/I-LB-01.elf.hex new file mode 100644 index 0000000..b6c419c --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-LB-01.elf.hex @@ -0,0 +1,51 @@ +:0200000480007A +:10000000971F0000938F0F00171100001301810349 +:1000100083810F0003821F0083822F0003833F0030 +:100020002320310023224100232451002326610094 +:10003000171C0000130C5CFD9712000093828201D4 +:10004000830CFCFF030D0C00830D1C00030E2C0021 +:1000500023A0920123A2A20123A4B20123A6C201DC +:1000600097130000938373FA17140000130484FF9E +:10007000038F1300838F23008380330003814300A9 +:100080002320E4012322F401232414002326240046 +:10009000171500001305C57797150000938585FD9A +:1000A00003060580830615800307258083073580B6 +:1000B00023A0C50023A2D50023A4E50023A6F500B4 +:1000C000970600009386467517170000130787FBF5 +:1000D0008387C67F0388D67F8388E67F0389F67F80 +:1000E0002320F700232207012324170123262701B9 +:1000F00017180000130888F297180000938888F9F1 +:100100000309C8FF8309D8FF030AE8FF830AF8FF41 +:10011000030B0800830B1800030C2800830C380025 +:10012000030D4800830D5800030E6800830E78000D +:1001300023A0280123A2380123A4480123A65801A3 +:1001400023A8680123AA780123AC880123AE980173 +:1001500023A0A80323A2B80323A4C80323A6D8037B +:10016000971A0000938A0AEC171B0000130B8BF5FB +:1001700003800A0023200B00971A0000938ACAEA22 +:10018000171B0000130B4BF483AB0A00038C0B000E +:10019000930C0C0023209B01971C0000938C4CE9CE +:1001A000171D0000130D8DF2838C0C0023209D0180 +:1001B000971D0000938D1DE8171E0000130E4EF1D1 +:1001C000838DFDFF2320BE0117150000130585E771 +:1001D00097150000938505F0370610F01306C6F258 +:1001E0006306B5028326C5002320D600832685003A +:1001F0002320D600832645002320D6008326050031 +:100200002320D600130505016FF09FFD370510F080 +:10021000130505F223200500000000000000000087 +:0402200000000000DA +:1010000022F2F111F44433F366F6F555F88877F7D8 +:10101000AA0A09990CCCBB0BEE0E0DDDF000FF0FF8 +:101020007856341228100080F0DEBC9A10325476C4 +:1010300098BADCFE00000000000000000000000084 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-LBU-01.elf.hex b/VexRiscv/src/test/resources/hex/I-LBU-01.elf.hex new file mode 100644 index 0000000..58afd19 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-LBU-01.elf.hex @@ -0,0 +1,51 @@ +:0200000480007A +:10000000971F0000938F0F00171100001301810349 +:1000100083C10F0003C21F0083C22F0003C33F0030 +:100020002320310023224100232451002326610094 +:10003000171C0000130C5CFD9712000093828201D4 +:10004000834CFCFF034D0C00834D1C00034E2C0021 +:1000500023A0920123A2A20123A4B20123A6C201DC +:1000600097130000938373FA17140000130484FF9E +:1000700003CF130083CF230083C0330003C14300A9 +:100080002320E4012322F401232414002326240046 +:10009000171500001305C57797150000938585FD9A +:1000A00003460580834615800347258083473580B6 +:1000B00023A0C50023A2D50023A4E50023A6F500B4 +:1000C000970600009386467517170000130787FBF5 +:1000D00083C7C67F03C8D67F83C8E67F03C9F67F80 +:1000E0002320F700232207012324170123262701B9 +:1000F00017180000130888F297180000938888F9F1 +:100100000349C8FF8349D8FF034AE8FF834AF8FF41 +:10011000034B0800834B1800034C2800834C380025 +:10012000034D4800834D5800034E6800834E78000D +:1001300023A0280123A2380123A4480123A65801A3 +:1001400023A8680123AA780123AC880123AE980173 +:1001500023A0A80323A2B80323A4C80323A6D8037B +:10016000971A0000938A0AEC171B0000130B8BF5FB +:1001700003C00A0023200B00971A0000938ACAEAE2 +:10018000171B0000130B4BF483AB0A0003CC0B00CE +:10019000930C0C0023209B01971C0000938C4CE9CE +:1001A000171D0000130D8DF283CC0C0023209D0140 +:1001B000971D0000938D1DE8171E0000130E4EF1D1 +:1001C00083CDFDFF2320BE0117150000130585E731 +:1001D00097150000938505F0370610F01306C6F258 +:1001E0006306B5028326C5002320D600832685003A +:1001F0002320D600832645002320D6008326050031 +:100200002320D600130505016FF09FFD370510F080 +:10021000130505F223200500000000000000000087 +:0402200000000000DA +:1010000022F2F111F44433F366F6F555F88877F7D8 +:10101000AA0A09990CCCBB0BEE0E0DDDF000FF0FF8 +:101020007856341228100080F0DEBC9A10325476C4 +:1010300098BADCFE00000000000000000000000084 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-LH-01.elf.hex b/VexRiscv/src/test/resources/hex/I-LH-01.elf.hex new file mode 100644 index 0000000..f19fef6 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-LH-01.elf.hex @@ -0,0 +1,39 @@ +:0200000480007A +:10000000971F0000938F0F00171100001301810349 +:1000100083910F0003922F002320310023224100FF +:10002000171C0000130C5CFE971200009382020262 +:10003000831CFCFF031D1C0023A0920123A2A2012C +:1000400097130000938373FC1714000013048400BB +:10005000039F1300839F33002320E4012322F40134 +:10006000171500001305C57A97150000938505FF45 +:10007000031605808316258023A0C50023A2D50082 +:10008000970600009386367917170000130787FD3F +:100090008397D67F0398F67F2320F700232207015A +:1000A00017180000130888F797180000938808FCB9 +:1000B0000319C8FF8319E8FF031A0800831A2800F0 +:1000C000031B4800831B680023A0280123A23801DA +:1000D00023A4480123A6580123A8680123AA780174 +:1000E000971A0000938A0AF4171B0000130B8BF970 +:1000F00003900A0023200B00971A0000938ACAF28B +:10010000171B0000130B4BF883AB0A00039C0B007A +:10011000930C0C0023209B01971C0000938C4CF146 +:10012000171D0000130D8DF6839C0C0023209D01EC +:10013000971D0000938D1DF0171E0000130E4EF545 +:10014000839DFDFF2320BE0117150000130585EFD9 +:1001500097150000938505F4370610F01306C6F2D4 +:100160006306B5028326C5002320D60083268500BA +:100170002320D600832645002320D60083260500B1 +:100180002320D600130505016FF09FFD370510F001 +:10019000130505F223200500000000000000000008 +:0401A000000000005B +:1010000022F2F111F44433F366F6F555F88877F7D8 +:10101000AA0A09990CCCBB0BEE0E0DDDF000FF0FF8 +:101020007856341228100080F0DEBC9A10325476C4 +:1010300098BADCFE00000000000000000000000084 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-LHU-01.elf.hex b/VexRiscv/src/test/resources/hex/I-LHU-01.elf.hex new file mode 100644 index 0000000..604d677 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-LHU-01.elf.hex @@ -0,0 +1,39 @@ +:0200000480007A +:10000000971F0000938F0F00171100001301810349 +:1000100083D10F0003D22F0023203100232241007F +:10002000171C0000130C5CFE971200009382020262 +:10003000835CFCFF035D1C0023A0920123A2A201AC +:1000400097130000938373FC1714000013048400BB +:1000500003DF130083DF33002320E4012322F401B4 +:10006000171500001305C57A97150000938505FF45 +:10007000035605808356258023A0C50023A2D50002 +:10008000970600009386367917170000130787FD3F +:1000900083D7D67F03D8F67F2320F70023220701DA +:1000A00017180000130888F797180000938808FCB9 +:1000B0000359C8FF8359E8FF035A0800835A2800F0 +:1000C000035B4800835B680023A0280123A238015A +:1000D00023A4480123A6580123A8680123AA780174 +:1000E000971A0000938A0AF4171B0000130B8BF970 +:1000F00003D00A0023200B00971A0000938ACAF24B +:10010000171B0000130B4BF883AB0A0003DC0B003A +:10011000930C0C0023209B01971C0000938C4CF146 +:10012000171D0000130D8DF683DC0C0023209D01AC +:10013000971D0000938D1DF0171E0000130E4EF545 +:1001400083DDFDFF2320BE0117150000130585EF99 +:1001500097150000938505F4370610F01306C6F2D4 +:100160006306B5028326C5002320D60083268500BA +:100170002320D600832645002320D60083260500B1 +:100180002320D600130505016FF09FFD370510F001 +:10019000130505F223200500000000000000000008 +:0401A000000000005B +:1010000022F2F111F44433F366F6F555F88877F7D8 +:10101000AA0A09990CCCBB0BEE0E0DDDF000FF0FF8 +:101020007856341228100080F0DEBC9A10325476C4 +:1010300098BADCFE00000000000000000000000084 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-LUI-01.elf.hex b/VexRiscv/src/test/resources/hex/I-LUI-01.elf.hex new file mode 100644 index 0000000..cd34ac8 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-LUI-01.elf.hex @@ -0,0 +1,27 @@ +:0200000480007A +:100000009717000093870701B7000000B7110000A1 +:10001000B7F2FFFFB7FEFF7FB70F008023A01700E6 +:1000200023A2370023A4570023A6D70123A8F70152 +:1000300097170000938707FD171800001308C8FEE4 +:1000400003A1070003A2070003A3070003AE0700F4 +:1000500003AF07003701008037F2FF7F370300004E +:10006000371E000037FFFFFF23202800232248000F +:10007000232468002326C8012328E80197180000DC +:100080009388C8FBB77072429380F0E6B75734127A +:100090009387876737EFBC9A130F0FEF3771724260 +:1000A0001301F1E63758341213088867B7EFBC9A8A +:1000B000938F0FEF23A0180023A2F80023A4E801D8 +:1000C00023A6280023A8080123AAF8011715000079 +:1000D000130545F4971500009385C5F7370610F012 +:1000E0001306C6F26306B5028326C5002320D60098 +:1000F000832685002320D600832645002320D600B2 +:10010000832605002320D600130505016FF09FFD0F +:10011000370510F0130505F223200500000000004C +:0401200000000000DB +:10100000111111110000000000000000000000009C +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-LW-01.elf.hex b/VexRiscv/src/test/resources/hex/I-LW-01.elf.hex new file mode 100644 index 0000000..cf664b4 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-LW-01.elf.hex @@ -0,0 +1,33 @@ +:0200000480007A +:10000000971F0000938F0F00171100001301810349 +:1000100083A10F0023203100171C0000130CDCFE0D +:100020009712000093824202832CFCFF23A09201CE +:1000300097130000938373FD171400001304040149 +:1000400083AF13002320F401171500001305457C2E +:10005000971500009385C5FF0326058023A0C500E2 +:10006000970600009386167B17170000130787FE7C +:1000700083A7F67F2320F70017180000130808FA5B +:1000800097180000938848FD0329C8FF83290800BA +:10009000032A480023A0280123A2380123A44801F1 +:1000A000971A0000938A0AF8171B0000130B8BFBAA +:1000B00003A00A0023200B00971A0000938ACAF6B7 +:1000C000171B0000130B4BFA83AB0A0003AC0B00A9 +:1000D000930C0C0023209B01971C0000938C4CF583 +:1000E000171D0000130D8DF883AC0C0023209D011B +:1000F000971D0000938D1DF4171E0000130E4EF780 +:1001000083ADFDFF2320BE0117150000130585F305 +:1001100097150000938505F6370610F01306C6F212 +:100120006306B5028326C5002320D60083268500FA +:100130002320D600832645002320D60083260500F1 +:100140002320D600130505016FF09FFD370510F041 +:10015000130505F223200500000000000000000048 +:04016000000000009B +:1010000022F2F111F44433F366F6F555F88877F7D8 +:10101000AA0A09990CCCBB0BEE0E0DDDF000FF0FF8 +:101020007856341228100080F0DEBC9A10325476C4 +:1010300098BADCFE00000000000000000000000084 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-MISALIGN_JMP-01.elf.hex b/VexRiscv/src/test/resources/hex/I-MISALIGN_JMP-01.elf.hex new file mode 100644 index 0000000..89c1e38 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-MISALIGN_JMP-01.elf.hex @@ -0,0 +1,53 @@ +:0200000480007A +:100000009700000093808020F39F5030737012306F +:1000100097100000938000FF371111111301111187 +:100020006F00A0001301000097100000938040FEB5 +:10003000372122221301212217020000130212018C +:10004000670002001301000023A0200093804000FD +:100050003731333313013133170200001302020129 +:10006000670012001301000023A0200093804000CD +:100070003741444413014144170200001302420176 +:100080006700D2FF1301000023A0200093804000EE +:1000900097100000938080F8375155551301515542 +:1000A0001702000013022201670002001301000082 +:1000B00037616666130161661702000013023201A0 +:1000C0006700020013010000377177771301717721 +:1000D0001702000013020201670022001301000052 +:1000E00037918888130181881702000013020201EA +:1000F000670032001301000097100000938000F5A4 +:1001000093025000130360006387620037A199993E +:100110001301919913000000130000006385520041 +:100120001301000097100000938000F39302500029 +:10013000130360006397520037B1AAAA1301A1AA62 +:10014000130000001300000063956200130100001B +:1001500097100000938000F1930250001303600099 +:100160006347530037C1BBBB1301B1BB1300000091 +:100170001300000063C56200130100009710000027 +:10018000938000EF930250001303600063675300F5 +:1001900037D1CCCC1301C1CC1300000013000000F8 +:1001A00063E562001301000097100000938000EDEA +:1001B000930250001303600063D7620037E1DDDD76 +:1001C0001301D1DD1300000013000000635553003C +:1001D0001301000097100000938000EB9302500081 +:1001E0001303600063F7620037F1EEEE1301E1EEF6 +:1001F000130000001300000063755300130100009A +:1002000073905F306F000003732F3034130FEFFFD4 +:1002100073101F34732F3034137F3F0023A0E0018D +:10022000732F203423A2E00123A420009380C00078 +:1002300073002030171500001305C5DC971500006A +:10024000938545E5370610F01306C6F26306B5023E +:100250008326C5002320D600832685002320D600D0 +:10026000832645002320D600832605002320D600C0 +:10027000130505016FF09FFD370510F0130505F21A +:040280002320050032 +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-MISALIGN_LDST-01.elf.hex b/VexRiscv/src/test/resources/hex/I-MISALIGN_LDST-01.elf.hex new file mode 100644 index 0000000..2f0c69d --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-MISALIGN_LDST-01.elf.hex @@ -0,0 +1,44 @@ +:0200000480007A +:100000009700000093804014F39F50309711000038 +:10001000938141FF171100001301C1FF97100000E9 +:1000200093804000930250001303600003A201007C +:100030002320410003A211002322410003A221003A +:100040002324410003A23100232641009711000020 +:10005000938181FB17110000130141FE97100000EE +:100060009380C0FF930250001303600003920100CD +:10007000232041000392110023224100039221001A +:1000800023244100039231002326410003D20100C2 +:100090002328410003D21100232A410003D221006A +:1000A000232C410003D23100232E41001711000000 +:1000B0001301C1FC97100000938040FD1303000062 +:1000C000B7A299999382929923205100232251003B +:1000D00023245100232651002320610013014100F5 +:1000E000A32061001301410023216100130141009D +:1000F000A3216100171100001301C1FA971000003D +:10010000938040FB13030000B7A2999993829299C0 +:100110002320510023225100232451002326510083 +:100120002310610013014100A3106100130141007D +:100130002311610013014100A311610073905F302E +:100140006F00C002732F1034130F4F0073101F3451 +:10015000732F3034137F3F0023A0E001732F20342E +:1001600023A2E00193808000730020301715000067 +:10017000130545EA971500009385C5F4370610F07E +:100180001306C6F26306B5028326C5002320D600F7 +:10019000832685002320D600832645002320D60011 +:1001A000832605002320D600130505016FF09FFD6F +:1001B000370510F0130505F22320050000000000AC +:0401C000000000003B +:10100000C1B1A19102F2E2D2000000000000000094 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-NOP-01.elf.hex b/VexRiscv/src/test/resources/hex/I-NOP-01.elf.hex new file mode 100644 index 0000000..25945e1 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-NOP-01.elf.hex @@ -0,0 +1,37 @@ +:0200000480007A +:10000000971000009380000013012000930130003E +:10001000130240009302500013036000930370002A +:1000200013048000930490001305A0009305B00012 +:100030001306C0009306D0001307E0009307F000FA +:1000400013080001930810011309200193093001DE +:10005000130A4001930A5001130B6001930B7001C6 +:10006000130C8001930C9001130DA001930DB001AE +:10007000130EC001930ED001130FE001930FF00196 +:100080001300000013000000130000001300000024 +:10009000130000001300000023A0000023A2200092 +:1000A00023A4300023A6400023A8500023AA600008 +:1000B00023AC700023AE800023A0900223A2A002F4 +:1000C00023A4B00223A6C00223A8D00223AAE002E0 +:1000D00023ACF00223AE000323A0100523A22005C9 +:1000E00023A4300523A6400523A8500523AA6005B4 +:1000F00023AC700523AE800523A0900723A2A007A0 +:1001000023A4B00723A6C00723A8D00723AAE0078B +:1001100023ACF00797110000938181F617040000CB +:100120001300000013000000130000001300000083 +:100130001300000097040000B384844023A09100C2 +:1001400017150000130505EC97150000938585F33E +:10015000370610F01306C6F26306B5028326C50003 +:100160002320D600832685002320D6008326450041 +:100170002320D600832605002320D6001305050181 +:100180006FF09FFD370510F0130505F223200500E1 +:04019000000000006B +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-OR-01.elf.hex b/VexRiscv/src/test/resources/hex/I-OR-01.elf.hex new file mode 100644 index 0000000..ddd72d6 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-OR-01.elf.hex @@ -0,0 +1,66 @@ +:0200000480007A +:100000009710000093800000171100001301810277 +:1000100083A1000013020000930210001303F0FFFD +:10002000B70300809383F3FF3704008033E241007D +:10003000B3E2510033E36100B3E3710033E48100C4 +:100040002320310023224100232451002326610074 +:1000500023287100232A8100971000009380C0FAA2 +:1000600017110000130181FE03A400009304000097 +:10007000130510009305F0FF370600801306F6FF06 +:10008000B7060080B36494003365A400B365B40080 +:100090003366C400B366D40023208100232291007C +:1000A0002324A1002326B1002328C100232AD10044 +:1000B00097100000938080F517110000130181FA5A +:1000C00083A6000013070000930710001308F0FF39 +:1000D000B70800809388F8FF3709008033E7E6000F +:1000E000B3E7F60033E80601B3E8160133E9260169 +:1000F0002320D1002322E1002324F1002326010143 +:1001000023281101232A210197100000938040F039 +:1001100017110000130181F603A9000093090000E4 +:10012000130A1000930AF0FF370B0080130BFBFF3C +:10013000B70B0080B3693901336A4901B36A5901C9 +:10014000336B6901B36B7901232021012322310133 +:10015000232441012326510123286101232A71010F +:1001600097100000938000EB17110000130181F23B +:1001700083AB0000130C0000930C1000130DF0FF74 +:10018000B70D0080938DFDFF370E008033EC8B019F +:10019000B3EC9B0133EDAB01B3EDBB0133EECB010F +:1001A0002320710123228101232491012326A1010F +:1001B0002328B101232AC101971C0000938CCCE5B0 +:1001C000171D0000130D8DEE03AE0C00130200018D +:1001D00093020002130300049303000813040010A9 +:1001E00093040080B36E4E0033EF5E00B36F6F0078 +:1001F000B3E07F0033E18000B361910023204D0024 +:100200002322CD012324DD012326ED012328FD0136 +:10021000232A1D00232C2D00232E3D0097100000C3 +:100220009380C0DF17110000130141EA03AE000004 +:10023000B79DFFF7938D8D813360BE0123200100B0 +:10024000971000009380C0DD17110000130141E8F2 +:1002500003AE0000B79DFFF7938D8D813360BE0123 +:10026000B3620000232001002322510097100000F8 +:10027000938040DB17110000130101E683A1000009 +:1002800033E20100B36202003363500033670300BE +:10029000B367070033E80700B36C0001336D9001CA +:1002A000B36D0D00232041002322A1012324B101BD +:1002B00017150000130505D897150000938585E2F2 +:1002C000370610F01306C6F26306B5028326C50092 +:1002D0002320D600832685002320D60083264500D0 +:1002E0002320D600832605002320D6001305050110 +:1002F0006FF09FFD370510F0130505F22320050070 +:0403000000000000F9 +:101000000000000001000000FFFFFFFFFFFFFF7F67 +:10101000000000800D0000007856341298BADCFE03 +:10102000145892360000000000000000000000008C +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:1010D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF20 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-ORI-01.elf.hex b/VexRiscv/src/test/resources/hex/I-ORI-01.elf.hex new file mode 100644 index 0000000..f5e0eec --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-ORI-01.elf.hex @@ -0,0 +1,56 @@ +:0200000480007A +:100000009710000093800000171100001301810277 +:1000100083A1000013E2110093E2F17F13E3F1FFEB +:1000200093E3010013E401802320310023224100E7 +:10003000232451002326610023287100232A8100F4 +:1000400097100000938040FC17110000130101007D +:1000500003A40000936414001365F47F9365F4FF18 +:1000600013660400936604802320810023229100FC +:100070002324A1002326B1002328C100232AD10074 +:1000800097100000938080F817110000130181FD84 +:1000900083A6000013E7160093E7F67F13E8F6FF48 +:1000A00093E8060013E906802320D1002322E10013 +:1000B0002324F1002326010123281101232A2101F1 +:1000C000971000009380C0F417110000130101FB8A +:1000D00003A9000093691900136AF97F936AF9FF75 +:1000E000136B0900936B0980232021012322310126 +:1000F000232441012326510123286101232A710170 +:1001000097100000938000F117110000130181F88F +:1001100083AB000013EC1B0093ECFB7F13EDFBFFA4 +:1001200093ED0B0013EE0B8023207101232281013C +:10013000232491012326A1012328B101232AC101EF +:10014000171D0000130D4DED971D0000938D0DF64A +:10015000032E0D00936E0E0113EF0E02936F0F042A +:1001600093E00F0813E100109361018023A0CD01FB +:1001700023A2DD0123A4ED0123A6FD0123A81D0078 +:1001800023AA2D0023AC3D0097100000938000E9C6 +:1001900017110000130141F383A2000013E01200C5 +:1001A0002320010097100000938080E717110000C2 +:1001B0001301C1F183A2000013E01200936210004A +:1001C000232001002322510097100000938080E536 +:1001D00017110000130101F083A1000013E20100D8 +:1001E0009362020013E302001367030093670700A2 +:1001F00013E80700936C080013ED0C00936D0D00DD +:1002000023203100232241002324A1012326B10110 +:1002100017150000130505E297150000938585EC7E +:10022000370610F01306C6F26306B5028326C50032 +:100230002320D600832685002320D6008326450070 +:100240002320D600832605002320D60013050501B0 +:100250006FF09FFD370510F0130505F22320050010 +:04026000000000009A +:101000000000000001000000FFFFFFFFFFFFFF7F67 +:10101000000000800D0000007856341298BADCFE03 +:10102000145892360000000000000000000000008C +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:1010D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF20 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-RF_size-01.elf.hex b/VexRiscv/src/test/resources/hex/I-RF_size-01.elf.hex new file mode 100644 index 0000000..c4aecb2 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-RF_size-01.elf.hex @@ -0,0 +1,45 @@ +:0200000480007A +:100000001718000013080800377072421300F0E65A +:10001000B7303356938090243721562D1301210594 +:10002000B77169529381313637826B731302029232 +:10003000B7627473938222573753206E1303F3E62B +:10004000B773694D938313C63764646F1304341711 +:10005000B744796B93843406377565741305352777 +:10006000B7756E28938535F737266B6513068604BA +:10007000B76652209386461637376D6F1307C792BF +:10008000B7377069938737E62320080023221800CA +:10009000232428002326380023284800232A580038 +:1000A000232C6800232E7800232088022322980224 +:1000B0002324A8022326B8022328C802232AD80210 +:1000C000232CE802232EF80217120000130282F7F5 +:1000D00037686F6313081846B778656A938808B461 +:1000E0003779282013091986B7696A619389B95647 +:1000F000376A7661130A0A52B75A202E938A5AC673 +:10010000377B6F63130B9BD2B77B6973938BEB02C7 +:10011000378C2066130CFC96B72C6567938C9C0675 +:10012000377D7365130D1D36B72D7375938DDD0601 +:10013000371E293A130EAED0B77E6968938E0E3201 +:10014000372F6461130F4F07B77F2075938F5F269A +:10015000232002012322120123242201232632011B +:1001600023284201232A5201232C6201232E7201EB +:1001700023208203232292032324A2032326B203F3 +:100180002328C203232AD203232CE203232EF203C3 +:1001900017120000130202EF232002002322120094 +:1001A000232422002326320017150000130585E5BD +:1001B00097150000938505EE370610F01306C6F27A +:1001C0006306B5028326C5002320D600832685005A +:1001D0002320D600832645002320D6008326050051 +:1001E0002320D600130505016FF09FFD370510F0A1 +:1001F000130505F2232005000000000000000000A8 +:0402000000000000FA +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-RF_width-01.elf.hex b/VexRiscv/src/test/resources/hex/I-RF_width-01.elf.hex new file mode 100644 index 0000000..4dd79e8 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-RF_width-01.elf.hex @@ -0,0 +1,57 @@ +:0200000480007A +:1000000017180000130808001300100093001000D8 +:10001000130110009301100013021000930210004E +:100020001303100093031000130410009304100036 +:10003000130510009305100013061000930610001E +:1000400013071000930710009390F00163C40000A1 +:10005000930000001311F10163440100130100003B +:100060009391F10163C40100930100001312F201A6 +:1000700063440200130200009392F20163C4020081 +:10008000930200001313F301634403001303000001 +:100090009393F30163C40300930300001314F4016A +:1000A00063440400130400009394F40163C4040047 +:1000B000930400001315F5016344050013050000C7 +:1000C0009395F50163C40500930500001316F6012E +:1000D00063440600130600009396F60163C406000D +:1000E000930600001317F70163440700130700008D +:1000F0009397F70163C407009307000023200800CB +:10010000232218002324280023263800232848000F +:10011000232A5800232C6800232E780023208802ED +:10012000232298022324A8022326B8022328C802E7 +:10013000232AD802232CE802232EF802971000006D +:10014000938040F01308100093081000130910006A +:1001500093091000130A1000930A1000130B1000EB +:10016000930B1000130C1000930C1000130D1000D3 +:10017000930D1000130E1000930E1000130F1000BB +:10018000930F10001318F8016344080013080000CF +:100190009398F80163C40800930800001319F9014B +:1001A00063440900130900009399F90163C409002D +:1001B00093090000131AFA0163440A00130A0000AD +:1001C000939AFA0163C40A00930A0000131BFB010F +:1001D00063440B00130B0000939BFB0163C40B00F3 +:1001E000930B0000131CFC0163440C00130C000073 +:1001F000939CFC0163C40C00930C0000131DFD01D3 +:1002000063440D00130D0000939DFD0163C40D00B8 +:10021000930D0000131EFE0163440E00130E000038 +:10022000939EFE0163C40E00930E0000131FFF0196 +:1002300063440F00130F0000939FFF0163C40F007E +:10024000930F000023A0000123A2100123A420018A +:1002500023A6300123A8400123AA500123AC60014A +:1002600023AE700123A0800323A2900323A4A00344 +:1002700023A6B00323A8C00323AAD00323ACE00322 +:1002800023AEF003171500001305C5D7971500001E +:10029000938545DF370610F01306C6F26306B502F4 +:1002A0008326C5002320D600832685002320D60080 +:1002B000832645002320D600832605002320D60070 +:1002C000130505016FF09FFD370510F0130505F2CA +:0402D00023200500E2 +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-RF_x0-01.elf.hex b/VexRiscv/src/test/resources/hex/I-RF_x0-01.elf.hex new file mode 100644 index 0000000..d06e51c --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-RF_x0-01.elf.hex @@ -0,0 +1,29 @@ +:0200000480007A +:10000000971F0000938F0F0137E0CDAB1300100056 +:100010001360007F1370F0531340308013105000B2 +:10002000135020401350400023A00F00971F0000E2 +:10003000938F8FFE930010001301007F9301F05304 +:1000400013023080930250001303200093034000FA +:100050001304800117E0CDAB3300100033602000A3 +:100060003370300033404000331050003350604054 +:10007000335070003300804023A00F00971F000012 +:10008000938FCFF9930010001301200033A02000BC +:1000900023A00F0033B0200023A20F0013A02000E4 +:1000A00023A40F0013B0200023A60F00971F000009 +:1000B000938FCFF76F00400023A00F009700000040 +:1000C0009380C0006780000023A20F0097100000FB +:1000D000938040F3971F0000938FCFF503A000009B +:1000E00023A00F000390000023A20F000380000054 +:1000F00023A40F0003C0000023A60F001715000063 +:10010000130545F1971500009385C5F3370610F0E8 +:100110001306C6F26306B5028326C5002320D60067 +:10012000832685002320D600832645002320D60081 +:10013000832605002320D600130505016FF09FFDDF +:10014000370510F0130505F223200500000000001C +:0401500000000000AB +:101000004F4E5242000000000000000000000000AF +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-SB-01.elf.hex b/VexRiscv/src/test/resources/hex/I-SB-01.elf.hex new file mode 100644 index 0000000..2a99703 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-SB-01.elf.hex @@ -0,0 +1,45 @@ +:0200000480007A +:100000009710000093800001B7CFAAAA938FBFBBBF +:1000100023A0F00137F1F1111301212223802000E8 +:1000200097120000938252FFA3AF02FEB74C33F346 +:10003000938C4C4FA38F92FF171400001304F4FD10 +:10004000A3200400B7FFF555938F6F66A300F4015A +:10005000971500009385C57C23A00580379677F718 +:100060001306868F2380C580170700001307977B30 +:10007000A32F077EB71709999387A7AAA30FF77E27 +:1000800097180000938888FA371911111309C910BD +:10009000B72922229389C92C373A3333130ABA3B42 +:1000A000B74A4444938ABA40375B5555130BEB5E0D +:1000B000B76B6666938BEB60377C7777130CDC70DD +:1000C000B79C8888938CDC8D37AD9999130D0D9F63 +:1000D000B7BDAAAA938D0DA037CEBBBB130EFEBF32 +:1000E000B7DECCCC938EFEC0238E28FFA38E38FFC4 +:1000F000238F48FFA38F58FF23806801A3807801D6 +:1001000023818801A38198012382A801A382B801D9 +:100110002383C801A383D801171B0000130B8BF1A5 +:10012000B74065879380103223201B00375034126C +:100130001300806723000B00971A0000938A8AEC53 +:1001400083A00A0023A00000B73922119389493403 +:1001500083AB0A0023803B01971B0000938BCBEA03 +:10016000171C0000130C8CED23200C0083AC0B003B +:1001700023009C01171D0000130D8DEC23200D00A2 +:10018000B73C5476938C0C2123009D01930C000006 +:10019000171E0000130E0EEB23200E00B7DDAB89F7 +:1001A000938DFDDE2300BE01130ECEFF971E0000CF +:1001B000938E8EE923A00E0023A20E00B76D721459 +:1001C000938D6D832380BE0103AF0E002382EE0169 +:1001D000971000009380C0E723A0000037513896A5 +:1001E00013011120B751812593813196238020007E +:1001F00023803000171500001305C5E19715000096 +:10020000938545E5370610F01306C6F26306B5027E +:100210008326C5002320D600832685002320D60010 +:10022000832645002320D600832605002320D60000 +:10023000130505016FF09FFD370510F0130505F25A +:040240002320050072 +:1010000034100080F0DEBC9A0000000000000000F8 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-SH-01.elf.hex b/VexRiscv/src/test/resources/hex/I-SH-01.elf.hex new file mode 100644 index 0000000..fc744e0 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-SH-01.elf.hex @@ -0,0 +1,41 @@ +:0200000480007A +:100000009710000093800001B7CFAAAA938FBFBBBF +:1000100023A0F00137F1F1111301212223902000D8 +:1000200097120000938252FFA3AF02FEB74C33F346 +:10003000938C4C4FA39F92FF171400001304F4FD00 +:10004000A3200400B7FFF555938F6F66A310F4014A +:10005000971500009385C57C23A00580379677F718 +:100060001306868F2390C580170700001307977B20 +:10007000A32F077EB71709999387A7AAA31FF77E17 +:1000800097180000938888FA37D911111309C9C04D +:10009000B71922229389B9BB371A3333130AEAEE10 +:1000A000B7EA4444938ADAD0370B7777130B0B0FF8 +:1000B000B71B6666938BFBFF239E28FF239F38FFA9 +:1000C00023904801239158012392680123937801DA +:1000D000171B0000130B0BF6B74065879380103297 +:1000E00023201B00375034121300806723100B00AD +:1000F000971A0000938A0AF183A00A0023A0000047 +:10010000B73922119389493483AB0A0023903B010C +:10011000971B0000938B4BEF171C0000130C0CF285 +:1001200023200C0083AC0B0023109C01171D000042 +:10013000130D0DF123200D00B73C5476938C0C2148 +:1001400023109D01930C0000171E0000130E8EEF6C +:1001500023200E00B7DDAB89938DFDDE2310BE0199 +:10016000130ECEFF971E0000938E0EEE23A00E00FE +:1001700023A20E00B76D7214938D6D832390BE0180 +:1001800003AF0E002392EE0197100000938040EC25 +:1001900023A000003751389613011120B751812553 +:1001A0009381319623902000239030001715000092 +:1001B000130545E6971500009385C5E9370610F04D +:1001C0001306C6F26306B5028326C5002320D600B7 +:1001D000832685002320D600832645002320D600D1 +:1001E000832605002320D600130505016FF09FFD2F +:1001F000370510F0130505F223200500000000006C +:0402000000000000FA +:1010000034100080F0DEBC9A0000000000000000F8 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-SLL-01.elf.hex b/VexRiscv/src/test/resources/hex/I-SLL-01.elf.hex new file mode 100644 index 0000000..2741182 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-SLL-01.elf.hex @@ -0,0 +1,64 @@ +:0200000480007A +:100000009710000093800000171100001301810277 +:1000100083A10000130210009302F0001303F0010B +:10002000930300001304000133924100B392510086 +:1000300033936100B3937100339481002320310026 +:10004000232241002324510023266100232871002C +:10005000232A810097100000938000FB17110000F5 +:100060001301C1FE03A40000930410001305F00067 +:100070009305F0011306000093060001B3149400E9 +:100080003315A400B315B4003316C400B316D4005E +:1000900023208100232291002324A1002326B100E4 +:1000A0002328C100232AD10097100000938000F676 +:1000B00017110000130101FB83A6000013071000B5 +:1000C0009307F0001308F0019308000013090001E2 +:1000D0003397E600B397F60033980601B3981601FC +:1000E000339926012320D1002322E1002324F100AB +:1000F0002326010123281101232A210117160000BC +:10010000130606F197160000938646F703290600AA +:1001100093091000130AF000930AF001130B00007A +:10012000930B0001B3193901331A4901B31A59016C +:10013000331B6901B31B790123A0260123A23601D9 +:1001400023A4460123A6560123A8660123AA76010B +:1001500017160000130606EC97160000938686F328 +:10016000832B0600130C1000930CF000130DF0010C +:10017000930D0000130E0001339C8B01B39C9B0177 +:10018000339DAB01B39DBB01339ECB0123A0760110 +:1001900023A2860123A4960123A6A60123A8B601C3 +:1001A00023AAC601971C0000938C0CE7171D0000C2 +:1001B000130DCDEF03AE0C00930D1000B31EBE0166 +:1001C000339FBE01B31FBF01B390BF013391B00194 +:1001D000B311B1012320CD012322DD012324ED0140 +:1001E0002326FD0123281D00232A2D00232C3D005A +:1001F00097100000938080E2171100001301C1ECFA +:1002000083A20000930D10003390B201232001005F +:10021000971000009380C0E017110000130101EB5C +:1002200083A20000930D10003390B201B312B0010D +:10023000232001002322510097100000938080DECC +:1002400017110000130101E983A10000370200101B +:10025000130202FEB7020010938212FE3703001051 +:100260001303F3FEB70300109383F3FF33924100AF +:10027000B392510033936100B39371002320410086 +:10028000232251002324610023267100171500004A +:10029000130545DA971500009385C5E4370610F07D +:1002A0001306C6F26306B5028326C5002320D600D6 +:1002B000832685002320D600832645002320D600F0 +:1002C000832605002320D600130505016FF09FFD4E +:1002D000370510F0130505F223200500000000008B +:0402E000000000001A +:101000000000000001000000FFFFFFFFFFFFFF7F67 +:101010000000008010EFCDAB7856341298BADCFE99 +:101020002143658700000000000000000000000070 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:1010D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF20 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-SLLI-01.elf.hex b/VexRiscv/src/test/resources/hex/I-SLLI-01.elf.hex new file mode 100644 index 0000000..7fbd1a2 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-SLLI-01.elf.hex @@ -0,0 +1,50 @@ +:0200000480007A +:100000009710000093800000171100001301810178 +:1000100083A10000139211009392F1001393F10158 +:100020009393010013940101232031002322410006 +:10003000232451002326610023287100232A8100F4 +:1000400097100000938040FC17110000130101FF7E +:1000500003A40000931414001315F4009315F40185 +:10006000131604009316040123208100232291001B +:100070002324A1002326B1002328C100232AD10074 +:1000800097100000938080F817110000130181FC85 +:1000900083A60000139716009397F6001398F601B5 +:1000A00093980600139906012320D1002322E10032 +:1000B0002324F1002326010123281101232A2101F1 +:1000C000171600001306C6F497160000938606FA6A +:1000D0000329060093191900131AF900931AF9015C +:1000E000131B0900931B090123A0260123A236013B +:1000F00023A4460123A6560123A8660123AA76015C +:1001000017160000130606F197160000938686F76F +:10011000832B0600139C1B00939CFB00139DFB018B +:10012000939D0B00139E0B0123A0760123A2860151 +:1001300023A4960123A6A60123A8B60123AAC601DB +:10014000171D0000130D4DED971D0000938D0DF54B +:10015000032E0D00931E1E00139F1E00931F1F00F1 +:1001600093901F00139110009311110023A0CD0153 +:1001700023A2DD0123A4ED0123A6FD0123A81D0078 +:1001800023AA2D0023AC3D0097100000938000E9C6 +:1001900017110000130141F283A200001390120016 +:1001A0002320010097100000938080E717110000C2 +:1001B0001301C1F083A200001390120093121000EB +:1001C000232001002322510017150000130585E5A7 +:1001D00097150000938505EF370610F01306C6F259 +:1001E0006306B5028326C5002320D600832685003A +:1001F0002320D600832645002320D6008326050031 +:100200002320D600130505016FF09FFD370510F080 +:10021000130505F223200500000000000000000087 +:0402200000000000DA +:101000000000000001000000FFFFFFFFFFFFFF7F67 +:101010000000008010EFCDAB7856341298BADCFE99 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-SLT-01.elf.hex b/VexRiscv/src/test/resources/hex/I-SLT-01.elf.hex new file mode 100644 index 0000000..baa45a4 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-SLT-01.elf.hex @@ -0,0 +1,63 @@ +:0200000480007A +:100000009710000093800000171100001301810178 +:1000100083A1000013021000B70200809382F2FF58 +:100020001303F0FF930300003704008033A2410064 +:10003000B3A2510033A36100B3A3710033A48100C4 +:100040002320310023224100232451002326610074 +:1000500023287100232A8100971000009380C0FAA2 +:1000600017110000130181FD03A400009304100088 +:10007000370500801305F5FF9305F0FF1306000018 +:10008000B7060080B32494003325A400B325B40040 +:100090003326C400B326D4002320810023229100FC +:1000A0002324A1002326B1002328C100232AD10044 +:1000B00097100000938080F517110000130181F95B +:1000C00083A6000013071000B70700809387F7FF8F +:1000D0001308F0FF930800003709008033A7E600FB +:1000E000B3A7F60033A80601B3A8160133A9260169 +:1000F0002320D1002322E1002324F1002326010143 +:1001000023281101232A210197100000938040F039 +:1001100017110000130181F503A9000093091000D5 +:10012000370A0080130AFAFF930AF0FF130B00004E +:10013000B70B0080B3293901332A4901B32A590189 +:10014000332B6901B32B79012320210123223101B3 +:10015000232441012326510123286101232A71010F +:1001600097100000938000EB17110000130181F13C +:1001700083AB0000130C1000B70C0080938CFCFFC5 +:10018000130DF0FF930D0000370E008033AC8B0190 +:10019000B3AC9B0133ADAB01B3ADBB0133AECB010F +:1001A0002320710123228101232491012326A1010F +:1001B0002328B101232AC101171D0000130DCDE52D +:1001C000971D0000938D8DED83200D00130110000D +:1001D00033A0200023A00D0023A21D0023A42D0086 +:1001E000971F0000938F4FEC930010001301F07FD6 +:1001F0009301F0FF130200009302008033231000EC +:10020000B323200033243000B324400033255000B2 +:10021000B3A5000033260100B3A601003327020076 +:10022000B3A7020023A06F0023A27F0023A48F00A6 +:1002300023A69F0023A8AF0023AABF0023ACCF00B2 +:1002400023AEDF0023A0EF0223A2FF02971F0000CE +:10025000938F0FE893011000B320300033A13000DA +:10026000332E3100B32E3E0033AF3E0023A01F00DB +:1002700023A22F0023A4CF0123A6DF0123A8EF018F +:1002800017150000130505DA97150000938585E51D +:10029000370610F01306C6F26306B5028326C500C2 +:1002A0002320D600832685002320D6008326450000 +:1002B0002320D600832605002320D6001305050140 +:1002C0006FF09FFD370510F0130505F223200500A0 +:0402D000000000002A +:101000000000000001000000FFFFFFFFFFFFFF7F67 +:1010100000000080FFFFFFFF000000000000000054 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:1010D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF20 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-SLTI-01.elf.hex b/VexRiscv/src/test/resources/hex/I-SLTI-01.elf.hex new file mode 100644 index 0000000..ec0ffbb --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-SLTI-01.elf.hex @@ -0,0 +1,51 @@ +:0200000480007A +:100000009710000093800000171100001301810178 +:1000100083A1000013A2110093A2F17F13A3F1FFAB +:1000200093A3010013A40180232031002322410067 +:10003000232451002326610023287100232A8100F4 +:1000400097100000938040FC17110000130101FF7E +:1000500003A40000932414001325F47F9325F4FFD8 +:10006000132604009326048023208100232291007C +:100070002324A1002326B1002328C100232AD10074 +:1000800097100000938080F817110000130181FC85 +:1000900083A6000013A7160093A7F67F13A8F6FF08 +:1000A00093A8060013A906802320D1002322E10093 +:1000B0002324F1002326010123281101232A2101F1 +:1000C000971000009380C0F417110000130101FA8B +:1000D00003A9000093291900132AF97F932AF9FF35 +:1000E000132B0900932B09802320210123223101A6 +:1000F000232441012326510123286101232A710170 +:1001000097100000938000F117110000130181F790 +:1001100083AB000013AC1B0093ACFB7F13ADFBFF64 +:1001200093AD0B0013AE0B802320710123228101BC +:10013000232491012326A1012328B101232AC101EF +:10014000171D0000130D4DED971D0000938D0DF54B +:1001500083200D0013A0100023A01D0023A20D007A +:10016000971F0000938F0FF4932010001321F07F4E +:100170009321F0FF132200009322008023A00F00A0 +:1001800023A21F0023A42F0023A63F0023A84F0073 +:1001900023AA5F00971F0000938F4FF29320100057 +:1001A00013A11000132E1100932E1E0013AF1E007A +:1001B00023A00F0023A21F0023A42F0023A6CF01FA +:1001C00023A8DF0123AAEF0117150000130585E519 +:1001D00097150000938505F0370610F01306C6F258 +:1001E0006306B5028326C5002320D600832685003A +:1001F0002320D600832645002320D6008326050031 +:100200002320D600130505016FF09FFD370510F080 +:10021000130505F223200500000000000000000087 +:0402200000000000DA +:101000000000000001000000FFFFFFFFFFFFFF7F67 +:1010100000000080FFFFFFFF000000000000000054 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-SLTIU-01.elf.hex b/VexRiscv/src/test/resources/hex/I-SLTIU-01.elf.hex new file mode 100644 index 0000000..eff8f76 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-SLTIU-01.elf.hex @@ -0,0 +1,51 @@ +:0200000480007A +:100000009710000093800000171100001301810178 +:1000100083A1000013B2110093B2F17F13B3F1FF7B +:1000200093B3010013B40180232031002322410047 +:10003000232451002326610023287100232A8100F4 +:1000400097100000938040FC17110000130101FF7E +:1000500003A40000933414001335F47F9335F4FFA8 +:10006000133604009336048023208100232291005C +:100070002324A1002326B1002328C100232AD10074 +:1000800097100000938080F817110000130181FC85 +:1000900083A6000013B7160093B7F67F13B8F6FFD8 +:1000A00093B8060013B906802320D1002322E10073 +:1000B0002324F1002326010123281101232A2101F1 +:1000C000971000009380C0F417110000130101FA8B +:1000D00003A9000093391900133AF97F933AF9FF05 +:1000E000133B0900933B0980232021012322310186 +:1000F000232441012326510123286101232A710170 +:1001000097100000938000F117110000130181F790 +:1001100083AB000013BC1B0093BCFB7F13BDFBFF34 +:1001200093BD0B0013BE0B8023207101232281019C +:10013000232491012326A1012328B101232AC101EF +:10014000171D0000130D4DED971D0000938D0DF54B +:1001500083200D0013B0F0FF23A01D0023A20D008B +:10016000971F0000938F0FF4933010001331F07F2E +:100170009331F0FF133200009332008023A00F0070 +:1001800023A21F0023A42F0023A63F0023A84F0073 +:1001900023AA5F00971F0000938F4FF29330100047 +:1001A00013B11000133E1100933E1E0013BF1E003A +:1001B00023A00F0023A21F0023A42F0023A6CF01FA +:1001C00023A8DF0123AAEF0117150000130585E519 +:1001D00097150000938505F0370610F01306C6F258 +:1001E0006306B5028326C5002320D600832685003A +:1001F0002320D600832645002320D6008326050031 +:100200002320D600130505016FF09FFD370510F080 +:10021000130505F223200500000000000000000087 +:0402200000000000DA +:101000000000000001000000FFFFFFFFFFFFFF7F67 +:10101000000000800100000000000000000000004F +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-SLTU-01.elf.hex b/VexRiscv/src/test/resources/hex/I-SLTU-01.elf.hex new file mode 100644 index 0000000..1278fbf --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-SLTU-01.elf.hex @@ -0,0 +1,63 @@ +:0200000480007A +:100000009710000093800000171100001301810178 +:1000100083A1000013021000B70200809382F2FF58 +:100020001303F0FF930300003704008033B2410054 +:10003000B3B2510033B36100B3B3710033B4810084 +:100040002320310023224100232451002326610074 +:1000500023287100232A8100971000009380C0FAA2 +:1000600017110000130181FD03A400009304100088 +:10007000370500801305F5FF9305F0FF1306000018 +:10008000B7060080B33494003335A400B335B40010 +:100090003336C400B336D4002320810023229100DC +:1000A0002324A1002326B1002328C100232AD10044 +:1000B00097100000938080F517110000130181F95B +:1000C00083A6000013071000B70700809387F7FF8F +:1000D0001308F0FF930800003709008033B7E600EB +:1000E000B3B7F60033B80601B3B8160133B9260129 +:1000F0002320D1002322E1002324F1002326010143 +:1001000023281101232A210197100000938040F039 +:1001100017110000130181F503A9000093091000D5 +:10012000370A0080130AFAFF930AF0FF130B00004E +:10013000B70B0080B3393901333A4901B33A590159 +:10014000333B6901B33B7901232021012322310193 +:10015000232441012326510123286101232A71010F +:1001600097100000938000EB17110000130181F13C +:1001700083AB0000130C1000B70C0080938CFCFFC5 +:10018000130DF0FF930D0000370E008033BC8B0180 +:10019000B3BC9B0133BDAB01B3BDBB0133BECB01CF +:1001A0002320710123228101232491012326A1010F +:1001B0002328B101232AC101171D0000130DCDE52D +:1001C000971D0000938D8DED83200D001301F0FF2E +:1001D00033B0200023A00D0023A21D0023A42D0076 +:1001E000971F0000938F4FEC930010001301F07FD6 +:1001F0009301F0FF130200009302008033331000DC +:10020000B333200033343000B33440003335500072 +:10021000B3B5000033360100B3B601003337020036 +:10022000B3B7020023A06F0023A27F0023A48F0096 +:1002300023A69F0023A8AF0023AABF0023ACCF00B2 +:1002400023AEDF0023A0EF0223A2FF02971F0000CE +:10025000938F0FE893011000B330300033B13000BA +:10026000333E3100B33E3E0033BF3E0023A01F00AB +:1002700023A22F0023A4CF0123A6DF0123A8EF018F +:1002800017150000130505DA97150000938585E51D +:10029000370610F01306C6F26306B5028326C500C2 +:1002A0002320D600832685002320D6008326450000 +:1002B0002320D600832605002320D6001305050140 +:1002C0006FF09FFD370510F0130505F223200500A0 +:0402D000000000002A +:101000000000000001000000FFFFFFFFFFFFFF7F67 +:10101000000000800100000000000000000000004F +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:1010D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF20 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-SRA-01.elf.hex b/VexRiscv/src/test/resources/hex/I-SRA-01.elf.hex new file mode 100644 index 0000000..43ccca1 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-SRA-01.elf.hex @@ -0,0 +1,64 @@ +:0200000480007A +:100000009710000093800000171100001301810277 +:1000100083A10000130210009302F0001303F0010B +:10002000930300001304000133D24140B3D2514086 +:1000300033D36140B3D3714033D4814023203100A6 +:10004000232241002324510023266100232871002C +:10005000232A810097100000938000FB17110000F5 +:100060001301C1FE03A40000930410001305F00067 +:100070009305F0011306000093060001B354944069 +:100080003355A440B355B4403356C440B356D4405E +:1000900023208100232291002324A1002326B100E4 +:1000A0002328C100232AD10097100000938000F676 +:1000B00017110000130101FB83A6000013071000B5 +:1000C0009307F0001308F0019308000013090001E2 +:1000D00033D7E640B3D7F64033D80641B3D81641FC +:1000E00033D926412320D1002322E1002324F1002B +:1000F0002326010123281101232A210117160000BC +:10010000130606F197160000938646F703290600AA +:1001100093091000130AF000930AF001130B00007A +:10012000930B0001B3593941335A4941B35A5941EC +:10013000335B6941B35B794123A0260123A23601D9 +:1001400023A4460123A6560123A8660123AA76010B +:1001500017160000130606EC97160000938686F328 +:10016000832B0600130C1000930CF000130DF0010C +:10017000930D0000130E000133DC8B41B3DC9B4177 +:1001800033DDAB41B3DDBB4133DECB4123A0760190 +:1001900023A2860123A4960123A6A60123A8B601C3 +:1001A00023AAC601971C0000938C0CE7171D0000C2 +:1001B000130DCDEF03AE0C00930D1000B35EBE41E6 +:1001C00033DFBE41B35FBF41B3D0BF4133D1B04194 +:1001D000B351B1412320CD012322DD012324ED01C0 +:1001E0002326FD0123281D00232A2D00232C3D005A +:1001F00097100000938080E2171100001301C1ECFA +:1002000083A20000930D100033D0B24123200100DF +:10021000971000009380C0E017110000130101EB5C +:1002200083A20000930D100033D0B241B352B0410D +:10023000232001002322510097100000938080DECC +:1002400017110000130101E983A10000370200101B +:10025000130202FEB7020010938212FE3703001051 +:100260001303F3FEB70300109383F3FF33D241402F +:10027000B3D2514033D36140B3D371402320410006 +:10028000232251002324610023267100171500004A +:10029000130545DA971500009385C5E4370610F07D +:1002A0001306C6F26306B5028326C5002320D600D6 +:1002B000832685002320D600832645002320D600F0 +:1002C000832605002320D600130505016FF09FFD4E +:1002D000370510F0130505F223200500000000008B +:0402E000000000001A +:101000000000000001000000FFFFFFFFFFFFFF7F67 +:101010000000008010EFCDAB7856341298BADCFE99 +:101020002143658700000000000000000000000070 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:1010D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF20 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-SRAI-01.elf.hex b/VexRiscv/src/test/resources/hex/I-SRAI-01.elf.hex new file mode 100644 index 0000000..d21d270 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-SRAI-01.elf.hex @@ -0,0 +1,50 @@ +:0200000480007A +:100000009710000093800000171100001301810178 +:1000100083A1000013D2114093D2F14013D3F141D8 +:1000200093D3014013D40141232031002322410006 +:10003000232451002326610023287100232A8100F4 +:1000400097100000938040FC17110000130101FF7E +:1000500003A40000935414401355F4409355F44105 +:10006000135604409356044123208100232291001B +:100070002324A1002326B1002328C100232AD10074 +:1000800097100000938080F817110000130181FC85 +:1000900083A6000013D7164093D7F64013D8F64135 +:1000A00093D8064013D906412320D1002322E10032 +:1000B0002324F1002326010123281101232A2101F1 +:1000C000171600001306C6F497160000938606FA6A +:1000D0000329060093591940135AF940935AF941DC +:1000E000135B0940935B094123A0260123A236013B +:1000F00023A4460123A6560123A8660123AA76015C +:1001000017160000130606F197160000938686F76F +:10011000832B060013DC1B4093DCFB4013DDFB410B +:1001200093DD0B4013DE0B4123A0760123A2860151 +:1001300023A4960123A6A60123A8B60123AAC601DB +:10014000171D0000130D4DED971D0000938D0DF54B +:10015000032E0D00935E1E4013DF1E40935F1F4071 +:1001600093D01F4013D110409351114023A0CD01D3 +:1001700023A2DD0123A4ED0123A6FD0123A81D0078 +:1001800023AA2D0023AC3D0097100000938000E9C6 +:1001900017110000130141F283A2000013D0124096 +:1001A0002320010097100000938080E717110000C2 +:1001B0001301C1F083A2000013D0124093521040EB +:1001C000232001002322510017150000130585E5A7 +:1001D00097150000938505EF370610F01306C6F259 +:1001E0006306B5028326C5002320D600832685003A +:1001F0002320D600832645002320D6008326050031 +:100200002320D600130505016FF09FFD370510F080 +:10021000130505F223200500000000000000000087 +:0402200000000000DA +:101000000000000001000000FFFFFFFFFFFFFF7F67 +:101010000000008010EFCDAB7856341298BADCFE99 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-SRL-01.elf.hex b/VexRiscv/src/test/resources/hex/I-SRL-01.elf.hex new file mode 100644 index 0000000..a57abae --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-SRL-01.elf.hex @@ -0,0 +1,64 @@ +:0200000480007A +:100000009710000093800000171100001301810277 +:1000100083A10000130210009302F0001303F0010B +:10002000930300001304000133D24100B3D2510006 +:1000300033D36100B3D3710033D481002320310066 +:10004000232241002324510023266100232871002C +:10005000232A810097100000938000FB17110000F5 +:100060001301C1FE03A40000930410001305F00067 +:100070009305F0011306000093060001B3549400A9 +:100080003355A400B355B4003356C400B356D4005E +:1000900023208100232291002324A1002326B100E4 +:1000A0002328C100232AD10097100000938000F676 +:1000B00017110000130101FB83A6000013071000B5 +:1000C0009307F0001308F0019308000013090001E2 +:1000D00033D7E600B3D7F60033D80601B3D81601FC +:1000E00033D926012320D1002322E1002324F1006B +:1000F0002326010123281101232A210117160000BC +:10010000130606F197160000938646F703290600AA +:1001100093091000130AF000930AF001130B00007A +:10012000930B0001B3593901335A4901B35A5901AC +:10013000335B6901B35B790123A0260123A2360159 +:1001400023A4460123A6560123A8660123AA76010B +:1001500017160000130606EC97160000938686F328 +:10016000832B0600130C1000930CF000130DF0010C +:10017000930D0000130E000133DC8B01B3DC9B01F7 +:1001800033DDAB01B3DDBB0133DECB0123A0760150 +:1001900023A2860123A4960123A6A60123A8B601C3 +:1001A00023AAC601971C0000938C0CE7171D0000C2 +:1001B000130DCDEF03AE0C00930D1000B35EBE0126 +:1001C00033DFBE01B35FBF01B3D0BF0133D1B00194 +:1001D000B351B1012320CD012322DD012324ED0100 +:1001E0002326FD0123281D00232A2D00232C3D005A +:1001F00097100000938080E2171100001301C1ECFA +:1002000083A20000930D100033D0B201232001001F +:10021000971000009380C0E017110000130101EB5C +:1002200083A20000930D100033D0B201B352B0018D +:10023000232001002322510097100000938080DECC +:1002400017110000130101E983A10000370200101B +:10025000130202FEB7020010938212FE3703001051 +:100260001303F3FEB70300109383F3FF33D241006F +:10027000B3D2510033D36100B3D3710023204100C6 +:10028000232251002324610023267100171500004A +:10029000130545DA971500009385C5E4370610F07D +:1002A0001306C6F26306B5028326C5002320D600D6 +:1002B000832685002320D600832645002320D600F0 +:1002C000832605002320D600130505016FF09FFD4E +:1002D000370510F0130505F223200500000000008B +:0402E000000000001A +:101000000000000001000000FFFFFFFFFFFFFF7F67 +:101010000000008010EFCDAB7856341298BADCFE99 +:101020002143658700000000000000000000000070 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:1010D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF20 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-SRLI-01.elf.hex b/VexRiscv/src/test/resources/hex/I-SRLI-01.elf.hex new file mode 100644 index 0000000..b4203fc --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-SRLI-01.elf.hex @@ -0,0 +1,50 @@ +:0200000480007A +:100000009710000093800000171100001301810178 +:1000100083A1000013D2110093D2F10013D3F10198 +:1000200093D3010013D40101232031002322410086 +:10003000232451002326610023287100232A8100F4 +:1000400097100000938040FC17110000130101FF7E +:1000500003A40000935414001355F4009355F401C5 +:10006000135604009356040123208100232291009B +:100070002324A1002326B1002328C100232AD10074 +:1000800097100000938080F817110000130181FC85 +:1000900083A6000013D7160093D7F60013D8F601F5 +:1000A00093D8060013D906012320D1002322E100B2 +:1000B0002324F1002326010123281101232A2101F1 +:1000C000171600001306C6F497160000938606FA6A +:1000D0000329060093591900135AF900935AF9019C +:1000E000135B0900935B090123A0260123A23601BB +:1000F00023A4460123A6560123A8660123AA76015C +:1001000017160000130606F197160000938686F76F +:10011000832B060013DC1B0093DCFB0013DDFB01CB +:1001200093DD0B0013DE0B0123A0760123A28601D1 +:1001300023A4960123A6A60123A8B60123AAC601DB +:10014000171D0000130D4DED971D0000938D0DF54B +:10015000032E0D00935E1E0013DF1E00935F1F0031 +:1001600093D01F0013D110009351110023A0CD0193 +:1001700023A2DD0123A4ED0123A6FD0123A81D0078 +:1001800023AA2D0023AC3D0097100000938000E9C6 +:1001900017110000130141F283A2000013D01200D6 +:1001A0002320010097100000938080E717110000C2 +:1001B0001301C1F083A2000013D01200935210006B +:1001C000232001002322510017150000130585E5A7 +:1001D00097150000938505EF370610F01306C6F259 +:1001E0006306B5028326C5002320D600832685003A +:1001F0002320D600832645002320D6008326050031 +:100200002320D600130505016FF09FFD370510F080 +:10021000130505F223200500000000000000000087 +:0402200000000000DA +:101000000000000001000000FFFFFFFFFFFFFF7F67 +:101010000000008010EFCDAB7856341298BADCFE99 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-SUB-01.elf.hex b/VexRiscv/src/test/resources/hex/I-SUB-01.elf.hex new file mode 100644 index 0000000..9085969 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-SUB-01.elf.hex @@ -0,0 +1,65 @@ +:0200000480007A +:100000009710000093800000171100001301810277 +:1000100083A1000013020000930210001303F0FFFD +:10002000B70300809383F3FF37040080338241409D +:10003000B382514033836140B38371403384814044 +:100040002320310023224100232451002326610074 +:1000500023287100232A8100971000009380C0FAA2 +:1000600017110000130181FE03A400009304000097 +:10007000130510009305F0FF370600801306F6FF06 +:10008000B7060080B30494403305A440B305B440E0 +:100090003306C440B306D4402320810023229100BC +:1000A0002324A1002326B1002328C100232AD10044 +:1000B00097100000938080F517110000130181FA5A +:1000C00083A6000013070000930710001308F0FF39 +:1000D000B70800809388F8FF370900803387E6402F +:1000E000B387F64033880641B388164133892641E9 +:1000F0002320D1002322E1002324F1002326010143 +:1001000023281101232A210197100000938040F039 +:1001100017110000130181F603A9000093090000E4 +:10012000130A1000930AF0FF370B0080130BFBFF3C +:10013000B70B0080B3093941330A4941B30A594129 +:10014000330B6941B30B7941232021012322310173 +:10015000232441012326510123286101232A71010F +:1001600097100000938000EB17110000130181F23B +:1001700083AB0000130C0000930C1000130DF0FF74 +:10018000B70D0080938DFDFF370E0080338C8B41BF +:10019000B38C9B41338DAB41B38DBB41338ECB418F +:1001A0002320710123228101232491012326A1010F +:1001B0002328B101232AC101971C0000938CCCE5B0 +:1001C000171D0000130D8DEE03AE0C00930D1000F3 +:1001D000B30EBE41338FBE41B30FBF41B380BF41A9 +:1001E0003381B041B301B1412320BD012322CD01B0 +:1001F0002324DD012326ED012328FD01232A1D00F0 +:10020000232C2D00232E3D0097100000938000E149 +:1002100017110000130181EB03AE0000B79DFFF73B +:10022000938D8D813300BE41232001009710000083 +:10023000938000DF17110000130181E903AE000075 +:10024000B79DFFF7938D8D813300BE41B30200400F +:10025000232001002322510097100000938080DCAE +:1002600017110000130141E783A100003382014010 +:10027000B30202403303504033070340B307074043 +:1002800033880740B30C0041330D9041B30D0D404E +:10029000232041002322A1012324B10117150000CE +:1002A000130545D9971500009385C5E3370610F06F +:1002B0001306C6F26306B5028326C5002320D600C6 +:1002C000832685002320D600832645002320D600E0 +:1002D000832605002320D600130505016FF09FFD3E +:1002E000370510F0130505F223200500000000007B +:0402F000000000000A +:101000000000000001000000FFFFFFFFFFFFFF7F67 +:1010100000000080CDAB00007856341298BADCFE98 +:10102000145892360000000000000000000000008C +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:1010D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF20 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-SW-01.elf.hex b/VexRiscv/src/test/resources/hex/I-SW-01.elf.hex new file mode 100644 index 0000000..7cca4e1 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-SW-01.elf.hex @@ -0,0 +1,34 @@ +:0200000480007A +:10000000971000009380000137F1F11113012122B4 +:1000100023A020009712000093821200B74C33F304 +:10002000938C4C4FA3AF92FF171400001304F4FEFF +:10003000B7FFF555938F6F66A320F4019715000065 +:100040009385057E379677F71306868F23A0C580A4 +:10005000170700001307177DB71709999387A7AAF9 +:10006000A32FF77E97180000938848FC37D9BB0B65 +:100070001309C9C0B7190DDD9389E9EE370AFF0FDF +:10008000130A0A0F23AE28FF23A0380123A2480138 +:10009000171B0000130B0BFA375034121300806744 +:1000A00023200B00971A0000938ACAF5B739221152 +:1000B0009389493483AB0A0023A03B01971B0000BE +:1000C000938B8BF4171C0000130C4CF783AC0B00C4 +:1000D00023209C01171D0000130D8DF6B73C5476AC +:1000E000938C0C2123209D01930C0000171E00000F +:1000F000130E4EF5B7DDAB89938DFDDE2320BE01D7 +:10010000130ECEFF971E0000938E0EF4B76D72147F +:10011000938D6D8323A0BE0103AF0E0023A2EE01D9 +:10012000971000009380C0F23751389613011120C8 +:10013000B75181259381319623A0200023A0300060 +:1001400017150000130505ED97150000938585F040 +:10015000370610F01306C6F26306B5028326C50003 +:100160002320D600832685002320D6008326450041 +:100170002320D600832605002320D6001305050181 +:100180006FF09FFD370510F0130505F223200500E1 +:04019000000000006B +:1010000034100080F0DEBC9A0000000000000000F8 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-XOR-01.elf.hex b/VexRiscv/src/test/resources/hex/I-XOR-01.elf.hex new file mode 100644 index 0000000..71e033d --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-XOR-01.elf.hex @@ -0,0 +1,66 @@ +:0200000480007A +:100000009710000093800000171100001301810277 +:1000100083A1000013020000930210001303F0FFFD +:10002000B70300809383F3FF3704008033C241009D +:10003000B3C2510033C36100B3C3710033C4810044 +:100040002320310023224100232451002326610074 +:1000500023287100232A8100971000009380C0FAA2 +:1000600017110000130181FE03A400009304000097 +:10007000130510009305F0FF370600801306F6FF06 +:10008000B7060080B34494003345A400B345B400E0 +:100090003346C400B346D4002320810023229100BC +:1000A0002324A1002326B1002328C100232AD10044 +:1000B00097100000938080F517110000130181FA5A +:1000C00083A6000013070000930710001308F0FF39 +:1000D000B70800809388F8FF3709008033C7E6002F +:1000E000B3C7F60033C80601B3C8160133C92601E9 +:1000F0002320D1002322E1002324F1002326010143 +:1001000023281101232A210197100000938040F039 +:1001100017110000130181F603A9000093090000E4 +:10012000130A1000930AF0FF370B0080130BFBFF3C +:10013000B70B0080B3493901334A4901B34A590129 +:10014000334B6901B34B7901232021012322310173 +:10015000232441012326510123286101232A71010F +:1001600097100000938000EB17110000130181F23B +:1001700083AB0000130C0000930C1000130DF0FF74 +:10018000B70D0080938DFDFF370E008033CC8B01BF +:10019000B3CC9B0133CDAB01B3CDBB0133CECB018F +:1001A0002320710123228101232491012326A1010F +:1001B0002328B101232AC101971C0000938CCCE5B0 +:1001C000171D0000130D8DEE03AE0C001302F00797 +:1001D0009302F0031303F0019303F0001304700083 +:1001E00093043000B34E4E0033CF5E00B34F6F0028 +:1001F000B3C07F0033C18000B341910023204D0084 +:100200002322CD012324DD012326ED012328FD0136 +:10021000232A1D00232C2D00232E3D0097100000C3 +:100220009380C0DF17110000130141EA03AE000004 +:10023000B79DFFF7938D8D813340BE0123200100D0 +:10024000971000009380C0DD17110000130141E8F2 +:1002500003AE0000B79DFFF7938D8D813340BE0143 +:10026000B342000023200100232251009710000018 +:10027000938040DB17110000130101E683A1000009 +:1002800033C20100B342020033435000334703003E +:10029000B347070033C80700B34C0001334D90014A +:1002A000B34D0D00232041002322A1012324B101DD +:1002B00017150000130505D897150000938585E2F2 +:1002C000370610F01306C6F26306B5028326C50092 +:1002D0002320D600832685002320D60083264500D0 +:1002E0002320D600832605002320D6001305050110 +:1002F0006FF09FFD370510F0130505F22320050070 +:0403000000000000F9 +:101000000000000001000000FFFFFFFFFFFFFF7F67 +:1010100000000080FFFFCDAB7856341298BADCFE9A +:10102000145892360000000000000000000000008C +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:1010D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF20 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/I-XORI-01.elf.hex b/VexRiscv/src/test/resources/hex/I-XORI-01.elf.hex new file mode 100644 index 0000000..4269ce4 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/I-XORI-01.elf.hex @@ -0,0 +1,56 @@ +:0200000480007A +:100000009710000093800000171100001301810277 +:1000100083A1000013C2110093C2F17F13C3F1FF4B +:1000200093C3010013C40180232031002322410027 +:10003000232451002326610023287100232A8100F4 +:1000400097100000938040FC17110000130101007D +:1000500003A40000934414001345F47F9345F4FF78 +:10006000134604009346048023208100232291003C +:100070002324A1002326B1002328C100232AD10074 +:1000800097100000938080F817110000130181FD84 +:1000900083A6000013C7160093C7F67F13C8F6FFA8 +:1000A00093C8060013C906802320D1002322E10053 +:1000B0002324F1002326010123281101232A2101F1 +:1000C000971000009380C0F417110000130101FB8A +:1000D00003A9000093491900134AF97F934AF9FFD5 +:1000E000134B0900934B0980232021012322310166 +:1000F000232441012326510123286101232A710170 +:1001000097100000938000F117110000130181F88F +:1001100083AB000013CC1B0093CCFB7F13CDFBFF04 +:1001200093CD0B0013CE0B8023207101232281017C +:10013000232491012326A1012328B101232AC101EF +:10014000171D0000130D4DED971D0000938D0DF64A +:10015000032E0D00934EFE0713CFFE03934FFF01B6 +:1001600093C0FF0013C170009341310023A0CD0163 +:1001700023A2DD0123A4ED0123A6FD0123A81D0078 +:1001800023AA2D0023AC3D0097100000938000E9C6 +:1001900017110000130141F383A2000013C01200E5 +:1001A0002320010097100000938080E717110000C2 +:1001B0001301C1F183A2000013C01200934210008A +:1001C000232001002322510097100000938080E536 +:1001D00017110000130101F083A1000013C20100F8 +:1001E0009342020013C30200134703009347070022 +:1001F00013C80700934C080013CD0C00934D0D005D +:1002000023203100232241002324A1012326B10110 +:1002100017150000130505E297150000938585EC7E +:10022000370610F01306C6F26306B5028326C50032 +:100230002320D600832685002320D6008326450070 +:100240002320D600832605002320D60013050501B0 +:100250006FF09FFD370510F0130505F22320050010 +:04026000000000009A +:101000000000000001000000FFFFFFFFFFFFFF7F67 +:1010100000000080FFFFCDAB7856341298BADCFE9A +:10102000145892360000000000000000000000008C +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:1010D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF20 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/MUL.elf.hex b/VexRiscv/src/test/resources/hex/MUL.elf.hex new file mode 100644 index 0000000..8c2cbdc --- /dev/null +++ b/VexRiscv/src/test/resources/hex/MUL.elf.hex @@ -0,0 +1,52 @@ +:0200000480007A +:1000000017110000130101001309000093080000FC +:10001000B308190323201101130A000093091000EB +:10002000B3093A0323223101130B0000930AF0FFB6 +:10003000B30A5B0323245101130C0000B70B0080AB +:10004000938BFBFFB30B7C0323267101130D000080 +:10005000B70C0080B30C9D032328910117110000F9 +:10006000130181FB130E1000930D0000B30DBE03AE +:100070002320B101130F1000930E1000B30EDF0305 +:100080002322D10193011000930FF0FFB38FF103EE +:100090002324F10113041000370200801302F2FF41 +:1000A000330244022326410093051000B704008068 +:1000B000B38495022328910017110000130101F762 +:1000C0009306F0FF130600003386C6022320C1000A +:1000D0009307F0FF130710003387E7022322E100A4 +:1000E0009308F0FF1308F0FF33880803232401016D +:1000F0009309F0FF370900801309F9FF33892903B9 +:1001000023262101930AF0FF370A0080338A4A032D +:100110002328410117110000130181F2B70B008061 +:10012000938BFBFF130B0000338B6B0323206101C8 +:10013000B70C0080938CFCFF130C1000338C8C03E5 +:1001400023228101B70D0080938DFDFF130DF0FF79 +:10015000338DAD032324A101B70E0080938EFEFFE3 +:10016000370E0080130EFEFF338ECE032326C1010F +:10017000B70F0080938FFFFF370F0080338FEF039F +:100180002328E101171100001301C1EC37020080A0 +:1001900093010000B301320223203100B704008034 +:1001A0001304100033848402232281003706008068 +:1001B0009305F0FFB305B6022324B1003707008092 +:1001C000B70600809386F6FFB306D7022326D10038 +:1001D00037080080B7070080B307F8022328F10032 +:1001E00017150000130505E297150000938585EEAD +:1001F000370610F01306C6F26306B5028326C50063 +:100200002320D600832685002320D60083264500A0 +:100210002320D600832605002320D60013050501E0 +:100220006FF09FFD370510F0130505F22320050040 +:0402300000000000CA +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFF000000000000000028 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/MULH.elf.hex b/VexRiscv/src/test/resources/hex/MULH.elf.hex new file mode 100644 index 0000000..b219406 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/MULH.elf.hex @@ -0,0 +1,52 @@ +:0200000480007A +:1000000017110000130101001309000093080000FC +:10001000B318190323201101130A000093091000DB +:10002000B3193A0323223101130B0000930AF0FFA6 +:10003000B31A5B0323245101130C0000B70B00809B +:10004000938BFBFFB31B7C0323267101130D000070 +:10005000B70C0080B31C9D032328910117110000E9 +:10006000130181FB130E1000930D0000B31DBE039E +:100070002320B101130F1000930E1000B31EDF03F5 +:100080002322D10193011000930FF0FFB39FF103DE +:100090002324F10113041000370200801302F2FF41 +:1000A000331244022326410093051000B704008058 +:1000B000B39495022328910017110000130101F752 +:1000C0009306F0FF130600003396C6022320C100FA +:1000D0009307F0FF130710003397E7022322E10094 +:1000E0009308F0FF1308F0FF33980803232401015D +:1000F0009309F0FF370900801309F9FF33992903A9 +:1001000023262101930AF0FF370A0080339A4A031D +:100110002328410117110000130181F2B70B008061 +:10012000938BFBFF130B0000339B6B0323206101B8 +:10013000B70C0080938CFCFF130C1000339C8C03D5 +:1001400023228101B70D0080938DFDFF130DF0FF79 +:10015000339DAD032324A101B70E0080938EFEFFD3 +:10016000370E0080130EFEFF339ECE032326C101FF +:10017000B70F0080938FFFFF370F0080339FEF038F +:100180002328E101171100001301C1EC37020080A0 +:1001900093010000B311320223203100B704008024 +:1001A0001304100033948402232281003706008058 +:1001B0009305F0FFB315B6022324B1003707008082 +:1001C000B70600809386F6FFB316D7022326D10028 +:1001D00037080080B7070080B317F8022328F10022 +:1001E00017150000130505E297150000938585EEAD +:1001F000370610F01306C6F26306B5028326C50063 +:100200002320D600832685002320D60083264500A0 +:100210002320D600832605002320D60013050501E0 +:100220006FF09FFD370510F0130505F22320050040 +:0402300000000000CA +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFF000000000000000028 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/MULHSU.elf.hex b/VexRiscv/src/test/resources/hex/MULHSU.elf.hex new file mode 100644 index 0000000..048d50b --- /dev/null +++ b/VexRiscv/src/test/resources/hex/MULHSU.elf.hex @@ -0,0 +1,52 @@ +:0200000480007A +:1000000017110000130101001309000093080000FC +:10001000B328190323201101130A000093091000CB +:10002000B3293A0323223101130B0000930AF0FF96 +:10003000B32A5B0323245101130C0000B70B00808B +:10004000938BFBFFB32B7C0323267101130D000060 +:10005000B70C0080B32C9D032328910117110000D9 +:10006000130181FB130E1000930D0000B32DBE038E +:100070002320B101130F1000930E1000B32EDF03E5 +:100080002322D10193011000930FF0FFB3AFF103CE +:100090002324F10113041000370200801302F2FF41 +:1000A000332244022326410093051000B704008048 +:1000B000B3A495022328910017110000130101F742 +:1000C0009306F0FF1306000033A6C6022320C100EA +:1000D0009307F0FF1307100033A7E7022322E10084 +:1000E0009308F0FF1308F0FF33A80803232401014D +:1000F0009309F0FF370900801309F9FF33A9290399 +:1001000023262101930AF0FF370A008033AA4A030D +:100110002328410117110000130181F2B70B008061 +:10012000938BFBFF130B000033AB6B0323206101A8 +:10013000B70C0080938CFCFF130C100033AC8C03C5 +:1001400023228101B70D0080938DFDFF130DF0FF79 +:1001500033ADAD032324A101B70E0080938EFEFFC3 +:10016000370E0080130EFEFF33AECE032326C101EF +:10017000B70F0080938FFFFF370F008033AFEF037F +:100180002328E101171100001301C1EC37020080A0 +:1001900093010000B321320223203100B704008014 +:1001A0001304100033A48402232281003706008048 +:1001B0009305F0FFB325B6022324B1003707008072 +:1001C000B70600809386F6FFB326D7022326D10018 +:1001D00037080080B7070080B327F8022328F10012 +:1001E00017150000130505E297150000938585EEAD +:1001F000370610F01306C6F26306B5028326C50063 +:100200002320D600832685002320D60083264500A0 +:100210002320D600832605002320D60013050501E0 +:100220006FF09FFD370510F0130505F22320050040 +:0402300000000000CA +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFF000000000000000028 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/MULHU.elf.hex b/VexRiscv/src/test/resources/hex/MULHU.elf.hex new file mode 100644 index 0000000..0093b3c --- /dev/null +++ b/VexRiscv/src/test/resources/hex/MULHU.elf.hex @@ -0,0 +1,52 @@ +:0200000480007A +:1000000017110000130101001309000093080000FC +:10001000B338190323201101130A000093091000BB +:10002000B3393A0323223101130B0000930AF0FF86 +:10003000B33A5B0323245101130C0000B70B00807B +:10004000938BFBFFB33B7C0323267101130D000050 +:10005000B70C0080B33C9D032328910117110000C9 +:10006000130181FB130E1000930D0000B33DBE037E +:100070002320B101130F1000930E1000B33EDF03D5 +:100080002322D10193011000930FF0FFB3BFF103BE +:100090002324F10113041000370200801302F2FF41 +:1000A000333244022326410093051000B704008038 +:1000B000B3B495022328910017110000130101F732 +:1000C0009306F0FF1306000033B6C6022320C100DA +:1000D0009307F0FF1307100033B7E7022322E10074 +:1000E0009308F0FF1308F0FF33B80803232401013D +:1000F0009309F0FF370900801309F9FF33B9290389 +:1001000023262101930AF0FF370A008033BA4A03FD +:100110002328410117110000130181F2B70B008061 +:10012000938BFBFF130B000033BB6B032320610198 +:10013000B70C0080938CFCFF130C100033BC8C03B5 +:1001400023228101B70D0080938DFDFF130DF0FF79 +:1001500033BDAD032324A101B70E0080938EFEFFB3 +:10016000370E0080130EFEFF33BECE032326C101DF +:10017000B70F0080938FFFFF370F008033BFEF036F +:100180002328E101171100001301C1EC37020080A0 +:1001900093010000B331320223203100B704008004 +:1001A0001304100033B48402232281003706008038 +:1001B0009305F0FFB335B6022324B1003707008062 +:1001C000B70600809386F6FFB336D7022326D10008 +:1001D00037080080B7070080B337F8022328F10002 +:1001E00017150000130505E297150000938585EEAD +:1001F000370610F01306C6F26306B5028326C50063 +:100200002320D600832685002320D60083264500A0 +:100210002320D600832605002320D60013050501E0 +:100220006FF09FFD370510F0130505F22320050040 +:0402300000000000CA +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFF000000000000000028 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/REM.elf.hex b/VexRiscv/src/test/resources/hex/REM.elf.hex new file mode 100644 index 0000000..74e7dd5 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/REM.elf.hex @@ -0,0 +1,52 @@ +:0200000480007A +:1000000017110000130101001309000093080000FC +:10001000B368190323201101130A0000930910008B +:10002000B3693A0323223101130B0000930AF0FF56 +:10003000B36A5B0323245101130C0000B70B00804B +:10004000938BFBFFB36B7C0323267101130D000020 +:10005000B70C0080B36C9D03232891011711000099 +:10006000130181FB130E1000930D0000B36DBE034E +:100070002320B101130F1000930E1000B36EDF03A5 +:100080002322D10193011000930FF0FFB3EFF1038E +:100090002324F10113041000370200801302F2FF41 +:1000A000336244022326410093051000B704008008 +:1000B000B3E495022328910017110000130101F702 +:1000C0009306F0FF1306000033E6C6022320C100AA +:1000D0009307F0FF1307100033E7E7022322E10044 +:1000E0009308F0FF1308F0FF33E80803232401010D +:1000F0009309F0FF370900801309F9FF33E9290359 +:1001000023262101930AF0FF370A008033EA4A03CD +:100110002328410117110000130181F2B70B008061 +:10012000938BFBFF130B000033EB6B032320610168 +:10013000B70C0080938CFCFF130C100033EC8C0385 +:1001400023228101B70D0080938DFDFF130DF0FF79 +:1001500033EDAD032324A101B70E0080938EFEFF83 +:10016000370E0080130EFEFF33EECE032326C101AF +:10017000B70F0080938FFFFF370F008033EFEF033F +:100180002328E101171100001301C1EC37020080A0 +:1001900093010000B361320223203100B7040080D4 +:1001A0001304100033E48402232281003706008008 +:1001B0009305F0FFB365B6022324B1003707008032 +:1001C000B70600809386F6FFB366D7022326D100D8 +:1001D00037080080B7070080B367F8022328F100D2 +:1001E00017150000130505E297150000938585EEAD +:1001F000370610F01306C6F26306B5028326C50063 +:100200002320D600832685002320D60083264500A0 +:100210002320D600832605002320D60013050501E0 +:100220006FF09FFD370510F0130505F22320050040 +:0402300000000000CA +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFF000000000000000028 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/REMU.elf.hex b/VexRiscv/src/test/resources/hex/REMU.elf.hex new file mode 100644 index 0000000..5bcc0e0 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/REMU.elf.hex @@ -0,0 +1,52 @@ +:0200000480007A +:1000000017110000130101001309000093080000FC +:10001000B378190323201101130A0000930910007B +:10002000B3793A0323223101130B0000930AF0FF46 +:10003000B37A5B0323245101130C0000B70B00803B +:10004000938BFBFFB37B7C0323267101130D000010 +:10005000B70C0080B37C9D03232891011711000089 +:10006000130181FB130E1000930D0000B37DBE033E +:100070002320B101130F1000930E1000B37EDF0395 +:100080002322D10193011000930FF0FFB3FFF1037E +:100090002324F10113041000370200801302F2FF41 +:1000A000337244022326410093051000B7040080F8 +:1000B000B3F495022328910017110000130101F7F2 +:1000C0009306F0FF1306000033F6C6022320C1009A +:1000D0009307F0FF1307100033F7E7022322E10034 +:1000E0009308F0FF1308F0FF33F8080323240101FD +:1000F0009309F0FF370900801309F9FF33F9290349 +:1001000023262101930AF0FF370A008033FA4A03BD +:100110002328410117110000130181F2B70B008061 +:10012000938BFBFF130B000033FB6B032320610158 +:10013000B70C0080938CFCFF130C100033FC8C0375 +:1001400023228101B70D0080938DFDFF130DF0FF79 +:1001500033FDAD032324A101B70E0080938EFEFF73 +:10016000370E0080130EFEFF33FECE032326C1019F +:10017000B70F0080938FFFFF370F008033FFEF032F +:100180002328E101171100001301C1EC37020080A0 +:1001900093010000B371320223203100B7040080C4 +:1001A0001304100033F484022322810037060080F8 +:1001B0009305F0FFB375B6022324B1003707008022 +:1001C000B70600809386F6FFB376D7022326D100C8 +:1001D00037080080B7070080B377F8022328F100C2 +:1001E00017150000130505E297150000938585EEAD +:1001F000370610F01306C6F26306B5028326C50063 +:100200002320D600832685002320D60083264500A0 +:100210002320D600832605002320D60013050501E0 +:100220006FF09FFD370510F0130505F22320050040 +:0402300000000000CA +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFF000000000000000028 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/debugPlugin.hex b/VexRiscv/src/test/resources/hex/debugPlugin.hex new file mode 100644 index 0000000..dc54d31 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/debugPlugin.hex @@ -0,0 +1,6 @@ +:0200000480007A +:100000009300A000130140019301E0017300100070 +:10001000B381200073001000938111009381A1002F +:080020009381410673001000FA +:04000005800000284F +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/debugPluginExternal.hex b/VexRiscv/src/test/resources/hex/debugPluginExternal.hex new file mode 100644 index 0000000..b727d9c --- /dev/null +++ b/VexRiscv/src/test/resources/hex/debugPluginExternal.hex @@ -0,0 +1,39 @@ +:100000006F008013130000001300000013000000B5 +:100010001300000013000000130000001300000094 +:1000200013000000130101F82320310023221100E6 +:10003000232421002326310023284100232A5100B4 +:10004000232C6100232E71002320810223229102A0 +:100050002324A1022326B1022328C102232AD1028C +:10006000232CE102232EF102232001052322110576 +:10007000232421052326310523284105232A510560 +:10008000232C6105232E710523208107232291074C +:100090002324A1072326B1072328C107232AD10738 +:1000A000232CE107232EF1071300000013000000AA +:1000B0001300000013000000832041008321C100D1 +:1000C0000322010183224101032381018323C10112 +:1000D0000324010283244102032581028325C102F6 +:1000E0000326010383264103032781038327C103DA +:1000F0000328010483284104032981048329C104BE +:10010000032A0105832A4105032B8105832BC105A1 +:10011000032C0106832C4106032D8106832DC10685 +:10012000032E0107832E4107032F8107832FC10769 +:1001300013010108130000001711000013010188CA +:10014000130540011300000013000000130000001D +:100150001305F5FFE31805FE170500401305C5F16B +:1001600097050040938545F16308B50023200500FD +:10017000130545006FF05FFF170500401305C5EF3D +:100180001301C1FF97050040938505EF630EB5008D +:1001900083260500130545002320A100E780060003 +:1001A000032501006FF01FFE1301410017030040FB +:0801B000E70043E56F000000C9 +:020000044000BA +:10000000130101FE232E8100130401029307100047 +:100010002324F4FE930720002322F4FE93073000EC +:100020002320F4FE032784FE832744FE3307F700D2 +:10003000832704FEB307F7002326F4FE8327C4FEBC +:10004000938717002326F4FE8327C4FE9387270097 +:100050002326F4FE0327C4FE832704FEB307F7001C +:100060002326F4FEB70700900327C4FE23A0E70071 +:040070006FF09FFD91 +:0400000300000138C0 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/dhrystoneO3.hex b/VexRiscv/src/test/resources/hex/dhrystoneO3.hex new file mode 100644 index 0000000..add90b2 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/dhrystoneO3.hex @@ -0,0 +1,917 @@ +:0200000480007A +:100000006F00000B1300000013000000130000003D +:100010001300000013000000130000001300000094 +:10002000232E11FE232C51FE232A61FE232871FE6C +:100030002326A1FE2324B1FE2322C1FE2320D1FECC +:10004000232EE1FC232CF1FC232A01FD232811FDA2 +:100050002326C1FD2324D1FD2322E1FD2320F1FD30 +:10006000130101FCEF00400B8320C10383228103B5 +:1000700003234103832301030325C1028325810256 +:1000800003264102832601020327C1018327810140 +:100090000328410183280101032EC100832E810022 +:1000A000032F4100832F010013010104730020304E +:1000B00097410000938101031771000013018185AE +:1000C0001385C18197650000938505446308B500D9 +:1000D00023200500130545006FF05FFF1745000062 +:1000E000130505801301C1FF973500009385457FF7 +:1000F000630EB50083260500130545002320A100EB +:10010000E7800600032501006FF01FFE1301410088 +:08011000EF20107F6F000000DA +:100118006780000003C75183930710046304F70046 +:10012800678000008327050003A7C1839387970092 +:10013800B387E7402320F5006780000003A64184C9 +:1001480063080600032706002320E50003A6418470 +:1001580083A5C1831306C6001305A0006F00D00F46 +:10016800130101FF2320210183A741842324810057 +:100178000324050003A707002322910083AE47004C +:1001880003AE870003A3070183A8470103A88701DB +:1001980083A5070203A6470283A687022326110028 +:1001A8009304050003A5C70183A7C7022320E40021 +:1001B80003A70400232EA4002326F4022322D4013B +:1001C800930750002324C40123286400232A140120 +:1001D800232C04012320B4022322C4022324D402A2 +:1001E80023A6F4002326F4002320E40013050400CA +:1001F800EFF0DFF4832744006380070883A7040037 +:100208008320C1000324810083AF070003AF4700A8 +:1002180083AE870003AEC70003A3070183A8470185 +:1002280003A8870183A5C70103A6070283A647027F +:1002380003A7870283A7C70223A0F40123A2E4012E +:1002480023A4D40123A6C40123A8640023AA14016B +:1002580023AC040123AEB40023A0C40223A2D40219 +:1002680023A4E40223A6F402032901008324410005 +:10027800130101016780000003A584009307600053 +:10028800930584002326F400EF00D01083A741844F +:100298000325C4001306C40083A707008320C100F8 +:1002A800832441002320F400032481000329010052 +:1002B8009305A000130101016F00007A83C75183E1 +:1002C80083A681839387F7FB93B71700B3E7D7001B +:1002D80023ACF18213072004238AE182678000009F +:1002E80013071004A38AE18223AC0182678000000F +:1002F800130101F613050003232E1108232C81088E +:10030800232C81072326B107232A910823282109B2 +:100318002326310923244109232251092320610975 +:10032800232E7107232A91072328A107EF00501FC6 +:1003380023A0A18413050003EF00901E83A7018466 +:1003480023A2A1842320F500930720002324F5008D +:10035800B7350080930780022326F5001306F001C5 +:10036800938545122322050013050501EF00D034BB +:10037800373700809307C768032EC76803A3470071 +:1003880083A8870003A8C70083A5070103A6470120 +:1003980083A6870103D7C70183C7E701B74D00804C +:1003A800231EE102230FF10213874D9F9307A0003C +:1003B8001305A000232EF7642320C1032322610222 +:1003C80023241103232601032328B102232AC1026F +:1003D800232CD102EF00D02C3735008013054514AB +:1003E800EF00D0271305A000EF00902B83A701830F +:1003F800638007623735008013054517EF00102624 +:100408001305A000EF00D029373500801305051D1E +:10041800EF0090131305A000EF00902837350080F7 +:100428009305800C13058520EF001012EF001028AB +:10043800373400808327C46A373D0080832BCD6C16 +:100448002324F10023A6A182930910001304C46A8F +:1004580093042000EFF0DFE8EFF05FE68347E40164 +:1004680003264401032E4400032384008328C40088 +:1004780003280401832684010357C401832E8100C5 +:10048800230FF104930501049307100013050102DB +:10049800232AC104232EF100232A91002320D10509 +:1004A8002322C105232461042326110523280105DD +:1004B800232CD104231EE104EF0000670326410129 +:1004C8001335150023ACA18263CAC4029317260012 +:1004D800B387C7009387D7FF13050600930530003D +:1004E80013068101232CF100EF0000570326410178 +:1004F80013061600232AC100E3DAC4FC832681010F +:100508001385C18493854D9FEF00005603A5418450 +:10051800930A1004130A3000EFF09FC403C7418305 +:1005280093070004930CCD6C63F4E70213850A006B +:1005380093053004EF00405D8327C10113871A003B +:100548006302F54683C74183937AF70FE3F057FFB9 +:100558008325410113050A0093891900EF2090278C +:10056800832A81012326A10093850A00EF20D02841 +:10057800130A050013054101232A4101EFF09FB931 +:100588009307900CE398F9ECEF00501223A4A18292 +:100598003735008013058523EF00500C1305A000A4 +:1005A800EF0010103735008013058524EF00100B7D +:1005B8001305A000EF00D00E83A5C1833735008056 +:1005C8001305052837340080EF00007893055000A4 +:1005D8001305C429EF00407783A581833735008050 +:1005E8001305852BEF004076930510001305C429E9 +:1005F800EF00807583C55183373500801305452D7D +:10060800EF008074B7340080930510041385042F1D +:10061800EF00807383C54183373500801305C530EB +:10062800EF008072930520041385042FEF00C0713A +:100638009387C18483A50702373500801305853267 +:10064800EF008070930570001305C429EF00C06F98 +:10065800B74700809387479F83A5C76537350080D4 +:1006680013054534EF00406E373500801305053615 +:10067800EF00C07E373500801305C538EF00007ED7 +:1006880083A74184B73D008013858D3983A5070072 +:10069800B73C0080B73B0080EF00006B3735008027 +:1006A8001305453BEF00807B83A7418413854C3EAF +:1006B800373B008083A54700B73900803739008071 +:1006C800EF008068930500001305C429EF00C06798 +:1006D80083A7418413850B4083A58700EF00C0667C +:1006E800930520001305C429EF00006683A7418401 +:1006F8001305CB4183A5C700EF00006593051001E2 +:100708001305C429EF00406483A5418413858943F8 +:1007180093850501EF00406313054945EF00007418 +:100728003735008013058548EF00407383A701849F +:1007380013858D3983A50700EF00006137350080E8 +:1007480013058549EF00807183A7018413854C3E0A +:1007580083A54700EF00405F930500001305C429F7 +:10076800EF00805E83A7018413850B4083A5870073 +:10077800EF00805D930510001305C429EF00C05CED +:1007880083A701841305CB4183A5C700EF00C05B95 +:10079800930520011305C429EF00005B83A501849C +:1007A8001385894393850501EF00005A13054945D0 +:1007B800EF00C06A83254101373500801305854D58 +:1007C800EF008058930550001305C429EF00C05767 +:1007D8008327C100373500801305454FB38A574139 +:1007E80093973A00B38A5741B3854A41EF00C05501 +:1007F8009305D0001305C429EF0000558325810116 +:100808003735008013050551EF000054930570003B +:100818001305C429EF0040538325C10137350080F3 +:100828001305C552EF004052930510001305C42963 +:10083800EF00805137350080930501021305855478 +:10084800EF0080503735008013054556EF000061F2 +:10085800373500809305010413058559EF00C04E14 +:10086800373500801305455BEF00405F1305A00096 +:10087800EF00006303A7C18283A581829307301F1D +:10088800B385E54023A2B18263D0B71A373500801B +:1008980013050564EF00404B03A54182EF200037A4 +:1008A80013040500EF20C048B747008003A6078E51 +:1008B80083A6478EEF108007B747008003A6878E70 +:1008C80083A6C78EEF009005EF20405723A0A18292 +:1008D800B747008003A5078F93050400EF10007445 +:1008E80023AEA1803735008013054565EF00C0456C +:1008F80003A54182EF208031B747008093050500AA +:1009080003A5478FEF10807113040500EF2000291D +:1009180093040500EF20802F9305050013050400BC +:10092800EF105060B747008083A5878FEF1090289D +:10093800EF20C02613040500373500809385040096 +:1009480013054568EF0040409307900063D0871077 +:10095800373500809305040013058568EF00C03E15 +:100968001305A000EF00C0538320C10903248109A7 +:1009780083244109032901098329C108032A81081D +:10098800832A4108032B0108832BC107032C810705 +:10099800832C4107032D0107832DC1061301010A8A +:1009A800678000009305C10113050000EF00801E59 +:1009B80003AE4C0003A38C0083A8CC0003A80C0151 +:1009C80003A54C0183A58C0103D6CC0103C7EC0118 +:1009D80083C74183938A1A00232071052322C10506 +:1009E800232461042326110523280105232AA104B1 +:1009F800232CB104231EC104230FE10423AE318349 +:100A080093FAFA0F138A0900E3F257B36FF05FB451 +:100A1800373500801305051AEF0040441305A00080 +:100A2800EF0000486FF05F9E373500801305855E44 +:100A3800EF00C0423735008013050562EF00004221 +:100A48001305A000EF00C0456FF01FF21305000367 +:100A5800EF0000456FF0DFEF13052500B305B50083 +:100A68002320B60067800000130101FE2326310110 +:100A780093095600232A910093942900232C81007E +:100A880023282101232E1100B30495001309060021 +:100A98001384050023AC340723A0D40023A2D40078 +:100AA800138509009305800CEF20C0521319290003 +:100AB800B3072501B307F40003A7070123AA3701E9 +:100AC80023AC37011307170023A8E70083A7040006 +:100AD8003304A400330424013716000033048600CD +:100AE800232AF4FA8320C10103248101130750004B +:100AF80023AEE18283244101032901018329C10036 +:100B080013010102678000001375F50F93F5F50FC7 +:100B18006306B5001305000067800000A38AA18260 +:100B28001305100067800000130101FF23248100D2 +:100B38002322910023261100130405009384050045 +:100B480083C5340003452400EFF01FFCE31A05FEBB +:100B58009385040013050400EF0080449307000008 +:100B68006358A0001307A00023AEE182930710008A +:100B78008320C100032481008324410013850700DA +:100B880013010101678000001305E5FF1335150007 +:100B980067800000130101FF2324810023229100B4 +:100BA800232611001304050093840500EFF0DFFDF0 +:100BB800630E050223A08400930710006300F40469 +:100BC8006304040413072000630CE4049307400043 +:100BD8006314F40023A0E4008320C10003248100EF +:100BE800832441001301010167800000930730004E +:100BF80023A0F40093071000E314F4FC03A7C183B7 +:100C08009307400663DAE7028320C10003248100CA +:100C180023A0040083244100130101016780000020 +:100C28008320C1000324810023A0F4008324410011 +:100C380013010101678000008320C10003248100A3 +:100C48009307300023A0F40083244100130101011D +:100C580067800000130101FF23248100232611006F +:100C68001304050003450500630A05001304140076 +:100C7800EF00002303450400E31A05FE8320C100AA +:100C88000324810013010101678000006F004021E7 +:100C9800130101FD2322910223261102232481023C +:100CA800232021039304050063440506130901006A +:100CB800130409006F004002EF20403C930705032E +:100CC80013041400138504009305A000A30FF4FE79 +:100CD800EF208032930405009305A00013850400DB +:100CE800E39C04FCE30A24FD1304F4FF0345040019 +:100CF800EFF0DFF9E31A24FF8320C1020324810205 +:100D080083244102032901021301010367800000C3 +:100D18001305D002EFF09FF7B30490406FF01FF96E +:100D280003A78184B307A7003765008023A4F18453 +:100D380013054510930600403305E50063D4F6001B +:100D48007300100067800000130101FB232C410190 +:100D58002326110223248102232291022320210326 +:100D6800232E3101232A5101130A050003450500EA +:100D78002322F10493074103232AB102232CC10241 +:100D8800232ED1022320E104232401052326110563 +:100D98002326F1006308050213040000930A500299 +:100DA800930430061309300793094006630E550370 +:100DB800EFF0DFED13041400B3078A0003C5070042 +:100DC800E31605FE8320C102032481028324410225 +:100DD800032901028329C101032A8101832A4101D0 +:100DE8001301010567800000930614003307DA0039 +:100DF8006F000001638C270363863705938616000E +:100E0800834707001384060013071700E38407FAD3 +:100E1800E39297FE8327C10003A507009387470045 +:100E28002326F100EFF09FE66FF0DFF88327C1007B +:100E380003A50700938747002326F100EFF09FE101 +:100E48006FF05FF78327C10003A50700938747006A +:100E58002326F100EFF0DFE36FF0DFF5130101FF68 +:100E680023248100232611001304050003450500EF +:100E7800630A050013041400EF0080020345040010 +:100E8800E31A05FE1305A000EF0080018320C100CE +:100E9800032481001305000013010101678000008D +:100EA800B70710F023A0A7F067800000B70710F07D +:100EB80003A507F167800000B3C7A50093F73700C3 +:100EC8003307C500639607009307300063E4C70241 +:100ED80093070500636CE5006780000083C6050082 +:100EE8009387170093851500A38FD7FEE3E8E7FEE5 +:100EF8006780000093773500639207089307050021 +:100F08009376C7FF138606FE63F6C70883A305001A +:100F180083A2450083AF850003AFC50083AE0501FA +:100F280003AE450103A3850183A8C5019385450246 +:100F38009387470203A8C5FF23AE77FC23A057FE7B +:100F480023A2F7FF23A4E7FF23A6D7FF23A8C7FF01 +:100F580023AA67FE23AC17FF23AE07FF6FF0DFFA63 +:100F680083C605009387170093851500A38FD7FEC6 +:100F780093F63700E39606FE6FF09FF89307050097 +:100F88006FF01FFF03A60500938747009385450070 +:100F980023AEC7FEE3E8D7FEE3EAE7F46780000084 +:100FA8003367B5009303F0FF13773700631007101A +:100FB800B7877F7F9387F7F70326050083A6050089 +:100FC800B372F6003363F600B382F200B3E2620054 +:100FD800639272106316D6080326450083A645005F +:100FE800B372F6003363F600B382F200B3E2620034 +:100FF800639E720C6316D6060326850083A68500B9 +:10100800B372F6003363F600B382F200B3E2620013 +:101018006398720C6316D6040326C50083A6C50020 +:10102800B372F6003363F600B382F200B3E26200F3 +:101038006392720C6316D6020326050183A6050186 +:10104800B372F6003363F600B382F200B3E26200D3 +:10105800639C720A1305450193854501E30ED6F496 +:101068001317060193970601631EF700135706012D +:1010780093D706013305F7409375F50F6390050282 +:10108800678000001357070193D707013305F7401E +:101098009375F50F63940500678000001377F70FC9 +:1010A80093F7F70F3305F740678000000346050004 +:1010B80083C6050013051500938515006314D60033 +:1010C800E31606FE3305D640678000001305450089 +:1010D80093854500E31CD6FC1305000067800000DB +:1010E8001305850093858500E312D6FC13050000DF +:1010F800678000001305C5009385C500E318D6FA7C +:10110800130500006780000013050501938505019C +:10111800E31ED6F81305000067800000130101FBE9 +:1011280023248104232C41033704100013DA4501DA +:1011380023202105232E3103232A5103232481034D +:101148001304F4FF232611042322910423286103A6 +:1011580023267103232291032320A103232EB10107 +:10116800137AFA7F13090500130C0600938A060008 +:101178003374B40093D9F50163060A0A9307F07F24 +:101188006304FA1013143400B70780003364F400C2 +:10119800135BD501336B8B0093143500130A1AC007 +:1011A800930B000013D54A01370910001309F9FF02 +:1011B8001375F57F3379590193050C0093DAFA0119 +:1011C800630205109307F07F6302F5163704800069 +:1011D80013193900336989001354DC01336424017D +:1011E80093153C00130515C09307000013972B00B7 +:1011F8003367F7001307F7FF9306E00033C9590177 +:10120800330AAA4063E0E616972600009386C64D87 +:10121800131727003307D700032707003307D70022 +:1012280067000700336BA400630E0B066300040419 +:1012380013050400EF109067930755FF1307C001CB +:10124800634CF702130BD001930485FF330BFB406B +:1012580033149400335B6901336B8B00B31499002A +:10126800130AD0C0330AAA406FF09FF3EF1010643E +:10127800130505026FF05FFC130485FD331B89001D +:10128800930400006FF0DFFD336BA40063040B02CE +:1012980093040500130B0400130AF07F930B30002E +:1012A8006FF05FF093040000130A0000930B100026 +:1012B8006FF05FEF93040000130AF07F930B200098 +:1012C8006FF05FEE33648901630E0406630009045E +:1012D80013050900EF10905D930755FF1307C00130 +:1012E800634EF7021304D001930585FF3304F440DD +:1012F8003319B90033548C0033642401B315BC008E +:101308001307D0C03305A7406FF01FEE13050C007C +:10131800EF10D059130505026FF01FFC130485FD6B +:1013280033148C00930500006FF09FFD336489012E +:1013380063020402130409001305F07F93073000C9 +:101348006FF0DFEA93050000130500009307100013 +:101358006FF0DFE9930500001305F07F9307200085 +:101368006FF0DFE86366640163128B4863E0B4489A +:101378009316FB0113D71400139CF401135B1B0095 +:10138800B3E4E6001314840093DC8501B3EC8C000D +:1013980093DA0C0193970C0193D70701139D8500ED +:1013A80013050B0093850A002322F100EF10504526 +:1013B80093050500930B050013950C0113550501C2 +:1013C800EF1050411304050093850A0013050B0024 +:1013D800EF1090471315050113D704013365A700D3 +:1013E80093890B00637E8500330595019389FBFF84 +:1013F80063689501637685009389EBFF3305950152 +:101408003304854093850A0013050400EF10503F0C +:1014180093050500930B050013950C011355050161 +:10142800EF10503B130B050093850A0013050400C9 +:10143800EF109041939D04011315050193DD0D01F3 +:10144800B3EDAD0013870B0063FE6D01B38D9D01F5 +:101458001387FBFF63E89D0163F66D011387EBFFBC +:10146800B38D9D0193960901B7040100B3E6E60028 +:10147800B38D6D41138BF4FF33F76601337B6D0138 +:101488001305070093050B0013D406012326D1008A +:101498002324E100EF1010342322A10093050B0050 +:1014A80013050400EF101033935B0D019309050039 +:1014B80093850B0013050400EF10D031032781003A +:1014C8001304050013850B0093050700EF109030F7 +:1014D80003264100330535018326C1001357060151 +:1014E8003307A700637437013304940037050100FC +:1014F8001305F5FF93540701B379A70093990901E0 +:101508003376A600B3848400B389C90063E89D00DC +:101518001384060063949D0463723C05330CAC018C +:101528003337AC0133079701B38DED001384F6FF11 +:1015380063E6BC016394BC036362AC0363E69D008D +:10154800639EB401637C3C01330CAC013337AC01BE +:10155800330797011384E6FFB38DED00B3093C41CF +:10156800B3849D40B3373C01B384F4409305F0FF46 +:1015780063889C1A93850A0013850400EF1050288D +:10158800930505002324A10013950C0113550501AB +:10159800EF1050242322A10093850A00138504002C +:1015A800EF10902A832681000327410013150501B7 +:1015B80093D7090133E5A700938D0600637EE50004 +:1015C80033059501938DF6FF636895016376E50011 +:1015D800938DE6FF33059501B304E54093850A0032 +:1015E80013850400EF10D021930505002322A100E4 +:1015F80013950C0113550501EF10D01D130C0500B0 +:1016080093850A0013850400EF10102493990901AB +:10161800032741001315050193D9090133E5A900F2 +:1016280093070700637E8501330595019307F7FF4C +:1016380063689501637685019307E7FF3305950194 +:1016480093940D01B3E4F4009397040193D7070131 +:1016580093050B00B3098541138507002322F10088 +:1016680093DD0401EF10101793050B00930A050092 +:1016780013850D00EF101016130C050093850D004F +:1016880013850B00EF10101583274100130B05007D +:1016980013850B0093850700EF10D01333058501E0 +:1016A80093D70A013385A70063768501B707010040 +:1016B800330BFB00B70601009386F6FF935705012D +:1016C8003377D50013170701B3FADA00B387670138 +:1016D8003307570163E8F900938504006390F90420 +:1016E8006300070433853C019385F4FF6364950325 +:1016F8006366F5006314F5026370ED0293161D002E +:1017080033BDA601B30C9D019385E4FF3305950114 +:10171800138D06006314F5006304A70193E5150013 +:101728001307FA3F6352E01293F7750063800702CC +:1017380093F7F50093064000638AD7009386450027 +:10174800B3B5B6003304B40093850600931774004C +:1017580063DA0700B70700FF9387F7FF3374F400D5 +:1017680013070A409307E07F63C2E71A9317D4016F +:1017780093D53500B3E7B70013543400B70610000B +:101788009386F6FF3374D400B70610801377F77F7B +:101798009386F6FF131747013374D4001319F90120 +:1017A8003364E400336724018320C10403248104E3 +:1017B80083244104032901048329C103032A8103E3 +:1017C800832A4103032B0103832BC102032C8102CB +:1017D800832C4102032D0102832DC10113850700CB +:1017E800930507001301010567800000130AFAFF3B +:1017F800130C00006FF01FB91389090013040B00C4 +:101808009385040093870B00130720006380E7107B +:10181800130730006382E70E13071000E392E7F026 +:1018280013040000930700006F00400913890A00A1 +:101838006FF09FFD370408009305000013090000AE +:10184800930730006FF05FFC93061000B386E64004 +:1018580093078003E3C6D7FC9307F00163C4D70658 +:10186800130AEA41B317440133D7D500339A450127 +:10187800B3E7E700333A4001B3E747013354D400F4 +:1018880013F777006300070213F7F7009306400089 +:10189800630AD70013874700B337F7003304F4000F +:1018A8009307070013178400634A07061317D40128 +:1018B80093D73700B367F7001354340013070000B9 +:1018C8006FF0DFEB930710FEB387E74013070002C2 +:1018D800B357F400130500006386E600130AEA43D1 +:1018E80033154401336AB500333A4001B3E7470181 +:1018F800130400006FF0DFF83704080093070000B6 +:101908001307F07F130900006FF05FE7130400006E +:10191800930700001307F07F6FF05FE613040000E1 +:1019280093070000130710006FF05FE5130101FA39 +:10193800232C8104232631053704100093D945014F +:10194800232A910423206105232E7103232C81036C +:101958001304F4FF232E1104232821052324410511 +:1019680023225105232A91032328A1032326B10307 +:1019780093F9F97F93040500930B0600138C060076 +:101988003374B40013DBF5016388090A9307F07F09 +:101998006386F91037098000131434003364240176 +:1019A8001359D50133698900131D3500938919C06E +:1019B800930C000013554C01370A1000130AFAFF64 +:1019C8001375F57F337A8A0193840B00135CFC014D +:1019D800630405109307F07F6304F516370480004D +:1019E800131A3A00336A8A0013D4DB0133644401C2 +:1019F80093943B00130515C09307000013972C0020 +:101A08003367F700B389A9001307F7FF9306E000CF +:101A1800B34B8B01938A190063E0E6169726000002 +:101A2800938646D0131727003307D70003270700EC +:101A38003307D700670007003369A400630E09065F +:101A48006300040413050400EF104066930755FF74 +:101A58001307C001634CF7021309D001130D85FF6A +:101A68003309F9403314A40133D9240133698900B7 +:101A7800339DA4019309D0C0B389A9406FF05FF3E7 +:101A8800EF10C062130505026FF05FFC130985FDB6 +:101A980033992401130D00006FF0DFFD3369A400B2 +:101AA80063040902130D0500130904009309F07F6C +:101AB800930C30006FF01FF0130D00009309000025 +:101AC800930C10006FF01FEF130D00009309F07FC7 +:101AD800930C20006FF01FEE33647A01630E040646 +:101AE80063000A0413050A00EF10405C930755FFD2 +:101AF8001307C001634EF7021304D001930485FF56 +:101B08003304F440331A9A0033D48B00336444010D +:101B1800B3949B009307D0C03385A7406FF0DFEDE7 +:101B280013850B00EF108058130505026FF01FFC9A +:101B3800130485FD33948B00930400006FF09FFD20 +:101B480033647A016302040213040A001305F07F68 +:101B5800930730006FF09FEA93040000130500001C +:101B6800930710006FF09FE9930400001305F07FBE +:101B7800930720006FF09FE837070100130AF7FF6B +:101B8800135C0D0193DD0401337D4D01B3F4440171 +:101B980093050D00138504002328E100EF1080430E +:101BA800930C05009385040013050C00EF10804288 +:101BB8002326A10093850D0013050C00EF1080412A +:101BC800130B050093050D0013850D00EF108040E1 +:101BD8008326C10093D70C013305D5003385A700B0 +:101BE8006376D50003270101330BEB0093560501FB +:101BF80033754501B3FC4C0113150501B307950175 +:101C0800935C04013374440193050D00130504002B +:101C1800232AD1002326F100EF10C03B2328A1007E +:101C28009305040013050C00EF10C03A130A0500D1 +:101C380093850C0013050C00EF10C039130C050038 +:101C480093050D0013850C00EF10C0380327010120 +:101C58003305450183264101935707013385A700C2 +:101C680063764501B7070100330CFC003706010015 +:101C780093570501338C87019307F6FF337AF500F4 +:101C88003377F700131A0A01135D0901330AEA00D2 +:101C98003379F90033874601930509001385040059 +:101CA8002328E100232EC100EF10C03293850400E1 +:101CB800232CA10013050D00EF10C031232AA10029 +:101CC80093050D0013850D00EF10C0309304050037 +:101CD8009305090013850D00EF10C02F83264101DD +:101CE800032781013305D500935707013385A700E2 +:101CF8006376D5000326C101B384C400B70601008A +:101D08009387F6FF935D0501B3849D00B37DF500CD +:101D18003377F7009305090013050400939D0D011F +:101D2800B38DED00232CD100EF10C02A93050400D9 +:101D3800232AA10013050D00EF10C02993050D00FB +:101D48001304050013850C00EF10C028130D0500BF +:101D58009305090013850C00EF10C02703274101E4 +:101D680033058500935707013385A70063768500FF +:101D780083268101330DDD0083270101B7060100A9 +:101D88009386F6FF330BFB00B377D5003377D70084 +:101D980093970701B387E700333A4B01B38787016D +:101DA80033844701330BBB0133079400B33DBB01B8 +:101DB800B306B70133BC8701333444019357050197 +:101DC8003337970033648C00B3BDB6013304F40095 +:101DD800B36DB7013304B4013304A40193D776017A +:101DE800131494003364F4008327C10093149B00F8 +:101DF800135B7B01B3E4F400B3349000939796002F +:101E0800B3E46401B3E4F4009317740063D20712D7 +:101E180093D7140093F41400B3E497009317F401D4 +:101E2800B3E4F400135414001387FA3F6356E01028 +:101E380093F774006380070293F7F4009306400059 +:101E4800638AD70093874400B3B49700330494009F +:101E5800938407009317740063DA0700B70700FF3D +:101E68009387F7FF3374F40013870A409307E07FE2 +:101E780063C6E71893DA34009314D401B3E4540129 +:101E880013543400B70710009387F7FF3374F40036 +:101E98009377F77F370710801307F7FF939747016A +:101EA8003374E400939BFB013364F400B36774015B +:101EB8008320C10503248105138504000329010536 +:101EC800832441058329C104032A8104832A410408 +:101ED800032B0104832BC103032C8103832C4103AF +:101EE800032D0103832DC102938507001301010609 +:101EF80067800000930B0B001304090093040D0086 +:101F080093870C0013072000638AE70E130730003D +:101F1800638CE70C13071000E398E7F01304000044 +:101F2800930400006F008008930B0C006FF09FFD76 +:101F3800938A09006FF05FEF93061000B386E640BE +:101F480093078003E3CCD7FC9307F00163C4D7065B +:101F5800938AEA41B317540133D7D400B394540198 +:101F6800B3E7E700B3349000B3E497003354D400E8 +:101F780093F774006380070293F7F4001307400097 +:101F8800638AE70093874400B3B49700330494004E +:101F9800938407009317840063CA07069317D40134 +:101FA80093D43400B3E497001354340013070000AB +:101FB8006FF05FED930710FEB387E740130600024A +:101FC800B357F400130700006386C600938AEA43F8 +:101FD80033175401B3649700B3349000B3E4970007 +:101FE800130400006FF0DFF83704080093040000C2 +:101FF8001307F07F930B00006FF0DFE81304000075 +:10200800930400001307F07F6FF0DFE7130400006C +:1020180093040000130710006FF0DFE6130101FDC1 +:1020280023229102232A510193547501B70A800093 +:102038002320210323286101938AFAFF2326110212 +:1020480023248102232E3101232C410123267101EF +:102058002324810193F4F40F138B0500B3FAAA002B +:102068001359F501638804089307F00F6384F40A91 +:10207800939A3A00B7070004B3EAFA00938414F875 +:10208800930B000013557B01370480001304F4FF01 +:102098001375F50F33746401135BFB01630C0508BA +:1020A8009307F00F6308F50A13143400B707000408 +:1020B8003364F400130515F89307000013972B00F9 +:1020C8003367F7001307F7FF9306E000B349690188 +:1020D800338AA44063E0E60A9716000093864668B0 +:1020E800131727003307D700032707003307D70044 +:1020F80067000700638A0A0213850A00EF00107B55 +:102108009307B5FF9304A0F8B39AFA00B384A440E8 +:102118006FF01FF79304F00F930B2000E3840AF687 +:10212800930B30006FF01FF693040000930B100020 +:102138006FF05FF5630A040213050400EF001077DF +:102148009307B5FF3314F4009307A0F83385A7402D +:102158006FF09FF61305F00F93072000E30004F6D5 +:10216800930730006FF09FF51305000093071000E8 +:102178006FF0DFF4131B540063F68A12130AFAFF98 +:1021880013090000935B0B013704010093850B00D2 +:102198001304F4FF13850A00EF00906633748B0074 +:1021A80093050500130C050013050400EF00906269 +:1021B8009304050093850B0013850A00EF00D0688F +:1021C80013590901131505013365A90013090C00FA +:1021D800637E9500330565011309FCFF636865019B +:1021E800637695001309ECFF33056501B304954048 +:1021F80093850B0013850400EF009060930505009C +:10220800130C050013050400EF00D05C930A0500C9 +:1022180093850B0013850400EF0010631315050167 +:1022280013040C00637E5501330565011304FCFF9C +:1022380063686501637655011304ECFF3305650196 +:102248001319090133055541336989003335A00055 +:102258003364A9001307FA076350E00A9377740000 +:10226800638A07009377F400930640006384D700DD +:10227800130444009317440063DA0700B70700F813 +:102288009387F7FF3374F40013070A089307E00FE6 +:102298001354340063D2E70C130400001307F00F43 +:1022A8006F00800B1399FA0193DA1A006FF09FED13 +:1022B8009309090013840A0093870B001307200071 +:1022C800E38CE7FC130730006382E708130710006C +:1022D800E392E7F813040000130700006F00C0073B +:1022E80093090B006FF09FFD37044000930900002D +:1022F800930730006FF09FFC93071000B387E74007 +:102308001307B001E348F7FC1305EA09B357F400D3 +:102318003314A4003334800033E4870093777400C7 +:10232800638A07009377F400130740006384E7008B +:10233800130444009317540013543400E3DE07F8E1 +:1023480013040000130710006F0000013704400059 +:102358001307F00F93090000370580001305F5FFF8 +:102368003374A400370580801305F5FF1377F70F42 +:102378003374A400131777011395F9013364E4004B +:102388003365A4008320C10203248102832441020F +:10239800032901028329C101032A8101832A4101FA +:1023A800032B0101832BC100032C810013010103BE +:1023B80067800000130101FD2322910223202103DD +:1023C800B704800013597501232E310123267101AA +:1023D8009384F4FF2326110223248102232C410134 +:1023E800232A5101232861012324810123229101F9 +:1023F8001379F90F938B0500B3F4A4009359F501F1 +:10240800630A09089307F00F6306F90A93943400E6 +:10241800B7070004B3E4F400130919F8130B00001C +:1024280013D57B01370480001304F4FF1375F50FEF +:102438003374740193DBFB01630E05089307F00FF7 +:10244800630AF50A13143400B70700043364F40070 +:10245800130515F89306000093172B00B3E7D70070 +:102468003309A9009387F7FF1307E00033CA7901FE +:10247800930A19006360F70A171700001307073259 +:1024880093972700B387E70083A70700B387E70080 +:1024980067800700638A040213850400EF00104177 +:1024A8009307B5FF1309A0F8B394F4003309A940C2 +:1024B8006FF0DFF61309F00F130B2000E38204F628 +:1024C800130B30006FF0DFF513090000130B100039 +:1024D8006FF01FF5630A040213050400EF00103DB6 +:1024E8009307B5FF3314F4009307A0F83385A7408A +:1024F8006FF05FF61305F00F93062000E30E04F467 +:10250800930630006FF05FF5130500009306100086 +:102518006FF09FF4370C0100130BFCFF93DB0401F1 +:10252800935C0401B3F464013374640193050400FB +:1025380013850400EF00102A930504009309050091 +:1025480013850B00EF0010291304050093850C0078 +:1025580013850B00EF001028930B050093850400EA +:1025680013850C00EF0010273305850093D7090168 +:102578003385A70063748500B38B8B01B37765013E +:1025880093970701B3F96901B3873701139467007B +:102598003334800093D7A70113550501B367F400BE +:1025A80033047501131464003364F4009317440072 +:1025B80063D6070E935714001374140033E487008E +:1025C8001387FA076350E00E93777400638A070055 +:1025D8009377F400930640006384D7001304440003 +:1025E8009317440063DA0700B70700F89387F7FFEB +:1025F8003374F40013870A089307E00F63C4E710E5 +:10260800935734006F000003138A090013840400F1 +:1026180093060B00930720006386F60E930730009D +:10262800638AF60C130610009307000013070000D6 +:10263800E398C6F8370480001304F4FF3705808058 +:10264800B3F787001305F5FF8320C1020324810235 +:102658001377F70FB3F7A700131777011315FA01CC +:10266800B3E7E70083244102032901028329C1015A +:10267800032A8101832A4101032B0101832BC10015 +:10268800032C8100832C410033E5A70013010103CB +:1026980067800000138A0B006FF0DFF7930A0900C8 +:1026A8006FF01FF293071000B387E7401307B001DC +:1026B8006340F706938AEA09B357F40033145401C8 +:1026C8003334800033E4870093777400638A07000B +:1026D8009377F400130740006384E7001304440071 +:1026E8009317540063CA0702935734001307000076 +:1026F8006FF05FF4B70740001307F00F130A0000EC +:102708006FF05FF3930700001307F00F6FF09FF26D +:10271800930700006FF09FFD930700001307100058 +:102728006FF05FF1B70780009387F7FF130101FF90 +:1027380033F7A7009356750113D67501B3F7B700A1 +:10274800232291002320210193F6F60F1318370056 +:102758001376F60F23261100232481001307F00FA8 +:102768009354F501138906001305060093D5F50166 +:10277800939737006314E6006394070093C5150028 +:102788003387C640639A951A6356E00A63160606AD +:10279800639C07009307F00F638CF6049307080007 +:1027A800138506006F00C0141307F7FF631E0702A6 +:1027B800B38707011385060013975700635A07125A +:1027C800130515001307F00F630EE5323707007E77 +:1027D80093F617001307F7FF93D71700B3F7E7002F +:1027E800B3E7D7006F00C0101306F00F639EC60052 +:1027F800930708006F0040071306F00FE38AC6FE30 +:1028080037060004B3E7C7001306B0016356E600B5 +:10281800930710006FF0DFF9130600023306E64055 +:10282800B3D5E700B397C700B337F000B3E7F500B7 +:102838006FF01FF863000708639C0602631808001E +:102848001307F00F6316E60A6F0000029306F0FF05 +:102858006316D700B38707016FF01FF69306F00FD2 +:102868001347F7FF6310D6021305F00F6F004008F7 +:102878009306F00FE30AD6FEB70600043307E040DC +:102888003368D8009306B00163D6E600130710003A +:102898006F00C001930600023356E8003387E64014 +:1028A8003317E8003337E0003367E600B387E70003 +:1028B8006FF09FF0138516001376F50F13071000BD +:1028C8006342C70663940604130500006302080206 +:1028D80063860722B387070113975700635A0700D7 +:1028E800370700FC1307F7FFB3F7E70013051000DD +:1028F80013F77700630A072013F7F70093064000E1 +:102908006304D720938747006F000020E30E08F484 +:10291800E38007EEB70700021305F00F93040000E9 +:102928006F00801E1307F00F630EE51C3307F800D5 +:10293800935717006FF0DFFB6350E0086316060437 +:10294800E38A07E41307F7FF63140702B307F840A5 +:102958001385060013975700E35C07F83704000453 +:102968001304F4FF33F48700130905006F00801384 +:102978001306F00FE38EC6E61306B0016350E602B5 +:10298800930710006FF09FFC1306F00FE382C6E672 +:1029980037060004B3E7C7006FF01FFE13060002F6 +:1029A800B3D5E7003307E640B397E700B337F00045 +:1029B800B3E7F5006FF09FF963020708639E06020C +:1029C800631808001307F00F938405006FF09FE762 +:1029D8009306F0FF6318D700B38707419384050077 +:1029E8006FF05FF79306F00F1347F7FF6310D602F7 +:1029F800938405006FF05FE79306F00FE30AD6FEB5 +:102A0800B70600043307E0403368D8009306B001E6 +:102A180063D6E600130710006F00C001930600029A +:102A28003356E8003387E6403317E8003337E000D1 +:102A38003367E600B387E7406FF05FFA1387160045 +:102A48001377F70F130610006344E604639C06022D +:102A58006318080063980712130500006FF01FEC55 +:102A6800638607123307F84093165700B387074168 +:102A780063CA061093070700631007069307000050 +:102A88006FF09FFDE31608E8E39407F66FF09FE800 +:102A98003304F84013175400635407043384074180 +:102AA8009384050013050400EF0040601305B5FF8B +:102AB8003314A400634A2503330525411305150083 +:102AC80013070002B357A4003305A7403314A4002A +:102AD80033348000B3E78700130500006FF05FE12F +:102AE800E30E04F86FF01FFCB70700FC9387F7FFAD +:102AF8003305A940B377F4006FF09FDF9307080010 +:102B08006FF01FDF9307000013975700635E0700FD +:102B1800130515001307F00F630EE506370700FCD1 +:102B28001307F7FFB3F7E7001307F00F93D7370042 +:102B38006318E50063860700B707400093040000A8 +:102B4800370780001307F7FF1375F50FB3F7E70092 +:102B580013177501370580808320C1000324810085 +:102B68001305F5FF33F5A7009394F4013365E500E9 +:102B780033659500032901008324410013010101F5 +:102B880067800000938405006FF01FF59307080025 +:102B98006FF09FF4930700006FF01FF9370680006D +:102BA800135775019307F6FF1377F70F9305E0079F +:102BB800B3F7A7009356F50163F6E5049305D0092A +:102BC80063FAE500370500801345F5FF3385A60055 +:102BD8006780000033E5C7009307500963DCE7000E +:102BE8001307A7F63315E500638006023305A040F6 +:102BF80067800000930760093387E7403355E50095 +:102C08006FF09FFE1305000067800000130101FFAD +:102C1800232611002324810023229100630205103A +:102C2800130405009354F501635405003304A040D0 +:102C380013050400EF0080479307E009B387A74016 +:102C4800130760096340F706130780006356A7005F +:102C5800130585FF3314A400370580001305F5FF1D +:102C680093F7F70F3374A40013957701B7078080A3 +:102C78009387F7FF3374F400B70700803364A40028 +:102C880093C7F7FF1395F4013374F4003365A40078 +:102C98008320C10003248100832441001301010122 +:102CA80067800000130790096350F702130750006C +:102CB8003307A7409306B5013357E4003314D40013 +:102CC8003334800033648700130750006356A7002D +:102CD8001307B5FF3314E400370700FC1307F7FFA9 +:102CE800937674003377E400638A06001374F40063 +:102CF800930640006304D400130747009316570057 +:102D080063DC0600B70700FC9387F7FF3377F7000B +:102D18009307F009B387A740135437006FF0DFF328 +:102D28001304000093070000930400006FF0DFF223 +:102D380093577501130101FF93F7F70F23248100BF +:102D48001387170037048000232291001304F4FF2F +:102D5800232611001377F70F930610003374A4008D +:102D68009354F50163D2E606138507389357340068 +:102D78001314D401370710001307F7FFB3F7E70060 +:102D8800370710801307F7FF1375F57F13154501F3 +:102D9800B3F7E70037070080B3E7A7001347F7FF4B +:102DA8001395F401B3F7E70033E7A7008320C100C8 +:102DB80013050400032481008324410093050700C0 +:102DC800130101016780000063940704630204068D +:102DD80013050400EF00802D9307A00063C2A7022B +:102DE8009307B000B387A74013075501B357F40002 +:102DF8003314E400130790383305A7406FF09FF7AA +:102E0800930755FFB317F400130400006FF09FFEFB +:102E180093070000630A040093573400370708003B +:102E28001314D401B3E7E7001305F07F6FF09FF4A4 +:102E380093070000130500006FF0DFF3370610005A +:102E48001306F6FF3376B60013D845019357D5011C +:102E58001378F87F1316360033E6C7009307180077 +:102E680093F7F77F9306100093D5F50113173500F4 +:102E780063D6F60A930608C89307E00F63C2D70C17 +:102E88006340D008930790FE63C4F612B70780002A +:102E98001305E0013366F6003305D5409307F001CA +:102EA80063C8A702130828C8B3170701B337F0008F +:102EB800331606013355A700B3E7C700B367F5001B +:102EC8009306000013F77700630007086F00C00E31 +:102ED8009307E0FFB386D74093070002B356D600A6 +:102EE800930800006306F500130828CAB318060102 +:102EF800B3E7E800B337F000B3E7F6006FF05FFC24 +:102F0800131565003335A000131636009357D70103 +:102F18003366C500B367F6006FF0DFFAB367E60003 +:102F280063160800B337F0006FF09FF99306F00FAF +:102F3800638C070013163600B70700026FF09FFD79 +:102F4800930700009306F00F13975700635E07007E +:102F5800938616001307F00F638AE606370700FC0E +:102F68001307F7FFB3F7E7001307F00F93D73700FE +:102F78006398E60063860700B707400093050000E2 +:102F8800370580001305F5FFB3F7A70037058080E4 +:102F98001305F5FF93F6F60F93967601B3F7A7009E +:102FA8009395F501B3E7D70033E5B70067800000D4 +:102FB800930710009306000013F7F700130640006C +:102FC800E304C7F8938747006FF01FF893070000E2 +:102FD8006FF09FF9130605001305000093F615001E +:102FE800638406003305C50093D515001316160033 +:102FF800E39605FE678000006340050663C6050684 +:1030080013860500930505001305F0FF630C0602FF +:1030180093061000637AB6006358C00013161600B2 +:1030280093961600E36AB6FE1305000063E6C50032 +:10303800B385C5403365D50093D6160013561600E0 +:10304800E39606FE6780000093820000EFF05FFBC6 +:1030580013850500678002003305A04063D805008A +:10306800B305B0406FF0DFF9B305B04093820000BC +:10307800EFF01FF93305A04067800200938200003B +:1030880063CA0500634C0500EFF09FF71385050040 +:1030980067800200B305B040E35805FE3305A04041 +:1030A800EFF01FF63305B04067800200B707010054 +:1030B800637AF5029307F00FB3B7A7009397370029 +:1030C800130700023307F740B357F5001705000050 +:1030D80013058570B307F50003C507003305A7403E +:1030E800678000003707000193070001E36AE5FCE9 +:0830F800930780016FF0DFFC7B +:10310000130101FF23261100EFD00F9F8320C10080 +:10311000B70710F023A007F2130500001301010107 +:0431200067800000C4 +:103124004448525953544F4E452050524F475241F0 +:103134004D2C20534F4D4520535452494E470000C7 +:103144004468727973746F6E652042656E63686D4E +:1031540061726B2C2056657273696F6E20322E314A +:1031640020284C616E67756167653A204329000029 +:1031740050726F6772616D20636F6D70696C656406 +:10318400207769746820277265676973746572278C +:1031940020617474726962757465000050726F679F +:1031A40072616D20636F6D70696C656420776974FA +:1031B400686F757420277265676973746572272058 +:1031C400617474726962757465000000506C6561A5 +:1031D4007365206769766520746865206E756D6215 +:1031E4006572206F662072756E73207468726F75D5 +:1031F4006768207468652062656E63686D61726BD0 +:103204003A200000457865637574696F6E207374A5 +:10321400617274732C2025642072756E7320746837 +:10322400726F756768204468727973746F6E650A8B +:1032340000000000457865637574696F6E20656EE3 +:103244006473000046696E616C2076616C75657309 +:10325400206F6620746865207661726961626C65AE +:1032640073207573656420696E20746865206265D7 +:103274006E63686D61726B3A00000000496E745FA2 +:10328400476C6F623A20202020202020202020201C +:103294002025640A00000000202020202020202077 +:1032A40073686F756C642062653A20202025640A77 +:1032B40000000000426F6F6C5F476C6F623A202021 +:1032C40020202020202020202025640A0000000047 +:1032D40043685F315F476C6F623A202020202020D2 +:1032E400202020202025630A000000002020202028 +:1032F4002020202073686F756C642062653A20205A +:103304002025630A0000000043685F325F476C6F4A +:10331400623A202020202020202020202025630A1B +:10332400000000004172725F315F476C6F625B386E +:103334005D3A2020202020202025640A000000007F +:103344004172725F325F476C6F625B385D5B375D01 +:103354003A2020202025640A00000000202020209C +:103364002020202073686F756C642062653A2020E9 +:10337400204E756D6265725F4F665F52756E732085 +:103384002B203130000000005074725F476C6F6274 +:103394002D3E000020205074725F436F6D703A2000 +:1033A40020202020202020202025640A0000000066 +:1033B400202020202020202073686F756C642062F8 +:1033C400653A20202028696D706C656D656E7461A6 +:1033D40074696F6E2D646570656E64656E74290022 +:1033E400202044697363723A20202020202020206A +:1033F400202020202025640A000000002020456EA3 +:10340400756D5F436F6D703A2020202020202020AE +:103414002025640A000000002020496E745F436F79 +:103424006D703A2020202020202020202025640AAE +:103434000000000020205374725F436F6D703A20C7 +:1034440020202020202020202025730A00000000B6 +:10345400202020202020202073686F756C64206257 +:10346400653A2020204448525953544F4E45205029 +:10347400524F4752414D2C20534F4D4520535452E7 +:10348400494E47004E6578745F5074725F476C6FA5 +:10349400622D3E00202020202020202073686F759C +:1034A4006C642062653A20202028696D706C656D1B +:1034B400656E746174696F6E2D646570656E6465A4 +:1034C4006E74292C2073616D652061732061626FB5 +:1034D40076650000496E745F315F4C6F633A20205B +:1034E40020202020202020202025640A0000000025 +:1034F400496E745F325F4C6F633A20202020202095 +:10350400202020202025640A00000000496E745FFA +:10351400335F4C6F633A202020202020202020207D +:103524002025640A00000000456E756D5F4C6F63D2 +:103534003A20202020202020202020202025640A3A +:10354400000000005374725F315F4C6F633A2020B7 +:1035540020202020202020202025730A00000000A5 +:10356400202020202020202073686F756C64206246 +:10357400653A2020204448525953544F4E45205018 +:10358400524F4752414D2C2031275354205354520B +:10359400494E47005374725F325F4C6F633A202088 +:1035A40020202020202020202025730A0000000055 +:1035B400202020202020202073686F756C642062F6 +:1035C400653A2020204448525953544F4E452050C8 +:1035D400524F4752414D2C2032274E4420535452CF +:1035E400494E47004D656173757265642074696D59 +:1035F4006520746F6F20736D616C6C20746F206F25 +:10360400627461696E206D65616E696E6766756C62 +:1036140020726573756C747300000000506C6561F2 +:10362400736520696E637265617365206E756D6282 +:103634006572206F662072756E730000436C6F6351 +:103644006B206379636C65733D2564200A00000078 +:10365400444D49505320706572204D687A3A2020B9 +:103664002020202020202020202020202020202056 +:1036740020202020202020202020202000000000C6 +:1036840025642E00256400004448525953544F4E7B +:10369400452050524F4752414D2C2031275354203E +:1036A400535452494E4700004448525953544F4EC4 +:1036B400452050524F4752414D2C2032274E442032 +:1036C400535452494E4700004448525953544F4EA4 +:1036D400452050524F4752414D2C2033275244200D +:1036E400535452494E47000028E2FFFF3CE1FFFFDC +:1036F40048E1FFFF3CE1FFFF14E2FFFF3CE1FFFF75 +:1037040048E1FFFF28E2FFFF28E2FFFF14E2FFFF8A +:1037140048E1FFFF14E1FFFF14E1FFFF14E1FFFFA5 +:1037240050E1FFFFE4E7FFFFE4E7FFFF08E8FFFFE6 +:10373400D8E7FFFFD8E7FFFFC8E8FFFF08E8FFFF6F +:10374400D8E7FFFFC8E8FFFFD8E7FFFF08E8FFFF5F +:10375400D4E7FFFFD4E7FFFFD4E7FFFFC8E8FFFF8C +:103764003CEBFFFF78EBFFFF84EBFFFF78EBFFFF01 +:10377400F0EBFFFF78EBFFFF84EBFFFF3CEBFFFF79 +:103784003CEBFFFFF0EBFFFF84EBFFFF54EBFFFF8D +:1037940054EBFFFF54EBFFFF8CEBFFFF7CEEFFFFCE +:1037A4007CEEFFFFFCEEFFFF74EEFFFF74EEFFFF05 +:1037B4005CEFFFFFFCEEFFFF74EEFFFF5CEFFFFF2B +:1037C40074EEFFFFFCEEFFFF70EEFFFF70EEFFFFF5 +:1037D40070EEFFFF5CEFFFFF00010202030303032F +:1037E400040404040404040405050505050505058D +:1037F400050505050505050506060606060606066D +:103804000606060606060606060606060606060654 +:10381400060606060606060607070707070707073C +:103824000707070707070707070707070707070724 +:103834000707070707070707070707070707070714 +:103844000707070707070707070707070707070704 +:1038540007070707070707070808080808080808EC +:1038640008080808080808080808080808080808D4 +:1038740008080808080808080808080808080808C4 +:1038840008080808080808080808080808080808B4 +:1038940008080808080808080808080808080808A4 +:1038A4000808080808080808080808080808080894 +:1038B4000808080808080808080808080808080884 +:1038C4000808080808080808080808080808080874 +:0838D4000808080808080808AC +:1038E0000000000080842E41000000205FA01242F2 +:0C38F000F90295503353DE470000C84237 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/dhrystoneO3C.hex b/VexRiscv/src/test/resources/hex/dhrystoneO3C.hex new file mode 100644 index 0000000..b0ad4c3 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/dhrystoneO3C.hex @@ -0,0 +1,848 @@ +:0200000480007A +:10000000ADA8010001000100010001000100010094 +:10001000232E11FE232C51FE232A61FE232871FE7C +:100020002326A1FE2324B1FE2322C1FE2320D1FEDC +:10003000232EE1FC232CF1FC232A01FD232811FDB2 +:100040002326C1FD2324D1FD2322E1FD2320F1FD40 +:100050003971AD28F250E2525253C2533255A25573 +:10006000125682567247E2475248C248324EA24E5A +:10007000124F824F2161730020309741000093811D +:1000800061C2176100001301E1441385C1819765C6 +:100090000000938565036306B50023200500110564 +:1000A000DDBF173500001305A53F7111973500001E +:1000B0009385053F6308B500144111052AC0829657 +:0C00C0000245EDB71101EF20704001A0D7 +:1000CC00828003C75183930710046303F700828077 +:1000DC001C4103A7C183A507998F1CC1828003A66D +:1000EC00418409C6184218C103A6418483A5C18363 +:1000FC00310629456F00806D41114AC083A74184A8 +:10010C0022C40041984326C283AE470003AE870049 +:10011C0003A3070183A8470103A887018C53D0537D +:10012C00945706C6AA84C84FDC5718C0984048CCD0 +:10013C005CD42322D40195472324C40123286400D2 +:10014C00232A1401232C04010CD050D014D4DCC469 +:10015C005CC418C0228561375C40B1CF9C40B24072 +:10016C00224483AF070003AF470083AE870003AE82 +:10017C00C70003A3070183A8470103A88701CC4F3D +:10018C009053D4539857DC5723A0F40123A2E401D5 +:10019C0023A4D40123A6C40123A8640023AA140118 +:1001AC0023AC0401CCCC90D0D4D098D4DCD402496C +:1001BC0092444101828088449947930584005CC431 +:1001CC00EF00006D83A7418448441306C4009C4390 +:1001DC00B24092441CC022440249A94541016F001F +:1001EC00E05E83C7518383A681839387F7FB93B724 +:1001FC001700D58F23ACF18213072004238AE182E8 +:10020C00828013071004A38AE18223AC01828280CE +:10021C0035711305000306CF22CDE2DCEED626CBDA +:10022C004AC94EC752C556C35AC1DEDEE6DAEAD811 +:10023C00EF00E07423A0A18413050003EF002074E9 +:10024C0083A7018423A2A1841CC189471CC5B7358F +:10025C000080930780025CC57D46938545CE2322A2 +:10026C0005004105EF00D001373700809307C72404 +:10027C00032EC72403A3470083A8870003A8C70045 +:10028C008C4BD04B944F03D7C70183C7E701B73DC5 +:10029C000080231EE102230FF10213874D5BA94757 +:1002AC002945232EF76472D01AD246D442D62ED8C2 +:1002BC0032DA36DCEF00807B37350080130545D011 +:1002CC00EF0060782945EF00607A83A7018363868D +:1002DC00074C37350080130545D3EF00C076294510 +:1002EC00EF00C07837350080130505D9EF00406B5F +:1002FC002945EF00A077373500809305800C130556 +:10030C0085DCEF00E069EF0000773734008083274D +:10031C00C426373D0080832BCD283EC423A6A18262 +:10032C0085491304C4268944E93D653D8347E401AE +:10033C005048032E4400032384008328C400032860 +:10034C000401144C0357C401A24E230FF1048C007A +:10035C0085470810B2CA3ECE26CAF6C0F2C29AC46D +:10036C00C6C6C2C8B6CC231EE104DD2152461335E5 +:10037C00150023ACA18263C0C40293172600B29768 +:10038C00F51732858D4530083ECC8921524605063D +:10039C0032CAE3D4C4FEE2461385C18493854D5B17 +:1003AC00152903A54184930A10040D4AB13303C7E0 +:1003BC00418393070004930CCD2863F1E702568523 +:1003CC00930530049529F24713871A00630EF5360E +:1003DC0083C74183937AF70FE3F357FFD2455285D6 +:1003EC008509EF20A07BE24A2AC6D685EF20407D06 +:1003FC002A8A480852CAF1319307900CE396F9F215 +:10040C00EF00606723A4A18237350080130585DFD8 +:10041C00EF0060632945EF006065373500801305F8 +:10042C0085E0EF0040622945EF00406483A5C1835D +:10043C0037350080130505E437340080EF00405653 +:10044C0095451305C4E5EF00A05583A58183373589 +:10045C000080130585E7EF00A05485451305C4E51E +:10046C00EF00005483C5518337350080130545E9EF +:10047C00052BB734008093051004138504EB0D2372 +:10048C0083C54183373500801305C5EC112B9305CB +:10049C002004138504EB29239387C1848C533735AF +:1004AC000080130585EEED299D451305C4E5CD2986 +:1004BC00B73700809387475B83A5C76537350080C6 +:1004CC00130545F0F12937350080130505F2EF00CF +:1004DC008057373500801305C5F4EF00C05683A74D +:1004EC004184B73D008013858DF58C43B73C00806B +:1004FC00B73B0080752137350080130545F7EF00B9 +:10050C00805483A7418413854CFA373B0080CC433D +:10051C00B739008037390080612181451305C4E566 +:10052C00412183A7418413850BFC8C479529894570 +:10053C001305C4E5B52183A741841305CBFDCC4736 +:10054C008521C5451305C4E5A12983A541841385DF +:10055C0089FFC105B12113054901F521373500800B +:10056C0013058504CD2183A7018413858DF58C4358 +:10057C0005293735008013058505F12183A70184F2 +:10058C0013854CFACC43292981451305C4E5092967 +:10059C0083A7018413850BFC8C4719218545130512 +:1005AC00C4E5FD2E83A701841305CBFDCC47CD2ECE +:1005BC00C9451305C4E5ED2683A50184138589FF80 +:1005CC00C105F92E13054901BD29D24537350080E7 +:1005DC0013058509F12695451305C4E5D126B247C7 +:1005EC00373500801305450BB38A574193973A0072 +:1005FC00B38A5741B3854A416526B5451305C4E511 +:10060C004526E245373500801305050D512E9D45D5 +:10061C001305C4E57126F245373500801305C50E68 +:10062C00412685451305C4E5A52E373500800C10F1 +:10063C0013058510B5263735008013054512212189 +:10064C00373500808C0013058515992E37350080C1 +:10065C0013054517CD2E2945112903A7C18283A562 +:10066C0081829307301F998D23A2B18263DFB71269 +:10067C003735008013050520252603A54182EF2080 +:10068C0060152A84EF204027B737008003A6074A5D +:10069C0083A6474AEF001066B737008003A6874A47 +:1006AC0083A6C74AEF000064EF20C03523A0A182C7 +:1006BC00B737008003A5074BA285EF10A05223AEDD +:1006CC00A1803735008013054521D92C03A5418223 +:1006DC00EF204010B7370080AA8503A5474BEF10D9 +:1006EC0060502A84EF200008AA84EF20A00EAA856F +:1006FC002285EF10B03FB737008083A5874BEF10F2 +:10070C00F007EF2020062A8437350080A6851305D4 +:10071C0045247924A54763D7870A37350080A285FD +:10072C0013058524B52C29459126FA406A44DA44F0 +:10073C004A49BA492A4A9A4A0A4BF65B665CD65C25 +:10074C00465DB65D0D6182806C080145912203AE59 +:10075C004C0003A38C0083A8CC0003A80C0103A5B8 +:10076C004C0183A58C0103D6CC0103C7EC0183C7D4 +:10077C004183850ADEC0F2C29AC4C6C6C2C8AACAE0 +:10078C00AECC231EC104230FE10423AE318393FAB4 +:10079C00FA0F4E8AE3F557C391B137350080130534 +:1007AC0005D655242945D92435BE37350080130587 +:1007BC00851A512C373500801305051E69242945EF +:1007CC007524A5B713050003552481BF0905AA9507 +:1007DC000CC2828001114EC69309560026CA93940E +:1007EC00290022CC4AC806CEAA9432892E8423AC86 +:1007FC00340794C0D4C04E859305800CEF20003A8A +:10080C000A09B3072501A297984B23AA370123ACF9 +:10081C003701050798CB9C402A944A94056632947C +:10082C00232AF4FAF2406244154723AEE182D24403 +:10083C004249B249056182801375F50F93F5F50FA6 +:10084C006304B50001458280A38AA182054582809C +:10085C00411122C426C206C62A84AE8483C5340044 +:10086C0003452400D13F7DF9A6852285F52C8147CF +:10087C006356A000294723AEE1828547B24022444B +:10088C0092443E8541018280791513351500828092 +:10089C00411122C426C206C62A84AE84F53715C17E +:1008AC0080C085476304F40205C80947630DE40260 +:1008BC0091476313F40098C0B24022449244410122 +:1008CC0082808D479CC08547E310F4FE03A7C1834B +:1008DC009307400663DFE700B240224423A00400E4 +:1008EC00924441018280B24022449CC09244410116 +:1008FC008280B24022448D479CC092444101828048 +:10090C00411122C406C62A840345050011C50504FD +:10091C00B12A0345040065FDB240224441018280A6 +:10092C00B1A2797126D206D622D44AD0AA846342C7 +:10093C0005040A894A8429A8EF20C03093070503CF +:10094C0005042685A945A30FF4FEEF206027AA8491 +:10095C00A9452685F5F0E30124FF7D140345040029 +:10096C00C137E31C24FFB250225492540259456102 +:10097C0082801305D0026D37B30490405DBF03A78E +:10098C008184B307A7003765008023A4F184130585 +:10099C0045CC930600403A9563D3F60002908280D2 +:1009AC005D7152CC06D622D426D24AD04ECE56CA2F +:1009BC002A8A03450500BEC25C182EDA32DC36DE0C +:1009CC00BAC0C2C4C6C63EC61DC10144930A500279 +:1009DC00930430061309300793094006630255034C +:1009EC0081370504B3078A0003C5070065F9B250C7 +:1009FC00225492540259F249624AD24A616182806D +:100A0C00930614003307DA0031A063822703638650 +:100A1C00370385068347070036840507F9D3E39629 +:100A2C0097FEB247884391073EC6DD3D5DBFB24796 +:100A3C00884391073EC6E9356DB7B24788439107A5 +:100A4C003EC6C53579BF411122C406C62A8403456A +:100A5C00050011C5050419280345040065FD294549 +:100A6C003120B2402244014541018280B70710F089 +:100A7C0023A0A7F08280B70710F003A507F18280AE +:100A8C00B3C7A50093F737003307C500639607007B +:100A9C009307300063E4C70293070500636CE5001D +:100AAC006780000083C605009387170093851500A7 +:100ABC00A38FD7FEE3E8E7FE67800000937735004D +:100ACC0063920708930705009376C7FF138606FE0B +:100ADC0063F6C70883A3050083A2450083AF850096 +:100AEC0003AFC50083AE050103AE450103A3850129 +:100AFC0083A8C501938545029387470203A8C5FFC8 +:100B0C0023AE77FC23A057FE23A2F7FF23A4E7FF15 +:100B1C0023A6D7FF23A8C7FF23AA67FE23AC17FF82 +:100B2C0023AE07FF6FF0DFFA83C60500938717002B +:100B3C0093851500A38FD7FE93F63700E39606FE38 +:100B4C006FF09FF8930705006FF01FFF03A60500D9 +:100B5C00938747009385450023AEC7FEE3E8D7FE95 +:100B6C00E3EAE7F4678000003367B5009303F0FF16 +:100B7C001377370063100710B7877F7F9387F7F7DA +:100B8C000326050083A60500B372F6003363F60056 +:100B9C00B382F200B3E26200639272106316D6085D +:100BAC000326450083A64500B372F6003363F600B6 +:100BBC00B382F200B3E26200639E720C6316D60637 +:100BCC000326850083A68500B372F6003363F60016 +:100BDC00B382F200B3E262006398720C6316D6041F +:100BEC000326C50083A6C500B372F6003363F60076 +:100BFC00B382F200B3E262006392720C6316D60207 +:100C0C000326050183A60501B372F6003363F600D3 +:100C1C00B382F200B3E26200639C720A13054501D1 +:100C2C0093854501E30ED6F413170601939706013D +:100C3C00631EF7001357060193D706013305F740DF +:100C4C009375F50F63900502678000001357070139 +:100C5C0093D707013305F7409375F50F639405009F +:100C6C00678000001377F70F93F7F70F3305F74002 +:100C7C00678000000346050083C6050013051500B8 +:100C8C00938515006314D600E31606FE3305D64093 +:100C9C00678000001305450093854500E31CD6FCD6 +:100CAC0013050000678000001305850093858500FF +:100CBC00E312D6FC13050000678000001305C50085 +:100CCC009385C500E318D6FA130500006780000071 +:100CDC001305050193850501E31ED6F813050000E5 +:100CEC0067800000130101FB23248104232C4103A2 +:100CFC003704100013DA450123202105232E31037C +:100D0C00232A5103232481031304F4FF2326110403 +:100D1C0023229104232861032326710323229103A8 +:100D2C002320A103232EB101137AFA7F13090500A6 +:100D3C00130C0600938A06003374B40093D9F501A2 +:100D4C0063060A0A9307F07F6304FA101314340045 +:100D5C00B70780003364F400135BD501336B8B0051 +:100D6C0093143500130A1AC0930B000013D54A01D3 +:100D7C00370910001309F9FF1375F57F3379590101 +:100D8C0093050C0093DAFA01630205109307F07FC8 +:100D9C006302F51637048000131939003369890092 +:100DAC001354DC013364240193153C00130515C066 +:100DBC009307000013972B003367F7001307F7FF17 +:100DCC009306E00033C95901330AAA4063E0E616E2 +:100DDC00972600009386064D131727003307D7007C +:100DEC00032707003307D70067000700336BA40005 +:100DFC00630E0B066300040413050400EF109067E8 +:100E0C00930755FF1307C001634CF702130BD00176 +:100E1C00930485FF330BFB4033149400335B69015F +:100E2C00336B8B00B3149900130AD0C0330AAA4059 +:100E3C006FF09FF3EF101064130505026FF05FFC69 +:100E4C00130485FD331B8900930400006FF0DFFD54 +:100E5C00336BA40063040B0293040500130B040012 +:100E6C00130AF07F930B30006FF05FF093040000D7 +:100E7C00130A0000930B10006FF05FEF9304000057 +:100E8C00130AF07F930B20006FF05FEE336489013F +:100E9C00630E04066300090413050900EF10905D4E +:100EAC00930755FF1307C001634EF7021304D001DB +:100EBC00930585FF3304F4403319B90033548C0087 +:100ECC0033642401B315BC001307D0C03305A7400D +:100EDC006FF01FEE13050C00EF10D059130505022F +:100EEC006FF01FFC130485FD33148C009305000078 +:100EFC006FF09FFD3364890163020402130409003F +:100F0C001305F07F930730006FF0DFEA93050000C4 +:100F1C0013050000930710006FF0DFE99305000044 +:100F2C001305F07F930720006FF0DFE86366640120 +:100F3C0063128B4863E0B4489316FB0113D714007B +:100F4C00139CF401135B1B00B3E4E6001314840040 +:100F5C0093DC8501B3EC8C0093DA0C0193970C01B4 +:100F6C0093D70701139D850013050B0093850A0089 +:100F7C002322F100EF10504593050500930B05005B +:100F8C0013950C0113550501EF1050411304050086 +:100F9C0093850A0013050B00EF10904713150501FC +:100FAC0013D704013365A70093890B00637E85007A +:100FBC00330595019389FBFF636895016376850082 +:100FCC009389EBFF330595013304854093850A0023 +:100FDC0013050400EF10503F93050500930B05001B +:100FEC0013950C0113550501EF10503B130B050025 +:100FFC0093850A0013050400EF109041939D0401A2 +:10100C001315050193DD0D01B3EDAD0013870B0036 +:10101C0063FE6D01B38D9D011387FBFF63E89D019A +:10102C0063F66D011387EBFFB38D9D019396090158 +:10103C00B7040100B3E6E600B38D6D41138BF4FFEA +:10104C0033F76601337B6D011305070093050B0025 +:10105C0013D406012326D1002324E100EF10103411 +:10106C002322A10093050B0013050400EF1010338D +:10107C00935B0D019309050093850B001305040088 +:10108C00EF10D031032781001304050013850B00EA +:10109C0093050700EF10903003264100330535010E +:1010AC008326C100135706013307A7006374370169 +:1010BC0033049400370501001305F5FF9354070121 +:1010CC00B379A700939909013376A600B384840001 +:1010DC00B389C90063E89D001384060063949D04E2 +:1010EC0063723C05330CAC013337AC013307970109 +:1010FC00B38DED001384F6FF63E6BC016394BC036F +:10110C006362AC0363E69D00639EB401637C3C01A7 +:10111C00330CAC013337AC01330797011384E6FF72 +:10112C00B38DED00B3093C41B3849D40B3373C0112 +:10113C00B384F4409305F0FF63889C1A93850A00EE +:10114C0013850400EF105028930505002324A100FB +:10115C0013950C0113550501EF1050242322A10007 +:10116C0093850A0013850400EF10902A83268100D2 +:10117C00032741001315050193D7090133E5A70097 +:10118C00938D0600637EE50033059501938DF6FF84 +:10119C00636895016376E500938DE6FF3305950151 +:1011AC00B304E54093850A0013850400EF10D021A9 +:1011BC00930505002322A10013950C01135505017D +:1011CC00EF10D01D130C050093850A001385040045 +:1011DC00EF10102493990901032741001315050101 +:1011EC0093D9090133E5A90093070700637E8501B4 +:1011FC00330595019307F7FF6368950163768501C5 +:10120C009307E7FF3305950193940D01B3E4F400C4 +:10121C009397040193D7070193050B00B3098541FC +:10122C00138507002322F10093DD0401EF10101742 +:10123C0093050B00930A050013850D00EF10101693 +:10124C00130C050093850D0013850B00EF10101582 +:10125C0083274100130B050013850B0093850700B2 +:10126C00EF10D0133305850193D70A013385A700FE +:10127C0063768501B7070100330BFB00B70601004D +:10128C009386F6FF935705013377D50013170701A3 +:10129C00B3FADA00B38767013307570163E8F90043 +:1012AC00938504006390F9046300070433853C01C3 +:1012BC009385F4FF636495036366F5006314F5028C +:1012CC006370ED0293161D0033BDA601B30C9D0196 +:1012DC009385E4FF33059501138D06006314F50027 +:1012EC006304A70193E515001307FA3F6352E0125C +:1012FC0093F775006380070293F7F500930640009F +:10130C00638AD70093864500B3B5B6003304B400A6 +:10131C00938506009317740063DA0700B70700FF84 +:10132C009387F7FF3374F40013070A409307E07FA9 +:10133C0063C2E71A9317D40193D53500B3E7B7000E +:10134C0013543400B70610009386F6FF3374D400A0 +:10135C00B70610801377F77F9386F6FF13174701B4 +:10136C003374D4001319F9013364E4003367240196 +:10137C008320C10403248104832441040329010430 +:10138C008329C103032A8103832A4103032B01030D +:10139C00832BC102032C8102832C4102032D0102F9 +:1013AC00832DC10113850700930507001301010567 +:1013BC0067800000130AFAFF130C00006FF01FB9CE +:1013CC001389090013040B009385040093870B0009 +:1013DC00130720006380E710130730006382E70EC9 +:1013EC0013071000E392E7F01304000093070000CA +:1013FC006F00400913890A006FF09FFD3704080045 +:10140C009305000013090000930730006FF05FFC98 +:10141C0093061000B386E64093078003E3C6D7FC1F +:10142C009307F00163C4D706130AEA41B3174401CA +:10143C0033D7D500339A4501B3E7E700333A40017F +:10144C00B3E747013354D40013F777006300070266 +:10145C0013F7F70093064000630AD7001387470081 +:10146C00B337F7003304F400930707001317840015 +:10147C00634A07061317D40193D73700B367F700F5 +:10148C0013543400130700006FF0DFEB930710FECA +:10149C00B387E74013070002B357F40013050000AD +:1014AC006386E600130AEA4333154401336AB50038 +:1014BC00333A4001B3E74701130400006FF0DFF843 +:1014CC0037040800930700001307F07F130900008E +:1014DC006FF05FE713040000930700001307F07F21 +:1014EC006FF05FE613040000930700001307100071 +:1014FC006FF05FE5130101FA232C810423263105DB +:10150C003704100093D94501232A91042320610547 +:10151C00232E7103232C81031304F4FF232E1104B7 +:10152C00232821052324410523225105232A910335 +:10153C002328A1032326B10393F9F97F9304050013 +:10154C00930B0600138C06003374B40013DBF50107 +:10155C006388090A9307F07F6386F91037098000C6 +:10156C0013143400336424011359D50133698900F1 +:10157C00131D3500938919C0930C000013554C01B1 +:10158C00370A1000130AFAFF1375F57F337A8A01B4 +:10159C0093840B00135CFC01630405109307F07F2C +:1015AC006304F51637048000131A3A00336A8A0074 +:1015BC0013D4DB013364440193943B00130515C031 +:1015CC009307000013972C003367F700B389A90029 +:1015DC001307F7FF9306E000B34B8B01938A1900B6 +:1015EC0063E0E61697260000938686CF1317270034 +:1015FC003307D700032707003307D700670007001E +:10160C003369A400630E0906630004041305040087 +:10161C00EF104066930755FF1307C001634CF702A8 +:10162C001309D001130D85FF3309F9403314A401BC +:10163C0033D9240133698900339DA4019309D0C0A7 +:10164C00B389A9406FF05FF3EF10C0621305050278 +:10165C006FF05FFC130985FD33992401130D000015 +:10166C006FF0DFFD3369A40063040902130D05005C +:10167C00130904009309F07F930C30006FF01FF0F6 +:10168C00130D000093090000930C10006FF01FEF76 +:10169C00130D00009309F07F930C20006FF01FEEE8 +:1016AC0033647A01630E040663000A0413050A000E +:1016BC00EF10405C930755FF1307C001634EF70210 +:1016CC001304D001930485FF3304F440331A9A00B9 +:1016DC0033D48B0033644401B3949B009307D0C084 +:1016EC003385A7406FF0DFED13850B00EF108058AA +:1016FC00130505026FF01FFC130485FD33948B005A +:10170C00930400006FF09FFD33647A0163020402BE +:10171C0013040A001305F07F930730006FF09FEA63 +:10172C009304000013050000930710006FF09FE96D +:10173C00930400001305F07F930720006FF09FE8DF +:10174C0037070100130AF7FF135C0D0193DD040149 +:10175C00337D4D01B3F4440193050D001385040052 +:10176C002328E100EF108043930C050093850400BF +:10177C0013050C00EF1080422326A10093850D0069 +:10178C0013050C00EF108041130B050093050D00A1 +:10179C0013850D00EF1080408326C10093D70C01F8 +:1017AC003305D5003385A7006376D50003270101E7 +:1017BC00330BEB009356050133754501B3FC4C011B +:1017CC0013150501B3079501935C040133744401AF +:1017DC0093050D0013050400232AD1002326F100E4 +:1017EC00EF10C03B2328A1009305040013050C0047 +:1017FC00EF10C03A130A050093850C0013050C007A +:10180C00EF10C039130C050093050D0013850C0067 +:10181C00EF10C03803270101330545018326410130 +:10182C00935707013385A70063764501B70701007D +:10183C00330CFC003706010093570501338C8701EC +:10184C009307F6FF337AF5003377F700131A0A0182 +:10185C00135D0901330AEA003379F9003387460135 +:10186C0093050900138504002328E100232EC100F1 +:10187C00EF10C03293850400232CA10013050D003A +:10188C00EF10C031232AA10093050D0013850D0024 +:10189C00EF10C030930405009305090013850D006B +:1018AC00EF10C02F83264101032781013305D5009A +:1018BC00935707013385A7006376D5000326C10132 +:1018CC00B384C400B70601009387F6FF935D05014E +:1018DC00B3849D00B37DF5003377F70093050900C1 +:1018EC0013050400939D0D01B38DED00232CD10045 +:1018FC00EF10C02A93050400232AA10013050D0044 +:10190C00EF10C02993050D001304050013850C007E +:10191C00EF10C028130D05009305090013850C006A +:10192C00EF10C027032741013305850093570701AA +:10193C003385A7006376850083268101330DDD0096 +:10194C0083270101B70601009386F6FF330BFB00DA +:10195C00B377D5003377D70093970701B387E700A8 +:10196C00333A4B01B387870133844701330BBB01F7 +:10197C0033079400B33DBB01B306B70133BC8701F9 +:10198C0033344401935705013337970033648C008B +:10199C00B3BDB6013304F400B36DB7013304B40125 +:1019AC003304A40193D77601131494003364F40028 +:1019BC008327C10093149B00135B7B01B3E4F400F9 +:1019CC00B334900093979600B3E46401B3E4F4004D +:1019DC009317740063D2071293D7140093F4140076 +:1019EC00B3E497009317F401B3E4F4001354140018 +:1019FC001387FA3F6356E01093F774006380070275 +:101A0C0093F7F40093064000638AD7009387440051 +:101A1C00B3B49700330494009384070093177400B5 +:101A2C0063DA0700B70700FF9387F7FF3374F400FE +:101A3C0013870A409307E07F63C6E71893DA3400F4 +:101A4C009314D401B3E4540113543400B7071000B9 +:101A5C009387F7FF3374F4009377F77F3707108081 +:101A6C001307F7FF939747013374E400939BFB0133 +:101A7C003364F400B36774018320C105032481052A +:101A8C001385040003290105832441058329C1041E +:101A9C00032A8104832A4104032B0104832BC103F1 +:101AAC00032C8103832C4103032D0103832DC102DD +:101ABC00938507001301010667800000930B0B0050 +:101ACC001304090093040D0093870C0013072000E6 +:101ADC00638AE70E13073000638CE70C13071000C2 +:101AEC00E398E7F013040000930400006F008008F3 +:101AFC00930B0C006FF09FFD938A09006FF05FEF62 +:101B0C0093061000B386E64093078003E3CCD7FC22 +:101B1C009307F00163C4D706938AEA41B3175401C3 +:101B2C0033D7D400B3945401B3E7E700B334900037 +:101B3C00B3E497003354D40093F774006380070226 +:101B4C0093F7F40013074000638AE700938744007F +:101B5C00B3B4970033049400938407009317840064 +:101B6C0063CA07069317D40193D43400B3E49700E7 +:101B7C0013543400130700006FF05FED930710FE51 +:101B8C00B387E74013060002B357F40013070000B5 +:101B9C006386C600938AEA4333175401B3649700F3 +:101BAC00B3349000B3E49700130400006FF0DFF837 +:101BBC0037040800930400001307F07F930B000018 +:101BCC006FF0DFE813040000930400001307F07FAC +:101BDC006FF0DFE7130400009304000013071000FC +:101BEC006FF0DFE6130101FD23229102232A51013C +:101BFC0093547501B70A8000232021032328610127 +:101C0C00938AFAFF2326110223248102232E310109 +:101C1C00232C4101232671012324810193F4F40F19 +:101C2C00138B0500B3FAAA001359F5016388040855 +:101C3C009307F00F6384F40A939A3A00B7070004F1 +:101C4C00B3EAFA00938414F8930B000013557B014C +:101C5C00370480001304F4FF1375F50F337464011B +:101C6C00135BFB01630C05089307F00F6308F50A7F +:101C7C0013143400B70700043364F400130515F88B +:101C8C009307000013972B003367F7001307F7FF38 +:101C9C009306E000B3496901338AA44063E0E60A85 +:101CAC009716000093868667131727003307D70013 +:101CBC00032707003307D70067000700638A0A026F +:101CCC0013850A00EF00107B9307B5FF9304A0F86F +:101CDC00B39AFA00B384A4406FF01FF79304F00F8B +:101CEC00930B2000E3840AF6930B30006FF01FF681 +:101CFC0093040000930B10006FF05FF5630A04026D +:101D0C0013050400EF0010779307B5FF3314F400AC +:101D1C009307A0F83385A7406FF09FF61305F00FDB +:101D2C0093072000E30004F6930730006FF09FF553 +:101D3C0013050000930710006FF0DFF4131B540021 +:101D4C0063F68A12130AFAFF13090000935B0B0166 +:101D5C003704010093850B001304F4FF13850A006C +:101D6C00EF00906633748B0093050500130C05008F +:101D7C0013050400EF0090629304050093850B009B +:101D8C0013850A00EF00D0681359090113150501DA +:101D9C003365A90013090C00637E950033056501BA +:101DAC001309FCFF63686501637695001309ECFF6A +:101DBC0033056501B304954093850B00138504002E +:101DCC00EF00906093050500130C0500130504004B +:101DDC00EF00D05C930A050093850B00138504007B +:101DEC00EF0010631315050113040C00637E5501FD +:101DFC00330565011304FCFF6368650163765501C7 +:101E0C001304ECFF33056501131909013305554122 +:101E1C00336989003335A0003364A9001307FA072E +:101E2C006350E00A93777400638A07009377F40099 +:101E3C00930640006384D7001304440093174400B6 +:101E4C0063DA0700B70700F89387F7FF3374F400E1 +:101E5C0013070A089307E00F1354340063D2E70CFE +:101E6C00130400001307F00F6F00800B1399FA0195 +:101E7C0093DA1A006FF09FED9309090013840A009E +:101E8C0093870B0013072000E38CE7FC130730004B +:101E9C006382E70813071000E392E7F813040000CD +:101EAC00130700006F00C00793090B006FF09FFD34 +:101EBC003704400093090000930730006FF09FFC3B +:101ECC0093071000B387E7401307B001E348F7FC12 +:101EDC001305EA09B357F4003314A400333480001B +:101EEC0033E4870093777400638A07009377F400D8 +:101EFC00130740006384E700130444009317540055 +:101F0C0013543400E3DE07F8130400001307100029 +:101F1C006F000001370440001307F00F9309000015 +:101F2C00370580001305F5FF3374A4003705808056 +:101F3C001305F5FF1377F70F3374A400131777010C +:101F4C001395F9013364E4003365A4008320C102C6 +:101F5C000324810283244102032901028329C10144 +:101F6C00032A8101832A4101032B0101832BC10028 +:101F7C00032C81001301010367800000130101FD94 +:101F8C002322910223202103B704800013597501E9 +:101F9C00232E3101232671019384F4FF2326110291 +:101FAC0023248102232C4101232A5101232861017E +:101FBC0023248101232291011379F90F938B0500BE +:101FCC00B3F4A4009359F501630A09089307F00FC1 +:101FDC006306F90A93943400B7070004B3E4F400E1 +:101FEC00130919F8130B000013D57B01370480007B +:101FFC001304F4FF1375F50F3374740193DBFB01B9 +:10200C00630E05089307F00F630AF50A13143400E6 +:10201C00B70700043364F400130515F893060000A9 +:10202C0093172B00B3E7D7003309A9009387F7FF69 +:10203C001307E00033CA7901930A19006360F70AA9 +:10204C00171700001307473193972700B387E70052 +:10205C0083A70700B387E70067800700638A040241 +:10206C0013850400EF0010419307B5FF1309A0F886 +:10207C00B394F4003309A9406FF0DFF61309F00FA5 +:10208C00130B2000E38204F6130B30006FF0DFF526 +:10209C0013090000130B10006FF01FF5630A040204 +:1020AC0013050400EF00103D9307B5FF3314F40043 +:1020BC009307A0F83385A7406FF05FF61305F00F78 +:1020CC0093062000E30E04F4930630006FF05FF5E6 +:1020DC0013050000930610006FF09FF4370C0100FD +:1020EC00130BFCFF93DB0401935C0401B3F4640158 +:1020FC00337464019305040013850400EF00102A67 +:10210C00930504009309050013850B00EF001029BB +:10211C001304050093850C0013850B00EF001028A9 +:10212C00930B05009385040013850C00EF0010271A +:10213C003305850093D709013385A70063748500A7 +:10214C00B38B8B01B377650193970701B3F96901E1 +:10215C00B3873701139467003334800093D7A701FA +:10216C0013550501B367F4003304750113146400AF +:10217C003364F4009317440063D6070E935714008E +:10218C001374140033E487001387FA076350E00ECE +:10219C0093777400638A07009377F40093064000EA +:1021AC006384D700130444009317440063DA0700D8 +:1021BC00B70700F89387F7FF3374F40013870A0806 +:1021CC009307E00F63C4E710935734006F000003CC +:1021DC00138A09001384040093060B009307200054 +:1021EC006386F60E93073000638AF60C1306100014 +:1021FC009307000013070000E398C6F8370480002B +:10220C001304F4FF37058080B3F787001305F5FF3F +:10221C008320C102032481021377F70FB3F7A700C1 +:10222C00131777011315FA01B3E7E7008324410272 +:10223C00032901028329C101032A8101832A410157 +:10224C00032B0101832BC100032C8100832C410043 +:10225C0033E5A7001301010367800000138A0B000C +:10226C006FF0DFF7930A09006FF01FF2930710006D +:10227C00B387E7401307B0016340F706938AEA0976 +:10228C00B357F400331454013334800033E4870023 +:10229C0093777400638A07009377F4001307400068 +:1022AC006384E700130444009317540063CA0702C5 +:1022BC0093573400130700006FF05FF4B70740002A +:1022CC001307F00F130A00006FF05FF39307000081 +:1022DC001307F00F6FF09FF2930700006FF09FFD54 +:1022EC0093070000130710006FF05FF1B707800031 +:1022FC009387F7FF130101FF33F7A700935675017E +:10230C0013D67501B3F7B7002322910023202101C6 +:10231C0093F6F60F131837001376F60F23261100D9 +:10232C00232481001307F00F9354F5011389060041 +:10233C001305060093D5F501939737006314E60057 +:10234C006394070093C515003387C640639A951AAA +:10235C006356E00A63160606639C07009307F00FAA +:10236C00638CF60493070800138506006F00C014F5 +:10237C001307F7FF631E0702B387070113850600D7 +:10238C0013975700635A0712130515001307F00F24 +:10239C00630EE5323707007E93F617001307F7FF3D +:1023AC0093D71700B3F7E700B3E7D7006F00C0105F +:1023BC001306F00F639EC600930708006F004007DA +:1023CC001306F00FE38AC6FE37060004B3E7C70016 +:1023DC001306B0016356E600930710006FF0DFF9A7 +:1023EC00130600023306E640B3D5E700B397C700E7 +:1023FC00B337F000B3E7F5006FF01FF86300070880 +:10240C00639C0602631808001307F00F6316E60AB4 +:10241C006F0000029306F0FF6316D700B387070125 +:10242C006FF01FF69306F00F1347F7FF6310D602F9 +:10243C001305F00F6F0040089306F00FE30AD6FE69 +:10244C00B70600043307E0403368D8009306B001A8 +:10245C0063D6E600130710006F00C001930600025C +:10246C003356E8003387E6403317E8003337E00093 +:10247C003367E600B387E7006FF09FF01385160013 +:10248C001376F50F130710006342C7066394060416 +:10249C00130500006302080263860722B387070155 +:1024AC0013975700635A0700370700FC1307F7FF11 +:1024BC00B3F7E7001305100013F77700630A072042 +:1024CC0013F7F700930640006304D7209387470067 +:1024DC006F000020E30E08F4E38007EEB70700025C +:1024EC001305F00F930400006F00801E1307F00F0C +:1024FC00630EE51C3307F800935717006FF0DFFBF2 +:10250C006350E00863160604E38A07E41307F7FF39 +:10251C0063140702B307F84013850600139757009E +:10252C00E35C07F8370400041304F4FF33F487006A +:10253C00130905006F0080131306F00FE38EC6E637 +:10254C001306B0016350E602930710006FF09FFC76 +:10255C001306F00FE382C6E637060004B3E7C700A4 +:10256C006FF01FFE13060002B3D5E7003307E640F9 +:10257C00B397E700B337F000B3E7F5006FF09FF9BE +:10258C0063020708639E0602631808001307F00F26 +:10259C00938405006FF09FE79306F0FF6318D70054 +:1025AC00B3870741938405006FF05FF79306F00F34 +:1025BC001347F7FF6310D602938405006FF05FE7B3 +:1025CC009306F00FE30AD6FEB70600043307E0408B +:1025DC003368D8009306B00163D6E60013071000E9 +:1025EC006F00C001930600023356E8003387E640C3 +:1025FC003317E8003337E0003367E600B387E74072 +:10260C006FF05FFA138716001377F70F130610009D +:10261C006344E604639C060263180800639807127F +:10262C00130500006FF01FEC638607123307F840A8 +:10263C0093165700B387074163CA06109307070028 +:10264C0063100706930700006FF09FFDE31608E880 +:10265C00E39407F66FF09FE83304F8401317540027 +:10266C006354070433840741938405001305040065 +:10267C00EF0040601305B5FF3314A400634A250333 +:10268C00330525411305150013070002B357A400A9 +:10269C003305A7403314A40033348000B3E787001C +:1026AC00130500006FF05FE1E30E04F86FF01FFC00 +:1026BC00B70700FC9387F7FF3305A940B377F40005 +:1026CC006FF09FDF930708006FF01FDF9307000088 +:1026DC0013975700635E0700130515001307F00FDF +:1026EC00630EE506370700FC1307F7FFB3F7E700A7 +:1026FC001307F00F93D737006318E50063860700C4 +:10270C00B707400093040000370780001307F7FF5A +:10271C001375F50FB3F7E7001317750137058080B4 +:10272C008320C100032481001305F5FF33F5A700B6 +:10273C009394F4013365E50033659500032901009A +:10274C00832441001301010167800000938405007C +:10275C006FF01FF5930708006FF09FF493070000CC +:10276C006FF01FF937068000135775019307F6FFBA +:10277C001377F70F9305E007B3F7A7009356F5010E +:10278C0063F6E5049305D00963FAE500370500808C +:10279C001345F5FF3385A6006780000033E5C700BD +:1027AC009307500963DCE7001307A7F63315E50020 +:1027BC00638006023305A040678000009307600920 +:1027CC003387E7403355E5006FF09FFE130500009B +:1027DC0067800000130101FF2326110023248100D0 +:1027EC002322910063020510130405009354F50194 +:1027FC00635405003304A04013050400EF00804728 +:10280C009307E009B387A740130760096340F706F5 +:10281C00130780006356A700130585FF3314A4002B +:10282C00370580001305F5FF93F7F70F3374A400F9 +:10283C0013957701B70780809387F7FF3374F40003 +:10284C00B70700803364A40093C7F7FF1395F40116 +:10285C003374F4003365A4008320C1000324810089 +:10286C0083244100130101016780000013079009C4 +:10287C006350F702130750003307A7409306B501C6 +:10288C003357E4003314D4003334800033648700AE +:10289C00130750006356A7001307B5FF3314E40069 +:1028AC00370700FC1307F7FF937674003377E400C7 +:1028BC00638A06001374F400930640006304D4008A +:1028CC00130747009316570063DC0600B70700FC9C +:1028DC009387F7FF3377F7009307F009B387A74087 +:1028EC00135437006FF0DFF313040000930700005C +:1028FC00930400006FF0DFF293577501130101FF91 +:10290C0093F7F70F232481001387170037048000F7 +:10291C00232291001304F4FF232611001377F70FE1 +:10292C00930610003374A4009354F50163D2E606A9 +:10293C0013850738935734001314D401370710004C +:10294C001307F7FFB3F7E700370710801307F7FFFC +:10295C001375F57F13154501B3F7E70037070080B2 +:10296C00B3E7A7001347F7FF1395F401B3F7E7009C +:10297C0033E7A7008320C100130504000324810062 +:10298C0083244100930507001301010167800000B7 +:10299C00639407046302040613050400EF00802D02 +:1029AC009307A00063C2A7029307B000B387A740A8 +:1029BC0013075501B357F4003314E4001307903890 +:1029CC003305A7406FF09FF7930755FFB317F4003B +:1029DC00130400006FF09FFE93070000630A0400CD +:1029EC0093573400370708001314D401B3E7E700FA +:1029FC001305F07F6FF09FF49307000013050000A0 +:102A0C006FF0DFF3370610001306F6FF3376B600CF +:102A1C0013D845019357D5011378F87F1316360058 +:102A2C0033E6C7009307180093F7F77F930610005F +:102A3C0093D5F5011317350063D6F60A930608C82B +:102A4C009307E00F63C2D70C6340D008930790FE46 +:102A5C0063C4F612B70780001305E0013366F60075 +:102A6C003305D5409307F00163C8A702130828C8A3 +:102A7C00B3170701B337F000331606013355A7001F +:102A8C00B3E7C700B367F5009306000013F77700B0 +:102A9C00630007086F00C00E9307E0FFB386D740B2 +:102AAC0093070002B356D600930800006306F500A6 +:102ABC00130828CAB3180601B3E7E800B337F000CF +:102ACC00B3E7F6006FF05FFC131565003335A0001B +:102ADC00131636009357D7013366C500B367F6005B +:102AEC006FF0DFFAB367E60063160800B337F00047 +:102AFC006FF09FF99306F00F638C070013163600E6 +:102B0C00B70700026FF09FFD930700009306F00FCC +:102B1C0013975700635E0700938616001307F00F98 +:102B2C00638AE606370700FC1307F7FFB3F7E700E5 +:102B3C001307F00F93D737006398E60063860700FE +:102B4C00B707400093050000370580001305F5FF1B +:102B5C00B3F7A700370580801305F5FF93F6F60F42 +:102B6C0093967601B3F7A7009395F501B3E7D700D9 +:102B7C0033E5B70067800000930710009306000050 +:102B8C0013F7F70013064000E304C7F893874700D8 +:102B9C006FF01FF8930700006FF09FF91306050004 +:102BAC001305000093F61500638406003305C50079 +:102BBC0093D5150013161600E39605FE67800000EA +:102BCC006340050663C605061386050093050500DC +:102BDC001305F0FF630C060293061000637AB6002F +:102BEC006358C0001316160093961600E36AB6FEDF +:102BFC001305000063E6C500B385C5403365D500F9 +:102C0C0093D6160013561600E39606FE6780000056 +:102C1C0093820000EFF05FFB1385050067800200D4 +:102C2C003305A04063D80500B305B0406FF0DFF961 +:102C3C00B305B04093820000EFF01FF93305A040BC +:102C4C00678002009382000063CA0500634C050094 +:102C5C00EFF09FF71385050067800200B305B040C5 +:102C6C00E35805FE3305A040EFF01FF63305B040E6 +:102C7C0067800200B7070100637AF5029307F00F33 +:102C8C00B3B7A70093973700130700023307F74039 +:102C9C00B357F500170500001305C56FB307F50012 +:102CAC0003C507003305A740678000003707000104 +:102CBC0093070001E36AE5FC930780016FF0DFFCEA +:102CCC00411106C6EFD0CFD4B240B70710F023A005 +:082CDC0007F20145410182806D +:102CE4004448525953544F4E452050524F47524135 +:102CF4004D2C20534F4D4520535452494E4700000C +:102D04004468727973746F6E652042656E63686D92 +:102D140061726B2C2056657273696F6E20322E318E +:102D240020284C616E67756167653A20432900006D +:102D340050726F6772616D20636F6D70696C65644A +:102D440020776974682027726567697374657227D0 +:102D540020617474726962757465000050726F67E3 +:102D640072616D20636F6D70696C6564207769743E +:102D7400686F75742027726567697374657227209C +:102D8400617474726962757465000000506C6561E9 +:102D94007365206769766520746865206E756D6259 +:102DA4006572206F662072756E73207468726F7519 +:102DB4006768207468652062656E63686D61726B14 +:102DC4003A200000457865637574696F6E207374EA +:102DD400617274732C2025642072756E732074687C +:102DE400726F756768204468727973746F6E650AD0 +:102DF40000000000457865637574696F6E20656E28 +:102E04006473000046696E616C2076616C7565734D +:102E1400206F6620746865207661726961626C65F2 +:102E240073207573656420696E207468652062651B +:102E34006E63686D61726B3A00000000496E745FE6 +:102E4400476C6F623A202020202020202020202060 +:102E54002025640A000000002020202020202020BB +:102E640073686F756C642062653A20202025640ABB +:102E740000000000426F6F6C5F476C6F623A202065 +:102E840020202020202020202025640A000000008B +:102E940043685F315F476C6F623A20202020202016 +:102EA400202020202025630A00000000202020206C +:102EB4002020202073686F756C642062653A20209E +:102EC4002025630A0000000043685F325F476C6F8F +:102ED400623A202020202020202020202025630A60 +:102EE400000000004172725F315F476C6F625B38B3 +:102EF4005D3A2020202020202025640A00000000C4 +:102F04004172725F325F476C6F625B385D5B375D45 +:102F14003A2020202025640A0000000020202020E0 +:102F24002020202073686F756C642062653A20202D +:102F3400204E756D6265725F4F665F52756E7320C9 +:102F44002B203130000000005074725F476C6F62B8 +:102F54002D3E000020205074725F436F6D703A2044 +:102F640020202020202020202025640A00000000AA +:102F7400202020202020202073686F756C6420623C +:102F8400653A20202028696D706C656D656E7461EA +:102F940074696F6E2D646570656E64656E74290066 +:102FA400202044697363723A2020202020202020AE +:102FB400202020202025640A000000002020456EE7 +:102FC400756D5F436F6D703A2020202020202020F3 +:102FD4002025640A000000002020496E745F436FBE +:102FE4006D703A2020202020202020202025640AF3 +:102FF4000000000020205374725F436F6D703A200C +:1030040020202020202020202025730A00000000FA +:10301400202020202020202073686F756C6420629B +:10302400653A2020204448525953544F4E4520506D +:10303400524F4752414D2C20534F4D45205354522B +:10304400494E47004E6578745F5074725F476C6FE9 +:10305400622D3E00202020202020202073686F75E0 +:103064006C642062653A20202028696D706C656D5F +:10307400656E746174696F6E2D646570656E6465E8 +:103084006E74292C2073616D652061732061626FF9 +:1030940076650000496E745F315F4C6F633A20209F +:1030A40020202020202020202025640A0000000069 +:1030B400496E745F325F4C6F633A202020202020D9 +:1030C400202020202025640A00000000496E745F3F +:1030D400335F4C6F633A20202020202020202020C2 +:1030E4002025640A00000000456E756D5F4C6F6317 +:1030F4003A20202020202020202020202025640A7F +:10310400000000005374725F315F4C6F633A2020FB +:1031140020202020202020202025730A00000000E9 +:10312400202020202020202073686F756C6420628A +:10313400653A2020204448525953544F4E4520505C +:10314400524F4752414D2C2031275354205354524F +:10315400494E47005374725F325F4C6F633A2020CC +:1031640020202020202020202025730A0000000099 +:10317400202020202020202073686F756C6420623A +:10318400653A2020204448525953544F4E4520500C +:10319400524F4752414D2C2032274E442053545213 +:1031A400494E47004D656173757265642074696D9D +:1031B4006520746F6F20736D616C6C20746F206F69 +:1031C400627461696E206D65616E696E6766756CA7 +:1031D40020726573756C747300000000506C656137 +:1031E400736520696E637265617365206E756D62C7 +:1031F4006572206F662072756E730000436C6F6396 +:103204006B206379636C65733D2564200A000000BC +:10321400444D49505320706572204D687A3A2020FD +:10322400202020202020202020202020202020209A +:10323400202020202020202020202020000000000A +:1032440025642E00256400004448525953544F4EBF +:10325400452050524F4752414D2C20312753542082 +:10326400535452494E4700004448525953544F4E08 +:10327400452050524F4752414D2C2032274E442076 +:10328400535452494E4700004448525953544F4EE8 +:10329400452050524F4752414D2C20332752442051 +:1032A400535452494E47000034E2FFFF48E1FFFF08 +:1032B40054E1FFFF48E1FFFF20E2FFFF48E1FFFF89 +:1032C40054E1FFFF34E2FFFF34E2FFFF20E2FFFF9F +:1032D40054E1FFFF20E1FFFF20E1FFFF20E1FFFFBA +:1032E4005CE1FFFFF0E7FFFFF0E7FFFF14E8FFFFFB +:1032F400E4E7FFFFE4E7FFFFD4E8FFFF14E8FFFF84 +:10330400E4E7FFFFD4E8FFFFE4E7FFFF14E8FFFF73 +:10331400E0E7FFFFE0E7FFFFE0E7FFFFD4E8FFFFA0 +:1033240048EBFFFF84EBFFFF90EBFFFF84EBFFFF15 +:10333400FCEBFFFF84EBFFFF90EBFFFF48EBFFFF8D +:1033440048EBFFFFFCEBFFFF90EBFFFF60EBFFFFA1 +:1033540060EBFFFF60EBFFFF98EBFFFF88EEFFFFE2 +:1033640088EEFFFF08EFFFFF80EEFFFF80EEFFFF18 +:1033740068EFFFFF08EFFFFF80EEFFFF68EFFFFF3E +:1033840080EEFFFF08EFFFFF7CEEFFFF7CEEFFFF08 +:103394007CEEFFFF68EFFFFF00010202030303035B +:1033A40004040404040404040505050505050505D1 +:1033B40005050505050505050606060606060606B1 +:1033C4000606060606060606060606060606060699 +:1033D4000606060606060606070707070707070781 +:1033E4000707070707070707070707070707070769 +:1033F4000707070707070707070707070707070759 +:103404000707070707070707070707070707070748 +:103414000707070707070707080808080808080830 +:103424000808080808080808080808080808080818 +:103434000808080808080808080808080808080808 +:1034440008080808080808080808080808080808F8 +:1034540008080808080808080808080808080808E8 +:1034640008080808080808080808080808080808D8 +:1034740008080808080808080808080808080808C8 +:1034840008080808080808080808080808080808B8 +:083494000808080808080808F0 +:1034A0000000000080842E41000000205FA0124236 +:0C34B000F90295503353DE470000C8427B +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/dhrystoneO3M.hex b/VexRiscv/src/test/resources/hex/dhrystoneO3M.hex new file mode 100644 index 0000000..5322b70 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/dhrystoneO3M.hex @@ -0,0 +1,851 @@ +:0200000480007A +:100000006F00000B1300000013000000130000003D +:100010001300000013000000130000001300000094 +:10002000232E11FE232C51FE232A61FE232871FE6C +:100030002326A1FE2324B1FE2322C1FE2320D1FECC +:10004000232EE1FC232CF1FC232A01FD232811FDA2 +:100050002326C1FD2324D1FD2322E1FD2320F1FD30 +:10006000130101FCEF00400B8320C10383228103B5 +:1000700003234103832301030325C1028325810256 +:1000800003264102832601020327C1018327810140 +:100090000328410183280101032EC100832E810022 +:1000A000032F4100832F010013010104730020304E +:1000B00097410000938181C11761000013018143C2 +:1000C0001385C18197650000938585026308B5009B +:1000D00023200500130545006FF05FFF1735000072 +:1000E0001305853E1301C1FF973500009385C53D7B +:1000F000630EB50083260500130545002320A100EB +:10010000E7800600032501006FF01FFE1301410088 +:08011000EF20903D6F0000009C +:100118006780000003C75183930710046304F70046 +:10012800678000008327050003A7C1839387970092 +:10013800B387E7402320F5006780000003A64184C9 +:1001480063080600032706002320E50003A6418470 +:1001580083A5C1831306C6001305A0006F00100F06 +:10016800130101FF2320210183A741842324810057 +:100178000324050003A707002322910083AE47004C +:1001880003AE870003A3070183A8470103A88701DB +:1001980083A5070203A6470283A687022326110028 +:1001A8009304050003A5C70183A7C7022320E40021 +:1001B80003A70400232EA4002326F4022322D4013B +:1001C800930750002324C40123286400232A140120 +:1001D800232C04012320B4022322C4022324D402A2 +:1001E80023A6F4002326F4002320E40013050400CA +:1001F800EFF0DFF4832744006380070883A7040037 +:100208008320C1000324810083AF070003AF4700A8 +:1002180083AE870003AEC70003A3070183A8470185 +:1002280003A8870183A5C70103A6070283A647027F +:1002380003A7870283A7C70223A0F40123A2E4012E +:1002480023A4D40123A6C40123A8640023AA14016B +:1002580023AC040123AEB40023A0C40223A2D40219 +:1002680023A4E40223A6F402032901008324410005 +:10027800130101016780000003A584009307600053 +:10028800930584002326F400EF00500C83A74184D3 +:100298000325C4001306C40083A707008320C100F8 +:1002A800832441002320F400032481000329010052 +:1002B8009305A000130101016F00407983C75183A2 +:1002C80083A681839387F7FB93B71700B3E7D7001B +:1002D80023ACF18213072004238AE182678000009F +:1002E80013071004A38AE18223AC0182678000000F +:1002F800130101F613050003232E1108232C81088E +:10030800232A91072326B107232A910823282109A4 +:100318002326310923244109232251092320610975 +:10032800232E7107232C81072328A107EF00D0195A +:1003380023A0A18413050003EF00101983A70184EB +:1003480023A2A1842320F500930720002324F5008D +:10035800B7350080930780022326F5001306F001C5 +:100368009385C5D02322050013050501EF00502F02 +:100378003737008093074727032E472703A34700F3 +:1003880083A8870003A8C70083A5070103A6470120 +:1003980083A6870103D7C70183C7E701B73D00805C +:1003A800231EE102230FF1021387CD5D9307A000FE +:1003B8001305A000232EF7642320C1032322610222 +:1003C80023241103232601032328B102232AC1026F +:1003D800232CD102EF005027373500801305C5D2F2 +:1003E800EF0050221305A000EF00102683A7018319 +:1003F800638A0760373500801305C5D5EF00902064 +:100408001305A000EF00502437350080130585DB65 +:10041800EF00100E1305A000EF0010233735008001 +:100428009305800C130505DFEF00900CEF00902278 +:100438003734008083274429373D0080032C4D2B17 +:100448002326F10023A6A18293091000130444294E +:1004580093042000EFF0DFE8EFF05FE68347E40164 +:1004680003264401032E4400032384008328C40088 +:1004780003280401832684010357C401832EC10085 +:10048800230FF104930501049307100013050102DB +:10049800232AC104232EF100232A91002320D10509 +:1004A8002322C105232461042326110523280105DD +:1004B800232CD104231EE104EF00806203264101AE +:1004C8001335150023ACA18263CAC4029317260012 +:1004D800B387C7009387D7FF13050600930530003D +:1004E80013068101232CF100EF0040560326410139 +:1004F80013061600232AC100E3DAC4FC832681010F +:100508001385C1849385CD5DEF00405503A54184D3 +:10051800930A1004130A3000EFF09FC403C7418305 +:1005280093070004130B4D2B63F4E70213850A00AD +:1005380093053004EF00C0588327C10113871A00C0 +:10054800630CF54483C74183937AF70FE3F057FFB1 +:1005580083274101032B810113054101330AFA0264 +:1005680093891900B34A6A03232A5101EFF09FBA0D +:100578009307900CE390F9EEEF00D00D23A4A1822D +:1005880037350080130505E2EF00D0071305A000FA +:10059800EF00900B37350080130505E3EF00900658 +:1005A8001305A000EF00500A83A5C18337350080EA +:1005B800130585E637340080EF00807393055000FB +:1005C800130544E8EF00C07283A5818337350080A6 +:1005D800130505EAEF00C07193051000130544E800 +:1005E800EF00007183C55183373500801305C5EBD3 +:1005F800EF000070B734008093051004138584ED74 +:10060800EF00006F83C5418337350080130545EF40 +:10061800EF00006E93052004138584EDEF00406D14 +:100628009387C18483A5070237350080130505F138 +:10063800EF00006C93057000130544E8EF00406B71 +:10064800B73700809387C75D83A5C76537350080B6 +:100658001305C5F2EF00C06937350080130585F42E +:10066800EF00407A37350080130545F7EF008079B1 +:1006780003A74184B7370080138507F8832507004F +:100688002326F100373D0080EF0080663735008073 +:100698001305C5F9EF00007703A741841305CDFCC6 +:1006A800373C008083254700B73B0080B73900807E +:1006B800EF00006493050000130544E8EF00406371 +:1006C80003A7418413058CFE3739008083258700F2 +:1006D800330A6A41EF00C06193052000130544E81E +:1006E800EF00006103A7418413854B008325C700F1 +:1006F800EF00006093051001130544E8EF00405F28 +:1007080083A541841385090293850501EF00405EA6 +:100718001305C903EF00006F37350080130505077F +:10072800EF00406E03A701848327C10083250700DB +:10073800138507F8EF00C05B3735008013050508FF +:10074800EF00406C83A701841305CDFC83A5470007 +:10075800EF00005A93050000130544E8EF004059E4 +:1007680083A7018413058CFE83A58700EF004058FA +:1007780093051000130544E8EF00805783A7018410 +:1007880013854B0083A5C700EF0080569305200111 +:10079800130544E8EF00C05583A5018413850902B9 +:1007A80093850501EF00C0541305C903EF00806568 +:1007B80083254101373500801305050CEF004053B0 +:1007C80093055000130544E8EF00805293173A0050 +:1007D800338A474137350080B3055A411305C50DA3 +:1007E800EF0000519305D000130544E8EF00405096 +:1007F80083258101373500801305850FEF00404FB1 +:1008080093057000130544E8EF00804E8325C1016D +:100818003735008013054511EF00804D9305100012 +:10082800130544E8EF00C04C3735008093050102FA +:1008380013050513EF00C04B373500801305C514A9 +:10084800EF00405C37350080930501041305051857 +:10085800EF00004A373500801305C519EF00805AAC +:100868001305A000EF00405E03A7C18283A5818223 +:100878009307301FB385E54023A2B18263D0B71A2E +:100888003735008013058522EF00804603A5418295 +:10089800EF20C00313040500EF208015B737008050 +:1008A80003A6874C83A6C74CEF00D06FB7370080EC +:1008B80003A6074D83A6474DEF00D000EF20002484 +:1008C80023A0A182B737008003A5874D93050400B4 +:1008D800EF10004B23AEA180373500801305C523E8 +:1008E800EF00004103A54182EF10507EB73700802A +:1008F8009305050003A5C74DEF10804813040500B4 +:10090800EF10D07593040500EF10507C9305050097 +:1009180013050400EF10102DB737008083A5074E8C +:10092800EF10007AEF10907313040500373500803C +:10093800938504001305C526EF00803B93079000BC +:1009480063D0871037350080930504001305052709 +:10095800EF00003A1305A000EF00004F8320C10903 +:100968000324810983244109032901098329C10832 +:10097800032A8108832A4108032B0108832BC10716 +:10098800032C8107832C4107032D0107832DC10602 +:100998001301010A678000009305C10113050000D7 +:1009A800EF00C01A03234B0083288B000328CB00D9 +:1009B80003250B0183254B0103268B018356CB01AD +:1009C8000347EB0183C74183938A1A0023208105DB +:1009D8002322610423241105232601052328A104C9 +:1009E800232AB104232CC104231ED104230FE104BC +:1009F80023AE318393FAFA0F138A0900E3F857B349 +:100A08006FF01FB537350080130585D8EF00803F9C +:100A18001305A000EF0040436FF01F9F373500809B +:100A28001305051DEF00003E3735008013058520AE +:100A3800EF00403D1305A000EF0000416FF01FF2EA +:100A480013050003EF0040406FF0DFEF13052500AA +:100A5800B305B5002320B6006780000013075600D1 +:100A68001308800C33080703131626009317270072 +:100A78003305F5002320D500232CE5062322D500D5 +:100A8800B307C800B387F50083A6070123AAE700C8 +:100A980023ACE7001387160023A8E7008327050087 +:100AA800B3850501B385C50037160000B305B60048 +:100AB80023AAF5FA1307500023AEE18267800000ED +:100AC8001375F50F93F5F50F6306B50013050000D0 +:100AD80067800000A38AA1821305100067800000C8 +:100AE800130101FF232481002322910023261100F2 +:100AF800130405009384050083C5340003452400CE +:100B0800EFF01FFCE31A05FE9385040013050400AB +:100B1800EF008043930700006358A0001307A0006C +:100B280023AEE182930710008320C10003248100D3 +:100B38008324410013850700130101016780000029 +:100B48001305E5FF1335150067800000130101FF49 +:100B58002324810023229100232611001304050079 +:100B680093840500EFF0DFFD630E050223A08400E7 +:100B7800930710006300F4046304040413072000BF +:100B8800630CE404930740006314F40023A0E4001A +:100B98008320C10003248100832441001301010143 +:100BA800678000009307300023A0F400930710002B +:100BB800E314F4FC03A7C1839307400663DAE70252 +:100BC8008320C1000324810023A004008324410062 +:100BD80013010101678000008320C1000324810004 +:100BE80023A0F40083244100130101016780000061 +:100BF8008320C100032481009307300023A0F40060 +:100C0800832441001301010167800000130101FFE3 +:100C18002324810023261100130405000345050041 +:100C2800630A050013041400EF00002203450400C2 +:100C3800E31A05FE8320C10003248100130101018A +:100C4800678000006F004020130101FD23229102FC +:100C58002326110223248102232021039304050063 +:100C6800634C050413090100130409001307A000CD +:100C780063940400631E2401B3E7E4021304140020 +:100C880093870703B3C4E402A30FF4FE6FF05FFE7B +:100C98001304F4FF03450400EFF0DFFAE31A24FF1E +:100CA8008320C10203248102832441020329010213 +:100CB80013010103678000001305D002EFF09FF8CD +:100CC800B30490406FF01FFA03A78184B307A7000D +:100CD8003765008023A4F1841305C5CE9306004030 +:100CE8003305E50063D4F600730010006780000048 +:100CF800130101FB232C4101232611022324810225 +:100D08002322910223202103232E3101232A51017A +:100D1800130A0500034505002322F1049307410344 +:100D2800232AB102232CC102232ED1022320E1045D +:100D380023240105232611052326F1006308050253 +:100D480013040000930A5002930430061309300775 +:100D580093094006630E5503EFF0DFEE1304140009 +:100D6800B3078A0003C50700E31605FE8320C10206 +:100D78000324810283244102032901028329C1013A +:100D8800032A8101832A41011301010567800000BC +:100D9800930614003307DA006F000001638C270301 +:100DA8006386370593861600834707001384060079 +:100DB80013071700E38407FAE39297FE8327C1001D +:100DC80003A50700938747002326F100EFF09FE76C +:100DD8006FF0DFF88327C10003A50700938747005A +:100DE8002326F100EFF09FE26FF05FF78327C10041 +:100DF80003A50700938747002326F100EFF0DFE4FF +:100E08006FF0DFF5130101FF232481002326110071 +:100E18001304050003450500630A050013041400C4 +:100E2800EF00800203450400E31A05FE1305A00045 +:100E3800EF0080018320C100032481001305000016 +:100E48001301010167800000B70710F023A0A7F085 +:100E580067800000B70710F003A507F1678000005E +:100E6800B3C7A50093F737003307C500639607009B +:100E78009307300063E4C70293070500636CE5003D +:100E88006780000083C605009387170093851500C7 +:100E9800A38FD7FEE3E8E7FE67800000937735006D +:100EA80063920708930705009376C7FF138606FE2B +:100EB80063F6C70883A3050083A2450083AF8500B6 +:100EC80003AFC50083AE050103AE450103A3850149 +:100ED80083A8C501938545029387470203A8C5FFE8 +:100EE80023AE77FC23A057FE23A2F7FF23A4E7FF36 +:100EF80023A6D7FF23A8C7FF23AA67FE23AC17FFA3 +:100F080023AE07FF6FF0DFFA83C60500938717004B +:100F180093851500A38FD7FE93F63700E39606FE58 +:100F28006FF09FF8930705006FF01FFF03A60500F9 +:100F3800938747009385450023AEC7FEE3E8D7FEB5 +:100F4800E3EAE7F4678000003367B5009303F0FF36 +:100F58001377370063100710B7877F7F9387F7F7FA +:100F68000326050083A60500B372F6003363F60076 +:100F7800B382F200B3E26200639272106316D6087D +:100F88000326450083A64500B372F6003363F600D6 +:100F9800B382F200B3E26200639E720C6316D60657 +:100FA8000326850083A68500B372F6003363F60036 +:100FB800B382F200B3E262006398720C6316D6043F +:100FC8000326C50083A6C500B372F6003363F60096 +:100FD800B382F200B3E262006392720C6316D60227 +:100FE8000326050183A60501B372F6003363F600F4 +:100FF800B382F200B3E26200639C720A13054501F2 +:1010080093854501E30ED6F413170601939706015D +:10101800631EF7001357060193D706013305F740FF +:101028009375F50F63900502678000001357070159 +:1010380093D707013305F7409375F50F63940500BF +:10104800678000001377F70F93F7F70F3305F74022 +:10105800678000000346050083C6050013051500D8 +:10106800938515006314D600E31606FE3305D640B3 +:10107800678000001305450093854500E31CD6FCF6 +:10108800130500006780000013058500938585001F +:10109800E312D6FC13050000678000001305C500A5 +:1010A8009385C500E318D6FA130500006780000091 +:1010B8001305050193850501E31ED6F81305000005 +:1010C80067800000130101FD23248102232291027D +:1010D8002328610113040500130B0500B704100051 +:1010E80013D5450123202103232E31012326710125 +:1010F8009384F4FF23261102232C4101232A510152 +:101108001375F57F930B060093890600B3F4B400BA +:1011180013D9F5016306050A9307F07F6306F510F6 +:1011280093943400B7068000B3E4D400935ADB01EB +:10113800B3EA9A0013143B00130A15C0130B0000FE +:1011480013D54901B70410009384F4FF1375F57F94 +:10115800B3F43401938F0B0093D9F901630205109E +:101168009307F07F6302F516B70780009394340065 +:10117800B3E4F40093D7DB01B3E79700939F3B00F8 +:10118800130515C01307000093162B00B3E6E600FD +:101198009386F6FF9305E000334639013305AA40EC +:1011A80063E0D5169725000093858512939626004F +:1011B800B386B60083A60600B386B600678006002D +:1011C800B3EA6401638E0A0663800404138504008D +:1011D800EF10502C930755FF1307C001634EF70219 +:1011E800930AD001130485FFB38AFA40B3948400AC +:1011F800B35A5B01B3EA9A0033148B00130AD0C0C8 +:10120800330AAA406FF09FF313050B00EF109028E4 +:10121800130505026FF01FFC930485FDB31A9B00AC +:10122800130400006FF09FFDB3EA640163820A02B1 +:10123800938A0400130AF07F130B30006FF05FF0FD +:1012480013040000130A0000130B10006FF05FEF87 +:1012580013040000130AF07F130B20006FF05FEEF9 +:10126800B3E77401638E07066380040413850400E2 +:10127800EF105022130755FF9307C00163CEE70212 +:101288009307D001930F85FFB387E740B394F40128 +:10129800B3D7FB00B3E79700B39FFB011306D0C099 +:1012A8003305A6406FF01FEE13850B00EF10901E5C +:1012B800130505026FF01FFC930785FDB397FB002C +:1012C800930F00006FF09FFDB3E77401638207027C +:1012D800938704001305F07F130730006FF0DFEAEF +:1012E800930F000013050000130710006FF0DFE9EB +:1012F800930F00001305F07F130720006FF0DFE85D +:1013080063E657016390FA36636EF4359395FA01F4 +:10131800935614001317F40193DA1A0033E4D50036 +:101328009397870013D88F013368F800935E0801FC +:10133800B3D5DA03131E0801135E0E019356040198 +:1013480093988F00B3F4DA03938F0500B307BE02B6 +:1013580093940401B3E6960063FEF600B386060193 +:10136800938FF5FF63E8060163F6F600938FE5FFB8 +:10137800B3860601B386F64033D3D60313140401AB +:1013880013540401B3F6D60393070300B3056E02A2 +:1013980093960601B366D40063FEB600B3860601D1 +:1013A8009307F3FF63E8060163F6B6009307E3FFCC +:1013B800B38606013384B640939F0F01B705010039 +:1013C800B3EFFF001383F5FF93D60F01B3F76F0058 +:1013D80013DF080133F36800B3836702B38466023E +:1013E800B307FF02B382E603B386970093D70301DE +:1013F800B387D70063F49700B382B20093D607018E +:10140800B3865600B70201009382F2FFB3F5570086 +:1014180093950501B3F35300B38575006368D40051 +:1014280093870F006314D4046372B704330717015A +:10143800B3321701B3820201330454009387FFFFCC +:101448006366880063148802636217036366D400C6 +:10145800639E8600637CB700330717019387EFFF0D +:10146800B33F1701B38F0F013304F401B305B7403D +:101478003304D4403337B7003304E440930FF0FF0C +:1014880063048812B352D40393D605013374D4038A +:1014980013870200B30F5E021314040133E48600BD +:1014A800637EF401330404011387F2FF63680401C7 +:1014B8006376F4011387E2FF330404013304F44133 +:1014C800B356D4039395050193D505013374D4031A +:1014D800330EDE021314040133E4850093850600FD +:1014E800637EC401330404019385F6FF6368040135 +:1014F8006376C4019385E6FF3304040113170701DB +:10150800B365B70013970501135707013304C441A6 +:1015180013DE0501B30E670233036E02330ECF03E9 +:10152800330FEF0213D70E01330F6F003307E701B4 +:1015380063766700B7060100330EDE001353070118 +:101548003303C301370E0100130EFEFFB376C70144 +:1015580093960601B3FECE01B386D6016368640094 +:10156800938F0500631064046380060433048800C5 +:10157800938FF5FF63640403636664006314640275 +:1015880063F0D80213971800B3381701338808019D +:10159800938FE5FF33040401930807006314640084 +:1015A8006384160193EF1F009306F53F635AD0102A +:1015B80013F77F006300070213F7FF00930540004D +:1015C800630AB70093854F00B3BFF501B387F701EE +:1015D800938F050013977700635A0700370700FFBA +:1015E8001307F7FFB3F7E700930605401307E07FFB +:1015F800634AD7181397D70193DF3F003367F70182 +:1016080093D73700B70510009385F5FFB3F7B700F8 +:10161800B70510809385F5FF93F6F67F93964601FC +:10162800B3F7B7008320C102032481021316F60121 +:10163800B3E7D700B3E6C7008324410203290102B8 +:101648008329C101032A8101832A4101032B010156 +:10165800832BC100130507009385060013010103BE +:10166800678000001305F5FF130700006FF05FCBDC +:101678001306090093870A00930F040013070B0051 +:10168800930620006300D710930630006302D70E3C +:1016980093061000E31AD7F0930700001307000021 +:1016A8006F004009138609006FF09FFDB707080017 +:1016B800930F000013060000130730006FF05FFC63 +:1016C80093051000B385D54013078003E346B7FCA4 +:1016D8001307F0016344B7061305E5413397A700E4 +:1016E800B3D6BF003395AF003367D7003335A000BA +:1016F8003367A700B3D7B7009376770063800602F5 +:101708009376F70093054000638AB6009306470076 +:1017180033B7E600B387E700138706009396870080 +:1017280063CA06069396D7011357370033E7E600D6 +:1017380093D73700930600006FF0DFEC130710FE15 +:101748003307D7401308000233D7E7009306000099 +:10175800638605011305E543B396A70033E5F60153 +:101768003335A0003367A700930700006FF0DFF858 +:10177800B7070800130700009306F07F1306000060 +:101788006FF05FE893070000130700009306F07FEF +:101798006FF05FE79307000013070000930610003F +:1017A8006FF05FE6130101FD23202103232A510175 +:1017B8003709100093DA4501232E3101232C41010A +:1017C80023286101232671011309F9FF2326110239 +:1017D800232481022322910293FAFA7F130B050036 +:1017E800930B0600138A06003379B90093D9F501E3 +:1017F80063880A0A9307F07F6386FA10370480002B +:1018080013193900336989001354D501336424014D +:1018180093143500938A1AC0130B000013554A011C +:10182800370910001309F9FF1375F57F337949015A +:1018380013870B00135AFA01630405109307F07F0E +:101848006304F516B7078000131939003369F900E6 +:1018580093D7DB01B3E7270113973B00130515C0A6 +:101868009306000093152B00B3E5D5003385AA0035 +:101878009385F5FF9308E00033C649011308150066 +:1018880063E0B81617250000130545A893952500B1 +:10189800B385A50083A50500B385A500678005006D +:1018A8003364A900630E04066300090413050900E4 +:1018B800EF10403E930755FF1307C001634CF70232 +:1018C8001304D001930485FF3304F44033199900BD +:1018D80033548B0033642401B3149B00930AD0C0A3 +:1018E800B38AAA406FF05FF3EF10C03A1305050200 +:1018F8006FF05FFC130485FD33148B009304000024 +:101908006FF0DFFD3364A90063040402930405004B +:1019180013040900930AF07F130B30006FF01FF0D7 +:1019280093040000930A0000130B10006FF01FEFE0 +:1019380093040000930AF07F130B20006FF01FEE52 +:10194800B3677901638E070663000904130509006C +:10195800EF104034930655FF9307C00163CED702BA +:101968009307D001130785FFB387D7403319E900E0 +:10197800B3D7FB00B3E727013397EB009306D0C03A +:101988003385A6406FF0DFED13850B00EF10803034 +:10199800130505026FF01FFC930785FDB397FB0045 +:1019A800130700006FF09FFDB36779016382070298 +:1019B800930709001305F07F930630006FF09FEA44 +:1019C8001307000013050000930610006FF09FE94D +:1019D800130700001305F07F930620006FF09FE8BF +:1019E800B70F0100138FFFFF93D6040113530701AC +:1019F800B3F4E4013377E701B3089302B305970220 +:101A0800B382E602338E580093D80501B388C80123 +:101A1800B38E660263F45800B38EFE0113D9080131 +:101A2800B3F8E80193DF0701B3F5E501B3F3E70184 +:101A380093980801B388B800B3877602B3859302F8 +:101A4800B3849F02338EF40093D40501B384C40198 +:101A5800B386F60363F6F400B7070100B386F60011 +:101A680013DF0401B7090100330FDF009386F9FF84 +:101A7800B3F4D400B3F5D50093570401939404014B +:101A88003374D400B384B400B306870233099900D1 +:101A9800338EE702B30583023307F3023383C501AC +:101AA80093D50601B385650063F4C5013307370193 +:101AB80013D30501B7090100B302E3001387F9FF47 +:101AC800B3F5E500B3F6E600338383029395050189 +:101AD800B385D5003387770233848F02338EFF02B4 +:101AE8003304E40093570301338487006374E400EC +:101AF800330E3E01B70701009387F7FFB376F40072 +:101B08003373F30093960601B38E2E01B3866600F5 +:101B1800B3B49E00B386E601B3879600B38EBE00C9 +:101B2800B3B5BE0033835700B30FB300B3B6E601B5 +:101B3800B3B79700B3E7F6001354040133335300E7 +:101B4800B3B5BF00B3878700B365B300B387B700E9 +:101B5800B387C70113D77F0193979700B3E7E700CF +:101B680013979E00336717013337E00093DE7E0139 +:101B780093969F003367D7013367D7009396770012 +:101B880063DA0610935617001377170033E7E60059 +:101B98009396F7013367D70093D717009305F83F5B +:101BA800635EB00E93767700638006029376F70043 +:101BB80013054000638AA6009306470033B7E60082 +:101BC800B387E700138706009396770063DA060069 +:101BD800B70600FF9386F6FFB3F7D70093050840D2 +:101BE8009306E07F63CEB616935637001397D70156 +:101BF8003367D70093D73700B70610009386F6FFF0 +:101C0800B3F7D70093F6F57FB70510809385F5FFF6 +:101C180093964601B3F7B7008320C10203248102DB +:101C28001316F601B3E7D700B3E6C70083244102D1 +:101C3800032901028329C101032A8101832A410161 +:101C4800032B0101832BC1001305070093850600B0 +:101C5800130101036780000013860900930704003D +:101C68001387040093060B0093052000638AB60EC1 +:101C780093053000638CB60C93051000E390B6F220 +:101C880093070000130700006F00800813060A007E +:101C98006FF09FFD130805006FF05FF0130510004B +:101CA8003305B54093068003E3CCA6FC9306F00108 +:101CB80063C4A6061308E841B3960701B355A70005 +:101CC80033170701B3E6B6003337E00033E7E60021 +:101CD800B3D7A70093767700638006029376F70060 +:101CE80093054000638AB6009306470033B7E600C1 +:101CF800B387E700138706009396870063CA060632 +:101D08009396D7011357370033E7E60093D7370088 +:101D1800930500006FF05FEE930610FEB386B640A1 +:101D280093080002B3D6D700930500006306150197 +:101D38001308E843B395070133E7E5003337E000BC +:101D480033E7E600930700006FF0DFF8B7070800F5 +:101D5800130700009305F07F130600006FF0DFE91A +:101D680093070000130700009305F07F6FF0DFE88A +:101D78009307000013070000930510006FF0DFE7DA +:101D8800130101FE232A9100232631019354750182 +:101D9800B709800023282101232441019389F9FFF1 +:101DA800232E1100232C81002322510193F4F40FD8 +:101DB800138A0500B3F9A9001359F50163880408CB +:101DC8009307F00F6384F40A93993900B707000466 +:101DD800B3E9F900938414F8930A000013557A01C3 +:101DE800370480001304F4FF1375F50F33744401AE +:101DF800135AFA01630C05089307F00F6308F50AF4 +:101E080013143400B70700043364F400130515F8FD +:101E18009307000093962A00B3E6F6009386F6FF30 +:101E28001307E000334649013385A4406360D70AAD +:101E3800971500009385455193962600B386B60002 +:101E480003A706003307B70067000700638A090283 +:101E580013850900EF0010649307B5FF9304A0F8F9 +:101E6800B399F900B384A4406FF01FF79304F00FFF +:101E7800930A2000E38409F6930A30006FF01FF6F6 +:101E880093040000930A10006FF05FF5630A0402E0 +:101E980013050400EF0010609307B5FF3314F40036 +:101EA8009307A0F83385A7406FF09FF61305F00F4E +:101EB80093072000E30004F6930730006FF09FF5C6 +:101EC80013050000930710006FF0DFF41318540097 +:101ED80063F6890E1305F5FF9306000013540801F5 +:101EE80033D38902B70701009387F7FFB377F80068 +:101EF80093D6060133F7890293050300B388670276 +:101F08001317070133E7E600637E1701330707015C +:101F18009305F3FF63680701637617019305E3FFF1 +:101F28003307070133071741B3588702337787020E +:101F3800B3861703931707011387080063FED700BA +:101F4800B38707011387F8FF63E8070163F6D70033 +:101F58001387E8FFB387070113940501B387D740B8 +:101F68003364E400B337F0003364F4001307F50773 +:101F78006350E00A93777400638A07009377F4004C +:101F8800930640006384D700130444009317440069 +:101F980063DA0700B70700F89387F7FF3374F40094 +:101FA800130705089307E00F1354340063D2E70CB6 +:101FB800130400001307F00F6F00800B9396F901CC +:101FC80093D919006FF09FF11306090013840900D3 +:101FD80093870A0013072000E38CE7FC13073000FF +:101FE8006382E70813071000E392E7F81304000080 +:101FF800130700006F00C00713060A006FF09FFD6B +:102008003704400013060000930730006FF09FFC70 +:1020180093071000B387E7401307B001E348F7FCC4 +:102028001305E509B357F4003314A40033348000D2 +:1020380033E4870093777400638A07009377F4008A +:10204800130740006384E700130444009317540007 +:1020580013543400E3DE07F81304000013071000DC +:102068006F000001370440001307F00F130600004B +:10207800370580001305F5FF3374A4003705808009 +:102088001305F5FF1377F70F3374A40013177701BF +:102098003364E4001315F6013365A4008320C101FD +:1020A8000324810183244101032901018329C100FB +:1020B800032A8100832A410013010102678000007E +:1020C800130101FE232A910023282101B70480006F +:1020D8001359750123263101232251019384F4FFFA +:1020E800232E1100232C8100232441011379F90F99 +:1020F800938A0500B3F4A4009359F501630A09080B +:102108009307F00F6306F90A93943400B7070004A5 +:10211800B3E4F400130919F8130A000013D57A017F +:10212800370480001304F4FF1375F50F337454015A +:1021380093DAFA01630E05089307F00F630AF50AAC +:1021480013143400B70700043364F400130515F8BA +:102158009306000093172A00B3E7D7003305A900B8 +:102168009387F7FF1307E00033C6590113081500DA +:102178006360F70A171700001307C7209397270013 +:10218800B387E70083A70700B387E70067800700E6 +:10219800638A040213850400EF00D02F9307B5FF6C +:1021A8001309A0F8B394F4003309A9406FF0DFF6DF +:1021B8001309F00F130A2000E38204F6130A300013 +:1021C8006FF0DFF513090000130A10006FF01FF518 +:1021D800630A040213050400EF00D02B9307B5FF30 +:1021E8003314F4009307A0F83385A7406FF05FF627 +:1021F8001305F00F93062000E30E04F49306300055 +:102208006FF05FF513050000930610006FF09FF460 +:10221800B70701001383F7FF13D70401935604018E +:10222800B3F4640033746400B3888402B30587028E +:102238003304D702B386960293D40801B386B60056 +:10224800B384D40063F4B4003304F400B3F7640037 +:1022580093970701B3F86800B387170113976700CE +:1022680093D404013337E00093D7A7013384840063 +:10227800B367F700131464003364F4009317440041 +:1022880063DE070C935714001374140033E48700BB +:102298001307F8076358E00C93777400638A070004 +:1022A8009377F400930640006384D7001304440036 +:1022B8009317440063DA0700B70700F89387F7FF1E +:1022C8003374F400130708089307E00F63CCE70E94 +:1022D800935734006F000003138609001384040029 +:1022E80093060A0093072000638EF60C93073000CC +:1022F8006382F60C93051000930700001307000093 +:10230800E398B6F8370480001304F4FF370580809B +:10231800B3F787001305F5FF8320C101032481016A +:102328001377F70FB3F7A700131777011315F60103 +:10233800B3E7E70083244101032901018329C10090 +:10234800032A8100832A410033E5A7001301010213 +:102358006780000013860A006FF0DFF81308050095 +:102368006FF01FF393071000B387E7401307B0011E +:102378006340F7061308E809B357F400331404015F +:102388003334800033E4870093777400638A07004E +:102398009377F400130740006384E70013044400B4 +:1023A8009317540063CA07029357340013070000B9 +:1023B8006FF05FF5B70740001307F00F1306000032 +:1023C8006FF05FF4930700001307F00F6FF09FF3AF +:1023D800930700006FF09FFD93070000130710009C +:1023E8006FF05FF2B70780009387F7FF130101FFD3 +:1023F80033F7A7009356750113D67501B3F7B700E5 +:10240800232291002320210193F6F60F1318370099 +:102418001376F60F23261100232481001307F00FEB +:102428009354F501138906001305060093D5F501A9 +:10243800939737006314E6006394070093C515006B +:102448003387C640639A951A6356E00A63160606F0 +:10245800639C07009307F00F638CF604930708004A +:10246800138506006F00C0141307F7FF631E0702E9 +:10247800B38707011385060013975700635A07129D +:10248800130515001307F00F630EE5323707007EBA +:1024980093F617001307F7FF93D71700B3F7E70072 +:1024A800B3E7D7006F00C0101306F00F639EC60095 +:1024B800930708006F0040071306F00FE38AC6FE73 +:1024C80037060004B3E7C7001306B0016356E600F9 +:1024D800930710006FF0DFF9130600023306E64099 +:1024E800B3D5E700B397C700B337F000B3E7F500FB +:1024F8006FF01FF863000708639C06026318080062 +:102508001307F00F6316E60A6F0000029306F0FF48 +:102518006316D700B38707016FF01FF69306F00F15 +:102528001347F7FF6310D6021305F00F6F0040083A +:102538009306F00FE30AD6FEB70600043307E0401F +:102548003368D8009306B00163D6E600130710007D +:102558006F00C001930600023356E8003387E64057 +:102568003317E8003337E0003367E600B387E70046 +:102578006FF09FF0138516001376F50F1307100000 +:102588006342C70663940604130500006302080249 +:1025980063860722B387070113975700635A07001A +:1025A800370700FC1307F7FFB3F7E7001305100020 +:1025B80013F77700630A072013F7F7009306400024 +:1025C8006304D720938747006F000020E30E08F4C8 +:1025D800E38007EEB70700021305F00F930400002D +:1025E8006F00801E1307F00F630EE51C3307F80019 +:1025F800935717006FF0DFFB6350E008631606047B +:10260800E38A07E41307F7FF63140702B307F840E8 +:102618001385060013975700E35C07F83704000496 +:102628001304F4FF33F48700130905006F008013C7 +:102638001306F00FE38EC6E61306B0016350E602F8 +:10264800930710006FF09FFC1306F00FE382C6E6B5 +:1026580037060004B3E7C7006FF01FFE1306000239 +:10266800B3D5E7003307E640B397E700B337F00088 +:10267800B3E7F5006FF09FF963020708639E06024F +:10268800631808001307F00F938405006FF09FE7A5 +:102698009306F0FF6318D700B387074193840500BA +:1026A8006FF05FF79306F00F1347F7FF6310D6023A +:1026B800938405006FF05FE79306F00FE30AD6FEF8 +:1026C800B70600043307E0403368D8009306B0012A +:1026D80063D6E600130710006F00C00193060002DE +:1026E8003356E8003387E6403317E8003337E00015 +:1026F8003367E600B387E7406FF05FFA1387160089 +:102708001377F70F130610006344E604639C060270 +:102718006318080063980712130500006FF01FEC98 +:10272800638607123307F84093165700B3870741AB +:1027380063CA061093070700631007069307000093 +:102748006FF09FFDE31608E8E39407F66FF09FE843 +:102758003304F840131754006354070433840741C3 +:102768009384050013050400EF00C0521305B5FF5C +:102778003314A400634A25033305254113051500C6 +:1027880013070002B357A4003305A7403314A4006D +:1027980033348000B3E78700130500006FF05FE172 +:1027A800E30E04F86FF01FFCB70700FC9387F7FFF0 +:1027B8003305A940B377F4006FF09FDF9307080053 +:1027C8006FF01FDF9307000013975700635E070041 +:1027D800130515001307F00F630EE506370700FC15 +:1027E8001307F7FFB3F7E7001307F00F93D7370086 +:1027F8006318E50063860700B707400093040000EC +:10280800370780001307F7FF1375F50FB3F7E700D5 +:1028180013177501370580808320C10003248100C8 +:102828001305F5FF33F5A7009394F4013365E5002C +:102838003365950003290100832441001301010138 +:1028480067800000938405006FF01FF59307080068 +:102858006FF09FF4930700006FF01FF937068000B0 +:10286800135775019307F6FF1377F70F9305E007E2 +:10287800B3F7A7009356F50163F6E5049305D0096D +:1028880063FAE500370500801345F5FF3385A60098 +:102898006780000033E5C7009307500963DCE70051 +:1028A8001307A7F63315E500638006023305A04039 +:1028B80067800000930760093387E7403355E500D8 +:1028C8006FF09FFE1305000067800000130101FFF1 +:1028D800232611002324810023229100630205107E +:1028E800130405009354F501635405003304A04014 +:1028F80013050400EF00003A9307E009B387A740E7 +:10290800130760096340F706130780006356A700A2 +:10291800130585FF3314A400370580001305F5FF60 +:1029280093F7F70F3374A40013957701B7078080E6 +:102938009387F7FF3374F400B70700803364A4006B +:1029480093C7F7FF1395F4013374F4003365A400BB +:102958008320C10003248100832441001301010165 +:1029680067800000130790096350F70213075000AF +:102978003307A7409306B5013357E4003314D40056 +:102988003334800033648700130750006356A70070 +:102998001307B5FF3314E400370700FC1307F7FFEC +:1029A800937674003377E400638A06001374F400A6 +:1029B800930640006304D40013074700931657009A +:1029C80063DC0600B70700FC9387F7FF3377F7004F +:1029D8009307F009B387A740135437006FF0DFF36C +:1029E8001304000093070000930400006FF0DFF267 +:1029F80093577501130101FF93F7F70F2324810003 +:102A08001387170037048000232291001304F4FF72 +:102A1800232611001377F70F930610003374A400D0 +:102A28009354F50163D2E6061385073893573400AB +:102A38001314D401370710001307F7FFB3F7E700A3 +:102A4800370710801307F7FF1375F57F1315450136 +:102A5800B3F7E70037070080B3E7A7001347F7FF8E +:102A68001395F401B3F7E70033E7A7008320C1000B +:102A78001305040003248100832441009305070003 +:102A880013010101678000006394070463020406D0 +:102A980013050400EF0000209307A00063C2A702FB +:102AA8009307B000B387A74013075501B357F40045 +:102AB8003314E400130790383305A7406FF09FF7ED +:102AC800930755FFB317F400130400006FF09FFE3F +:102AD80093070000630A040093573400370708007F +:102AE8001314D401B3E7E7001305F07F6FF09FF4E8 +:102AF80093070000130500006FF0DFF3370610009E +:102B08001306F6FF3376B60013D845019357D5015F +:102B18001378F87F1316360033E6C70093071800BA +:102B280093F7F77F9306100093D5F5011317350037 +:102B380063D6F60A930608C89307E00F63C2D70C5A +:102B48006340D008930790FE63C4F612B70780006D +:102B58001305E0013366F6003305D5409307F0010D +:102B680063C8A702130828C8B3170701B337F000D2 +:102B7800331606013355A700B3E7C700B367F5005E +:102B88009306000013F77700630007086F00C00E74 +:102B98009307E0FFB386D74093070002B356D600E9 +:102BA800930800006306F500130828CAB318060145 +:102BB800B3E7E800B337F000B3E7F6006FF05FFC67 +:102BC800131565003335A000131636009357D70147 +:102BD8003366C500B367F6006FF0DFFAB367E60047 +:102BE80063160800B337F0006FF09FF99306F00FF3 +:102BF800638C070013163600B70700026FF09FFDBD +:102C0800930700009306F00F13975700635E0700C1 +:102C1800938616001307F00F638AE606370700FC51 +:102C28001307F7FFB3F7E7001307F00F93D7370041 +:102C38006398E60063860700B70740009305000025 +:102C4800370580001305F5FFB3F7A7003705808027 +:102C58001305F5FF93F6F60F93967601B3F7A700E1 +:102C68009395F501B3E7D70033E5B7006780000017 +:102C7800930710009306000013F7F70013064000AF +:102C8800E304C7F8938747006FF01FF89307000025 +:102C98006FF09FF9B7070100637AF5029307F00F09 +:102CA800B3B7A70093973700130700023307F7401D +:102CB800B357F5001705000013058570B307F50035 +:102CC80003C507003305A7406780000037070001E8 +:102CD80093070001E36AE5FC930780016FF0DFFCCE +:102CE800130101FF23261100EFD08FE08320C100DC +:102CF800B70710F023A007F2130500001301010124 +:042D080067800000E0 +:102D0C004448525953544F4E452050524F4752410C +:102D1C004D2C20534F4D4520535452494E470000E3 +:102D2C004468727973746F6E652042656E63686D6A +:102D3C0061726B2C2056657273696F6E20322E3166 +:102D4C0020284C616E67756167653A204329000045 +:102D5C0050726F6772616D20636F6D70696C656422 +:102D6C0020776974682027726567697374657227A8 +:102D7C0020617474726962757465000050726F67BB +:102D8C0072616D20636F6D70696C65642077697416 +:102D9C00686F757420277265676973746572272074 +:102DAC00617474726962757465000000506C6561C1 +:102DBC007365206769766520746865206E756D6231 +:102DCC006572206F662072756E73207468726F75F1 +:102DDC006768207468652062656E63686D61726BEC +:102DEC003A200000457865637574696F6E207374C2 +:102DFC00617274732C2025642072756E7320746854 +:102E0C00726F756768204468727973746F6E650AA7 +:102E1C0000000000457865637574696F6E20656EFF +:102E2C006473000046696E616C2076616C75657325 +:102E3C00206F6620746865207661726961626C65CA +:102E4C0073207573656420696E20746865206265F3 +:102E5C006E63686D61726B3A00000000496E745FBE +:102E6C00476C6F623A202020202020202020202038 +:102E7C002025640A00000000202020202020202093 +:102E8C0073686F756C642062653A20202025640A93 +:102E9C0000000000426F6F6C5F476C6F623A20203D +:102EAC0020202020202020202025640A0000000063 +:102EBC0043685F315F476C6F623A202020202020EE +:102ECC00202020202025630A000000002020202044 +:102EDC002020202073686F756C642062653A202076 +:102EEC002025630A0000000043685F325F476C6F67 +:102EFC00623A202020202020202020202025630A38 +:102F0C00000000004172725F315F476C6F625B388A +:102F1C005D3A2020202020202025640A000000009B +:102F2C004172725F325F476C6F625B385D5B375D1D +:102F3C003A2020202025640A0000000020202020B8 +:102F4C002020202073686F756C642062653A202005 +:102F5C00204E756D6265725F4F665F52756E7320A1 +:102F6C002B203130000000005074725F476C6F6290 +:102F7C002D3E000020205074725F436F6D703A201C +:102F8C0020202020202020202025640A0000000082 +:102F9C00202020202020202073686F756C64206214 +:102FAC00653A20202028696D706C656D656E7461C2 +:102FBC0074696F6E2D646570656E64656E7429003E +:102FCC00202044697363723A202020202020202086 +:102FDC00202020202025640A000000002020456EBF +:102FEC00756D5F436F6D703A2020202020202020CB +:102FFC002025640A000000002020496E745F436F96 +:10300C006D703A2020202020202020202025640ACA +:10301C000000000020205374725F436F6D703A20E3 +:10302C0020202020202020202025730A00000000D2 +:10303C00202020202020202073686F756C64206273 +:10304C00653A2020204448525953544F4E45205045 +:10305C00524F4752414D2C20534F4D452053545203 +:10306C00494E47004E6578745F5074725F476C6FC1 +:10307C00622D3E00202020202020202073686F75B8 +:10308C006C642062653A20202028696D706C656D37 +:10309C00656E746174696F6E2D646570656E6465C0 +:1030AC006E74292C2073616D652061732061626FD1 +:1030BC0076650000496E745F315F4C6F633A202077 +:1030CC0020202020202020202025640A0000000041 +:1030DC00496E745F325F4C6F633A202020202020B1 +:1030EC00202020202025640A00000000496E745F17 +:1030FC00335F4C6F633A202020202020202020209A +:10310C002025640A00000000456E756D5F4C6F63EE +:10311C003A20202020202020202020202025640A56 +:10312C00000000005374725F315F4C6F633A2020D3 +:10313C0020202020202020202025730A00000000C1 +:10314C00202020202020202073686F756C64206262 +:10315C00653A2020204448525953544F4E45205034 +:10316C00524F4752414D2C20312753542053545227 +:10317C00494E47005374725F325F4C6F633A2020A4 +:10318C0020202020202020202025730A0000000071 +:10319C00202020202020202073686F756C64206212 +:1031AC00653A2020204448525953544F4E452050E4 +:1031BC00524F4752414D2C2032274E4420535452EB +:1031CC00494E47004D656173757265642074696D75 +:1031DC006520746F6F20736D616C6C20746F206F41 +:1031EC00627461696E206D65616E696E6766756C7F +:1031FC0020726573756C747300000000506C65610F +:10320C00736520696E637265617365206E756D629E +:10321C006572206F662072756E730000436C6F636D +:10322C006B206379636C65733D2564200A00000094 +:10323C00444D49505320706572204D687A3A2020D5 +:10324C002020202020202020202020202020202072 +:10325C0020202020202020202020202000000000E2 +:10326C0025642E00256400004448525953544F4E97 +:10327C00452050524F4752414D2C2031275354205A +:10328C00535452494E4700004448525953544F4EE0 +:10329C00452050524F4752414D2C2032274E44204E +:1032AC00535452494E4700004448525953544F4EC0 +:1032BC00452050524F4752414D2C20332752442029 +:1032CC00535452494E470000B8E4FFFFCCE3FFFFD4 +:1032DC00D8E3FFFFCCE3FFFFA4E4FFFFCCE3FFFF49 +:1032EC00D8E3FFFFB8E4FFFFB8E4FFFFA4E4FFFF5F +:1032FC00D8E3FFFFA4E3FFFFA4E3FFFFA4E3FFFF7A +:10330C00E0E3FFFF60E9FFFF60E9FFFF84E9FFFFF7 +:10331C0054E9FFFF54E9FFFF44EAFFFF84E9FFFF94 +:10332C0054E9FFFF44EAFFFF54E9FFFF84E9FFFF84 +:10333C0050E9FFFF50E9FFFF50E9FFFF44EAFFFFB0 +:10334C006CECFFFFA8ECFFFFB4ECFFFFA8ECFFFF59 +:10335C0020EDFFFFA8ECFFFFB4ECFFFF6CECFFFFD0 +:10336C006CECFFFF20EDFFFFB4ECFFFF84ECFFFFE4 +:10337C0084ECFFFF84ECFFFFBCECFFFF64EFFFFF6E +:10338C0064EFFFFFD4EFFFFF5CEFFFFF5CEFFFFF8D +:10339C0034F0FFFFD4EFFFFF5CEFFFFF34F0FFFFD3 +:1033AC005CEFFFFFD4EFFFFF58EFFFFF58EFFFFF7D +:1033BC0058EFFFFF34F0FFFF000102020303030389 +:1033CC0004040404040404040505050505050505A9 +:1033DC000505050505050505060606060606060689 +:1033EC000606060606060606060606060606060671 +:1033FC000606060606060606070707070707070759 +:10340C000707070707070707070707070707070740 +:10341C000707070707070707070707070707070730 +:10342C000707070707070707070707070707070720 +:10343C000707070707070707080808080808080808 +:10344C0008080808080808080808080808080808F0 +:10345C0008080808080808080808080808080808E0 +:10346C0008080808080808080808080808080808D0 +:10347C0008080808080808080808080808080808C0 +:10348C0008080808080808080808080808080808B0 +:10349C0008080808080808080808080808080808A0 +:1034AC000808080808080808080808080808080890 +:0834BC000808080808080808C8 +:1034C8000000000080842E41000000205FA012420E +:0C34D800F90295503353DE470000C84253 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/dhrystoneO3MC.hex b/VexRiscv/src/test/resources/hex/dhrystoneO3MC.hex new file mode 100644 index 0000000..813fe40 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/dhrystoneO3MC.hex @@ -0,0 +1,785 @@ +:0200000480007A +:10000000ADA8010001000100010001000100010094 +:10001000232E11FE232C51FE232A61FE232871FE7C +:100020002326A1FE2324B1FE2322C1FE2320D1FEDC +:10003000232EE1FC232CF1FC232A01FD232811FDB2 +:100040002326C1FD2324D1FD2322E1FD2320F1FD40 +:100050003971AD28F250E2525253C2533255A25573 +:10006000125682567247E2475248C248324EA24E5A +:10007000124F824F2161730020309741000093811D +:10008000E182176100001301E1041385C1819765C6 +:1000900000009385E5C36306B50023200500110524 +:1000A000DDBF1735000013052500711197350000DD +:1000B000938585FF6308B500144111052AC0829617 +:0C00C0000245EDB71101EF20F00001A097 +:1000CC00828003C75183930710046303F700828077 +:1000DC001C4103A7C183A507998F1CC1828003A66D +:1000EC00418409C6184218C103A6418483A5C18363 +:1000FC00310629456F00806C41114AC083A74184A9 +:10010C0022C40041984326C283AE470003AE870049 +:10011C0003A3070183A8470103A887018C53D0537D +:10012C00945706C6AA84C84FDC5718C0984048CCD0 +:10013C005CD42322D40195472324C40123286400D2 +:10014C00232A1401232C04010CD050D014D4DCC469 +:10015C005CC418C0228561375C40B1CF9C40B24072 +:10016C00224483AF070003AF470083AE870003AE82 +:10017C00C70003A3070183A8470103A88701CC4F3D +:10018C009053D4539857DC5723A0F40123A2E401D5 +:10019C0023A4D40123A6C40123A8640023AA140118 +:1001AC0023AC0401CCCC90D0D4D098D4DCD402496C +:1001BC0092444101828088449947930584005CC431 +:1001CC00EF00E06983A7418448441306C4009C43B4 +:1001DC00B24092441CC022440249A94541016F001F +:1001EC00E05D83C7518383A681839387F7FB93B725 +:1001FC001700D58F23ACF18213072004238AE182E8 +:10020C00828013071004A38AE18223AC01828280CE +:10021C0035711305000306CF22CDE6DAEED626CBD8 +:10022C004AC94EC752C556C35AC1DEDEE2DCEAD813 +:10023C00EF00407123A0A18413050003EF00807030 +:10024C0083A7018423A2A1841CC189471CC5B7358F +:10025C000080930780025CC57D469385C58E232262 +:10026C0005004105EF00407E37370080930747E5D6 +:10027C00032E47E503A3470083A8870003A8C70004 +:10028C008C4BD04B944F03D7C70183C7E701B73DC5 +:10029C000080231EE102230FF1021387CD1BA94717 +:1002AC002945232EF76472D01AD246D442D62ED8C2 +:1002BC0032DA36DCEF00E077373500801305C59075 +:1002CC00EF00C0742945EF00C07683A70183638ECD +:1002DC00074A373500801305C593EF002073294575 +:1002EC00EF0020753735008013058599EF00A06766 +:1002FC002945EF000074373500809305800C1305F9 +:10030C00059DEF004066EF00607337340080832753 +:10031C0044E7373D0080032C4DE93EC623A6A1825D +:10032C008549130444E78944E93D653D8347E4016D +:10033C005048032E4400032384008328C400032860 +:10034C000401144C0357C401B24E230FF1048C006A +:10035C0085470810B2CA3ECE26CAF6C0F2C29AC46D +:10036C00C6C6C2C8B6CC231EE10455295246133565 +:10037C00150023ACA18263C0C40293172600B29768 +:10038C00F51732858D4530083ECC0D2952460506B1 +:10039C0032CAE3D4C4FEE2461385C1849385CD1BD7 +:1003AC00152103A54184930A10040D4AB13303C7E8 +:1003BC00418393070004130B4DE963F1E702568563 +:1003CC00930530048921F24713871A006306F5362A +:1003DC0083C74183937AF70FE3F357FFD247624BFE +:1003EC004808330AFA028509B34A6A0356CAD13956 +:1003FC009307900CE39AF9F2EF00406423A4A182D6 +:10040C0037350080130505A0EF0040602945EF004B +:10041C00406237350080130505A1EF00205F2945A8 +:10042C00EF00206183A5C18337350080130585A4B7 +:10043C00373400800D2B9545130544A62D2383A539 +:10044C00818337350080130505A8312B85451305AD +:10045C0044A6112B83C55183373500801305C5A9DC +:10046C001923B734008093051004138584ABE52958 +:10047C0083C5418337350080130545ADED219305C8 +:10048C002004138584ABC5219387C1848C533735E5 +:10049C000080130505AFC1299D45130544A6E12134 +:1004AC00B73700809387C71B83A5C7653735008096 +:1004BC001305C5B04D2937350080130585B2EF0003 +:1004CC00E05437350080130545B5EF00205403A7E1 +:1004DC004184B7370080138507B60C433EC6373DC1 +:1004EC0000805121373500801305C5B7052303A7BC +:1004FC0041841305CDBA373C00804C43B73B008098 +:10050C00B73900808D218145130544A6A92903A77D +:10051C00418413058CBC373900800C47330A6A417F +:10052C0099218945130544A63D2903A741841385C8 +:10053C004BBE4C470D29C545130544A62D2183A55B +:10054C004184138509C0C10539291305C9C17D2909 +:10055C0037350080130505C5552903A70184B2471B +:10056C000C43138507B6012137350080130505C6EA +:10057C00712983A701841305CDBACC43ED2681459F +:10058C00130544A6CD2683A7018413058CBC8C4788 +:10059C00D92E8545130544A6F92683A70184138516 +:1005AC004BBECC47C926C945130544A66D2E83A561 +:1005BC000184138509C0C1057D261305C9C1B92164 +:1005CC00D24537350080130505CA712E95451305A4 +:1005DC0044A6512E93173A00338A47413735008091 +:1005EC00B3055A411305C5CBBD2EB545130544A61D +:1005FC009D2EE24537350080130585CDAD269D45F2 +:10060C00130544A68D26F24537350080130545CFDA +:10061C00992E8545130544A6B926373500800C1054 +:10062C00130505D18926373500801305C5D2F92E5F +:10063C00373500808C00130505D6352637350080FC +:10064C001305C5D7E1262945ED2603A7C18283A54D +:10065C0081829307301F998D23A2B18263DFB71279 +:10066C0037350080130585E0FD2C03A54182EF1082 +:10067C0070642A84EF105076B737008003A6870A7F +:10068C0083A6C70AEF009050B737008003A6070B6C +:10069C0083A6470BEF008061EF20C00423A0A1824A +:1006AC00B737008003A5870BA285EF10E02B23AE94 +:1006BC00A180373500801305C5E1752403A541825F +:1006CC00EF10505FB7370080AA8503A5C70BEF105A +:1006DC00A0292A84EF101057AA84EF10B05DAA85C8 +:1006EC002285EF10B00EB737008083A5070CEF10F2 +:1006FC00A05BEF1030552A8437350080A685130592 +:10070C00C5E49524A54763D7870A37350080A285B1 +:10071C00130505E5892C2945292EFA406A44DA444B +:10072C004A49BA492A4A9A4A0A4BF65B665CD65C35 +:10073C00465DB65D0D6182806C0801450D22032378 +:10074C004B0083288B000328CB0003250B0183254A +:10075C004B0103268B018356CB010347EB0183C767 +:10076C004183850AE2C09AC2C6C4C2C6AAC8AECA30 +:10077C00B2CC231ED104230FE10423AE318393FAB0 +:10078C00FA0F4E8AE3FD57C391B937350080130534 +:10079C008596AD2C2945712CB1B6373500801305E3 +:1007AC0005DBAD2437350080130585DE852429450E +:1007BC004924A5B713050003AD2C81BF0905AA95E3 +:1007CC000CC28280130756001308800C33080703F1 +:1007DC000A06931727003E9514C138DD54C1B307A0 +:1007EC00C800AE97944BD8CB98CF1387160098CBF4 +:1007FC001C41C295B2950566B29523AAF5FA154728 +:10080C0023AEE18282801375F50F93F5F50F630427 +:10081C00B50001458280A38AA182054582804111E1 +:10082C0022C426C206C62A84AE8483C5340003457E +:10083C002400D13F7DF9A6852285DD2C81476356A6 +:10084C00A000294723AEE1828547B240224492445E +:10085C003E85410182807915133515008280411146 +:10086C0022C426C206C62A84AE84F53715C180C0C0 +:10087C0085476304F40205C80947630DE4029147F8 +:10088C006313F40098C0B240224492444101828028 +:10089C008D479CC08547E310F4FE03A7C1839307E3 +:1008AC00400663DFE700B240224423A004009244D8 +:1008BC0041018280B24022449CC09244410182801A +:1008CC00B24022448D479CC0924441018280411128 +:1008DC0022C406C62A840345050011C50504912AC5 +:1008EC000345040065FDB24022444101828091A27F +:1008FC00797126D206D622D44AD0AA84634E050238 +:10090C000A894A84294799E0631C2401B3E7E4026D +:10091C00050493870703B3C4E402A30FF4FEE5B701 +:10092C007D1403450400E137E31C24FFB25022542C +:10093C0092540259456182801305D0024D3FB30495 +:10094C0090407DBF03A78184B307A7003765008063 +:10095C0023A4F1841305C58C930600403A9563D308 +:10096C00F600029082805D7152CC06D622D426D23B +:10097C004AD04ECE56CA2A8A03450500BEC25C1820 +:10098C002EDA32DC36DEBAC0C2C4C6C63EC61DC1C3 +:10099C000144930A5002930430061309300793095B +:1009AC00400663025503A1370504B3078A0003C54B +:1009BC00070065F9B250225492540259F249624A26 +:1009CC00D24A61618280930614003307DA0031A0A9 +:1009DC0063822703638637038506834707003684C3 +:1009EC000507F9D3E39697FEB247884391073EC6B5 +:1009FC00FD3D5DBFB247884391073EC6C93D6DB70B +:100A0C00B247884391073EC6E53579BF411122C4F0 +:100A1C0006C62A840345050011C50504192803459B +:100A2C00040065FD29453120B240224401454101B5 +:100A3C008280B70710F023A0A7F08280B70710F0D0 +:100A4C0003A507F182800000B3C7A50093F7370018 +:100A5C003307C500639607009307300063E4C702B1 +:100A6C0093070500636CE5006780000083C60500F2 +:100A7C009387170093851500A38FD7FEE3E8E7FE55 +:100A8C006780000093773500639207089307050091 +:100A9C009376C7FF138606FE63F6C70883A305008B +:100AAC0083A2450083AF850003AFC50083AE05016B +:100ABC0003AE450103A3850183A8C50193854502B7 +:100ACC009387470203A8C5FF23AE77FC23A057FEEC +:100ADC0023A2F7FF23A4E7FF23A6D7FF23A8C7FF72 +:100AEC0023AA67FE23AC17FF23AE07FF6FF0DFFAD4 +:100AFC0083C605009387170093851500A38FD7FE37 +:100B0C0093F63700E39606FE6FF09FF89307050007 +:100B1C006FF01FFF03A605009387470093854500E0 +:100B2C0023AEC7FEE3E8D7FEE3EAE7F467800000F4 +:100B3C003367B5009303F0FF13773700631007108A +:100B4C00B7877F7F9387F7F70326050083A60500F9 +:100B5C00B372F6003363F600B382F200B3E26200C4 +:100B6C00639272106316D6080326450083A64500CF +:100B7C00B372F6003363F600B382F200B3E26200A4 +:100B8C00639E720C6316D6060326850083A6850029 +:100B9C00B372F6003363F600B382F200B3E2620084 +:100BAC006398720C6316D6040326C50083A6C50091 +:100BBC00B372F6003363F600B382F200B3E2620064 +:100BCC006392720C6316D6020326050183A60501F7 +:100BDC00B372F6003363F600B382F200B3E2620044 +:100BEC00639C720A1305450193854501E30ED6F407 +:100BFC001317060193970601631EF700135706019E +:100C0C0093D706013305F7409375F50F63900502F2 +:100C1C00678000001357070193D707013305F7408E +:100C2C009375F50F63940500678000001377F70F39 +:100C3C0093F7F70F3305F740678000000346050074 +:100C4C0083C6050013051500938515006314D600A3 +:100C5C00E31606FE3305D6406780000013054500F9 +:100C6C0093854500E31CD6FC13050000678000004B +:100C7C001305850093858500E312D6FC130500004F +:100C8C00678000001305C5009385C500E318D6FAEC +:100C9C00130500006780000013050501938505010D +:100CAC00E31ED6F81305000067800000130101FD58 +:100CBC0023248102232291022328610113040500BD +:100CCC00130B0500B704100013D545012320210395 +:100CDC00232E3101232671019384F4FF2326110264 +:100CEC00232C4101232A51011375F57F930B060028 +:100CFC0093890600B3F4B40013D9F5016306050A11 +:100D0C009307F07F6306F51093943400B7068000C8 +:100D1C00B3E4D400935ADB01B3EA9A0013143B00FA +:100D2C00130A15C0130B000013D54901B7041000AA +:100D3C009384F4FF1375F57FB3F43401938F0B0098 +:100D4C0093D9F901630205109307F07F6302F5163E +:100D5C00B707800093943400B3E4F40093D7DB011D +:100D6C00B3E79700939F3B00130515C013070000D2 +:100D7C0093162B00B3E6E6009386F6FF9305E0008E +:100D8C00334639013305AA4063E0D5169725000098 +:100D9C009385C51193962600B386B60083A60600EC +:100DAC00B386B60067800600B3EA6401638E0A0658 +:100DBC006380040413850400EF10502C930755FF37 +:100DCC001307C001634EF702930AD001130485FF89 +:100DDC00B38AFA40B3948400B35A5B01B3EA9A0025 +:100DEC0033148B00130AD0C0330AAA406FF09FF360 +:100DFC0013050B00EF109028130505026FF01FFC74 +:100E0C00930485FDB31A9B00130400006FF09FFD43 +:100E1C00B3EA640163820A02938A0400130AF07F26 +:100E2C00130B30006FF05FF013040000130A000086 +:100E3C00130B10006FF05FEF13040000130AF07F28 +:100E4C00130B20006FF05FEEB3E77401638E07069F +:100E5C006380040413850400EF105022130755FF20 +:100E6C009307C00163CEE7029307D001930F85FF70 +:100E7C00B387E740B394F401B3D7FB00B3E7970013 +:100E8C00B39FFB011306D0C03305A6406FF01FEED5 +:100E9C0013850B00EF10901E130505026FF01FFC5D +:100EAC00930785FDB397FB00930F00006FF09FFD38 +:100EBC00B3E7740163820702938704001305F07F84 +:100ECC00130730006FF0DFEA930F000013050000EA +:100EDC00130710006FF0DFE9930F00001305F07F8C +:100EEC00130720006FF0DFE863E657016390FA36D2 +:100EFC00636EF4359395FA01935614001317F401AD +:100F0C0093DA1A0033E4D5009397870013D88F0136 +:100F1C003368F800935E0801B3D5DA03131E080199 +:100F2C00135E0E019356040193988F00B3F4DA0309 +:100F3C00938F0500B307BE0293940401B3E69600A9 +:100F4C0063FEF600B3860601938FF5FF63E8060196 +:100F5C0063F6F600938FE5FFB3860601B386F64081 +:100F6C0033D3D6031314040113540401B3F6D6037C +:100F7C0093070300B3056E0293960601B366D40083 +:100F8C0063FEB600B38606019307F3FF63E8060120 +:100F9C0063F6B6009307E3FFB38606013384B640CD +:100FAC00939F0F01B7050100B3EFFF001383F5FF0B +:100FBC0093D60F01B3F76F0013DF080133F368000A +:100FCC00B3836702B3846602B307FF02B382E603FE +:100FDC00B386970093D70301B387D70063F49700C8 +:100FEC00B382B20093D60701B3865600B702010054 +:100FFC009382F2FFB3F5570093950501B3F35300B9 +:10100C00B38575006368D40093870F006314D40410 +:10101C006372B70433071701B3321701B3820201AD +:10102C00330454009387FFFF6366880063148802BF +:10103C00636217036366D400639E8600637CB7000B +:10104C00330717019387EFFFB33F1701B38F0F01DE +:10105C003304F401B305B7403304D4403337B7003D +:10106C003304E440930FF0FF63048812B352D403AB +:10107C0093D605013374D40313870200B30F5E02B9 +:10108C001314040133E48600637EF4013304040179 +:10109C001387F2FF636804016376F4011387E2FFA0 +:1010AC00330404013304F441B356D403939505017E +:1010BC0093D505013374D403330EDE0213140401EB +:1010CC0033E4850093850600637EC4013304040178 +:1010DC009385F6FF636804016376C4019385E6FF8C +:1010EC003304040113170701B365B7001397050107 +:1010FC00135707013304C44113DE0501B30E670215 +:10110C0033036E02330ECF03330FEF0213D70E01EE +:10111C00330F6F003307E70163766700B7060100F2 +:10112C00330EDE00135307013303C301370E0100E6 +:10113C00130EFEFFB376C70193960601B3FECE01E4 +:10114C00B386D60163686400938F05006310640452 +:10115C006380060433048800938FF5FF63640403F3 +:10116C00636664006314640263F0D802139718007A +:10117C00B338170133880801938FE5FF330404015A +:10118C0093080700631464006384160193EF1F0037 +:10119C009306F53F635AD01013F77F0063000702E4 +:1011AC0013F7FF0093054000630AB70093854F00C7 +:1011BC00B3BFF501B387F701938F05001397770041 +:1011CC00635A0700370700FF1307F7FFB3F7E70071 +:1011DC00930605401307E07F634AD7181397D7018E +:1011EC0093DF3F003367F70193D73700B705100043 +:1011FC009385F5FFB3F7B700B70510809385F5FF1E +:10120C0093F6F67F93964601B3F7B7008320C1029D +:10121C00032481021316F601B3E7D700B3E6C70027 +:10122C0083244102032901028329C101032A81017C +:10123C00832A4101032B0101832BC10013050700F5 +:10124C009385060013010103678000001305F5FF69 +:10125C00130700006FF05FCB1306090093870A0099 +:10126C00930F040013070B00930620006300D710A4 +:10127C00930630006302D70E93061000E31AD7F0E2 +:10128C0093070000130700006F0040091386090044 +:10129C006FF09FFDB7070800930F000013060000C6 +:1012AC00130730006FF05FFC93051000B385D54039 +:1012BC0013078003E346B7FC1307F0016344B7063A +:1012CC001305E5413397A700B3D6BF003395AF00A4 +:1012DC003367D7003335A0003367A700B3D7B70007 +:1012EC0093767700638006029376F70093054000AF +:1012FC00638AB6009306470033B7E600B387E7006E +:10130C00138706009396870063CA06069396D70147 +:10131C001357370033E7E60093D7370093060000E6 +:10132C006FF0DFEC130710FE3307D74013080002F1 +:10133C0033D7E70093060000638605011305E543E8 +:10134C00B396A70033E5F6013335A0003367A70049 +:10135C00930700006FF0DFF8B707080013070000D1 +:10136C009306F07F130600006FF05FE89307000010 +:10137C00130700009306F07F6FF05FE79307000000 +:10138C0013070000930610006FF05FE6130101FDD8 +:10139C0023202103232A51013709100093DA450138 +:1013AC00232E3101232C41012328610123267101B5 +:1013BC001309F9FF2326110223248102232291020F +:1013CC0093FAFA7F130B0500930B0600138A0600A1 +:1013DC003379B90093D9F50163880A0A9307F07F32 +:1013EC006386FA10370480001319390033698900B9 +:1013FC001354D5013364240193143500938A1AC015 +:10140C00130B000013554A01370910001309F9FF9B +:10141C001375F57F3379490113870B00135AFA01C1 +:10142C00630405109307F07F6304F516B70780007B +:10143C00131939003369F90093D7DB01B3E727019E +:10144C0013973B00130515C09306000093152B0052 +:10145C00B3E5D5003385AA009385F5FF9308E0002A +:10146C0033C649011308150063E0B81617250000B0 +:10147C00130585A793952500B385A50083A50500C5 +:10148C00B385A500678005003364A900630E0406CC +:10149C006300090413050900EF10403E930755FF44 +:1014AC001307C001634CF7021304D001930485FFAA +:1014BC003304F4403319990033548B003364240102 +:1014CC00B3149B00930AD0C0B38AAA406FF05FF3A9 +:1014DC00EF10C03A130505026FF05FFC130485FD95 +:1014EC0033148B00930400006FF0DFFD3364A9000C +:1014FC00630404029304050013040900930AF07FAB +:10150C00130B30006FF01FF093040000930A0000DF +:10151C00130B10006FF01FEF93040000930AF07F81 +:10152C00130B20006FF01FEEB3677901638E070673 +:10153C006300090413050900EF104034930655FFAE +:10154C009307C00163CED7029307D001130785FF21 +:10155C00B387D7403319E900B3D7FB00B3E72701B2 +:10156C003397EB009306D0C03385A6406FF0DFEDC8 +:10157C0013850B00EF108030130505026FF01FFC74 +:10158C00930785FDB397FB00130700006FF09FFDD9 +:10159C00B367790163820702930709001305F07F93 +:1015AC00930630006FF09FEA13070000130500004C +:1015BC00930610006FF09FE9130700001305F07FEE +:1015CC00930620006FF09FE8B70F0100138FFFFF09 +:1015DC0093D6040113530701B3F4E4013377E70105 +:1015EC00B3089302B3059702B382E602338E580018 +:1015FC0093D80501B388C801B38E660263F4580012 +:10160C00B38EFE0113D90801B3F8E80193DF07018B +:10161C00B3F5E501B3F3E70193980801B388B8007B +:10162C00B3877602B3859302B3849F02338EF400A2 +:10163C0093D40501B384C401B386F60363F6F400B6 +:10164C00B7070100B386F60013DF0401B7090100E8 +:10165C00330FDF009386F9FFB3F4D400B3F5D50054 +:10166C0093570401939404013374D400B384B400ED +:10167C00B306870233099900338EE702B305830260 +:10168C003307F3023383C50193D50601B385650097 +:10169C0063F4C5013307370113D30501B709010002 +:1016AC00B302E3001387F9FFB3F5E500B3F6E600E8 +:1016BC003383830293950501B385D5003387770275 +:1016CC0033848F02338EFF023304E40093570301FB +:1016DC00338487006374E400330E3E01B7070100C6 +:1016EC009387F7FFB376F4003373F30093960601F8 +:1016FC00B38E2E01B3866600B3B49E00B386E601AA +:10170C00B3879600B38EBE00B3B5BE0033835700CB +:10171C00B30FB300B3B6E601B3B79700B3E7F60067 +:10172C001354040133335300B3B5BF00B3878700A0 +:10173C00B365B300B387B700B387C70113D77F0175 +:10174C0093979700B3E7E70013979E003367170151 +:10175C003337E00093DE7E0193969F003367D70109 +:10176C003367D7009396770063DA06109356170009 +:10177C001377170033E7E6009396F7013367D7002A +:10178C0093D717009305F83F635EB00E93767700FE +:10179C00638006029376F70013054000638AA60067 +:1017AC009306470033B7E600B387E70013870600BC +:1017BC009396770063DA0600B70600FF9386F6FF70 +:1017CC00B3F7D700930508409306E07F63CEB616B7 +:1017DC00935637001397D7013367D70093D7370049 +:1017EC00B70610009386F6FFB3F7D70093F6F57F94 +:1017FC00B70510809385F5FF93964601B3F7B700B4 +:10180C008320C102032481021316F601B3E7D7002B +:10181C00B3E6C70083244102032901028329C101D5 +:10182C00032A8101832A4101032B0101832BC1006F +:10183C001305070093850600130101036780000060 +:10184C0013860900930704001387040093060B000A +:10185C0093052000638AB60E93053000638CB60C9A +:10186C0093051000E390B6F29307000013070000F5 +:10187C006F00800813060A006FF09FFD1308050027 +:10188C006FF05FF0130510003305B540930680032D +:10189C00E3CCA6FC9306F00163C4A6061308E8414A +:1018AC00B3960701B355A70033170701B3E6B6008B +:1018BC003337E00033E7E600B3D7A7009376770021 +:1018CC00638006029376F70093054000638AB600A6 +:1018DC009306470033B7E600B387E700138706008B +:1018EC009396870063CA06069396D7011357370061 +:1018FC0033E7E60093D73700930500006FF05FEEF7 +:10190C00930610FEB386B64093080002B3D6D700F8 +:10191C0093050000630615011308E843B39507010E +:10192C0033E7E5003337E00033E7E60093070000C8 +:10193C006FF0DFF8B7070800130700009305F07F7E +:10194C00130600006FF0DFE9930700001307000097 +:10195C009305F07F6FF0DFE893070000130700009A +:10196C00930510006FF0DFE7130101FE232A9100AD +:10197C002326310193547501B709800023282101D6 +:10198C00232441019389F9FF232E1100232C81007C +:10199C002322510193F4F40F138A0500B3F9A90023 +:1019AC001359F501638804089307F00F6384F40A54 +:1019BC0093993900B7070004B3E9F900938414F83C +:1019CC00930A000013557A01370480001304F4FFC6 +:1019DC001375F50F33744401135AFA01630C05089F +:1019EC009307F00F6308F50A13143400B7070004CB +:1019FC003364F400130515F89307000093962A003E +:101A0C00B3E6F6009386F6FF1307E0003346490170 +:101A1C003385A4406360D70A9715000093858550E1 +:101A2C0093962600B386B60003A706003307B700CB +:101A3C0067000700638A090213850900EF00106430 +:101A4C009307B5FF9304A0F8B399F900B384A440AD +:101A5C006FF01FF79304F00F930A2000E38409F64C +:101A6C00930A30006FF01FF693040000930A1000E5 +:101A7C006FF05FF5630A040213050400EF001060B9 +:101A8C009307B5FF3314F4009307A0F83385A740F0 +:101A9C006FF09FF61305F00F93072000E30004F698 +:101AAC00930730006FF09FF51305000093071000AB +:101ABC006FF0DFF41318540063F6890E1305F5FF6D +:101ACC00930600001354080133D38902B7070100B1 +:101ADC009387F7FFB377F80093D6060133F78902A3 +:101AEC0093050300B38867021317070133E7E60079 +:101AFC00637E1701330707019305F3FF6368070142 +:101B0C00637617019305E3FF33070701330717418A +:101B1C00B358870233778702B386170393170701ED +:101B2C001387080063FED700B38707011387F8FFFC +:101B3C0063E8070163F6D7001387E8FFB387070153 +:101B4C0013940501B387D7403364E400B337F00036 +:101B5C003364F4001307F5076350E00A93777400BD +:101B6C00638A07009377F400930640006384D700E0 +:101B7C00130444009317440063DA0700B70700F816 +:101B8C009387F7FF3374F400130705089307E00FEE +:101B9C001354340063D2E70C130400001307F00F46 +:101BAC006F00800B9396F90193D919006FF09FF198 +:101BBC00130609001384090093870A0013072000F9 +:101BCC00E38CE7FC130730006382E708130710006F +:101BDC00E392E7F813040000130700006F00C0073E +:101BEC0013060A006FF09FFD370440001306000037 +:101BFC00930730006FF09FFC93071000B387E7400A +:101C0C001307B001E348F7FC1305E509B357F400DB +:101C1C003314A4003334800033E4870093777400CA +:101C2C00638A07009377F400130740006384E7008E +:101C3C00130444009317540013543400E3DE07F8E4 +:101C4C0013040000130710006F000001370440005C +:101C5C001307F00F13060000370580001305F5FF7E +:101C6C003374A400370580801305F5FF1377F70F45 +:101C7C003374A400131777013364E4001315F601D1 +:101C8C003365A4008320C101032481018324410115 +:101C9C00032901018329C100032A8100832A410001 +:101CAC001301010267800000130101FE232A910039 +:101CBC0023282101B7048000135975012326310113 +:101CCC00232251019384F4FF232E1100232C810035 +:101CDC00232441011379F90F938A0500B3F4A4006E +:101CEC009359F501630A09089307F00F6306F90A83 +:101CFC0093943400B7070004B3E4F400130919F803 +:101D0C00130A000013D57A01370480001304F4FF82 +:101D1C001375F50F3374540193DAFA01630E050849 +:101D2C009307F00F630AF50A13143400B707000485 +:101D3C003364F400130515F89306000093172A007A +:101D4C00B3E7D7003305A9009387F7FF1307E0002B +:101D5C0033C65901130815006360F70A1717000002 +:101D6C001307072093972700B387E70083A7070083 +:101D7C00B387E70067800700638A040213850400B9 +:101D8C00EF00D02F9307B5FF1309A0F8B394F4001C +:101D9C003309A9406FF0DFF61309F00F130A200086 +:101DAC00E38204F6130A30006FF0DFF5130900002C +:101DBC00130A10006FF01FF5630A040213050400E8 +:101DCC00EF00D02B9307B5FF3314F4009307A0F862 +:101DDC003385A7406FF05FF61305F00F93062000D4 +:101DEC00E30E04F4930630006FF05FF5130500006A +:101DFC00930610006FF09FF4B70701001383F7FFF1 +:101E0C0013D7040193560401B3F4640033746400D3 +:101E1C00B3888402B30587023304D702B3869602D3 +:101E2C0093D40801B386B600B384D40063F4B40031 +:101E3C003304F400B3F7640093970701B3F8680018 +:101E4C00B38717011397670093D404013337E0006D +:101E5C0093D7A70133848400B367F700131464008D +:101E6C003364F4009317440063DE070C935714009B +:101E7C001374140033E487001307F8076358E00C5D +:101E8C0093777400638A07009377F40093064000FD +:101E9C006384D700130444009317440063DA0700EB +:101EAC00B70700F89387F7FF3374F400130708089B +:101EBC009307E00F63CCE70E935734006F000003D9 +:101ECC00138609001384040093060A00930720006C +:101EDC00638EF60C930730006382F60C93051000AA +:101EEC009307000013070000E398B6F8370480004E +:101EFC001304F4FF37058080B3F787001305F5FF53 +:101F0C008320C101032481011377F70FB3F7A700D6 +:101F1C00131777011315F601B3E7E700832441018A +:101F2C00032901018329C100032A8100832A41006E +:101F3C0033E5A700130101026780000013860A0035 +:101F4C006FF0DFF8130805006FF01FF39307100014 +:101F5C00B387E7401307B0016340F7061308E8099D +:101F6C00B357F400331404013334800033E4870096 +:101F7C0093777400638A07009377F400130740008B +:101F8C006384E700130444009317540063CA0702E8 +:101F9C0093573400130700006FF05FF5B70740004C +:101FAC001307F00F130600006FF05FF493070000A7 +:101FBC001307F00F6FF09FF3930700006FF09FFD76 +:101FCC0093070000130710006FF05FF2B707800053 +:101FDC009387F7FF130101FF33F7A70093567501A1 +:101FEC0013D67501B3F7B7002322910023202101EA +:101FFC0093F6F60F131837001376F60F23261100FD +:10200C00232481001307F00F9354F5011389060064 +:10201C001305060093D5F501939737006314E6007A +:10202C006394070093C515003387C640639A951ACD +:10203C006356E00A63160606639C07009307F00FCD +:10204C00638CF60493070800138506006F00C01418 +:10205C001307F7FF631E0702B387070113850600FA +:10206C0013975700635A0712130515001307F00F47 +:10207C00630EE5323707007E93F617001307F7FF60 +:10208C0093D71700B3F7E700B3E7D7006F00C01082 +:10209C001306F00F639EC600930708006F004007FD +:1020AC001306F00FE38AC6FE37060004B3E7C70039 +:1020BC001306B0016356E600930710006FF0DFF9CA +:1020CC00130600023306E640B3D5E700B397C7000A +:1020DC00B337F000B3E7F5006FF01FF863000708A3 +:1020EC00639C0602631808001307F00F6316E60AD8 +:1020FC006F0000029306F0FF6316D700B387070149 +:10210C006FF01FF69306F00F1347F7FF6310D6021C +:10211C001305F00F6F0040089306F00FE30AD6FE8C +:10212C00B70600043307E0403368D8009306B001CB +:10213C0063D6E600130710006F00C001930600027F +:10214C003356E8003387E6403317E8003337E000B6 +:10215C003367E600B387E7006FF09FF01385160036 +:10216C001376F50F130710006342C7066394060439 +:10217C00130500006302080263860722B387070178 +:10218C0013975700635A0700370700FC1307F7FF34 +:10219C00B3F7E7001305100013F77700630A072065 +:1021AC0013F7F700930640006304D720938747008A +:1021BC006F000020E30E08F4E38007EEB70700027F +:1021CC001305F00F930400006F00801E1307F00F2F +:1021DC00630EE51C3307F800935717006FF0DFFB15 +:1021EC006350E00863160604E38A07E41307F7FF5D +:1021FC0063140702B307F8401385060013975700C2 +:10220C00E35C07F8370400041304F4FF33F487008D +:10221C00130905006F0080131306F00FE38EC6E65A +:10222C001306B0016350E602930710006FF09FFC99 +:10223C001306F00FE382C6E637060004B3E7C700C7 +:10224C006FF01FFE13060002B3D5E7003307E6401C +:10225C00B397E700B337F000B3E7F5006FF09FF9E1 +:10226C0063020708639E0602631808001307F00F49 +:10227C00938405006FF09FE79306F0FF6318D70077 +:10228C00B3870741938405006FF05FF79306F00F57 +:10229C001347F7FF6310D602938405006FF05FE7D6 +:1022AC009306F00FE30AD6FEB70600043307E040AE +:1022BC003368D8009306B00163D6E600130710000C +:1022CC006F00C001930600023356E8003387E640E6 +:1022DC003317E8003337E0003367E600B387E74095 +:1022EC006FF05FFA138716001377F70F13061000C1 +:1022FC006344E604639C06026318080063980712A3 +:10230C00130500006FF01FEC638607123307F840CB +:10231C0093165700B387074163CA0610930707004B +:10232C0063100706930700006FF09FFDE31608E8A3 +:10233C00E39407F66FF09FE83304F840131754004A +:10234C006354070433840741938405001305040088 +:10235C00EF00C0521305B5FF3314A400634A2503E4 +:10236C00330525411305150013070002B357A400CC +:10237C003305A7403314A40033348000B3E787003F +:10238C00130500006FF05FE1E30E04F86FF01FFC23 +:10239C00B70700FC9387F7FF3305A940B377F40028 +:1023AC006FF09FDF930708006FF01FDF93070000AB +:1023BC0013975700635E0700130515001307F00F02 +:1023CC00630EE506370700FC1307F7FFB3F7E700CA +:1023DC001307F00F93D737006318E50063860700E7 +:1023EC00B707400093040000370780001307F7FF7E +:1023FC001375F50FB3F7E7001317750137058080D8 +:10240C008320C100032481001305F5FF33F5A700D9 +:10241C009394F4013365E5003365950003290100BD +:10242C00832441001301010167800000938405009F +:10243C006FF01FF5930708006FF09FF493070000EF +:10244C006FF01FF937068000135775019307F6FFDD +:10245C001377F70F9305E007B3F7A7009356F50131 +:10246C0063F6E5049305D00963FAE50037050080AF +:10247C001345F5FF3385A6006780000033E5C700E0 +:10248C009307500963DCE7001307A7F63315E50043 +:10249C00638006023305A040678000009307600943 +:1024AC003387E7403355E5006FF09FFE13050000BE +:1024BC0067800000130101FF2326110023248100F3 +:1024CC002322910063020510130405009354F501B7 +:1024DC00635405003304A04013050400EF00003AD8 +:1024EC009307E009B387A740130760096340F70619 +:1024FC00130780006356A700130585FF3314A4004F +:10250C00370580001305F5FF93F7F70F3374A4001C +:10251C0013957701B70780809387F7FF3374F40026 +:10252C00B70700803364A40093C7F7FF1395F40139 +:10253C003374F4003365A4008320C10003248100AC +:10254C0083244100130101016780000013079009E7 +:10255C006350F702130750003307A7409306B501E9 +:10256C003357E4003314D4003334800033648700D1 +:10257C00130750006356A7001307B5FF3314E4008C +:10258C00370700FC1307F7FF937674003377E400EA +:10259C00638A06001374F400930640006304D400AD +:1025AC00130747009316570063DC0600B70700FCBF +:1025BC009387F7FF3377F7009307F009B387A740AA +:1025CC00135437006FF0DFF313040000930700007F +:1025DC00930400006FF0DFF293577501130101FFB4 +:1025EC0093F7F70F2324810013871700370480001B +:1025FC00232291001304F4FF232611001377F70F05 +:10260C00930610003374A4009354F50163D2E606CC +:10261C0013850738935734001314D401370710006F +:10262C001307F7FFB3F7E700370710801307F7FF1F +:10263C001375F57F13154501B3F7E70037070080D5 +:10264C00B3E7A7001347F7FF1395F401B3F7E700BF +:10265C0033E7A7008320C100130504000324810085 +:10266C0083244100930507001301010167800000DA +:10267C00639407046302040613050400EF000020B2 +:10268C009307A00063C2A7029307B000B387A740CB +:10269C0013075501B357F4003314E40013079038B3 +:1026AC003305A7406FF09FF7930755FFB317F4005E +:1026BC00130400006FF09FFE93070000630A0400F0 +:1026CC0093573400370708001314D401B3E7E7001D +:1026DC001305F07F6FF09FF49307000013050000C3 +:1026EC006FF0DFF3370610001306F6FF3376B600F3 +:1026FC0013D845019357D5011378F87F131636007C +:10270C0033E6C7009307180093F7F77F9306100082 +:10271C0093D5F5011317350063D6F60A930608C84E +:10272C009307E00F63C2D70C6340D008930790FE69 +:10273C0063C4F612B70780001305E0013366F60098 +:10274C003305D5409307F00163C8A702130828C8C6 +:10275C00B3170701B337F000331606013355A70042 +:10276C00B3E7C700B367F5009306000013F77700D3 +:10277C00630007086F00C00E9307E0FFB386D740D5 +:10278C0093070002B356D600930800006306F500C9 +:10279C00130828CAB3180601B3E7E800B337F000F2 +:1027AC00B3E7F6006FF05FFC131565003335A0003E +:1027BC00131636009357D7013366C500B367F6007E +:1027CC006FF0DFFAB367E60063160800B337F0006A +:1027DC006FF09FF99306F00F638C07001316360009 +:1027EC00B70700026FF09FFD930700009306F00FF0 +:1027FC0013975700635E0700938616001307F00FBC +:10280C00638AE606370700FC1307F7FFB3F7E70008 +:10281C001307F00F93D737006398E6006386070021 +:10282C00B707400093050000370580001305F5FF3E +:10283C00B3F7A700370580801305F5FF93F6F60F65 +:10284C0093967601B3F7A7009395F501B3E7D700FC +:10285C0033E5B70067800000930710009306000073 +:10286C0013F7F70013064000E304C7F893874700FB +:10287C006FF01FF8930700006FF09FF9B707010086 +:10288C00637AF5029307F00FB3B7A700939737005D +:10289C00130700023307F740B357F5001705000084 +:1028AC001305C56FB307F50003C507003305A74033 +:1028BC00678000003707000193070001E36AE5FC1D +:0828CC00930780016FF0DFFCAF +:1028D400411106C6EFD05F94B240B70710F023A0B1 +:0828E40007F201454101828069 +:1028EC004448525953544F4E452050524F47524131 +:1028FC004D2C20534F4D4520535452494E47000008 +:10290C004468727973746F6E652042656E63686D8E +:10291C0061726B2C2056657273696F6E20322E318A +:10292C0020284C616E67756167653A204329000069 +:10293C0050726F6772616D20636F6D70696C656446 +:10294C0020776974682027726567697374657227CC +:10295C0020617474726962757465000050726F67DF +:10296C0072616D20636F6D70696C6564207769743A +:10297C00686F757420277265676973746572272098 +:10298C00617474726962757465000000506C6561E5 +:10299C007365206769766520746865206E756D6255 +:1029AC006572206F662072756E73207468726F7515 +:1029BC006768207468652062656E63686D61726B10 +:1029CC003A200000457865637574696F6E207374E6 +:1029DC00617274732C2025642072756E7320746878 +:1029EC00726F756768204468727973746F6E650ACC +:1029FC0000000000457865637574696F6E20656E24 +:102A0C006473000046696E616C2076616C75657349 +:102A1C00206F6620746865207661726961626C65EE +:102A2C0073207573656420696E2074686520626517 +:102A3C006E63686D61726B3A00000000496E745FE2 +:102A4C00476C6F623A20202020202020202020205C +:102A5C002025640A000000002020202020202020B7 +:102A6C0073686F756C642062653A20202025640AB7 +:102A7C0000000000426F6F6C5F476C6F623A202061 +:102A8C0020202020202020202025640A0000000087 +:102A9C0043685F315F476C6F623A20202020202012 +:102AAC00202020202025630A000000002020202068 +:102ABC002020202073686F756C642062653A20209A +:102ACC002025630A0000000043685F325F476C6F8B +:102ADC00623A202020202020202020202025630A5C +:102AEC00000000004172725F315F476C6F625B38AF +:102AFC005D3A2020202020202025640A00000000C0 +:102B0C004172725F325F476C6F625B385D5B375D41 +:102B1C003A2020202025640A0000000020202020DC +:102B2C002020202073686F756C642062653A202029 +:102B3C00204E756D6265725F4F665F52756E7320C5 +:102B4C002B203130000000005074725F476C6F62B4 +:102B5C002D3E000020205074725F436F6D703A2040 +:102B6C0020202020202020202025640A00000000A6 +:102B7C00202020202020202073686F756C64206238 +:102B8C00653A20202028696D706C656D656E7461E6 +:102B9C0074696F6E2D646570656E64656E74290062 +:102BAC00202044697363723A2020202020202020AA +:102BBC00202020202025640A000000002020456EE3 +:102BCC00756D5F436F6D703A2020202020202020EF +:102BDC002025640A000000002020496E745F436FBA +:102BEC006D703A2020202020202020202025640AEF +:102BFC000000000020205374725F436F6D703A2008 +:102C0C0020202020202020202025730A00000000F6 +:102C1C00202020202020202073686F756C64206297 +:102C2C00653A2020204448525953544F4E45205069 +:102C3C00524F4752414D2C20534F4D452053545227 +:102C4C00494E47004E6578745F5074725F476C6FE5 +:102C5C00622D3E00202020202020202073686F75DC +:102C6C006C642062653A20202028696D706C656D5B +:102C7C00656E746174696F6E2D646570656E6465E4 +:102C8C006E74292C2073616D652061732061626FF5 +:102C9C0076650000496E745F315F4C6F633A20209B +:102CAC0020202020202020202025640A0000000065 +:102CBC00496E745F325F4C6F633A202020202020D5 +:102CCC00202020202025640A00000000496E745F3B +:102CDC00335F4C6F633A20202020202020202020BE +:102CEC002025640A00000000456E756D5F4C6F6313 +:102CFC003A20202020202020202020202025640A7B +:102D0C00000000005374725F315F4C6F633A2020F7 +:102D1C0020202020202020202025730A00000000E5 +:102D2C00202020202020202073686F756C64206286 +:102D3C00653A2020204448525953544F4E45205058 +:102D4C00524F4752414D2C2031275354205354524B +:102D5C00494E47005374725F325F4C6F633A2020C8 +:102D6C0020202020202020202025730A0000000095 +:102D7C00202020202020202073686F756C64206236 +:102D8C00653A2020204448525953544F4E45205008 +:102D9C00524F4752414D2C2032274E44205354520F +:102DAC00494E47004D656173757265642074696D99 +:102DBC006520746F6F20736D616C6C20746F206F65 +:102DCC00627461696E206D65616E696E6766756CA3 +:102DDC0020726573756C747300000000506C656133 +:102DEC00736520696E637265617365206E756D62C3 +:102DFC006572206F662072756E730000436C6F6392 +:102E0C006B206379636C65733D2564200A000000B8 +:102E1C00444D49505320706572204D687A3A2020F9 +:102E2C002020202020202020202020202020202096 +:102E3C002020202020202020202020200000000006 +:102E4C0025642E00256400004448525953544F4EBB +:102E5C00452050524F4752414D2C2031275354207E +:102E6C00535452494E4700004448525953544F4E04 +:102E7C00452050524F4752414D2C2032274E442072 +:102E8C00535452494E4700004448525953544F4EE4 +:102E9C00452050524F4752414D2C2033275244204D +:102EAC00535452494E470000C4E4FFFFD8E3FFFFE0 +:102EBC00E4E3FFFFD8E3FFFFB0E4FFFFD8E3FFFF3D +:102ECC00E4E3FFFFC4E4FFFFC4E4FFFFB0E4FFFF53 +:102EDC00E4E3FFFFB0E3FFFFB0E3FFFFB0E3FFFF6E +:102EEC00ECE3FFFF6CE9FFFF6CE9FFFF90E9FFFFEC +:102EFC0060E9FFFF60E9FFFF50EAFFFF90E9FFFF89 +:102F0C0060E9FFFF50EAFFFF60E9FFFF90E9FFFF78 +:102F1C005CE9FFFF5CE9FFFF5CE9FFFF50EAFFFFA4 +:102F2C0078ECFFFFB4ECFFFFC0ECFFFFB4ECFFFF4D +:102F3C002CEDFFFFB4ECFFFFC0ECFFFF78ECFFFFC4 +:102F4C0078ECFFFF2CEDFFFFC0ECFFFF90ECFFFFD8 +:102F5C0090ECFFFF90ECFFFFC8ECFFFF70EFFFFF62 +:102F6C0070EFFFFFE0EFFFFF68EFFFFF68EFFFFF81 +:102F7C0040F0FFFFE0EFFFFF68EFFFFF40F0FFFFC7 +:102F8C0068EFFFFFE0EFFFFF64EFFFFF64EFFFFF71 +:102F9C0064EFFFFF40F0FFFF000102020303030395 +:102FAC0004040404040404040505050505050505CD +:102FBC0005050505050505050606060606060606AD +:102FCC000606060606060606060606060606060695 +:102FDC00060606060606060607070707070707077D +:102FEC000707070707070707070707070707070765 +:102FFC000707070707070707070707070707070755 +:10300C000707070707070707070707070707070744 +:10301C00070707070707070708080808080808082C +:10302C000808080808080808080808080808080814 +:10303C000808080808080808080808080808080804 +:10304C0008080808080808080808080808080808F4 +:10305C0008080808080808080808080808080808E4 +:10306C0008080808080808080808080808080808D4 +:10307C0008080808080808080808080808080808C4 +:10308C0008080808080808080808080808080808B4 +:08309C000808080808080808EC +:1030A8000000000080842E41000000205FA0124232 +:0C30B800F90295503353DE470000C84277 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/freeRTOS_demo.hex b/VexRiscv/src/test/resources/hex/freeRTOS_demo.hex new file mode 100644 index 0000000..3b6e2a0 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/freeRTOS_demo.hex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diff --git a/VexRiscv/src/test/resources/hex/machineCsr.hex b/VexRiscv/src/test/resources/hex/machineCsr.hex new file mode 100644 index 0000000..55002ee --- /dev/null +++ b/VexRiscv/src/test/resources/hex/machineCsr.hex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diff --git a/VexRiscv/src/test/resources/hex/machineCsrCompressed.hex b/VexRiscv/src/test/resources/hex/machineCsrCompressed.hex new file mode 100644 index 0000000..e4d96b2 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/machineCsrCompressed.hex @@ -0,0 +1,37 @@ +:0200000480007A +:100000006F0000091300000013000000130000003F +:100010001300000013000000130000001300000094 +:10002000732E2034631E0E00130FC0FFF32E103406 +:10003000B3FEEE01938E4E0073901E346F00C0012C +:10004000B70E0080337FDE0163180F00F32E1034EB +:10005000938E4E0073901E34B70E0080938E3E0038 +:100060006396CE01930E800073B04E34B70E0080BD +:10007000938E7E006394CE0173504030B70E0080A3 +:10008000938EBE006394CE017350403073002030D5 +:10009000130E100073000000130E20009302800066 +:1000A00073A002309302800073904230930280006C +:1000B00073A042341300000013000000130000007E +:1000C00013000000130000001300000013000000E4 +:1000D00013000000130000001300000013000000D4 +:1000E00013000000130E300093020008739042309A +:1000F00013000000130000001300000013000000B4 +:10010000130000001300000013000000130E400055 +:10011000B7120000938202807390423013000000F7 +:100120001300000013000000130000001300000083 +:100130001300000013000000130E5000B70110F070 +:10014000938101F403A2010083A241001302F23F54 +:1001500023A4410023A65100130E600013020008DF +:1001600073104230130E700073005010130E800095 +:100170009301100023A04100130E90002390410032 +:10018000130EA00003A20100130EB00003920100A1 +:10019000130EC000130ED00083200000130EE000E9 +:1001A00073002020130EF000B70010F0938000F6CB +:1001B00003A10000130E000123A02000130E100164 +:0401C0006780000054 +:1001C4006780000013050000678000001000000035 +:1001D40000000000017A5200017C01011B0D0200A5 +:1001E4001000000018000000DCFFFFFF0800000002 +:1001F40000000000100000002C000000C4FFFFFFFE +:080204000400000000000000EE +:0400000580000090E7 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/mmu.hex b/VexRiscv/src/test/resources/hex/mmu.hex new file mode 100644 index 0000000..41403fe --- /dev/null +++ b/VexRiscv/src/test/resources/hex/mmu.hex @@ -0,0 +1,4791 @@ +:0200000480007A +:100000006F00000413000000130000001300000044 +:100010001300000013000000130000001300000094 +:10002000732E2034732E3034B74E0C00370F01F08E +:10003000930F40000FF00E000FF0EF03730020301D +:10004000130E10001303F0011303F3FF0F700302EC +:10005000E31C03FE130E200037020C00B70201F070 +:10006000130300000F7002000F70530237120C00D0 +:10007000B70201F0130310000F7002000F7053025B +:1000800037220C00B70202F0130320000F700200A9 +:100090000F70530237320C00B70202F01303300026 +:1000A0000F7002000F705302130E30003702001061 +:1000B000B70200C0370300C1B7030020370400C2F5 +:1000C000B70400C3370500C4371611111306161103 +:1000D000B726222293862622373733331307373346 +:1000E0002320C200032E020003AE0200032E0300F1 +:1000F00023A0D31003AE0310032E041003AE04108C +:10010000130E4000032E02002320E30003AE020082 +:10011000032E0200130E5000032E0500130E600084 +:10012000130E700037620C00B70200F01303F001E9 +:100130000F7002000F705302130000001300000044 +:10014000130000001300000013000000370200C677 +:1001500067000200130000001300000013000000FD +:08016000130000001300000071 +:100168001305000067800000130500006780000089 +:1001780013050000678000006FF09FFE1305000064 +:10018800678000001305000067800000B70710F0C3 +:1001980023A0A7F067800000130101FF232481003A +:1001A800232611001304050003450500630A050012 +:1001B80013041400EFF09FFD03450400E31A05FE45 +:1001C8008320C1000324810013010101678000001E +:1001D800130101FF2320210123261100232481007C +:1001E8002322910013090600630E060013840500FC +:1001F800B384C5000345040013041400EFF01FF98D +:10020800E39A84FE8320C1000324810013050900BA +:1002180083244100032901001301010167800000C4 +:100228006780000037150180130101FF1305C527FA +:1002380023261100EF00C0058320C100B70710F086 +:1002480023A007F2130500001301010167800000D5 +:10025800130101FC2324C1022326D1022328E10231 +:10026800232AF102232C0103232E110313860500F0 +:100278008325850093068102232E11002326D100B1 +:10028800EF0040068320C1011301010467800000CC +:10029800130101FC2324C1022326D102232AF102DF +:1002A8002322B1022328E102232C0103232E110368 +:1002B800973701009387078583A7070093064102B4 +:1002C8001306050083A5870013850700232E110058 +:1002D8002326D100EF0000018320C101130101048E +:1002E80067800000130101E32326111C2322911CBF +:1002F8002320211D232E311B2320A11B1389050038 +:1003080093040600138D06002324811C232C411B13 +:10031800232A511B2328611B2326711B2324811B9D +:100328002322911B232EB11993090500EF40104792 +:1003380083270500138507002324F102EF60503757 +:10034800232AA1002328010C232A010C232C010CA9 +:10035800232E010C638A090083A78903639607008B +:1003680013850900EF2080518317C90013972701CF +:1003780063420702B726000003274906B3E7D70000 +:100388002316F900B7E7FFFF9387F7FFB377F70066 +:100398002322F9068357C90093F78700638E07065F +:1003A80083270901638A07068357C9001307A0003A +:1003B80093F7A7016390E7088317E90063CC070662 +:1003C80093060D00138604009305090013850900A0 +:1003D800EF10906F232CA1008320C11C0324811CE3 +:1003E800032581018324411C0329011C8329C11B86 +:1003F800032A811B832A411B032B011B832BC11A50 +:10040800032C811A832C411A032D011A832DC1193B +:100418001301011D67800000930509001385090079 +:10042800EF105076E30205F89307F0FF232CF10054 +:100438006FF09FFA9307C10E2322F10C2326010CBB +:100448002324010C130A0000138B0700232A01023E +:10045800232801022324010023220102232601026A +:10046800232C01001384040013075002834704005F +:10047800638407006392E70CB30A9440638A0A0412 +:100488008327C10C23209B0023225B01B3875701DC +:100498002326F10C8327810C13077000130B8B00A4 +:1004A800938717002324F10C635EF7001306410CB1 +:1004B8009305090013850900EF80101F631A05765C +:1004C800130BC10E83278101B3875701232CF10039 +:1004D80083470400639407006F10505B9307140070 +:1004E8002328F100A303010A930CF0FF232E010037 +:1004F80013040000930B9000130CA0028327010142 +:1005080083CA0700938717002328F10093870AFE00 +:100518001307A0056374F7006F10C02417170100B4 +:10052800130747D693972700B387E70083A70700E9 +:10053800B387E70067800700130414006FF01FF308 +:1005480097170100938707EF232AF1029377040294 +:10055800639407006F104003130D7D00137D8DFF1A +:1005680093078D00832D0D00032D4D002326F100E8 +:1005780093771400638E0700B3E7AD01638A070021 +:10058800930700032304F10AA304510B1364240006 +:100598001374F4BF930720006F00106913850900D6 +:1005A800EF40D01F83274500138507002326F1025B +:1005B800EF6010102322A10213850900EF40101EDE +:1005C800832785002324F10083274102E38807F26B +:1005D80083278100E38407F283C70700E38007F2DB +:1005E800136404406FF09FF18347710AE39807F0A2 +:1005F80093070002A303F10A6FF05FF0136414007D +:100608006FF0DFEF83270D00130D4D00232EF1004F +:10061800E3D607EEB307F040232EF100136444003D +:100628006FF0DFED9307B0026FF0DFFC8327010165 +:1006380083CA0700938D170063988A05832C0D00E1 +:1006480093074D0063D40C00930CF0FF138D070043 +:100658002328B1016FF09FEA13850C009305A000D1 +:100668002322F100EF00417C83274100938D1D0078 +:1006780083CAFDFFB30CF50093870AFDE3FEFBFC7C +:100688002328B1016FF09FE8930C00006FF0DFFEA4 +:10069800136404086FF09FE6832D0101232E0100E7 +:1006A8000325C1019305A000938A0AFDEF00C177D5 +:1006B800938D1D00B387AA0083CAFDFF232EF10086 +:1006C80093870AFDE3FEFBFC6FF09FFB1364840035 +:1006D8006FF0DFE28327010103C707009307800655 +:1006E800631CF70083270101136404209387170014 +:1006F8002328F1006FF09FE0136404046FF01FE0FB +:100708008327010103C707009307C006631CF7008E +:1007180083270101938717002328F100136404023B +:100728006FF0DFDD136404016FF05FDD93074D00A8 +:100738002326F10083270D00A303010A2306F112E3 +:1007480023220100930C1000930D0000130C0000ED +:10075800930B0000130D00009304C1122320B10372 +:1007680063D49D01232091030347710A630807009E +:1007780083270102938717002320F102937724002F +:10078800232CF1026388070083270102938727003F +:100798002320F10293774408232EF102639C070675 +:1007A8008327C101032701023387E7406354E0062A +:1007B800930E0001171E0100130E8EC3130F700055 +:1007C8008326810C2320CB010326C10C93861600B7 +:1007D80093058B0063D4EE006F00107A2322EB00A0 +:1007E8003307C7002326E10C2324D10C130770001C +:1007F800138B05006350D7021306410C93050900BB +:1008080013850900EF80406A630405006F10D0214A +:10081800130BC10E0347710A630A07041307710A11 +:100828002320EB00130710002322EB000327C10C41 +:1008380093067000130B8B00130717002326E10C97 +:100848000327810C130717002324E10C63D0E60269 +:100858001306410C9305090013850900EF80C06455 +:10086800630405006F10501C130BC10E832781030E +:10087800638A07041307810A2320EB00130720006B +:100888002322EB000327C10C93067000130B8B0087 +:10089800130727002326E10C0327810C13071700F1 +:1008A8002324E10C63D0E6021306410C93050900EA +:1008B80013850900EF80405F630405006F10D016B0 +:1008C800130BC10E8327C10313070008639AE706B9 +:1008D8008327C10103270102B387E7406352F0066B +:1008E800930E0001171E0100130E8EB1130F700036 +:1008F8008326810C2320CB010327C10C9386160085 +:1009080013068B00E3C6FE6C3387E7002322FB0047 +:100918002326E10C2324D10C13077000130B0600C7 +:100928006350D7021306410C93050900138509008B +:10093800EF808057630405006F10100F130BC10E72 +:10094800B38D9D416352B007130E000117180100C3 +:10095800130808AB930E70000327810C23200B01AA +:100968008326C10C1307170013068B00E34EBE6BDA +:100978002322BB01B38DDD002326B10D2324E10C16 +:1009880093067000130B060063D0E6021306410CB1 +:100998009305090013850900EF80005163040500E1 +:1009A8006F109008130BC10E13770410832DC10C20 +:1009B800E314076C8327810C3383BC0123209B003D +:1009C8009387170023229B012326610C2324F10C13 +:1009D80013077000130B8B006344F7006F10801629 +:1009E8001306410C9305090013850900EF80C04BDD +:1009F800630405006F105003130BC10E6F108014B1 +:100A08001364040193770402638E0704130D7D00B9 +:100A1800137D8DFF93078D00832D0D00032D4D0051 +:100A28002326F100635E0D00B30DB041B337B0016A +:100A3800330DA041330DFD409307D002A303F10A03 +:100A48009307F0FFE390FC38E3120D409307900002 +:100A5800E3EEB73F938D0D03A307B1199304F11883 +:100A68006F00503C93074D002326F1009377040153 +:100A780063880700832D0D0013DDFD416FF09FFA99 +:100A880093770404832D0D0063880700939D0D015F +:100A980093DD0D416FF05FFE93770420E38E07FC32 +:100AA800939D8D0193DD8D416FF01FFD9377840039 +:100AB800638C070A93074D002326F10083270D0056 +:100AC80003A6070083A6470003A7870083A7C700DC +:100AD8002328C10C232AD10C232CE10C1305010D6A +:100AE800232EF10CEF40C0442326A10A93072000CF +:100AF800631CF50A8327010D9305010813050109F5 +:100B08002328F1088327410D232001082322010807 +:100B1800232AF1088327810D2324010823260108AD +:100B2800232CF1088327C10D232EF108EFC0D07ABA +:100B3800635605009307D002A303F10A93077004D4 +:100B4800971401009384048D63C65701971401001C +:100B58009384048C1374F4F723220100930C30005F +:100B6800930D00006F00102D130D7D00137D8DFF78 +:100B780083250D0003264D0093078D0013050109F9 +:100B88002326F100EFF0D04F832701092328F10C29 +:100B980083274109232AF10C83278109232CF10C8F +:100BA8008327C1096FF09FF3930710006318F502BC +:100BB8008327C10D63D607009307D002A303F10A68 +:100BC800930770049714010093844485E3C457F98C +:100BD80097140100938444846FF0DFF793FBFAFDC8 +:100BE80093071004639EFB04930700032304F10A90 +:100BF80013071006930780056394EA00930780079C +:100C0800A304F10A930730061364240063D6974BB4 +:100C180093851C0013850900EF40006493040500C8 +:100C28006312054A8357C90093E707042316F9009E +:100C38008357C90093F70704638007FA6FF0CFFE64 +:100C48009307F0FF6384FC48930770042322010094 +:100C58006394FB0063820C48032AC10D9367041058 +:100C68002320F102232C0102832E010D032E410DB6 +:100C7800832D810D635A0A00B707008033CA4701E4 +:100C88009307D002232CF10293071004639AFB48C0 +:100C9800130501092328D109232AC109232CB109E5 +:100CA800232E4109EFF0D0621306C10AEF50105A03 +:100CB800138605009305050013050109EFF0503C64 +:100CC8008327010913060106930501072328F10666 +:100CD800832741091305010823200106232AF10669 +:100CE800832781092322010623240106232CF106E8 +:100CF8008327C109232EF106B707FC3F2326F106F7 +:100D0800EFC0907303280108032641088326810851 +:100D1800832DC1089305010813050109232801093A +:100D280023220105232AC1082320C104232CD1082A +:100D3800232ED102232EB1092320010823220108E2 +:100D48002324010823260108EFC050368326C10357 +:100D58000326010403284104631605001307100045 +:100D68002326E10A13071006170C0100130C8C6CDC +:100D78006396EA00170C0100130C8C6A138EFCFFB3 +:100D8800138D0400B7070340930501082322C10807 +:100D980013050109130601072324C10523200109AE +:100DA8002324D1082326B109232EF106232801067E +:100DB800232A0106232C0106EFC010680326010927 +:100DC8008326410983278109130501092322C104C8 +:100DD8002320D104232EF102EFF0407C9305050077 +:100DE800130A050013050109832DC109EFF05011FD +:100DF8008327C1030326410483260104232CF1061B +:100E0800832701092328C106930501072320F1063A +:100E18008327410913060106130501082322F10659 +:100E280083278109232EB107232AD1062324F1061B +:100E38008327C109130D1D002326F106EFE0C02505 +:100E4800B3054C0183C50500032E8104832EC10818 +:100E580083220108832F4108032F8108232EC10311 +:100E6800A30FBDFE9307F0FF938D0E00630CFE06E3 +:100E7800130EFEFF9305010813050109232ED10562 +:100E8800232CE105232AF105232851042326C10533 +:100E98002328510823245104232AF1092322F10588 +:100EA800232CE1092320E105232ED1092320010861 +:100EB800232201082324010823260108EFC0101F5C +:100EC800832601040326410403288104032EC10458 +:100ED80083220105832F4105032F8105832EC10538 +:100EE800E31205EAB70DFE3F930501081305010952 +:100EF8002328510823265104232AF1092324F10524 +:100F0800232CE1092322E105232ED1092320D10531 +:100F18002320010823220108232401082326B109DC +:100F2800EFC090256346A0048322C104832F810467 +:100F3800032F4104832E01049305010813050109B9 +:100F480023285108232AF109232CE109232ED1094A +:100F58002320010823220108232401082326B1099C +:100F6800EFC0D0146314051A137A1A0063000A1A22 +:100F78000346FC00232EA10B930500038326C10B17 +:100F88009387F6FF232EF10A83C7F6FF638CC714F5 +:100F980013069003639CC7148347AC00A38FF6FE27 +:100FA800130A0D0013077004330A9A40032DC10A6F +:100FB8006396EB2A1307D0FF6344ED0063D2AC338A +:100FC800938AEAFF930BFDFF2326710B93F6FAFD34 +:100FD8009305100413F7FA0F130600006398B60080 +:100FE8001307F7001377F70F13061000230AE10A17 +:100FF8009307B00263D80B00930B1000B38BAB417F +:101008009307D002A30AF10A9307900063DC7729BB +:10101800130C310C930D0C00930C90009305A00059 +:1010280013850B00EFF0D06A13050503A30FACFE80 +:101038009305A00013850B00EFF05061130DFCFF22 +:10104800930B050063C2AC24930B0503130CECFF50 +:10105800A30F7DFF9307610B636CBC231307410B40 +:10106800B387E7402328F102B38C470193071000A8 +:1010780063C64701937714006386070083274101FD +:10108800B38CFC001374F4BF936704102320F1029F +:10109800130C0000930B0000130D0000832781033D +:1010A800638607001307D002A303E10A03240102A1 +:1010B800930D00006FF08FEA232201009304C11200 +:1010C8006FF09FB92322A1006FF01FB923220100FE +:1010D800930C60006FF05FB823229101930C10000D +:1010E8006FF09FB7A38FB6FE6FF05FE99387170085 +:1010F80093F7F70F6FF09FEA130A1A00A30FEAFE9F +:10110800B3074D41E3DA07FE6FF0DFE98327C10338 +:10111800130A0D0013070003330DFD006FF05FFE87 +:1011280093076004638EFB0093075004138C1C0024 +:101138006384FB00138C0C00130620006F00C000B2 +:10114800138C0C00130630009307010B1308C10B16 +:101158001307C10A93060C009305010913850900BA +:101168002328D1092320D105232AC109232EC1030D +:10117800232CB109232E4109EF304017930770043F +:1011880093040500032EC103832E01046396FB001C +:10119800937714006384070A93076004338D8401EE +:1011A8006392FB0603C70400930700036318F70460 +:1011B80093050108130501092328D1092320D10526 +:1011C800232AC109232EC103232CB109232E410947 +:1011D80023200108232201082324010823260108CB +:1011E800EFC0C06C032EC103832E01046308050001 +:1011F80093071000338C87412326810B8327C10A6C +:10120800330DFD0093050108130501092328D109B1 +:10121800232AC109232CB109232E410923200108BF +:10122800232201082324010823260108EFC00068AF +:1012380013070003631E0500232EA10B032AC10B0D +:101248006FF05FD693861700232ED10A2380E7001C +:101258008327C10BE3E8A7FF6FF05FFE1307600465 +:10126800E392EBD66352A00763960C001377140041 +:101278006302070C832741013307FD00B38CEC00A0 +:10128800930A60066F004009130C0D006FF01FD918 +:10129800130C1C000347FCFF93871700A38FE7FE7E +:1012A8006FF09FDB1307610B6318060093070003B9 +:1012B800230BF10A1307710B938B0B03930717008A +:1012C800230077016FF09FD963960C001377140001 +:1012D8006306070683274101138717006FF01FFA7B +:1012E80063404D0313771400930C0D006306070049 +:1012F80083274101B30CFD00930A70066F00C001FB +:1013080083274101930A7006B30CFA006346A001D3 +:101318003383AC41930C1300937B0440130C0000FF +:10132800E38E0BD6930B0000E35AA0D79306F00F79 +:101338006F008003930C0D006FF09FF4930A600612 +:10134800930C10006FF05FFD6356A703832781009D +:10135800330DED4003C71700630807029387170092 +:10136800938B1B002324F1008327810003C7070008 +:10137800E31CD7FC8325410233858B01EFF0D02A8B +:10138800B30C95016FF09FD1130C1C006FF0DFFDBB +:1013980013074D002326E1001377040283270D006D +:1013A800630007020327810123A0E7001357F741D1 +:1013B80023A2E700032DC100832401016FF08F8A67 +:1013C80013770401630807000327810123A0E700BE +:1013D8006FF05FFE13770404630807000357810169 +:1013E8002390E7006FF01FFD13740420E30E04FC44 +:1013F800034781012380E7006FF0DFFB13640401DA +:101408009377040263880704130D7D00137D8DFF15 +:1014180093078D00832D0D00032D4D002326F10029 +:101428001374F4BF93070000A303010A1307F0FF26 +:101438006380EC1A13070400B3E6AD011374F4F7E4 +:101448006398061863820C30130710006396E71838 +:101458006FF04FE093074D002326F10093770401C6 +:1014680063860700832D0D006F0000019377040445 +:1014780063880700835D0D00130D00006FF05FFAAD +:1014880093770420E38007FE834D0D006FF0DFFEA5 +:1014980093074D002326F100B787FFFF93C7078303 +:1014A8002314F10A97070100938787F7832D0D000E +:1014B800232AF102130D000013642400930720006F +:1014C800930A80076FF05FF693074D002326F1001B +:1014D800A303010A9307F0FF83240D006384FC0231 +:1014E80013860C009305000013850400EF40404963 +:1014F8002322A100630605E6B30C954023220100D0 +:101508006FF00FE613850400EF50901A930C050056 +:101518006FF0DFFE1364040193770402638207020D +:10152800130D7D00137D8DFF93078D00832D0D0016 +:10153800032D4D002326F100930710006FF0DFEE16 +:1015480093074D002326F100937704016386070073 +:10155800832D0D006F000001937704046388070052 +:10156800835D0D00130D00006FF01FFD93770420BD +:10157800E38007FE834D0D006FF0DFFE9707010043 +:10158800938707EA6FE05FFC93074D002326F1007D +:101598009377040163860700832D0D006F00000117 +:1015A8009377040463880700835D0D00130D000022 +:1015B8006FE01FFC93770420E38007FE834D0D0046 +:1015C8006FF0DFFE13070400930710006FF0DFE6EB +:1015D80013071000638AE7C613072000638AE7121F +:1015E800930701199316DD0113F77D0093DD3D0084 +:1015F80013070703B3EDB601135D3D00A38FE7FEA4 +:10160800B3E6AD019384F7FF639E06029376140058 +:10161800638A0600930600036306D700A38FD4FEEF +:101628009384E7FF93070119938D0C00232201008F +:10163800B38C9740130C0000930B0000130D0000AF +:101648006FF0CF91938704006FF0DFF913770440B0 +:10165800130A0000930701192322E100930BF00FEE +:10166800130C90001306A0009306000013850D00CC +:1016780093050D009384F7FF2320F102EFA0106378 +:101688008327010213050503130A1A00A38FA7FE77 +:1016980083274100638807048327810083C70700E5 +:1016A8006312FA0463007A0563140D00637CBC03BB +:1016B800832741028325C102130A0000B384F44042 +:1016C8001386070013850400EF505000832781001C +:1016D80083C7170063880700832781009387170053 +:1016E8002324F10013850D0093050D001306A000B7 +:1016F80093060000EFA0C07D138D0500B3E5A5009B +:10170800930D0500E38005F2938704006FF09FF5C1 +:10171800930401190327410393F7FD009384F4FF11 +:10172800B307F70083C7070093DD4D002380F4005B +:101738009317CD01B3EDB701135D4D00B3E7AD01CC +:10174800E39A07FC6FF01FEE93040119E39C07EC82 +:1017580013771700E30807EC93070003A307F118B2 +:101768006FF0CFAFE3840A3223065113A303010AB3 +:101778002326A1016FE0DFFC130606012322DB010B +:101788002326C10C2324D10C635EDF021306410C0F +:1017980093050900138509002326E1052324C105C3 +:1017A8002322D1052320E104EF700070E31E0526F3 +:1017B800032FC104032E8104832E4104032701044F +:1017C8009305C10E130707FF138B05006FE05FFF3A +:1017D800130707012322DB012326E10C2324D10C64 +:1017E800635EDF021306410C9305090013850900A7 +:1017F8002322E1052320C105232ED103232CF10246 +:10180800EF70806AE3120522032F4104032E0104BE +:10181800832EC103832781031306C10E938707FF15 +:10182800130B06006FF0CF8C938606012322CB01A1 +:101838002326D10C2324E10C63DAEE021306410CB3 +:1018480093050900138509002320D105232E0103E0 +:10185800232CC103EF704065E318051C832E010497 +:101868000328C103032E81031306C10E938D0DFFB8 +:10187800130B06006FF04F8E13075006635257750F +:101888000327010D93050108130501092328E10821 +:101898000327410D2320010823220108232AE108F8 +:1018A8000327810D2324010823260108232CE1089E +:1018B8000327C10D232EE108EFB0507F631C0512EA +:1018C80097070100938747B82320FB009307100070 +:1018D8002322FB008327810C938D1D002326B10D45 +:1018E800938717002324F10C13077000130B8B0048 +:1018F800635EF7001306410C930509001385090080 +:10190800EF70805AE3120512130BC10E8327C10A28 +:1019180063C64701937714006386072283278102F1 +:1019280003274101130B8B00232CFBFE8327410166 +:10193800232EFBFE8327C10CB387E7002326F10C77 +:101948008327810C13077000938717002324F10C59 +:10195800635EF7001306410C93050900138509001F +:10196800EF708054E312050C130BC10E9304FAFFB9 +:10197800635A901C930B0001970A0100938A4AA8A6 +:10198800130C70008327810C23205B010327C10CF3 +:101998009387170093068B0063C29B0223229B0048 +:1019A800B384E4002326910C2324F10C1307700060 +:1019B800138B06006358F7186FF08F82130707011F +:1019C80023227B012326E10C2324F10C635EFC0017 +:1019D8001306410C9305090013850900EF70C04CEC +:1019E800E31405049306C10E938404FF138B0600C9 +:1019F8006FF05FF90327C10A634CE01C97070100E9 +:101A0800938787A42320FB00930710002322FB0061 +:101A18008327810C938D1D002326B10D9387170012 +:101A28002324F10C13077000130B8B00635EF7007F +:101A38001306410C9305090013850900EF70C04691 +:101A48006314057E130BC10E8327C10A6398070030 +:101A580063160A00937714006386070E83278102B2 +:101A68000327410193088B002320FB0083274101B2 +:101A78002322FB008327C10CB387E7002326F10C40 +:101A88008327810C13077000938717002324F10C18 +:101A9800635EF7001306410C9305090013850900DE +:101AA800EF708040631205789308C10E832AC10A3B +:101AB80063D20A06B30A504113870800130C0001C9 +:101AC800970B0100938BCB93930D70008327810CA8 +:101AD800232077018326C10C9387170093888800F9 +:101AE800634C5C0B23225701B38ADA002326510D7D +:101AF8002324F10C13077000635EF7001306410CF2 +:101B08009305090013850900EF70003A631E0570FC +:101B18009308C10E8327C10C23A0980023A2480173 +:101B2800B38747012326F10C8327810C1307700024 +:101B3800138B8800938717002324F10C6354F70054 +:101B48006FE01FEA1374440063100468832AC1011C +:101B58008327010263D4FA00938A0700832781014F +:101B6800B3875701232CF1008327C10C638C07002E +:101B78001306410C9305090013850900EF70C03264 +:101B88006314056A832741002324010C6398076EB8 +:101B9800130BC10E6FF01F82938606012322870163 +:101BA8002326D10C2324F10C63DEFD001306410C1F +:101BB8009305090013850900EF70002F6316056669 +:101BC8009308C10E938A0AFF138708006FF01FF06D +:101BD800930A0D006354AA01930A0A006352500540 +:101BE8000327810CB38DBA0123209B00130717002C +:101BF80023225B012326B10D2324E10C93067000F8 +:101C0800130B8B0063DEE6001306410C93050900F5 +:101C180013850900EF70402963180560130BC10E86 +:101C280063D40A00930A0000B30A5D416350500769 +:101C3800930C000197FD0000938D8D7C13037000B9 +:101C48000327810C2320BB018326C10C130717002F +:101C580013068B0063C25C1B23225B01B38ADA0084 +:101C68002326510D2324E10C93067000130B060064 +:101C780063DEE6001306410C93050900138509008D +:101C8800EF7080226312055A130BC10E937704403C +:101C9800B38AA40163840702930D7000338D440155 +:101CA80097FC0000938CCC7563980B1863180C187C +:101CB800B387440163F45701938A07008327C10A55 +:101CC80063C6470193771400638A07048327810258 +:101CD80003274101130B8B00232CFBFE83274101B3 +:101CE800232EFBFE8327C10CB387E7002326F10CC4 +:101CF8008327810C13077000938717002324F10CA6 +:101D0800635EF7001306410C93050900138509006B +:101D1800EF708019631A0550130BC10EB384440188 +:101D2800B38754418324C10AB3049A4063D497000B +:101D380093840700635490048327C10C23205B011C +:101D480023229B00B387F4002326F10C8327810C00 +:101D580013077000130B8B00938717002324F10CD3 +:101D6800635EF7001306410C93050900138509000B +:101D7800EF708013631A054A130BC10E9387040092 +:101D880063D40400930700008324C10AB3049A4073 +:101D9800B384F440E35890DA930B000197FA0000FB +:101DA800938A0A66130C70008327810C23205B0139 +:101DB8000327C10C9387170093068B00E3D09BBEC3 +:101DC8001307070123227B012326E10C2324F10CAE +:101DD800635EFC001306410C930509001385090096 +:101DE800EF70800C631205449306C10E938404FFC0 +:101DF800138B06006FF05FFB9386060123229B017D +:101E08002326D10C2324E10C6352E3021306410C70 +:101E18009305090013850900232C6102EF70C0089F +:101E280063140540032381031306C10E938A0AFF36 +:101E3800130B06006FF0DFE063020C0E130CFCFFBF +:101E48008327C10203274102130B8B00232CFBFEBF +:101E580083274102232EFBFE8327C10CB387E700AB +:101E68002326F10C8327810C938717002324F10C78 +:101E780063DEFD001306410C930509001385090074 +:101E8800EF7080026312053A130BC10E832781009D +:101E980033075D4183C707006354F70093070700C2 +:101EA8006356F0040327C10C23205B012322FB00A7 +:101EB8003387E7002326E10C0327810C130B8B00E3 +:101EC800130717002324E10C63D2ED021306410C1B +:101ED8009305090013850900232CF102EF60D07CDB +:101EE8006314053483278103130BC10E138707007E +:101EF80063D407001307000083278100130800013B +:101F080083C70700B387E7406346F006832781004D +:101F180083C70700B38AFA006FF01FD983278100AF +:101F2800938BFBFF9387F7FF2324F1006FF05FF19A +:101F38009386060123220B012326D10C2324E10CCE +:101F480063D6ED021306410C9305090013850900B9 +:101F5800232E0103232CF102EF6010756316052C64 +:101F68000328C103832781031306C10E938707FF44 +:101F7800130B06000327810C23209B018326C10C29 +:101F88001307170013068B00E344F8FA2322FB001B +:101F9800B387D7002326F10C2324E10C130B06008A +:101FA800E3D6EDF61306410C9305090013850900E5 +:101FB800EF60906F631A0526130BC10E6FF01FF5C3 +:101FC8008327810C1307100023209B00938D1D008D +:101FD80093871700930B8B00634647019376140091 +:101FE8006388061C130710002322EB002326B10D7B +:101FF8002324F10C13077000635EF7001306410CED +:102008009305090013850900EF60106A631E052017 +:10201800930BC10E8327810203274101938B8B0009 +:1020280023ACFBFE8327410123AEFBFE8327C10CB3 +:10203800B387E7002326F10C8327810C1307700070 +:10204800938717002324F10C635EF7001306410CF5 +:102058009305090013850900EF6010656316051CD8 +:10206800930BC10E8327010D930501081305010980 +:102078002328F1088327410D930AFAFF232001083A +:10208800232AF1088327810D23220108232401082C +:10209800232CF1088327C10D23260108232EF108DC +:1020A800EFB0D000630605088327C10C0327810C15 +:1020B800938414009387F7FFB3874701130717002A +:1020C80023A09B0023A25B012326F10C2324E10C0F +:1020D80093077000938B8B0063DEE7001306410CB7 +:1020E8009305090013850900EF60105C631E051253 +:1020F800930BC10E9307410B23A0FB008327010319 +:1021080003270103138B8B0023A2FB008327C10C39 +:10211800B387E7002326F10C8327810C130770008F +:10212800938717002324F10CE35EF7A06FE05F8B21 +:10213800E35250FD130C000197F400009384442CE3 +:10214800930D70000327810C23A09B008327C10CEB +:102158001307170093868B0063405C03B387FA006C +:1021680023A25B012326F10C2324E10C93077000C2 +:10217800938B06006FF05FF69387070123A28B010C +:102188002326F10C2324E10C63DEED001306410C39 +:102198009305090013850900EF60105163160508BF +:1021A8009306C10E938A0AFF938B06006FF09FF97E +:1021B8002322EB002326B10D2324F10C1307700012 +:1021C800E35AF7F26FF09FF18327C1010327010259 +:1021D8003384E740E35C8096930A000197F400009B +:1021E80093840421930B70008327810C23209B0088 +:1021F8000327C10C9387170063C68A0423228B0028 +:102208003304E4002326810C2324F10C1307700007 +:10221800E35EF7921306410C930509001385090044 +:10222800EF609048E3040592832741006394070018 +:102238006FE01FA09385070013850900EF00D011F8 +:102248006FE01F9F1307070123225B012326E10C80 +:102258002324F10C130B8B0063DEFB001306410CE7 +:102268009305090013850900EF601044E31E05FA81 +:10227800130BC10E130404FF6FF01FF783254100F1 +:1022880013850900EF00500D6FF09F908327C10C54 +:10229800639407006FE0DF991306410C930509006A +:1022A80013850900EF6050406FE09F989717010071 +:1022B8009387478593060600138605009305050056 +:1022C80003A507006FE00F8283D7C500130101B88B +:1022D800232C814693F7D7FF231AF10083A74506DD +:1022E80013840500232A91462326F10683D7E500A7 +:1022F80023282147232E1146231BF10083A7C5015C +:1023080013090500232001022322F10283A74502B5 +:10231800930581002326F102930701072324F10086 +:10232800232CF100930700402328F100232EF1000D +:10233800EFD05FFB93040500634C05009305810013 +:1023480013050900EF004038630405009304F0FF0B +:102358008357410193F70704638807008357C40034 +:1023680093E707042316F4008320C1470324814719 +:1023780013850400032901478324414713010148B9 +:1023880067800000130101FF970701009387877793 +:10239800232291009304050003A50700232481004C +:1023A800232611001384050063080500832785038D +:1023B80063940700EF00804C0317C40093170701CC +:1023C80093D7070193F68700639E060693F60701E5 +:1023D800639606029307900023A0F400136707048E +:1023E8002316E4001305F0FF8320C10003248100B5 +:1023F80083244100130101016780000093F747001F +:10240800638C070283250403638C05009307040487 +:102418006386F50013850400EF0000742328040286 +:102428008357C4002322040093F7B7FD2316F40052 +:10243800832704012320F4008357C40093E787000F +:102448002316F40083270401639007028357C4000E +:102458001307002093F707286388E7009305040013 +:1024680013850400EF2050508357C40013F717005A +:10247800630C07028327440123240400B307F040B8 +:10248800232CF4008327040113050000E39E07F4BE +:102498008317C40013F70708E30807F493E7070452 +:1024A8002316F4006FF01FF493F7270013070000BA +:1024B80063940700032744012324E4006FF09FFC82 +:1024C8008397C500130101FE232C81001397070190 +:1024D80013570701232A9100232E110023282101D5 +:1024E80023263101937687009304050013840500A1 +:1024F800639C06163717000013070780B3E7E70049 +:1025080003A745002396F5006346E00203A7C50329 +:102518006342E002130500008320C1010324810106 +:1025280083244101032901018329C1001301010208 +:102538006780000003278402E30E07FC03A9040058 +:102548009396370123A004008325C40163D8060CA1 +:10255800032604058357C40093F74700638E0700DA +:10256800832744003306F640832704036386070065 +:102578008327C4033306F640832784028325C401D6 +:102588009306000013850400E78007009307F0FF17 +:102598008356C4006312F50283A704001307D00111 +:1025A800636CF70A37074020130717003357F70003 +:1025B800137717006302070AB7F7FFFF9387F77FC0 +:1025C80003270401B3F7D7009397070193D707416F +:1025D8002320E4002316F400232204001397370174 +:1025E800635C07009307F0FF6316F50083A70400F8 +:1025F800639407002328A4048325040323A024014B +:10260800E38A05F0930704046386F5001385040044 +:10261800EF008054232804026FF0DFEF1306000058 +:102628009306100013850400E70007009307F0FFE6 +:1026380013060500E310F5F283A70400E38C07F006 +:102648001307D0016386E700130760016392E7066A +:1026580023A024016FF01FEC93E706042316F4006F +:102668001305F0FF6FF05FEB83A90501E38409EA26 +:1026780003A905001377370023A035013309394131 +:10268800930700006314070083A745012324F4007F +:10269800E35220E9832744028325C40193060900F5 +:1026A8001386090013850400E78007006348A0002B +:1026B8008357C40093E707046FF05FFAB389A90052 +:1026C8003309A9406FF0DFFC130101FE232C8100C0 +:1026D800232E110013040500630C050083278503CE +:1026E800639807002326B100EF0040198325C10035 +:1026F8008397C500638C0700130504000324810138 +:102708008320C101130101026FF09FDB8320C10107 +:1027180003248101130500001301010267800000F2 +:1027280093050500631E0500970701009387473D41 +:1027380003A5070097050000938545F96F0080738E +:10274800970701009387073C03A507006FF0DFF7A1 +:102758001305000067800000977500009385857653 +:102768006F004071130101FF23248100232611000B +:10277800130405002316B5002317C5002320050000 +:10278800232205002324050023220506232805000B +:10279800232A0500232C050013068000930500005A +:1027A8001305C505EF30002097470000938747437E +:1027B8002320F40297470000938707482322F40256 +:1027C800974700009387874F2324F4029747000018 +:1027D80093874754232E84002326F4028320C100C4 +:1027E8000324810013010101678000001305000024 +:1027F80067800000130101FF2320210113890500D0 +:102808002324810093058006130405001305F9FFAE +:102818002326110023229100EFE00061930545076C +:102828009304050013050400EF201023130405008A +:102838006300050223200500232225011305C50096 +:102848002324A4001386840693050000EF30801526 +:10285800130504008320C100032481008324410060 +:102868000329010013010101678000009707010097 +:102878009387072903A507006FF01FEE83278503B9 +:10288800639E0706130101FF2326110023248100FC +:1028980097070000938787EC232EF50293073000F3 +:1028A8002322F52E9307C52E130405002324F52EA5 +:1028B8002320052E0325450013060000930540003C +:1028C800EFF05FEA032584001306100093059000DB +:1028D800EFF05FE90325C4001306200093052001EB +:1028E800EFF05FE893071000232CF4028320C10067 +:1028F8000324810013010101678000006780000044 +:10290800130101FF970701009387871F2322910076 +:1029180083A40700232021012326110083A7840311 +:10292800232481001309050063960700138504001A +:10293800EFF0DFF49384042E03A4840083A74400FB +:102948009387F7FF63DA070083A70400638E070005 +:1029580083A404006FF05FFE0317C4006304070438 +:10296800130484066FF0DFFD93054000130509008A +:10297800EFF05FE823A0A400E31C05FC9307C00068 +:102988002320F90013040000130504008320C1006C +:10299800032481008324410003290100130101015C +:1029A80067800000B707FFFF9387170023220406FC +:1029B8002320040023220400232404002326F400F7 +:1029C80023280400232A0400232C04001306800073 +:1029D800930500001305C405EF20D07C23280402CA +:1029E800232A040223220404232404046FF0DFF9B9 +:1029F8006780000067800000678000006780000033 +:102A0800970701009387071003A5070097050000A3 +:102A1800938545D46F00003C970701009387870E84 +:102A280003A5070097050000938585DC6F00803AB1 +:102A3800130101FD23248102232291022320210373 +:102A4800232E31012326B100232611021309050084 +:102A580097090100938949CAEF30800283A789004A +:102A68008325C10083A44700B71700001384F7FE2D +:102A780093F4C4FF3304B440330494001354C400E3 +:102A88001304F4FF1314C4006356F4021305090079 +:102A9800EF20507F130500008320C1020324810228 +:102AA80083244102032901028329C101130101037F +:102AB800678000009305000013050900EF3050748B +:102AC80083A78900B3879700E312F5FCB30580401C +:102AD80013050900EF30D0729307F0FF6312F50475 +:102AE8009305000013050900EF30907103A78900D2 +:102AF8009306F000B307E540E3DAF6F8970601001D +:102B08009386860083A6060093E717002322F70022 +:102B18003305D5409706010023A6A6006FF01FF7DE +:102B280083A78900B384844093E4140023A2970008 +:102B380097070100938707FF83A70700130509007C +:102B4800338487409707010023AE87FCEF209073FA +:102B5800130510006FF05FF4638C0526130101FF65 +:102B68002324810023229100130405009384050087 +:102B780023261100EF20D07083A5C4FF938684FF1D +:102B880017050100130545B793F7E5FF3386F600EF +:102B9800032746000328850093F515001377C7FF20 +:102BA800631AC806B387E7006390050203A784FF8A +:102BB800B386E64003A68600B387E70003A7C600EE +:102BC8002326E6002324C70013E7170023A2E60004 +:102BD800170701002326D7B217070100130707F3C9 +:102BE8000327070063ECE70097070100938707F3C3 +:102BF80083A5070013050400EFF09FE31305040005 +:102C0800032481008320C1008324410013010101B2 +:102C18006F2050672322E600130800006396050220 +:102C280083A584FF97080100938888ADB386B640D2 +:102C3800B387B70083A58600638C150B83A8C600ED +:102C480023A6150123A4B800B305E60083A5450013 +:102C580093F51500639C0502B387E70003278600F8 +:102C6800631C080897050100938585A96316B708B2 +:102C780017060100232CD6A8170601002326D6A87C +:102C880023A6E60023A4E6001308100013E71700A4 +:102C980023A2E6003387F6002320F700E31008F6A6 +:102CA8001307F01F6362F70693D7370013D6274040 +:102CB800130710003317C700032645009387170032 +:102CC800939737003367C700B307F500170601006D +:102CD8002326E6A203A70700138687FF23A6C600BC +:102CE80023A4E60023A0D7002326D7006FF01FF106 +:102CF800130810006FF05FF50326C6002326C700EF +:102D08002324E6006FF09FF813D6970013074000BE +:102D1800636AC70413D767001307870313061700EE +:102D2800131636003306C500930586FF03260600F2 +:102D38006396C50893071000135727403397E70099 +:102D4800832745003367F7009707010023A8E79A10 +:102D580023A6B60023A4C60023A4D5002326D600A4 +:102D68006FF0DFE9130740016366C7001307B60574 +:102D78006FF0DFFA130740056368C70013D7C70071 +:102D88001307E7066FF09FF9130740156368C7003C +:102D980013D7F700130777076FF05FF893054055CF +:102DA8001307E007E3ECC5F613D727011307C70796 +:102DB8006FF0DFF6032686006388C5000327460008 +:102DC8001377C7FFE3E8E7FE8325C6006FF05FF8D7 +:102DD80067800000130101FD2324810223202103C1 +:102DE800232C4101232A5101232611022322910277 +:102DF800232E31011304052E13090000130A1000B5 +:102E0800930AF0FF631604028320C102032481029F +:102E18001305090083244102032901028329C10102 +:102E2800032A8101832A41011301010367800000FD +:102E380083248400832944009389F9FF63D6090019 +:102E4800032404006FF01FFC83D7C4006370FA02E8 +:102E58008397E400638C5701138504002326B1008F +:102E6800E78005008325C1003369A900938484069F +:102E78006FF09FFC130101FD23248102232021030D +:102E8800232C4101232A51012328610123267101A2 +:102E98002326110223229102232E3101130A050051 +:102EA800938A05001304052E13090000130B100064 +:102EB800930BF0FF631A04028320C10203248102EA +:102EC8001305090083244102032901028329C10152 +:102ED800032A8101832A4101032B0101832BC100AD +:102EE80013010103678000008324840083294400C0 +:102EF8009389F9FF63D60900032404006FF09FFB50 +:102F080083D7C400637EFB008397E400638A77015C +:102F18009385040013050A00E7800A003369A900B5 +:102F2800938484066FF0DFFC9307450113052500A1 +:102F3800231F05FEE31CF5FE67800000930745018B +:102F4800130525000357E5FF93852500239FE5FE1C +:102F5800E398A7FE678000009307A50113052500E5 +:102F6800231F05FEE31CF5FE67800000130785019B +:102F780093870500130525008356E5FF93872700EF +:102F8800239FD7FEE318A7FE239C05006780000057 +:102F980093074500938545001305A50193872700EE +:102FA8009385250083D6E7FF03D7E5FF6398E600FE +:102FB800E316F5FE130500006780000013051000F6 +:102FC8006364D7001305F0FF67800000930645008F +:102FD800930700001305A5013786FFFF03D70600F6 +:102FE800937517006384050093E7170093F527008E +:102FF8001357170063900502939717002390E60074 +:10300800939707019386260093D70701E318D5FC09 +:10301800678000003367C7006FF01FFE93066501E5 +:103028001307000083D7260013960701135606419D +:1030380063540600136717009397170093970701C7 +:103048001376270093D7070163100602131717009A +:103058002391F600131707019386E6FF135707011C +:10306800E312D5FC6780000093E717006FF01FFE9E +:1030780093074500130700001305A50183D6070031 +:103088009387270013D686003367C700239FE7FE80 +:10309800139786001317070113570701E310F5FE6E +:1030A80067800000930765011307000083D6270097 +:1030B8009387E7FF139686003367C7002392E700DC +:1030C80013D78600E314F5FE6780000093074500D8 +:1030D800130785019387270083D60700239FD7FE10 +:1030E800E31AF7FE231C050067800000930785019B +:1030F8001307A501930645009387E7FF03D607004A +:103108001307E7FF2310C700E398F6FE2312050014 +:103118006780000093858501130765019306000009 +:103128008357270003D605001307E7FF9385E5FFBC +:10313800B387C700B387D7002391F50093D707015A +:1031480093F61700E31EE5FC678000009385850170 +:10315800130765019306000083D70500035627006F +:103168001307E7FF9385E5FFB387C740B387D740C9 +:103178002391F50093D7070193F61700E31EE5FCAA +:1031880067800000130101FB232E3103B7090100FA +:10319800232481042322910423202105232C410385 +:1031A80023261104130A0500231501022316010220 +:1031B80093848501138945001304C1029389F9FF9B +:1031C80003D504001304E4FF9384E4FF63180504A3 +:1031D800231F04FEE31699FE930740001307A0017E +:1031E80093054101B385F50083D50500B306F600C4 +:1031F800938727002390B600E394E7FE8320C10459 +:103208000324810483244104032901048329C1037D +:10321800032A8103130101056780000093050A0052 +:103228002326C100EFD0504003572400B37735015F +:1032380013550501B387E700035704002311F40071 +:1032480093D707013305E5003305F5002310A400E3 +:1032580013550501231FA4FE0326C1006FF09FF735 +:103268008357250193C7F7FF13971701631C0700BE +:1032780093072501130525000357E5FF6318070089 +:10328800E39AA7FE130500006780000013051000ED +:1032980067800000130101FF232481002326110009 +:1032A80013040500EFF0DFFB631E0500031524017E +:1032B8001355F5018320C100032481001301010186 +:1032C80067800000130500006FF0DFFE83172501FB +:1032D800130101FF232611002324810023229100DA +:1032E8002320210163D807069307F0FF2390F500F8 +:1032F80003572501B78700009387F7FF33F7E700E7 +:103308002391E500130905016316F70613840500E8 +:1033180093040500EFF0DFF46302050493076400EB +:10332800231204001385C4FF1309E9FF035729007A +:1033380093872700239FE7FEE318A9FE8320C10097 +:1033480003248100832441000329010013010101A2 +:1033580067800000239005006FF09FF993074400F1 +:103368009305A40193872700239F07FEE39CB7FEDC +:103378006FF0DFFC93876500239205001305E5FFD6 +:103388001309E9FF0357290093872700239FE7FEC6 +:10339800E318A9FE239C05006FF05FFA130101FBF7 +:1033A80023229104232021052326110423248104A8 +:1033B8001309050093840500EFF09FEA6310050EDA +:1033C80013850400EFF0DFE9130405006318050C0A +:1033D8009305810013050900EFF05FEF93054102A3 +:1033E80013850400EFF09FEE0357810083574102D5 +:1033F8006380E70693072000930680011306810087 +:103408003306F60003560600631C06021306410243 +:103418003306F60003560600631406029387270056 +:10342800E39ED7FC130504008320C1040324810410 +:103438008324410403290104130101056780000066 +:1034480013041000E30007FE1304F0FF6FF09FFD64 +:1034580013061000638407001306F0FF93070000AB +:1034680093058001130781003307F700835607008F +:10347800130741023307F700035707006398E60074 +:1034880093872700E390B7FE6FF0DFF91304060077 +:10349800E36AD7F83304C0406FF0DFF81304E0FFA5 +:1034A8006FF05FF88357250193C7F7FF139717014C +:1034B80063100702130101FF23261100EFF05FDA02 +:1034C8008320C10013351500130101016780000036 +:1034D80013050000678000009307250113052500E8 +:1034E800231F05FEE31CF5FE835705003787000000 +:1034F8001307F7FFB3E7E7002310F5006780000024 +:10350800130101FE232C810023282101232E110001 +:10351800232A91002326310123244101232251012A +:10352800130905001384050063D20510330AB0405F +:1035380093090A0093040000930AF00063CE3A034B +:1035480013554A00930500FFEFD0100E3304854051 +:1035580093090400130A7000634C3A0313553400AE +:10356800930580FFEFD0500C33048500631E0402DE +:10357800333590006F00400983578901130509000E +:10358800938909FFB3E4F400EFF05FB66FF01FFB17 +:103598008347890113050900938989FFB3E49700DC +:1035A800EFF01FAD6FF05FFB83578901130509002A +:1035B8001304F4FF93F71700B3E49700EFF01FA18B +:1035C8006FF0DFFA13050900EFF05FB0938404FF92 +:1035D800E3CA99FE13554400930500FFEFD0D004C9 +:1035E8003304A400930404009309700063C699048B +:1035F80013553400930580FFEFD010033304A40063 +:1036080063140404130500008320C101032481010D +:1036180083244101032901018329C100032A810070 +:10362800832A41001301010267800000938405008A +:103638009309F0006FF0DFF913050900EFF09FA67A +:10364800938484FF6FF09FFA13050900EFF01F9D24 +:103658001304F4FF6FF0DFFA83574500130101FFED +:1036680023229100232611002324810023202101F5 +:10367800930405006392070883176500130400008C +:103688001309000A63D80702130504008320C10048 +:10369800032481008324410003290100130101014F +:1036A800678000001385040013040401EFF01FA2D3 +:1036B800E30C24FD83D76400E38607FE83D7640008 +:1036C80093F707F0638207021309000A83976400DF +:1036D800E3CC07FA1385040013041400EFF01F94D9 +:1036E800E35689FE6FF05FFA13850400EFF09F9BA5 +:1036F800130484006FF09FFC93F707F01304000095 +:1037080063860700EFF0DF96130480FF130900F7C4 +:103718006F004001138504001304F4FFEFF01F8BC2 +:10372800E34424F783D74400E39607FE6FF0DFF500 +:103738009307050113052500231F05FEE31CF5FE6D +:10374800B7C7FFFF2310F500B787FFFF93C7F7FF41 +:103758002311F50067800000130705008356070052 +:10376800138505008357270063860600B786FFFF89 +:10377800B3E7D7002319F50003562700B7860000E2 +:103788009386F6FF93076700631ED6001307A70109 +:1037980083D607006398060293872700E39AE7FE1B +:1037A8006FF09FD31305050113078701938727003F +:1037B80083D6E7FF1305E5FF2311D500E398E7FE5D +:1037C800678000006FF0DFF6130101FD23229102EC +:1037D80093040500130541002324810223261102C6 +:1037E80013840500EFF04FF703D7E40093170701A0 +:1037F80093D7074163C2070423120100B78600006C +:103808009386F6FF3377D7009387E400631CD706C7 +:103818001387040083560700638606021305040015 +:10382800EFF01FF18320C1020324810283244102A7 +:1038380013010103678000009307F0FF2312F100D2 +:103848006FF0DFFB13072700E396E7FC130504007E +:10385800EFF08FED13050400EFF01FC88397E40025 +:10386800E3D207FC13050400EFF09F9FE31C05FA61 +:10387800835724013787FFFFB3C7E7002319F400F4 +:103888006FF05FFA2313E100930641009387E7FF87 +:1038980003D60700938626002392C600E398F4FE19 +:1038A800631C07002314010093050400130541005D +:1038B800EFF09FEA6FF01FF7930710009305F0FFF2 +:1038C800130541002314F100EFF09FC36FF0DFFDF3 +:1038D800130101FE232C8100232A910023282101B2 +:1038E8002326310123244101232251011389060093 +:1038F80013840700232E1100930405009389050003 +:10390800130A0600930A0700EFF01FD59307000972 +:103918003309A94063D4A704B78700009387E7FF5A +:1039280063C6272D938724009384A40193872700D7 +:10393800239F07FEE39C97FE8320C1010324810196 +:1039480083244101032901018329C100032A81003D +:10395800832A41001301010267800000635E0900A9 +:10396800930700F7634CF9129305090013850400C7 +:10397800EFF01FB96310051463860A220327440079 +:10398800832704006306F7061305A401EFF0CFDCD4 +:103998008327440013078003638EE7166340F712FA +:1039A800130780016386E71A130750036382E71839 +:1039B8001307C0002324E400370701801307F7FF2B +:1039C800232AE40013071000231CE4001307B000A7 +:1039D8002326E4000327C4008356840113078700C5 +:1039E800131717003307E4002315D7002320F4002A +:1039F800634220030327440093070009630CF70080 +:103A080083D784011385040093F71700B3E9F900FD +:103A1800EFF0CFDB8326840003564401832544005E +:103A2800139716003387E40083570700B3F7C700DE +:103A38001306F008634AB6009386160013060700BB +:103A48009305C00063DAD510835644010356070076 +:103A580093C6F6FFB3F6C6002310D70003576401D8 +:103A6800B376F700638A06106312F70263940910AD +:103A78008327C4000357840193971700B387F40082 +:103A880083D70700B3F7E7006388070E9385040020 +:103A98001305A401EFF00FE86F00000E93872400D0 +:103AA8009384A40193872700239F07FEE39C97FE36 +:103AB8006FF09FE8930910006FF01FEC13070004E4 +:103AC8006388E70213071007E394E7EE378700409F +:103AD8001307F7FF9306A000232AE4002324D40049 +:103AE8003787FFFF231CE4002326D4006FF09FEEE6 +:103AF800130770002324E400370701801307F7FF3A +:103B0800232AE40013071000231CE40013076000B5 +:103B18006FF01FEC93066000370780001307F70F5C +:103B2800232AE4002324D400130700106FF09FFB1E +:103B3800370700041307F77F93066000232AE40081 +:103B4800371700002324D400130707806FF09FF96C +:103B5800930640006FF05FFC0355260063040500E0 +:103B680093E7170023110600938616001306260014 +:103B78006FF05FEDE30C0AF0634C2001032744006B +:103B8800930700096306F70013850400EFF00FC9D7 +:103B980083D744006388070013850400EFF00FC340 +:103BA80013091900B7870000239C04009387E7FFD7 +:103BB80063D42703B787FFFF93C7F7FF2391F40068 +:103BC80093874400938484012390070093872700F8 +:103BD800E39CF4FE6FF05FD663560900239104005E +:103BE8006FF09FD5239124016FF01FD5E3980AD871 +:103BF800239C04006FF01FFC130101FE23244101E4 +:103C0800035A2500232C810013840500232E11005C +:103C1800232A910023282101232251012320610115 +:103C280013090600130B460323263101930A0500E6 +:103C3800EFF09FA283542400330AAA40130504001E +:103C4800EFF09FA1B384A44013050B00EFF0CFB0B1 +:103C580063D2440513050400032481018320C101B4 +:103C68008329C100032A8100832A4100032B010014 +:103C78009307090093860400032901018324410165 +:103C8800130700001306000093050000130101024A +:103C98006FF01FC49305040013850A00EFF04FAFBF +:103CA80093090000634AA0009305040013850A00E5 +:103CB800EFF0CFC99309100013050B00EFF00FB612 +:103CC8008357C904130504009384F4FFB3E9F9008A +:103CD80023163905EFF08FB46FF09FF7130101F742 +:103CE800232481082322910823202109232E310728 +:103CF80023261108232C4107232A5107232861076B +:103D080023267107232481072322910793040500A2 +:103D1800138405001309060093890600EFF04FD4B9 +:103D2800630205049305090013850400EFF00FA151 +:103D38008320C1080324810883244108032901083A +:103D48008329C107032A8107832A4107032B010717 +:103D5800832BC106032C8106832C4106130101091C +:103D68006780000013050400EFF08FCF630805009B +:103D780093050900130504006FF05FFB1385040029 +:103D8800EFF04FF26314050613050400EFF08FF10E +:103D9800630C050097D500009385456B13850400D7 +:103DA800EFF0CFDF630E050413850400EFF08FEF0B +:103DB8006318050013050400EFF0CFEE630C05044B +:103DC80013850400EFF00FCD9304050013050400DC +:103DD800EFF04FCC638CA402B787FFFF2319F900DB +:103DE80013050900EFF04FEF6FF09FF497D500002F +:103DF8009385C56513050400EFF04FDAE31605F85F +:103E080013050900EFF0DF926FF09FF22319090004 +:103E18006FF01FFD138504009305C100EFF00FCB71 +:103E28001305040093058102EFF04FCA0354E10023 +:103E38008354A1026314040293070000130760016E +:103E48009306C100B386F60083D62600638A06105F +:103E58001305C100EFF05F803304A0408357A1022F +:103E6800138C040063920702130760019306810212 +:103E7800B386F60083D62600638E060E13058102EC +:103E8800EFF08FFD338CA44083578102938B490355 +:103E980093848903239AF9028357A102138A0B009A +:103EA800239BF9029387E904239004009384240058 +:103EB800E39C97FE930A0000130B0000930CC0FECE +:103EC8009307C100B387570103D58701630E050027 +:103ED8009305810213064104EFF0CFAA93850B00E6 +:103EE80013054104EFF00FA383D7C90413850B0012 +:103EF800938AEAFF336BFB00EFF04F9FE3929AFD42 +:103F08009307810203570A00130A2A0093872700A0 +:103F1800239FE7FEE3189AFEB7C6FFFF3304840128 +:103F2800938626009387090013070004B306D4007C +:103F38001306000093050B0013058102EFF05F994B +:103F48000357C10083578102631CF702231401023F +:103F58009305090013058102EFF01F806FF05FDD04 +:103F680093872700E39EE7EC13050900EFE0DFFBEA +:103F78006FF01FDC93872700E39AE7EE6FF0DFFE10 +:103F88009307F0FF2314F1026FF09FFC130101F770 +:103F9800232481082322910823202109232A510759 +:103FA80023261108232E3107232C410723286107D4 +:103FB8002326710723248107232291072320A107A1 +:103FC800930405001384050013090600938A06006C +:103FD800EFF00FA963040504930509001385040095 +:103FE800EFE0DFF58320C10803248108832441081A +:103FF800032901088329C107032A8107832A410766 +:10400800032B0107832BC106032C8106832C410651 +:10401800032D010613010109678000001305040040 +:10402800EFF00FA4630805009305090013050400C9 +:104038006FF01FFB97D50000938545411385040059 +:10404800EFF0CFB5630E051E13850400EFF08FC5A2 +:104058009309050013050400EFF0CFC4639209200B +:1040680063180520138504009305C100EFF00FA61F +:104078001305040093058102EFF04FA58354A102B4 +:104088000354E100639404029307000013076001DE +:1040980093068102B386F60083D626006380062045 +:1040A80013058102EFF04FDBB304A0408357E10012 +:1040B800930B040063920702130760019306C10083 +:1040C800B386F60083D626006380061E1305C1005A +:1040D800EFF08FD8B30BA440835781020357A10296 +:1040E80093894A03239AFA0293878A03239BEA0255 +:1040F800138A07001387EA0493872700239F07FE84 +:10410800E39CE7FE13058102EFE05FEC035C21010D +:10411800370B01009305FBFF13050C00EFC0D050CF +:10412800130D0500938CA901130BFBFF0355C10266 +:104138008357E10213040B00131505013305F5003D +:10414800636AAD0093050C00EFC0D050131405014D +:10415800135404019305C100130504001306410418 +:10416800EFF04F829305810213054104EFE05FE20F +:104178006356A002930541041305C100EFE01FFD3B +:104188009305810213054104EFE09FE0634CA01200 +:104198001304F4FF1314040113540401930581025A +:1041A80013054104EFE09FFA1305810223108A00EA +:1041B800130A2A00EFE09FF1E31A9AF79307000029 +:1041C800930500001307600193068102B386F60089 +:1041D80083D6460093872700B3E5D500E396E7FE2C +:1041E800B335B0009307810203D7090093892900EA +:1041F80093872700239FE7FEE3183AFFB74600009E +:10420800B38474419386F6FF93870A00130700046A +:10421800B386D4001306000013058102EFF04FEBBC +:104228000357C10083578102631CF70A2314010254 +:104238009305090013058102EFF00FD26FF09FDAA2 +:1042480097D500009385852013050400EFF00F959E +:10425800E31C05DE13050900EFF08FCD6FF09FD842 +:10426800E31A05FE13050900EFE01FCC6FF09FD796 +:1042780013850400EFF00F82930405001305040072 +:10428800EFF04F81638CA402B787FFFF2319F90071 +:1042980013050900EFF04FA46FF0DFD493872700D0 +:1042A800E398E7DE6FF01FFC93872700E398E7E0C9 +:1042B8000357C10083578102E318F7FC231909004B +:1042C8006FF01FFD1304E4FF131404019305410468 +:1042D8001305C10013540401EFE05FE76FF01FEC12 +:1042E8009307F0FF2314F1026FF09FF403AE05006B +:1042F80003A3450083A8850083A5C500130101E237 +:10430800232C811C2326B10213840700832505046E +:104318009307F0FF2328F114930700092328211D90 +:104328002326311D2324411D232E711B232E111CEE +:10433800232A911C2322511D2320611D232C811B1C +:10434800232A911B2328A11B2326B11B2326010105 +:104358002320C1032322610223241103232AF114F9 +:1043680013090500130A060093890600930B07003A +:104378006380050283274504130710003317F700ED +:1043880023A2F50023A4E500EF10807A2320090476 +:104398001305010293050105EFF00FC3130501058D +:1043A800EFE05FEF630E0518930710002320F40079 +:1043B80093073000630AFA1893044001630A0A005D +:1043C8009384F9FF9307A00263D497009304A00293 +:1043D80083274115130501052328F100EFE05FE865 +:1043E8001304050097D500009385852BE31605284F +:1043F800930700099305C10613050105232AF11443 +:10440800EFE0DFB38357E107930A00001397070132 +:1044180013570741635E0700378700001307F7FF4C +:10442800B3F7E700B70A0100231FF106938AFAFFE2 +:104438009305810817D5000013058502EFE01FB02A +:104448000357E107E31A07201307C1069307000083 +:10445800930690000356070063140610938717000D +:1044680013072700E398D7FE9305010C130581086D +:10447800EFE0DFE5930581081305010CEFE01FAFBE +:104488009305010C1305C106EFE05FE49305C1062F +:104498001305010CEFE09FAD130601159305C10646 +:1044A80013058108EFF04FF5035BC11917DC000015 +:1044B800130CCCF9631A0B0093050C001305C10605 +:1044C800EFE0DFED6310056C9307D00263940A00F8 +:1044D80093070002230AF11093073000138C04009D +:1044E8006318FA00338C84009307A002E3C0872383 +:1044F8009307A000631EFB6C93071003A30AF11037 +:104508009307E002230BF110130B7111635A80011A +:1045180093070003A30BF110130CFCFF130B81117D +:104528001304140063500C6C1306040097D50000A4 +:104538009385451913050B00EF20C0626F00D01456 +:10454800232004006FF0DFE6938409006FF09FE7F3 +:104558008317C10797D5000093858516E3DE0710FA +:104568009305C10617D50000130585EFEFE01FE39B +:10457800E30C05EE635805469305410A1305C10689 +:10458800EFE0DF9BB74700009387E708231BF10A9A +:10459800B78700009387F7FF232AF100B7C7FFFF0B +:1045A80093872700130C0000930C0001232CF100C3 +:1045B80097D70000938707F633858701930601157F +:1045C800130681089305410AEFF05F9C13058108E3 +:1045D8009305C103EFE09F9683274101035BE10444 +:1045E80003278101B377FB003385E700634EA004FE +:1045F8001305010CEFE05F93131B0B01135B0B41D9 +:1046080063500B12930700001307C1033307F70029 +:10461800835607001307010C3307F70003570700F9 +:10462800638AE63A17D50000130585E3EFE05FC318 +:10463800630805089305010C17D50000130545E22A +:10464800EFE0DF8F6F00C00D93070009B38DA7401F +:104658009305010C1305C103EFE05F8E6352B00DA3 +:104668009306010C13870D001306F0006342E6045D +:1046780013D54D009307010C13171500930500FF80 +:10468800338DE700EFC0407A3305B50197D70000B6 +:104698009387C7DB131515003385A70083560D00D4 +:1046A8008357C512B3F7D7002310FD006FF0DFF46E +:1046B80023900600130707FF938626006FF01FFB61 +:1046C8001305010CEFE0DFB9630805009305010C41 +:1046D800138505006FF0DFF617D50000130545D8E0 +:1046E800EFE05FDC130D05001305010CEFE09FDB25 +:1046F800130B0500630C0D146302051217D5000097 +:10470800130505D6EFE01FB9130B05001305010CBF +:10471800EFE05FB86314AB101305010CEFF04F81A5 +:1047280093070000130781083307F7008356070033 +:104738001307010C3307F700035707006390E602DD +:104748009387270013072001E39EE7FC9305410A9E +:1047580013058108EFE08FFE33049401130C4C011C +:104768009307400693DC1C00E314FCE48357610BB9 +:104778000357E1079305C1061305410AB387E7000C +:1047880037C7FFFF130727F7B387E700231BF10A93 +:10479800EFE0CFFA9305810817D50000130545CC43 +:1047A800EFE0CFF9130B0000B71C0000930D401089 +:1047B80097D70000938707CC9305410A17D50000C7 +:1047C800130545DA338C6701EFE05FBDE34EA0C8FF +:1047D8009305410A13050C00EFE05FBC6348A00293 +:1047E8001306410A930506009306011513050C00EC +:1047F800EFF0CFF91306810893060115930506001B +:1048080013050C00EFF08FCD33049401130B4B010B +:1048180093DC1C00E31EBBF96FF01FC517D5000021 +:10482800130505C49305010CEFE04FF11305010CC6 +:10483800EFE01FA3E31605EE8357210D3787FFFF2F +:10484800B3C7E7002319F10C6FF09FEDE31005E8FB +:104858009305C10D17D50000130585C0EFE01FA70C +:104868009305810F1305010CEFE05FA60357C10DF7 +:10487800835DA10F8357E10D1347F7FF131D070150 +:10488800135D0D01231EA10DB387B7416354F008D2 +:10489800930541111305810F232EF100EFE00FED71 +:1048A8009305810F1305C10DEFE04FEC9305C10D82 +:1048B80013054111EFE08FEB8327C101835DA10F41 +:1048C800B307F0401307F0F663C6E70893850700BF +:1048D8001305C10DEFE0DFC2130D05000357C10D2D +:1048E8008357810F9305810F1305C10D631EF70CC4 +:1048F800EFE05F82930701151307000493860D000C +:1049080013060B0093050D001305810FEFE05FFC04 +:104918006F004004E39807FA9305810F1305C10D52 +:10492800EFE00FE7631805068357810F6388A70137 +:104938001305010CEFE04FDF6FF09FDE63940D026B +:104948000317E10F93070000634007021305810F67 +:10495800EFE0CFEC9305010C1305810FEFE0DFDFEB +:104968006FF01FDC93070000130760019306810FA7 +:104978003386F6000356260063080600938D1D0053 +:10498800231DB10F6FF01FFD93872700E390E7FE0B +:104998006FF01FFF130D0000E352A0F493054111BF +:1049A8001305810FEFE08FDC9305810F1305C10D0F +:1049B800EFE0CFDB9305C10D13054111EFE00FDBED +:1049C800130D0B006FF09FF1EFE04FF8130B100081 +:1049D8006FF05FF29387270013072001E396E7C281 +:1049E8006FF01FD48357E10717DB0000130B8BB759 +:1049F8006388070A9305010C1305C106374B0000AD +:104A0800EFE0DF8C130BEBFF130C50FD8357810D88 +:104A180093F77700639207069305410A1305010C83 +:104A2800EFE0CFD41305410AEFE04FDA1305410A4E +:104A3800EFE0CFD99305410A1305010CEFE08FEDA4 +:104A48008357610A938737002313F10A8357810A32 +:104A5800639007128357C10B639007028357610A5B +:104A6800636CFB009305010C1305410A1304F4FF62 +:104A7800EFE0CFCFE31C84F99305C1061305010CC1 +:104A8800EFE09FCD6F0040021306C10693060115A3 +:104A98009305060013050B00EFF04FA41304F4FF71 +:104AA8008317C107E3D207FE9305010C1305C1065E +:104AB800EFE0CFC89305810817D500001305459A84 +:104AC800EFE0CFC7930C000037FBFFFF17DD0000B6 +:104AD800130D4D9A930D401097D70000938707AC9C +:104AE800338797019305010C17D5000013054597E7 +:104AF800232AE100EFE09F8A330C9D01032741013F +:104B0800635AA0049305010C13050700EFE01F8901 +:104B1800634805021306010C930506009306011568 +:104B280013050C00EFF08F9B1306810893060115FF +:104B38009305060013050C00EFF04F9A3304640147 +:104B48001357FB01330B6701938C4C01135B1B401C +:104B5800E394BCF9130681089306011597D5000064 +:104B68009385059013050600EFF04FC26FF0DF8FB5 +:104B78001305410AEFE08FC58357610A9387170031 +:104B88006FF09FEC1305C106EFE04FC99305410A8A +:104B98001305C106EFE08FBD1305410AEFE00FC80A +:104BA8001305410AEFE08FC79305C1061305410AB3 +:104BB800EFE04FD6130601159305C10613058108CA +:104BC800EFF08F831304F4FF035BC1196FF09F8E1E +:104BD800130B0B039307E002A30A6111230BF110D7 +:104BE800130B7111930A0B00B3876A416356FC04D7 +:104BF8008357C11913074000138BFAFFE356F79246 +:104C0800130750006384E70893070B001306E002BC +:104C180093058003930600039387F7FF03C70700F4 +:104C28001377F70763580C0A130710032380E7006C +:104C3800130414006FF05F8F1305C106EFE00FBE79 +:104C48009305410A1305C106EFE04FB21305410A67 +:104C5800EFE0CFBC1305410AEFE04FBC9305C10656 +:104C68001305410AEFE00FCB130601159305C106A2 +:104C780013058108EFE05FF88347C119938A1A008A +:104C880093870703A38FFAFE6FF01FF69305810839 +:104C98001305C106EFE05FAC97C500009385057B5F +:104CA80013058108EFE08FEFE31005F6E34E0C865D +:104CB80083C7EAFF938727FD93B7170093C7F7FFCA +:104CC800B307FB0083C7070093F71700E38E078439 +:104CD8006FF09FF36314C70203C7F7FF93068003BF +:104CE80063E8E60013071700A38FE7FE6FF0DF8382 +:104CF80013041400130710036FF01FFF63E8E500A7 +:104D0800130717002380E7006FF01F822380D70066 +:104D18006FF09FF01304140023A08B0093870A0000 +:104D28009306E00203C70700631607001307500441 +:104D38006F00C002630CD700938717006FF09FFEC7 +:104D480003C7170093871700A38FE7FE03C7070061 +:104D5800E31807FE6FF09FFD9387F7FF83C60700F0 +:104D68006384E600E3EAFAFE238007006F0000157B +:104D7800938717006F004015138406006F00C01555 +:104D88001304F4FF230004000347F4FF6316D7005D +:104D980033075441E3C6E7FE9307300013879900B1 +:104DA8006314FA0283270116B384F40063D804005D +:104DB800230A011023A00B0013840A0083A70B0009 +:104DC800B389F900138739002322090493074000A7 +:104DD80093864701832549046378D706130509009C +:104DE800EF00904A2320A90493850A009304050044 +:104DF800EF20400A8327C100638807003304544129 +:104E08003384840023A087008320C11D0324811DCF +:104E1800138504000329011D8324411D8329C11C16 +:104E2800032A811C832A411C032B011C832BC11BD1 +:104E3800032C811B832C411B032D011B832DC11ABD +:104E48001301011E67800000938515002322B90411 +:104E5800939717006FF0DFF7B78700009387F7FF86 +:104E68006318F7EE97D500009385C58463860A001A +:104E780097D5000093854583130541113724000019 +:104E8800EF10504E1304F470832701011305010538 +:104E980023208116232AF114EFE0CFE0930A411171 +:104EA8006318050013050105EFE08FBBE30405E671 +:104EB800B72700009387F77023A0FB0093870A00A9 +:104EC800130600029306D00203C70700E302C7EAED +:104ED800E300D7EA13840A009387170003C7F7FF94 +:104EE80093061400A38FE6FEE31807E813072000D3 +:104EF800930710006308EA008327011663D497001C +:104F080093870400930600036FF01FE89307A0003F +:104F1800130CA002E31EFBCA93071003A30AF110A7 +:104F28009307E002230BF110130CA0026FF04FDE81 +:104F380083270500130101FC930541012320F1009B +:104F480083274500232E11022322F10083278500A1 +:104F58002324F1008327C500130501002326F1004F +:104F6800EFE09F86835761021305000093C7F7FFA0 +:104F780013971701631A070013054101EFE04FAEBD +:104F880013351500130515008320C103130101040F +:104F9800678000001305050F6780000097E7000091 +:104FA800938747B683A7070003A547036316050041 +:104FB80017D500001305855D1305050F67800000F0 +:104FC80097E70000938707B483A7070003A5470363 +:104FD8006316050017D500001305455B1305050F7B +:104FE8006780000063080606130101FF97C50000EB +:104FF8009385C56D1305060023248100232611001F +:1050080013040600EF1050516308050297C500000D +:105018009385856B13050400EF101050630E05008F +:1050280097C500009385C52513050400EF10D04EE1 +:10503800930700006316050097C700009387C768A9 +:105048008320C10003248100138507001301010197 +:105058006780000097C700009387076713850700DC +:105068006780000097E700009387C7A983A7070018 +:1050780083A747036396070097D7000093870751D4 +:1050880003C58712678000000325C50E67800000EE +:1050980097E70000938707A783A7070083A747031D +:1050A8006396070097D700009387474E03A5C70E5E +:1050B8006780000097E700009387C7A41386050060 +:1050C8009305050003A507006FF0DFF1130101FA4E +:1050D800232A9104938405008395E500232C8104F9 +:1050E800232E11041304060063DE050083D7C400D1 +:1050F80023A0060093F70708639807049307004066 +:105108006F00C004130641012326D100EF500004AC +:105118008326C100E34C05FC03278101B7F7000093 +:1051280037150000B3F7E70037E7FFFFB387E7005D +:1051380093B7170023A0F600930700402320F4003C +:10514800130505806F000001930700042320F40075 +:10515800130500008320C10503248105832441052C +:10516800130101066780000083D7C500130101FE03 +:10517800232C8100232E1100232A910023282101AA +:1051880093F7270013840500638807029307340404 +:105198002320F4002328F40093071000232AF400A6 +:1051A8008320C101032481018324410103290101D2 +:1051B80013010102678000009306C10013068100F5 +:1051C80093040500EFF09FF0832581001309050083 +:1051D80013850400EF004008631005028317C4001C +:1051E80013F70720E31E07FA93F7C7FF93E7270093 +:1051F8002316F4006FF09FF997D7FFFF93870756A0 +:1052080023AEF4028357C4002320A4002328A4005B +:1052180093E707082316F40083278100232AF40064 +:105228008327C100638207028315E4001385040005 +:10523800EF50003D630A05008357C40093F7C7FF8A +:1052480093E717002316F4008357C4003369F90065 +:10525800231624016FF0DFF4130101FD2326110248 +:10526800232481022322910223202103232E3101AA +:10527800232C4101232A510123286101232671018E +:10528800232481019387B500130760016374F70431 +:1052980093F487FF63D204049307C0002320F5002A +:1052A800130500008320C1020324810283244102E4 +:1052B800032901028329C101032A8101832A4101AB +:1052C800032B0101832BC100032C8100130101036F +:1052D8006780000093040001E3E0B4FC13090500B3 +:1052E800EF00007A9307701F97D900009389C9408F +:1052F80063EA9704138784003387E900032447008F +:10530800930687FF93D734006318D4000324C7009B +:105318009387270063008708832744000327C40076 +:105328008326840093F7C7FFB307F40023A6E6009B +:105338002324D70003A747001367170023A2E70019 +:105348006F00C00A13D794009307F003630A07009D +:105358009307400063E4E70A93D7640093878703C1 +:1053680013871700131737003387E9000324470012 +:10537800930587FF1305F000630CB400032744006E +:105388001377C7FF330697406352C50C9387F7FF1F +:105398009387170003A4090197D500009385453624 +:1053A8006302B414032744009306F0001377C7FF81 +:1053B8003306974063DEC60A93E714002322F400FD +:1053C800B306940097D7000023A2D73497D70000DC +:1053D80023ACD7329367160023A6B60023A4B600E1 +:1053E80023A2F6003307E4002320C70013050900B1 +:1053F800EF004069130584006FF0DFEA930740016E +:1054080063E6E7009307B7056FF09FF5930740053C +:1054180063E8E70093D7C4009387E7066FF05FF46B +:105428009307401563E8E70093D7F400938777075D +:105438006FF01FF3930640559307E007E3E2E6F2A7 +:1054480093D724019387C7076FF09FF18326C40081 +:10545800634C06008327840023A6D70023A4F60004 +:10546800B307E4006FF01FED138406006FF0DFF060 +:1054780097D6000023ACB62897D6000023A6B628F6 +:10548800634C06003307E4008327470093E71700BF +:105498002322F7006FF09FF59306F01F03A8490039 +:1054A80063E2E61A13573700135627409306100095 +:1054B80013071700B396C600131737003387E900A0 +:1054C800B3E6060117D60000232AD6228326070052 +:1054D800130687FF2326C4002324D4002320870033 +:1054E80023A6860013D72740130310003313E300C5 +:1054F80003A74900636C6706B3766700639C0600E0 +:1055080093F7C7FF13131300B37667009387470019 +:10551800E38A06FE130EF00093963700B386D9008F +:1055280013880600138507000324C800631E041DA2 +:10553800130515001377350013088800E31607FED6 +:1055480013F737006314072403A749009347F3FFB1 +:10555800B377F70017D700002322F71A03A74900EB +:1055680013131300636467006316032483AB890075 +:1055780003A44B00937AC4FF63E89A0033879A40E8 +:105588009307F00063C0E73697D700009387075961 +:1055980003A4070097D700009387075783A607003F +:1055A8001307F0FF33848400138A07006398E6200A +:1055B800130404019305040013050900EF10404487 +:1055C8009307F0FF130B05006302F52AB3875B010D +:1055D8006374F500639C3B2917DC0000130C8C54A2 +:1055E80003270C003307E40097D6000023ACE652EB +:1055F8006392671F93964701639E061C83A78900E1 +:1056080033848A001364140023A2870017D700008C +:105618001307875083270C00032707006376F700DA +:1056280017D70000232AF74E17D700001307874E15 +:1056380003270700637CF72217D70000232CF74CB9 +:105648006F00C022135697009306400063E8C60413 +:105658009356670093868603138616001316360042 +:105668003386C900130586FF032606006314C508A0 +:105678001307100093D62640B316D700B3E60601E9 +:1056880017D70000232CD7062326A4002324C40000 +:1056980023248500232686006FF0DFE4930640016B +:1056A80063E6C6009306B6056FF01FFB9306400538 +:1056B80063E8C6009356C7009386E6066FF0DFF9E5 +:1056C8009306401563E8C6009356F700938676075D +:1056D8006FF09FF8130540559306E007E36EC5F693 +:1056E800935627019386C6076FF01FF70326860097 +:1056F8006308C5008326460093F6C6FFE368D7FE15 +:105708000325C6006FF05FF8032744000326C40092 +:105718001377C7FFB308974063541E0593E7140037 +:105728002322F40083278400B30694003307E4009F +:1057380023A6C7002324F60097D7000023A8D7FC88 +:1057480097D7000023A2D7FC93E7180023A6B6003A +:1057580023A4B60023A2F600232017016FF01FC967 +:1057680063C208023307E4008327470093E7170062 +:105778002322F7008327840023A6C7002324F600EA +:105788006FF0DFC6130406006FF05FDA138786FF39 +:1057980083A606009387F7FFE384E6DA6FF01FDC41 +:1057A8009387470013131300B3766700E38A06FE56 +:1057B8006FF09FD6930705006FF01FFFB717000023 +:1057C8009387F7003304F400B7F7FFFF3374F4004E +:1057D8006FF05FDE03260A009306F0FF631CD60A0B +:1057E80097D7000023A2673393757B006388050071 +:1057F80093078000B385B740330BBB00B717000091 +:10580800B385F50033048B009387F7FF3374F400F6 +:10581800338A854093050A0013050900EF10401EDE +:105828009307F0FF6316F50013050B00130A000039 +:1058380083270C0033056541B3874701330A4501C7 +:1058480017D700002320F72E136A1A0097D70000F5 +:1058580023A867EB23224B01E38A3BDB1307F00005 +:1058680063645705930710002322FB0083A7890070 +:1058780083A7470093F7C7FF3387974063E69700EE +:105888009307F00063C0E70613050900EF00801FC7 +:105898006FF01FA1B307FB40B387E70017D70000DD +:1058A8002322F7286FF05FF483A74B0013844AFF85 +:1058B800137484FF93F71700B3E7870023A2FB0054 +:1058C80093065000B3878B0023A2D70023A4D700E8 +:1058D800E37E87D293858B0013050900EFD0CFA70D +:1058E8006FF0DFD203A4890093E714002322F400A9 +:1058F800B307940097D6000023A4F6E06FF0DFA367 +:1059080097D700009387072083A7070083A747033B +:105918006396070097D70000938747C703A3470EEE +:1059280067000300639A0502130101FF9305C10094 +:1059380013050000630E06001305E0FF638A0600E6 +:105948008347060023A0F500034506003335A00071 +:1059580013010101678000001305000063000602BF +:105968001305E0FF638C06008347060023A0F500BB +:10597800034506003335A0006780000067800000FB +:1059880093F5F50F3306C5006316C500130500002F +:105998006780000083470500E38CB7FE13051500F8 +:1059A8006FF09FFE1303F00013070500637EC30228 +:1059B8009377F7006390070A63920508937606FFCA +:1059C8001376F600B386E6002320B7002322B7003B +:1059D8002324B7002326B70013070701E366D7FE81 +:1059E8006314060067800000B306C3409396260040 +:1059F80097020000B38656006780C6002307B700E9 +:105A0800A306B7002306B700A305B7002305B70010 +:105A1800A304B7002304B700A303B7002303B70008 +:105A2800A302B7002302B700A301B7002301B70000 +:105A3800A300B7002300B7006780000093F5F50FB7 +:105A480093968500B3E5D50093960501B3E5D50097 +:105A58006FF0DFF69396270097020000B386560092 +:105A680093820000E78006FA93800200938707FF7D +:105A78003307F7403306F600E378C3F66FF0DFF339 +:105A880067800000678000008327C504130101FFB9 +:105A980023229100232021012326110023248100A1 +:105AA80093040500138905006382070403A7C4044F +:105AB80093172900B307F70003A50700631005062D +:105AC800130410003314240113065400131626007F +:105AD8009305100013850400EF40C02E63020502F1 +:105AE80023222501232485006F00C003130610021A +:105AF80093054000EF40002D23A6A404E31805FAFF +:105B0800130500008320C100032481008324410081 +:105B18000329010013010101678000000327050024 +:105B280023A0E70023280500232605006FF09FFD2A +:105B38006380050283A74500139727008327C504C0 +:105B4800B387E70003A7070023A0E50023A0B70059 +:105B580067800000130101FD2322910283A405013F +:105B6800232A5101B70A010023248102232021039B +:105B7800232E310123286101232671012324810169 +:105B880023261102232C410123229101130B050026 +:105B980013840500930B06001389060093894501B9 +:105BA800130C0000938AFAFF83AC090093850B005D +:105BB8009389490033F55C01EFB00027330A2501CA +:105BC80093850B0013D50C01EFB0002693570A01FB +:105BD8003305F50013590501337A5A0113150501E8 +:105BE8003305450123AEA9FE130C1C00E34E9CFAB5 +:105BF800630009068327840063C0F40483254400F6 +:105C080013050B0093851500EFF01FE80326040128 +:105C18009305C400930905001306260013162600F1 +:105C28001305C500EF40D0279305040013050B00AA +:105C3800EFF01FF01384090093874400939727001F +:105C4800B307F40023A227019384140023289400A7 +:105C5800130504008320C102032481028324410226 +:105C6800032901028329C101032A8101832A4101F1 +:105C7800032B0101832BC100032C8100832C4100DD +:105C88001301010367800000130101FE232C81002A +:105C98002324410113840500130A0500930590008D +:105CA80013858600232A91002328210123225101EC +:105CB800232E11002326310193040600938A06003F +:105CC80013090700EFB08018930710009305000030 +:105CD80063C2A70813050A00EFF01FDB9307100043 +:105CE8002328F500232A25019307900063DA9706F5 +:105CF8001309940093090900330494009389190047 +:105D080083C6F9FF930505001306A000938606FDD8 +:105D180013050A00EFF01FE4E39289FE33049900AB +:105D2800130484FFB3848440B307940063C0570509 +:105D38008320C10103248101832441010329010136 +:105D48008329C100032A8100832A4100130101022B +:105D58006780000093971700938515006FF05FF731 +:105D68001304A400930490006FF0DFFB13041400E5 +:105D78008346F4FF930505001306A000938606FDED +:105D880013050A00EFF01FDD6FF01FFA3707FFFF5A +:105D98003377E50093070500130500006316070035 +:105DA8009397070113050001370700FF33F7E70052 +:105DB800631607001305850093978700370700F0DF +:105DC80033F7E7006316070013054500939747006C +:105DD800370700C033F7E7006316070013052500EF +:105DE8009397270063CC07001397170063560700A3 +:105DF8001305150067800000130500026780000086 +:105E08008327050013F77700630E070293F6170040 +:105E180013070000639C060013F72700630C0700B4 +:105E280093D717002320F500130710001305070068 +:105E38006780000093D727002320F5001307200070 +:105E48006FF0DFFE9396070193D606011307000053 +:105E58006396060093D707011307000193F6F70F1F +:105E6800639606001307870093D7870093F6F70019 +:105E7800639606001307470093D7470093F6370049 +:105E8800639606001307270093D7270093F6170099 +:105E98006398060093D71700638807001307170055 +:105EA8002320F5006FF09FF8130700026FF01FF82A +:105EB800130101FF232481001384050093051000BA +:105EC80023261100EFF05FBC232A85008320C10040 +:105ED80003248100130710002328E50013010101A2 +:105EE8006780000003A7050183270601130101FA53 +:105EF800232A910423244105232E1104232C8104F1 +:105F08002328210523263105232251052320610555 +:105F1800232E7103232C8103232A91032328A10311 +:105F28002326B103138A0500930406006356F7007D +:105F3800130A06009384050003290A0183A90401B2 +:105F480083278A0083254A00330C390163D48701EB +:105F580093851500EFF05FB3930B4501931C2C005C +:105F6800130D0500B38C9B0193870B0063E497091D +:105F7800130A4A0113192900B3072A01938444011B +:105F8800939929002326F100B70A0100B387340149 +:105F98002328F100938AFAFF8327010163E2F406BC +:105FA80063588001938CCCFF83A70C00638A071881 +:105FB8008320C1050324810523288D0113050D00C5 +:105FC80083244105032901058329C104032A810487 +:105FD800832A4104032B0104832BC103032C81036F +:105FE800832C4103032D0103832DC10213010106F4 +:105FF8006780000023A00700938747006FF01FF712 +:1060080083AD0400B3FD5D0163880D0813890B009F +:1060180013070A00930900000326070093850D0063 +:106028000324090033755601232AE100232CC100FB +:10603800EFA0905F0326810103274101337B5401C0 +:10604800330B6501130747001355060193850D00AF +:10605800330B3B01232AE100232EE10013540401F2 +:10606800EFA0905C3305850013540B0133058500C0 +:1060780093590501337B5B01131505018327C10083 +:10608800032741011306490033656501232EA6FE47 +:106098006360F70A2322390103D92400630409083D +:1060A80003A40B00938D0B0093090A0093060000CC +:1060B80003A5090093050900232AD100337555016A +:1060C800EFA0905603DB2D00832641013374540161 +:1060D800330B6501330BDB0013150B0133648500AB +:1060E80023A08D009389490003D5E9FF13864D004D +:1060F80093050900232AC100EFA0105303A44D0003 +:106108008327C100135B0B013374540133048500EA +:1061180033046401935604010326410163EEF90038 +:1061280023A28D0093844400938B4B006FF0DFE62D +:10613800130906006FF05FEE930D06006FF05FF72E +:10614800130CFCFF6FF0DFE5130101FE232A910019 +:106158002328210123263101232E1100232C81001D +:1061680023244101937736001309050093040600A0 +:1061780093890500638407029387F7FF17B7000028 +:106188001307C75593972700B307F70003A607001F +:1061980093060000EFF01F9C9309050093D4244058 +:1061A8006380040803248904631E040093051027F0 +:1061B80013050900EFF0DFCF2324A9041304050019 +:1061C8002320050093F714006384070293850900D0 +:1061D8001306040013050900EFF0DFD0130A0500C9 +:1061E8009385090013050900EFF09F9493090A00AD +:1061F80093D414406386040203250400631E05003B +:10620800130604009305040013050900EFF09FCD61 +:106218002320A40023200500130405006FF09FFA33 +:106228008320C101032481011385090083244101CE +:10623800032901018329C100032A810013010102F6 +:1062480067800000130101FD232291029384050059 +:10625800232E310183A904012324810283A545004B +:106268001354564083A78400B30934012320210323 +:10627800232A510123261102232C4101930A0500E8 +:106288001389190063C6270D13850A002326C10048 +:10629800EFF08FFF0326C10093074501130A05009D +:1062A8009386070013070000938646006348870A11 +:1062B800635404001304000083A60401131424008B +:1062C8003387870093962600938744011376F60157 +:1062D800B386D700630E0608130800023308C840C7 +:1062E8009305000003A5070093084700938747001C +:1062F8003315C500B365B50023AEB8FE83A5C7FF47 +:10630800B3D5050163E2D7062322B70063840500ED +:10631800138929001309F9FF23282A0113850A0084 +:1063280093850400EFF0DF808320C10203248102FB +:1063380013050A0083244102032901028329C101AC +:10634800032A8101832A41011301010367800000A8 +:1063580093851500939717006FF0DFF223AE06FEC2 +:10636800130717006FF05FF4138708006FF09FF7AB +:106378009387470003A6C7FF13074700232EC7FECE +:10638800E3E8D7FE6FF01FF98327050103A705018E +:10639800B387E740639C07021317270013054501DD +:1063A80093854501B306E500B385E5009386C6FFEE +:1063B8009385C5FF03A6060003A70500630CE60046 +:1063C8009307F0FF6364E600930710001385070046 +:1063D80067800000E36CD5FC6FF05FFF130101FEDE +:1063E800232A910093840500232631019305060092 +:1063F8009309050013850400232C8100232E110026 +:106408002328210113040600EFF01FF8631C05027E +:106418009305000013850900EFF00FE793071000BC +:106428002328F500232A05008320C10103248101C4 +:1064380083244101032901018329C10013010102B9 +:106448006780000013091000634A050093070400E1 +:106458001309000013840400938407008325440073 +:1064680013850900EFF04FE20323040183A8040118 +:1064780013064401131E230013884401939828002F +:10648800B70E010023262501330EC601B3081801F3 +:1064980093064501130F0000938EFEFF03270600A5 +:1064A800832F080093864600B375D701B3F7DF0141 +:1064B800B385E501B385F54093DF0F0193570701D5 +:1064C800B387F74113D70541B387E70013DF0741C7 +:1064D800B3F5D50193970701B3E7B7001308480050 +:1064E80023AEF6FE13064600E36A18FBB705010063 +:1064F8009385F5FF636CC6019386C6FF83A70600E4 +:1065080063820704232865006FF01FF283270600C3 +:10651800938646001306460033F7B7003307E701B2 +:106528001358074193D70701B387070113DF0741C2 +:106538003377B70093970701B3E7E70023AEF6FE7A +:106548006FF05FFB1303F3FF6FF01FFBB707F07FDC +:10655800B3F5B700B707C0FCB385F5006358B000C2 +:10656800930700001385070067800000B305B0405B +:1065780093D74541130730016348F700B705080072 +:10658800B3D5F5406FF0DFFD9387C7FE9306E001B2 +:10659800930500001307100063C6F60093C7F7FFC2 +:1065A8003317F700930707006FF0DFFB130101FDB6 +:1065B8002322910283240501232E310193094501E9 +:1065C80093942400B38499002324810203A4C4FF74 +:1065D800232021032326B1001305040023261102DA +:1065E800EFF0CFFA8325C10093070002B387A740D5 +:1065F80023A0F5009307A0001389C4FF63CCA70468 +:106608009307B000B387A7403707F03FB356F400AD +:10661800B3E6E6001307000063F4290103A784FF2B +:10662800130555013315A400B357F700B367F500F8 +:106638008320C10203248102832441020329010229 +:106648008329C101138507009385060013010103FF +:10665800678000009307000063F6290183A784FF81 +:10666800138984FF130555FF630E05021307000203 +:106678003306A7403314A4003707F03F3364E4001F +:10668800B3D6C700B366D4001307000063F429012A +:106698000327C9FFB397A7003357C700B3E7E7003D +:1066A8006FF01FF9B706F03FB366D4006FF05FF8DC +:1066B800130101FD232481021384050093051000B2 +:1066C800232291022320210393040600232E310163 +:1066D800232C41011309070023261102138A0600FF +:1066E800EFF08FBA370710009307F7FFB3F797005B +:1066F80093D4440193F4F47F93090500639A040842 +:106708002326F100630E0408130581002324810069 +:10671800EFF00FEF83268100630005080327C1000F +:1067280093070002B387A740B317F700B3E7D70072 +:106738003357A70023AAF9002326E1000324C10048 +:1067480023AC8900333480001304140023A8890083 +:10675800638A04069384D4BCB384A40093075003CB +:1067680023209A003385A7402320A9008320C10253 +:1067780003248102138509008324410203290102AD +:106788008329C101032A81011301010367800000E5 +:10679800B3E7E7006FF0DFF623AAD9006FF01FFA1E +:1067A8001305C100EFF0CFE58327C10013050502EB +:1067B8001304100023AAF9009307100023A8F90076 +:1067C8006FF01FF9931724001305E5BCB387F90090 +:1067D8002320AA0003A5070113145400EFF00FDBD0 +:1067E8003304A440232089006FF05FF8130101FDF2 +:1067F800232C4101138A05009305810023261102E9 +:106808002324810223229102232E3101232A5101BC +:106818002328610123202103130B0500EFF01FD962 +:1068280013040500938905009384050013050A00E5 +:106838009305C100EFF09FD7930A050083270B014A +:1068480003250A010327C100B387A7400325810058 +:10685800939757003305E5403385A7006358A00494 +:106868001315450193860500B30435019387060087 +:1068780013860A0013050400938504009386070015 +:10688800EF60C0128320C1020324810283244102E5 +:10689800032901028329C101032A8101832A4101B5 +:1068A800032B010113010103678000001389050010 +:1068B800B705F0FFEFA04057B30625016FF01FFBA7 +:1068C800130101FF23248100232611002322210123 +:1068D80023203101930770011304050063CAA7023E +:1068E8001314350097B70000938747DF3384870078 +:1068F80003250401832544018320C100032481006A +:106908000329410083290100130101016780000068 +:1069180097B700009387076503A5070083A547007D +:1069280097B700009387876403A9070083A94700E6 +:1069380013060900938609001304F4FFEF6010089A +:10694800E31804FE6FF05FFB9387F5FF032706014A +:1069580093D75740938717009397270093064601CC +:1069680013172700B307F5003387E60063E6E60050 +:10697800636EF500678000009386460003A6C6FF95 +:1069880013054500232EC5FE6FF05FFE1305450075 +:10699800232E05FE6FF0DFFD8326050193D75540B2 +:1069A8001307450163C0F60463D4D70293F5F501D4 +:1069B8006380050293962700B306D70003A6060056 +:1069C80013051000B356B600B395B6006318B602A7 +:1069D80093972700B307F700636AF70013050000D1 +:1069E80067800000938706006FF09FFE9387C7FFBC +:1069F80083A60700E38206FE1305100067800000E7 +:106A0800130101FF232481001304050013850500E9 +:106A180097C7000023AC071223261100EFA0806B54 +:106A28009307F0FF631CF50097C7000093870712D0 +:106A380083A70700638407002320F4008320C10094 +:106A4800032481001301010167800000130101FF85 +:106A580023229100B70400802324810023261100FB +:106A680093C4F4FF33F7B400232006003708F07FFF +:106A7800930605009387050013040600635407076F +:106A88003368A70063000806B707100013860500DF +:106A98006356F70297B700009387C74D03A6070010 +:106AA80083A64700EF6080719307A0FC930605005A +:106AB8001386050033F7B4002320F400832704006D +:106AC80013574741130727C03387E700B7071080DC +:106AD8009387F7FF3376F600B707E03F2320E400FB +:106AE800B367F6008320C10003248100832441009A +:106AF80013850600938507001301010167800000D4 +:106B0800130101F6232AF108B707008093C7F7FF9E +:106B1800232EF1002328F100B707FFFF2326D10811 +:106B28002324B100232CB100938787209306C10842 +:106B380093058100232E1106232AF1002328E1085A +:106B4800232C0109232E11092322D100EF00803CB8 +:106B580083278100238007008320C1071301010ACE +:106B680067800000130101F6232AF108B7070080A7 +:106B780093C7F7FF232EF1002328F100B707FFFF83 +:106B8800938787202324C1082326D1082328E108D6 +:106B9800232C0109232E1109232AF10097C700008D +:106BA800938747F62324A100232CA10003A50700FF +:106BB800930681081386050093058100232E11068C +:106BC8002322D100EF0000358327810023800700AE +:106BD8008320C1071301010A67800000130101FF28 +:106BE80023248100138405008395E50023261100E2 +:106BF800EF30D03E6340050283270405B387A70022 +:106C08002328F4048320C100032481001301010117 +:106C1800678000008357C40037F7FFFF1307F7FFAB +:106C2800B3F7E7002316F4006FF0DFFD130500004B +:106C38006780000083D7C500130101FE232C810063 +:106C4800232A91002328210123263101232E110014 +:106C580093F7071093040500138405001309060031 +:106C680093890600638A07008395E5009306200050 +:106C780013060000EF30901D8357C40037F7FFFF5D +:106C88001307F7FFB3F7E7002316F4008315E400B2 +:106C9800032481018320C10193860900130609009A +:106CA8008329C100032901011385040083244101BC +:106CB800130101026F30C00B130101FF232481006F +:106CC800138405008395E50023261100EF30101882 +:106CD8009307F0FF0357C4006312F502B7F7FFFFED +:106CE8009387F7FFB3F7E7002316F4008320C1006A +:106CF800032481001301010167800000B717000019 +:106D0800B367F7002316F4002328A4046FF01FFECE +:106D18008395E5006F3000163367B5009303F0FFE5 +:106D28001377370063100710B7877F7F9387F7F7CC +:106D38000326050083A60500B372F6003363F60048 +:106D4800B382F200B3E26200639272106316D6084F +:106D58000326450083A64500B372F6003363F600A8 +:106D6800B382F200B3E26200639E720C6316D60629 +:106D78000326850083A68500B372F6003363F60008 +:106D8800B382F200B3E262006398720C6316D60411 +:106D98000326C50083A6C500B372F6003363F60068 +:106DA800B382F200B3E262006392720C6316D602F9 +:106DB8000326050183A60501B372F6003363F600C6 +:106DC800B382F200B3E26200639C720A13054501C4 +:106DD80093854501E30ED6F4131706019397060130 +:106DE800631EF7001357060193D706013305F740D2 +:106DF8009375F50F6390050267800000135707012C +:106E080093D707013305F7409375F50F6394050091 +:106E1800678000001377F70F93F7F70F3305F740F4 +:106E2800678000000346050083C6050013051500AA +:106E3800938515006314D600E31606FE3305D64085 +:106E4800678000001305450093854500E31CD6FCC8 +:106E580013050000678000001305850093858500F1 +:106E6800E312D6FC13050000678000001305C50077 +:106E78009385C500E318D6FA130500006780000063 +:106E88001305050193850501E31ED6F813050000D7 +:106E9800678000009307050003C705009387170064 +:106EA80093851500A38FE7FEE31807FE67800000AF +:106EB800930705009387170003C7F7FFE31C07FE36 +:106EC8003385A7401305F5FF678000001307050009 +:106ED80063140600678000009385150083C6F5FFDC +:106EE800930717001308F6FFA38FD7FE63980600D1 +:106EF8003307C700639AE70067800000138707001D +:106F0800130608006FF0DFFC93871700A38F07FEB6 +:106F18006FF05FFE130101E32326111C2322911C4D +:106F28002320211D232E311B2322911B9389050029 +:106F380093040600938C06002324811C232C411BF8 +:106F4800232A511B2328611B2326711B2324811B01 +:106F58002320A11B232EB11913090500EFE00F848C +:106F680083270500138507002324F102EFF05FF45F +:106F780083D7C900232AA1002328010C232A010C46 +:106F8800232C010C232E010C93F7070863800704B8 +:106F980083A70901639C07029305000413050900F0 +:106FA800EFE08FAB23A0A90023A8A900631C05006C +:106FB8009307C0002320F9009307F0FF232CF1006A +:106FC8006F00405A9307000423AAF9009307C10EE3 +:106FD8002322F10C2326010C2324010C130A0000A0 +:106FE80093880700232A0102232801022324010091 +:106FF8002322010223260102232C0100138404000A +:107008001307500283470400638407006392E70C68 +:10701800B30A9440638A0A048327C10C23A098000A +:1070280023A25801B38757012326F10C8327810C2B +:107038001307700093888800938717002324F10CA6 +:10704800635EF7001306410C9385090013050900D8 +:10705800EF305068631205509308C10E83278101F1 +:10706800B3875701232CF10083470400639407007A +:107078006F109061930714002328F100A303010AFD +:10708800930BF0FF232E010013040000930A9000D5 +:10709800130CA0028327010103CB07009387170075 +:1070A8002328F10093070BFE1307A0056374F7006C +:1070B8006F10C02D17A700001307C77493972700F8 +:1070C800B387E70083A70700B387E7006780070057 +:1070D800130414006FF01FF397A7000093878735F8 +:1070E800232AF10293770402639407006F10C00B00 +:1070F800938C7C0093FC8CFF93878C0003AD0C0071 +:1071080083AC4C002326F10093771400638E0700AC +:10711800B3679D01638A0700930700032304F10AFC +:10712800A304610B136424001374F4BF93072000B5 +:107138006F0010481305090023221101EFD01FE644 +:1071480083274500138507002326F102EFF05FD659 +:107158002322A10213050900EFD05FE483278500ED +:10716800832841002324F10083274102E38407F2A6 +:1071780083278100E38007F283C70700E38C07F0C9 +:10718800136404406FF01FF18347710AE39407F01A +:1071980093070002A303F10A6FF0DFEF13641400F2 +:1071A8006FF05FEF83A70C00938C4C00232EF10047 +:1071B800E3D207EEB307F040232EF1001364440036 +:1071C8006FF05FED9307B0026FF0DFFC83270101DA +:1071D80003CB0700138D170063188B0583AB0C00D6 +:1071E80093874C0063D40B00930BF0FF938C07003C +:1071F8002328A1016FF01FEA13850B009305A00057 +:1072080023221101130D1D00EF9010428328410025 +:10721800034BFDFFB30BB501930D0BFDE3FEBAFD68 +:107228002328A1016FF01FE8930B00006FF0DFFE29 +:10723800136404086FF01FE6032D0101232E0100DB +:107248000325C1019305A00023221101130B0BFD97 +:10725800EF90903D130D1D00B307AB00034BFDFFEE +:10726800232EF1008328410093070BFDE3FAFAFC73 +:107278006FF01FFB136484006FF0DFE183270101C7 +:1072880003C7070093078006631CF70083270101E3 +:1072980013640420938717002328F1006FF09FDF01 +:1072A800136404046FF01FDF8327010103C707007D +:1072B8009307C006631CF700832701019387170013 +:1072C8002328F100136404026FF0DFDC1364040167 +:1072D8006FF05FDC93874C002326F10083A70C0036 +:1072E800A303010A2306F11223220100930B1000C5 +:1072F800930D0000930C0000130C0000130D000008 +:107308009304C1126F00503B136404019377040285 +:10731800638E0704938C7C0093FC8CFF93878C000E +:1073280003AD0C0083AC4C002326F10063DE0C0097 +:10733800330DA041B337A001B30C9041B38CFC408E +:107348009307D002A303F10A9307F0FFE39CFB68BD +:10735800E39E0C7093079000E3EAA771130D0D03E9 +:10736800A307A1199304F1186F00D06D93874C00FF +:107378002326F100937704016388070003AD0C000E +:10738800935CFD416FF09FFA9377040403AD0C0002 +:1073980063880700131D0D01135D0D416FF05FFE3B +:1073A80093770420E38E07FC131D8D01135D8D4137 +:1073B8006FF01FFD937784006382070C93874C005E +:1073C8002326F10083A70C0003A6070083A6470025 +:1073D80003A7870083A7C7002328C10C232AD10C41 +:1073E800232CE10C232EF10C1305010D232211018E +:1073F800EFD01FB42326A10A930720008328410059 +:107408006314F50C8327010D930501081305010981 +:107418002328F1088327410D23200108232201088E +:10742800232AF1088327810D232401082326010834 +:10743800232CF1088327C10D232EF108EF60C069C2 +:1074480083284100635605009307D002A303F10A7D +:107458009307700497A400009384C4FB63C6670174 +:1074680097A400009384C4FA1374F4F7232201004C +:10747800930B3000930D00006F00D05D938C7C005F +:1074880093FC8CFF83A50C0003A64C0093878C000B +:1074980013050109232211012326F100EF90403E34 +:1074A80083270109832841002328F10C83274109F8 +:1074B800232AF10C83278109232CF10C8327C10986 +:1074C800232EF10C6FF05FF2930710006318F5029A +:1074D8008327C10D63D607009307D002A303F10ADF +:1074E8009307700497A40000938444F3E3CE67F7EE +:1074F80097A40000938444F26FF01FF7137CFBFD00 +:10750800930710046310FC0A930700032304F10A8D +:1075180013071006930780056314EB009307800791 +:10752800A304F10A930730061364240063D2775149 +:1075380093851B001305090023221101EFD0DFD129 +:107548009304050083284100631A054E83D7C900B8 +:1075580093E707042396F90083D7C90093F7070434 +:10756800E39C07A48320C11C0324811C03258101FB +:107578008324411C0329011C8329C11B032A811B65 +:10758800832A411B032B011B832BC11A032C811A4D +:10759800832C411A032D011A832DC1191301011DD2 +:1075A800678000009307F0FF638EFB489307700421 +:1075B800232201006314FC00638C0B48832AC10D4D +:1075C800936704102320F102232C0102032E010DDE +:1075D800832D410D032D810D63DA0A00B707008062 +:1075E800B3CA57019307D002232CF1029307100462 +:1075F8006314FC4A13050109232411052328C10932 +:10760800232CA109232AB109232E5109EF90404CBC +:107618001306C10AEFF08FC3138605009305050012 +:1076280013050109EF90C0258327010913060106F8 +:10763800930501072328F10683274109130501084B +:1076480023200106232AF106832781092322010624 +:1076580023240106232CF1068327C109232EF106D2 +:10766800B707FC3F2326F106EF60005D03280108F9 +:107678000326410883268108032DC10893050108C4 +:10768800130501092328010923220105232AC1081A +:107698002320C104232CD108232ED102232EA10993 +:1076A8002320010823220108232401082326010896 +:1076B800EF60C01F8326C103032601040328410489 +:1076C8008328810463160500130710002326E10AA6 +:1076D8001307100697AA0000938ACAD56316EB0011 +:1076E80097AA0000938ACAD3138EFBFF938D0400D8 +:1076F800B7070340930501082322C10813050109B0 +:1077080013060107232411052322C105232001099B +:10771800232EF1062324D1082326A10923280106B4 +:10772800232A0106232C0106EF60005103260109D4 +:1077380083264109130501092320C104232ED10200 +:10774800EF80D06593050500130A050013050109AC +:10775800032D8109832CC109EF80907A83270109C1 +:10776800032601048326C1032320F1068327410948 +:107778002328C106930501072322F10683278109DF +:1077880013060106130501082324F1068327C109FE +:10779800232CA107232E91072326F106232AD1069D +:1077A800EF70900FB3854A0183C50500032E41048D +:1077B800832CC108938D1D0083220108832F410863 +:1077C800032F8108232EC103A38FBDFE9307F0FF6B +:1077D800138D0C0083288104630CFE06130EFEFF34 +:1077E8009305010813050109232EE105232CF10552 +:1077F800232A5104232811052326C10523285108CB +:1078080023245104232AF1092322F105232CE10919 +:107818002320E105232E91092320010823220108B2 +:107828002324010823260108EF6040088326010469 +:107838000326410403288104032EC104832801057B +:1078480083224105832F8105032FC105E31205EA31 +:10785800370DFE3F93050108130501092320110583 +:107868002328510823265104232AF1092324F1054A +:10787800232CE1092322E105232E91092320010865 +:1078880023220108232401082326A109EF60C00E42 +:10789800832801046346A0048322C104832F810442 +:1078A800032F4104930501081305010923285108F2 +:1078B800232AF109232CE109232E91092320010809 +:1078C80023220108232401082326A109EF50107E52 +:1078D800832801046318051A137A1A0063040A1A24 +:1078E80003C6FA00232EB10B930500038326C10BB0 +:1078F8009387F6FF232EF10A83C7F6FF6380C71626 +:10790800130690036390C71683C7AA00A38FF6FED9 +:10791800138A0D0013077004330A9A40032DC10A15 +:10792800631EEC2A1307D0FF6344ED0063DAAB3320 +:10793800130BEBFF930AFDFF2326510B9376FBFDF8 +:10794800930510041377FB0F130600006398B60025 +:107958001307F7001377F70F13061000230AE10A3D +:107968009307B00263D80A00930A1000B38AAA41A9 +:107978009307D002A30AF10A9307900063D4572B08 +:10798800130C310C130D0C00930D90009305A000FF +:1079980013850A0023201103EF9080531305050374 +:1079A800A30FACFE9305A00013850A00EF90004AD0 +:1079B800930CFCFF930A05008328010263C6AD24DB +:1079C800930A0503130CECFFA38F5CFF9307610B6D +:1079D8006360AC251307410BB387E7402328F10206 +:1079E800B38B47019307100063C6470193771400D0 +:1079F8006386070083274101B38BFB001374F4BF30 +:107A0800936704102320F102930C0000130C00006C +:107A1800130D000083278103638607001307D00234 +:107A2800A303E10A03240102930D00006F00C0487C +:107A3800232201009304C1126FF05FB82322A10032 +:107A48006FF0DFB723220100930B60006FF01FB7C0 +:107A580023227101930B10006FF05FB6A38FB6FE5F +:107A68006FF0DFE89387170093F7F70F6FF01FEABF +:107A7800130A1A00A30FEAFEB3874C41E3DA07FEA4 +:107A88006FF05FE98327C103138A0D001307000312 +:107A9800B38CFD006FF05FFE93076004630EFC007B +:107AA80093075004938C1B006304FC00938C0B0019 +:107AB800130620006F00C000938C0B0013063000E3 +:107AC8009307010B1308C10B1307C10A93860C0017 +:107AD8009305010913050900232011052328C1096D +:107AE800232EC103232AB109232CA109232E5109CE +:107AF800EFC0CFFF9307700493040500032EC10362 +:107B0800832801046316FC00937714006388070A2E +:107B180093076004338A94016312FC0603C70400C8 +:107B2800930700036318F704930501081305010977 +:107B3800232011052328C109232EC103232AB109B3 +:107B4800232CA109232E51092320010823220108EF +:107B58002324010823260108EF505055032EC103A2 +:107B6800832801046308050093071000B38C97412C +:107B78002326910B8327C10A330AFA0093050108CB +:107B880013050109232E11032328C109232AB1094A +:107B9800232CA109232E510923200108232201089F +:107BA8002324010823260108EF5050508328C103DD +:107BB80013070003631E0500232E410B032AC10B84 +:107BC8006FF05FD593861700232ED10A2380E70034 +:107BD8008327C10BE3E847FF6FF05FFE13076004DC +:107BE800E31AECD46352A00763960B0013771400D2 +:107BF8006302070C832741013307FD00B38BEB00B9 +:107C0800130B60066F004009138C0C006FF01FD82F +:107C1800130C1C000347FCFF93871700A38FE7FE94 +:107C28006FF01FDB1307610B63180600930700034F +:107C3800230BF10A1307710B938A0A0393071700A2 +:107C4800230057016FF01FD963960B0013771400B8 +:107C58006306070683274101138717006FF01FFA91 +:107C680063404D0313771400930B0D006306070060 +:107C780083274101B30BFD00130B70066F00C00191 +:107C880083274101130B7006B30BFA006346A0016A +:107C98003383AB41930B1300137C0440930C000017 +:107CA800E30A0CD6130C0000E356A0D79306F00F96 +:107CB8006F008003930B0D006FF09FF4130B6006A9 +:107CC800930B10006FF05FFD6356A70383278100B5 +:107CD800330DED4003C71700630C070293871700A5 +:107CE800130C1C002324F1008327810003C707001D +:107CF800E31CD7FC8325410233059C01232E110385 +:107D0800EF908012B30B75018328C1036FF09FD0E9 +:107D1800938C1C006FF05FFD13874C002326E10055 +:107D28001377040283A70C0063000702032781016D +:107D380023A0E7001357F74123A2E700832CC100D3 +:107D4800832401016FF08FAB1377040163080700E8 +:107D58000327810123A0E7006FF05FFE1377040477 +:107D680063080700035781012390E7006FF01FFDA8 +:107D780013740420E30E04FC034781012380E70009 +:107D88006FF0DFFB13640401937704026388070430 +:107D9800938C7C0093FC8CFF93878C0003AD0C00C4 +:107DA80083AC4C002326F1001374F4BF9307000042 +:107DB800A303010A1307F0FF638CEB4213070400C7 +:107DC800B3669D011374F4F76394064263820B5AF9 +:107DD800130710006392E7426FF04FD893874C0067 +:107DE8002326F100937704016386070003AD0C0096 +:107DF8006F000001937704046388070003DD0C001B +:107E0800930C00006FF05FFA93770420E38007FE7D +:107E180003CD0C006FF0DFFE93874C002326F100A2 +:107E2800B787FFFF93C707832314F10A97970000CA +:107E38009387075F03AD0C00232AF102930C00001F +:107E48001364240093072000130B80076FF05FF67C +:107E580093874C002326F100A303010A9307F0FF40 +:107E68002320110383A40C006386FB0213860B00F6 +:107E78009305000013850400EFD09FB02322A100D2 +:107E880083280102630805DEB30B95402322010015 +:107E98006FF04FDE13850400EFF08F818328010215 +:107EA800930B050023220100930D0000930C0000A2 +:107EB800130C0000130D00002320B10363D47D01CF +:107EC800232071030347710A63080700832701020F +:107ED800938717002320F102937A240063880A000D +:107EE80083270102938727002320F1029377440810 +:107EF800232CF102639807068327C1010327010297 +:107F0800338EE7406350C007130F000197AE00009F +:107F1800938E0EA6930F70008326810C23A0D801A0 +:107F28000327C10C9386160013868800634ACF473F +:107F38003307EE0023A2C8012326E10C2324D10C29 +:107F48001307700093080600635ED7001306410C00 +:107F58009385090013050900EF20D077E314056C19 +:107F68009308C10E0347710A630807041307710ACF +:107F780023A0E8001307100023A2E8000327C10C80 +:107F88009306700093888800130717002326E10CD6 +:107F98000327810C130717002324E10C63DEE60096 +:107FA8001306410C9385090013050900EF20907210 +:107FB800E31A05669308C10E63880A041307810A49 +:107FC80023A0E8001307200023A2E8000327C10C20 +:107FD8009306700093888800130727002326E10C76 +:107FE8000327810C130717002324E10C63DEE60046 +:107FF8001306410C9385090013050900EF20906DC5 +:10800800E31205629308C10E832781031307000852 +:108018006398E7068327C10103270102B38AE74073 +:1080280063505007130E000197A7000093874795E8 +:10803800930E70008326810C23A0F8000327C10C3F +:10804800938616001386880063485E3B3387EA00F0 +:1080580023A258012326E10C2324D10C1307700016 +:1080680093080600635ED7001306410C9385090048 +:1080780013050900EF201066E316055A9308C10E90 +:10808800B38A7D41635050071308000197AD000083 +:10809800938D0D8F130E70000327810C23A0B80158 +:1080A8008326C10C1307170013868800634E5839BE +:1080B80023A25801B38ADA002326510D2324E10CA8 +:1080C800930670009308060063DEE6001306410C71 +:1080D8009385090013050900EF20D05FE3140554C8 +:1080E8009308C10E13770410832DC10C6312073A4D +:1080F8003383BB0123A0980023A278012326610CB7 +:108108008327810C130770009388880093871700D2 +:108118002324F10C6352F74C1306410C9385090094 +:1081280013050900EF20105BE31E054E9308C10EEE +:108138006F00804A13640401937704026382070284 +:10814800938C7C0093FC8CFF93878C0003AD0C0010 +:1081580083AC4C002326F100930710006FF05FC535 +:1081680093874C002326F100937704016386070068 +:1081780003AD0C006F0000019377040463880700C7 +:1081880003DD0C00930C00006FF01FFD93770420B3 +:10819800E38007FE03CD0C006FF0DFFE9797000029 +:1081A800938707286FE0DFF393874C002326F100BD +:1081B800937704016386070003AD0C006F0000018C +:1081C800937704046388070003DD0C00930C000018 +:1081D8006FE09FF393770420E38007FE03CD0C0044 +:1081E8006FF0DFFE13070400930710006FF05FBD08 +:1081F80013071000638EE79413072000638EE712BD +:10820800930701199396DC0113777D00135D3D00F8 +:108218001307070333EDA60193DC3C00A38FE7FEA9 +:10822800B3669D019384F7FF639E0602937614005C +:10823800638A0600930600036306D700A38FD4FE63 +:108248009384E7FF93070119938D0B002322010004 +:10825800B38B9740930C0000130C0000130D000023 +:108268006FF09FC5938704006FF0DFF9130A0000D1 +:10827800930D0119937A0440130C90001306A00083 +:108288009306000013050D0093850C0023221101AD +:10829800EF40C02113050503A38FADFE9384FDFFB6 +:1082A800130A1A0083284100638E0A048327810079 +:1082B80083C707006318FA049307F00F6304FA04EE +:1082C80063940C006370AC05832741028325C102C7 +:1082D80023221101B384F440138607001385040098 +:1082E800EFE0DFBE83278100130A000083284100E6 +:1082F80083C71700638807008327810093871700C7 +:108308002324F10013050D0093850C001306A0002B +:108318009306000023221101EF30903B938C050057 +:10832800B3E5A500130D050083284100E38C05F093 +:10833800938D04006FF09FF4930401190327410300 +:108348009377FD009384F4FFB307F70083C7070012 +:10835800135D4D002380F4009397CC0133EDA70102 +:1083680093DC4C00B3679D01E39A07FC6FF09FED27 +:1083780093040119E39807EC13771700E30407EC5B +:1083880093070003A307F1186FE0DFFDE30E0B2E40 +:1083980023066113A303010A232691016FE0DFF48A +:1083A8001307070123A2E8012326E10C2324D10C9B +:1083B80063DEDF021306410C9385090013050900EB +:1083C8002324F1052322D1052320E105232EC1030F +:1083D800EF205030E3180524832F8104832E4104B5 +:1083E800032F0104032EC1031306C10E130E0EFF43 +:1083F800930806006FF05FB21307070123A2C801B4 +:108408002326E10C2324D10C63DADE021306410C87 +:1084180093850900130509002320D105232EF102B5 +:10842800232CC103EF20102BE31E051E832E01040D +:108438008327C103032E81031306C10E938A0AFF03 +:10844800930806006FF01FBF9386060123A2080158 +:108458002326D10C2324E10C6356EE021306410CAB +:108468009385090013050900232EC103232C01035A +:10847800EF205026E318051A032EC10303288103B1 +:108488001306C10E938A0AFF930806006FF0DFC037 +:1084980013075006635467730327010D93050108FA +:1084A800130501092328E1080327410D232C110393 +:1084B80023200108232AE1080327810D232201082C +:1084C80023240108232CE1080327C10D23260108D2 +:1084D800232EE108EF50803D83288103631405189B +:1084E80097970000938747F623A0F800930710009A +:1084F80023A2F8008327810C938D1D002326B10D3C +:10850800938717002324F10C1307700093888800C1 +:10851800635EF7001306410C9385090013050900F3 +:10852800EF20501BE31005109308C10E8327C10AE2 +:1085380063C64701937714006380070A8327810283 +:10854800032741019388880023ACF8FE8327410163 +:1085580023AEF8FE8327C10CB387E7002326F10C6E +:108568008327810C13077000938717002324F10CCD +:10857800635EF7001306410C938509001305090093 +:10858800EF205015E310050A9308C10E9304FAFF73 +:1085980063549004130B0001979A0000938A4A3E93 +:1085A800130C70008327810C23A058010327C10CEA +:1085B8009387170093868800634A9B0623A2980036 +:1085C800B384E4002326910C2324F10C13077000D4 +:1085D80093880600E342F7B4137444006314047EDE +:1085E800032BC101832701026354FB00138B07008F +:1085F80083278101B3876701232CF1008327C10CEE +:10860800638C07001306410C9385090013050900C4 +:10861800EF20500CE3180500832741002324010CA8 +:10862800E39C07049308C10E6FF04FF1130707018D +:1086380023A268012326E10C2324F10C635EFC00CD +:108648001306410C9385090013050900EF209008D3 +:10865800631A057C9306C10E938404FF9388060071 +:108668006FF05FF40327C10A6346E016979700008E +:10867800938787DD23A0F8009307100023A2F80052 +:108688008327810C938D1D002326B10D9387170036 +:108698002324F10C1307700093888800635EF700A9 +:1086A8001306410C9385090013050900EF20900279 +:1086B800631A05769308C10E8327C10A63980700D9 +:1086C80063160A0093771400E38807F08327810272 +:1086D800032741019388880023ACF8FE83274101D2 +:1086E80023AEF8FE8327C10CB387E7002326F10CDD +:1086F8008327810C13077000938717002324F10C3C +:10870800635EF7001306410C938509001305090001 +:10871800EF20407C631805709308C10E832AC10AB4 +:1087280063D20A06B30A504113870800130C0001EC +:10873800179B0000130BCB24930C70008327810C2C +:10874800232067018326C10C93871700938888002C +:1087580063465C0523225701B38ADA002326510DAC +:108768002324F10C13077000635EF7001306410C15 +:108778009385090013050900EF20C0756314056A85 +:108788009308C10E8327C10C23A0980023A2480197 +:10879800B38747012326F10C6FF09F969386060155 +:1087A800232287012326D10C2324F10C63DEFC004D +:1087B8001306410C9385090013050900EF20807109 +:1087C800631205669308C10E938A0AFF138708008F +:1087D8006FF0DFF6930A0D006354AA01930A0A00AA +:1087E800635250050327810CB38DBA0123A098006A +:1087F8001307170023A258012326B10D2324E10CE7 +:10880800930670009388880063DEE6001306410C27 +:108818009385090013050900EF20C06B63140560F8 +:108828009308C10E63D40A00930A0000B30A5D419D +:1088380063505007930D0001179B0000130B4B1456 +:10884800930B70000327810C23A068018326C10CB9 +:10885800130717001386880063C25D1B23A2580103 +:10886800B38ADA002326510D2324E10C9306700005 +:108878009308060063DEE6001306410C93850900A1 +:1088880013050900EF200065631E05589308C10E03 +:1088980093770440B38AA40163840702130B700022 +:1088A800B38B4401979D0000938D8D0D63140C18B4 +:1088B80063940C18B387440163F45701938A070043 +:1088C8008327C10A63C6470193771400638A0704A4 +:1088D80083278102032741019388880023ACF8FE8F +:1088E8008327410123AEF8FE8327C10CB387E70035 +:1088F8002326F10C8327810C130770009387170038 +:108908002324F10C635EF7001306410C93850900DC +:1089180013050900EF20005C631605509308C10E8B +:10892800B3844401B38754418324C10AB3049A40F1 +:1089380063D4970093840700635490048327C10C81 +:1089480023A0580123A29800B387F4002326F10C32 +:108958008327810C1307700093888800938717007A +:108968002324F10C635EF7001306410C938509007C +:1089780013050900EF2000566316054A9308C10E37 +:108988009387040063D40400930700008324C10A7A +:10899800B3049A40B384F440E35090C4130B00012D +:1089A800979A0000938ACAFD130C70008327810CE4 +:1089B80023A058010327C10C9387170093868800CA +:1089C800E35E9BBE1307070123A268012326E10C7F +:1089D8002324F10C635EFC001306410C9385090007 +:1089E80013050900EF20004F631E05429306C10ED0 +:1089F800938404FF938806006FF05FFB938606015B +:108A080023A2B8012326D10C2324E10C63DEEB005A +:108A18001306410C9385090013050900EF20804BCC +:108A2800631205401306C10E938A0AFF93080600D5 +:108A38006FF05FE163820C0E938CFCFF8327C10209 +:108A4800032741029388880023ACF8FE832741025C +:108A580023AEF8FE8327C10CB387E7002326F10C69 +:108A68008327810C938717002324F10C635EFB0096 +:108A78001306410C9385090013050900EF20804572 +:108A88006312053A9308C10E8327810033875B413F +:108A980083C707006354F700930707006356F00481 +:108AA8000327C10C23A0580123A2F8003387E7004D +:108AB8002326E10C0327810C9388880013071700ED +:108AC8002324E10C6352EB021306410C9385090041 +:108AD80013050900232CF102EF20C03F631405346D +:108AE800832781039308C10E1387070063D4070007 +:108AF80013070000832781001308000183C70700BC +:108B0800B387E7406346F0068327810083C70700E1 +:108B1800B38AFA006FF09FD983278100130CFCFFFA +:108B28009387F7FF2324F1006FF05FF19386060126 +:108B380023A208012326D10C2324E10C6356EB025F +:108B48001306410C9385090013050900232E010320 +:108B5800232CF102EF2000386316052C0328C103EB +:108B6800832781031306C10E938707FF9308060026 +:108B78000327810C23A0B8018326C10C1307170013 +:108B880013868800E344F8FA23A2F800B387D700D5 +:108B98002326F10C2324E10C93080600E356EBF698 +:108BA8001306410C9385090013050900EF20803254 +:108BB800631A05269308C10E6FF01FF58327810CF1 +:108BC8001307100023A09800938D1D0093871700AA +:108BD800138B880063464701937614006388061C4C +:108BE8001307100023A2E8002326B10D2324F10C5B +:108BF80013077000635EF7001306410C93850900A4 +:108C080013050900EF20002D631E0520130BC10E6C +:108C18008327810203274101130B8B00232CFBFEC2 +:108C280083274101232EFBFE8327C10CB387E7006E +:108C38002326F10C8327810C1307700093871700F4 +:108C48002324F10C635EF7001306410C9385090099 +:108C580013050900EF2000286316051C130BC10E2D +:108C68008327010D93050108130501092328F1083D +:108C78008327410D930AFAFF23200108232AF108CC +:108C88008327810D2322010823240108232CF108BE +:108C98008327C10D23260108232EF108EF40104138 +:108CA800630605088327C10C0327810C93841400ED +:108CB8009387F7FFB38747011307170023209B000B +:108CC80023225B012326F10C2324E10C9307700077 +:108CD800130B8B0063DEE7001306410C9385090034 +:108CE80013050900EF20001F631E0512130BC10EA8 +:108CF8009307410B2320FB0083270103032701036C +:108D080093088B002322FB008327C10CB387E7005D +:108D18002326F10C8327810C130770009387170013 +:108D28002324F10CE35AF78A6FF00FBFE35250FD8A +:108D3800130C000197940000938484C4930C700072 +:108D48000327810C23209B008327C10C13071700DE +:108D580093068B0063405C03B387FA0023225B0110 +:108D68002326F10C2324E10C93077000138B0600D3 +:108D78006FF05FF69387070123228B012326F10CFE +:108D88002324E10C63DEEC001306410C93850900F3 +:108D980013050900EF200014631605089306C10E99 +:108DA800938A0AFF138B06006FF09FF923A2E8004D +:108DB8002326B10D2324F10C13077000E35AF7F2B0 +:108DC8006FF09FF18327C101032701023384E74035 +:108DD800E3588080930A000197940000938444B973 +:108DE800130B70008327810C23A098000327C10C64 +:108DF8009387170063C68A0423A288003304E4001B +:108E08002326810C2324F10C13077000635AF7FC06 +:108E18001306410C9385090013050900EF20800B08 +:108E2800630005FC83274100639407006FE0CFF2DD +:108E38009385070013050900EF901FD26FE0CFF16B +:108E48001307070123A258012326E10C2324F10C60 +:108E580093888800635EFB001306410C9385090024 +:108E680013050900EF200007E31E05FA9308C10E59 +:108E7800130404FF6FF01FF7832541001305090051 +:108E8800EF909FCD6FF00FFA8327C10C6394070012 +:108E98006FE08FEC1306410C938509001305090058 +:108EA800EF2040036FE04FEB83278600130101FD9D +:108EB8002324810223261102232291022320210345 +:108EC800232E3101232C4101232A5101232861013A +:108ED800232671012324810113040600639E0702DF +:108EE80023220600130500008320C1020324810207 +:108EF80083244102032901028329C101032A810134 +:108F0800832A4101032B0101832BC100032C81001B +:108F1800130101036780000083A745061389050034 +:108F280013972701635A070683240600130A0500CE +:108F3800930BF0FF83278400639A07001305000052 +:108F480023240400232204006FF01FFA83A944009D +:108F580003AB0400930A000013DC290063CE8A01E6 +:108F68008327840093F9C9FF93848400B389374128 +:108F7800232434016FF01FFC83250B00130609001E +:108F880013050A00EF100014130B4B00630A750158 +:108F9800938A1A006FF09FFCEF1040206FF05FFA81 +:108FA8001305F0FF6FF0DFF9130101ED232481129F +:108FB800232E3111232C41112320A1112326111214 +:108FC8002322911223202113232A511123286111CE +:108FD800232671112324811123229111232EB10FED +:108FE8009309050013840500130A0600138D060073 +:108FF800630805008327850363940700EF901F88A3 +:109008008317C4001397270163420702B72600009D +:1090180003274406B3E7D7002316F400B7E7FFFF9A +:109028009387F7FFB377F7002322F4068357C4002A +:1090380093F78700638E070683270401638A070670 +:109048008357C4001307A00093F7A7016390E708AC +:109058008317E40063CC070693060D0013060A0085 +:109068009305040013850900EF00903F2322A10017 +:109078008320C11203248112032541008324411255 +:10908800032901128329C111032A8111832A41115D +:10909800032B0111832BC110032C8110832C411049 +:1090A800032D0110832DC10F1301011367800000E8 +:1090B8009305040013850900EF90CFACE30205F88F +:1090C8009307F0FF2322F1006FF09FFA930BC1047E +:1090D800232071052324010423220104938D0B000E +:1090E800232601002324010023280100232A01004C +:1090F8002322010093040A009306500283C7040048 +:10910800638407006396D70A33894441630A0904D4 +:109118008327810423A04D0123A22D01B3872701B2 +:109128002324F1048327410493067000938D8D0056 +:10913800938717002322F10463DEF6001306010467 +:109148009305040013850900EFF01FD6E31A0528DC +:10915800938D0B0083274100B38727012322F10059 +:1091680083C70400E382072C138A1400A30D0102AD +:109178001309F0FF130B0000130C00009304A00266 +:10918800930AA00503460A00130A1A00930606FE6E +:10919800E3E2DA0E978700009387877F939626008D +:1091A800B386F60083A60600B386F600678006003D +:1091B800938414006FF09FF49787000093878727A4 +:1091C8002326F10093760C02638C066C130D7D0048 +:1091D800137D8DFF83280D00832A4D00930C8D008D +:1091E80093761C00638E0600B3E65801638A060076 +:1091F80093060003230ED102A30EC102136C2C00A8 +:10920800137CFCBF6F00403413850900EFB01FD9F1 +:109218008327450013850700232AF100EFD05FC993 +:109228002328A10013850900EFB05FD783278500A5 +:109238002324F10083270101E38407F483278100B5 +:10924800E38007F483C60700E38C06F2136C0C4036 +:109258006FF01FF38346B103E39406F2930600020E +:10926800A30DD1026FF0DFF1136C1C006FF05FF1FA +:10927800032B0D00130D4D00E3540BF0330B60412D +:10928800136C4C006FF0DFEF9306B0026FF05FFDD8 +:1092980003460A00930C1A006316960403290D006E +:1092A80093064D00635409001309F0FF138D06005F +:1092B800138A0C006FF0DFEC130509009305A0007A +:1092C800EF709036938C1C0003C6FCFF33094501F0 +:1092D800130A06FD93079000E3F047FF138A0C007A +:1092E8006FF0DFEA130900006FF09FFE136C0C08A3 +:1092F8006FF01FE9930C0A00130B000013050B0015 +:109308009305A000232CC100EF70103203268101C1 +:10931800938C1C0093079000130B06FD03C6FCFFFB +:10932800330BAB00930606FDE3FAD7FC6FF01FFB87 +:1093380003460A00930680066318D600130A1A002B +:10934800136C0C206FF0DFE3136C0C046FF05FE319 +:1093580003460A009306C0066318D600130A1A00CB +:10936800136C0C026FF0DFE1136C0C016FF05FE11E +:1093780083260D00930C4D00A30D01022306D1088E +:1093880013091000930A00009304C1086F00401FDE +:10939800136C0C0193760C02638C0604130D7D008C +:1093A800137D8DFF83280D00832A4D00930C8D00BB +:1093B80063DE0A00B3081041B3361001330350418D +:1093C800B30AD3409306D002A30DD1029306F0FF4F +:1093D8006314D950639E0A569307900063EA17579F +:1093E80093880803A307110F9304F10E6F00C0546C +:1093F80093760C01930C4D006388060083280D00BA +:1094080093DAF8416FF0DFFA93760C0483280D00A5 +:10941800638806009398080193D808416FF05FFEAF +:1094280093760C20E38E06FC9398880193D88841A4 +:109438006FF01FFD13760C0283260D00130D4D00EF +:10944800630C06008327410023A0F60093D7F74159 +:1094580023A2F6006FF01FCA13760C0163080600FA +:109468008327410023A0F6006FF0DFC813760C04B1 +:1094780063080600835741002390F6006FF09FC7EA +:1094880093770C20E38E07FC834741002380F60086 +:109498006FF05FC6136C0C0193760C0263860604AA +:1094A800130D7D00137D8DFF83280D00832A4D0049 +:1094B800930C8D00137CFCBF93060000A30D0102E2 +:1094C8001306F0FF6300C94213060C00B3E5580108 +:1094D800137CFCF7639805406300095813061000D5 +:1094E8006396C6406FF0DFEF93760C01930C4D0046 +:1094F8006386060083280D006F00000193760C0434 +:109508006388060083580D00930A00006FF09FFAE5 +:1095180093760C20E38006FE83480D006FF0DFFE93 +:10952800B786FFFF83280D0093C606839787000040 +:10953800938707EF930C4D00930A0000136C2C00DF +:10954800231ED1022326F100930620006FF01FF797 +:10955800A30D01029306F0FF930C4D0083240D0028 +:109568006300D92C13060900930500001385040035 +:10957800EFC00FC1930A000063040500330995404A +:10958800138D0A0063D42A01130D09008346B10321 +:1095980063840600130D1D0093772C00232CF10023 +:1095A80063840700130D2D0093774C08232EF100D8 +:1095B80063940706B306AB416350D00693080001D5 +:1095C800178800001308885313037000032641040A +:1095D80023A00D01832581041306160013858D0031 +:1095E80063C4D84A23A2DD00B386B6002324D1047D +:1095F8002322C10493067000930D050063DEC600A4 +:10960800130601049305040013850900EFF0DF89B0 +:109618006318055C938D0B008346B10363880604C9 +:109628001306B10323A0CD001306100083264104BE +:1096380023A2CD0003268104938616002322D10499 +:10964800130616002324C10413067000938D8D00A1 +:10965800635ED60013060104930504001385090010 +:10966800EFF09F84631E0556938D0B0083278101BD +:10967800638807041306C10323A0CD001306200046 +:109688008326410423A2CD00032681049386160075 +:109698002322D104130626002324C10413067000D4 +:1096A800938D8D00635ED6001306010493050400B4 +:1096B80013850900EFF04FFF63140552938D0B00DB +:1096C8008327C101930600086394D706B306AB410C +:1096D8006350D00693080001178800001308084358 +:1096E800130370000326410423A00D018325810480 +:1096F8001306160013858D0063C4D83E23A2DD002F +:10970800B386B6002324D1042322C1049306700033 +:10971800930D050063DEC6001306010493050400DB +:1097280013850900EFF04FF8631C054A938D0B0071 +:10973800B38A2A4163505007930800011788000034 +:109748001308C83C130370008326410423A00D01AD +:10975800032681049386160093858D0063CE583DB9 +:1097680023A25D01B38ACA00232451052322D10410 +:1097780013067000938D0500635ED600130601047E +:109788009305040013850900EFF00FF2631A0544EE +:10979800938D0B008326810423A22D0123A09D0015 +:1097A80033892601832641042324210513067000EA +:1097B800938616002322D10413878D00635ED6009A +:1097C800130601049305040013850900EFF0CFED9B +:1097D8006318054013870B0093774C006396073A8C +:1097E8006354AB01130B0D0083274100B387670156 +:1097F8002322F10083278104638C070013060104E8 +:109808009305040013850900EFF00FEA631A053C7D +:1098180023220104138D0C00938D0B006FF09F8D94 +:1098280013850400EFD0CFE813090500930A000060 +:109838006FF01FD5136C0C0193760C02638006023F +:10984800130D7D00137D8DFF83280D00832A4D00A5 +:10985800930C8D00930610006FF05FC693760C0191 +:10986800930C4D006386060083280D006F000001ED +:1098780093760C046388060083580D00930A000051 +:109888006FF05FFD93760C20E38006FE83480D00A1 +:109898006FF0DFFE97870000938787B86FF05F92BD +:1098A80093760C01930C4D006386060083280D0007 +:1098B8006F00000193760C046388060083580D003E +:1098C800930A00006FF0DF9193760C20E38006FE88 +:1098D80083480D006FF0DFFE13060C00930610009E +:1098E8006FF0DFBE13061000E386C6AE1306200035 +:1098F8006388C6129306010F9395DA0113F6780070 +:1099080093D8380013060603B3E8150193DA3A0032 +:10991800A38FC6FEB3E558019384F6FF639605024C +:1099280093751C00638A0500930500036306B6005F +:10993800A38FB4FE9384E6FF9307010F930A0900EF +:10994800338997406FF0DFC3938604006FF0DFFA26 +:1099580093770C40130D0000130E010F232CF10018 +:10996800138508001306A0009306000093850A00DB +:109978009304FEFF2320C103232E1101EF2010338F +:10998800032E01028327810113050503A30FAEFEF1 +:10999800130D1D008328C101638E070483278100EE +:1099A80083C607006398A6059307F00F6304FD04B8 +:1099B80063960A009307900063FE1703832701014B +:1099C80083254101232E1101B384F4401386070037 +:1099D80013850400EFD08FCF83278100130D00007B +:1099E8008328C10183C61700638606009387170082 +:1099F8002324F1001385080093850A001306A000AC +:109A080093060000EF20C04C938A0500B3E5A5003B +:109A180093080500E38205F2138E04006FF05FF4EB +:109A28009304010F8327C10093F6F8009384F4FF91 +:109A3800B386D70083C6060093D848002380D40095 +:109A48009396CA01B3E8160193DA4A00B3E65801BF +:109A5800E39A06FC6FF05FEE9304010FE39E06ECB9 +:109A680013761600E30A06EC93060003A307D10E4B +:109A78006FF09F97630A061A2306C108A30D010217 +:109A8800930C0D006FF0DF8F9385050123A21D0154 +:109A98002324B1042322C104635EC3021306010414 +:109AA800930504001385090023266102232401037A +:109AB800232211032320D102EFF00FBF63120512F6 +:109AC8000323C1020328810283284102832601025D +:109AD80013850B00938606FF930D05006FF01FAFEB +:109AE8009385050123A21D012324B1042322C10467 +:109AF800635EC3021306010493050400138509007D +:109B08002322610223200103232E1101232CD100DB +:109B1800EFF08FB96316050C0323410203280102F5 +:109B28008328C1018326810113850B00938606FFD4 +:109B3800930D05006FF01FBB1306060123A21D013C +:109B48002324C1042322D104635AD3021306010437 +:109B5800930504001385090023206102232E0101C7 +:109B6800232C1101EFF04FB4631C050603230102F7 +:109B78000328C1018328810193850B00938A0AFF7A +:109B8800938D05006FF05FBCB304AB41E35A90C4FA +:109B9800930A000117890000130949F6130C700095 +:109BA80083274104232027018326810493871700F4 +:109BB80063C09A0423229700B384D4002324910419 +:109BC8002322F10413077000E35CF7C013060104B5 +:109BD8009305040013850900EFF00FADE30205C0FB +:109BE8008357C40093F70704638407C86FF04FCD09 +:109BF80093860601232257012324D1042322F1044A +:109C080013078700635EFC00130601049305040034 +:109C180013850900EFF04FA9E31405FC13870B0027 +:109C2800938404FF6FF0DFF783278104E38A07FA40 +:109C3800130601049305040013850900EFF0CFA66D +:109C48006FF01FFA979700009387C7EB93060600FB +:109C5800138605009305050003A507006FF0CFB430 +:109C680083D7C500130101B8232C814693F7D7FF8A +:109C7800231AF10083A7450613840500232A914679 +:109C88002326F10683D7E50023282147232E1146F2 +:109C9800231BF10083A7C501130905002320010236 +:109CA8002322F10283A74502930581002326F102AE +:109CB800930701072324F100232CF10093070040A8 +:109CC8002328F100232EF100EFF00FAE93040500D6 +:109CD800634C05009305810013050900EF80DF9EA2 +:109CE800630405009304F0FF8357410193F70704C9 +:109CF800638807008357C40093E707042316F4001A +:109D08008320C147032481471385040003290147A1 +:109D1800832441471301014867800000979700009A +:109D2800938747DE83A7070083A747036396070047 +:109D3800979700009387878503A3070E67000300A2 +:109D4800638405029307F00F63FAC7009307A0081E +:109D58002320F5001305F0FF678000002380C5006D +:109D680013051000678000001305000067800000DD +:109D7800130101FF23248100130405001385050046 +:109D880093050600138606009797000023A007DCBA +:109D980023261100EF7080419307F0FF631CF50044 +:109DA80097970000938787DA83A7070063840700E3 +:109DB8002320F4008320C100032481001301010142 +:109DC80067800000130101FF2324810013040500AC +:109DD800138505009305060023261100EF70C004C3 +:109DE8009305050013050400EFB00FC71304050021 +:109DF800630205040326C5FF130740021376C6FF56 +:109E08001306C6FF6362C706930630019307050071 +:109E180063FCC60023200500232205009307B00138 +:109E280063E4C7029307850023A0070023A2070065 +:109E380023A40700130504008320C1000324810024 +:109E48001301010167800000232405002326050073 +:109E580093070501E31AE6FC23280500930785010B +:109E6800232A05006FF05FFC93050000EFB09FB355 +:109E78006FF05FFC130101FF232481001304050028 +:109E8800138505009797000023A207CC232611000D +:109E9800EF70C00B9307F0FF631CF5009797000065 +:109EA8009387C7CA83A70700638407002320F400A9 +:109EB8008320C10003248100130101016780000091 +:109EC800130101FF2326110023248100232291007E +:109ED8002320210163920502130900008320C10099 +:109EE800032481001305090083244100032901008C +:109EF8001301010167800000930405001384050025 +:109F0800630805008327850363940700EF801F9784 +:109F18008317C400E38207FC93050400138504003B +:109F2800EF800FDA8327C40213090500638C07004A +:109F38008325C40113850400E780070063540500E6 +:109F48001309F0FF8357C40093F7070863880700D5 +:109F58008325040113850400EF801FC083250403B3 +:109F6800638C0500930704046386F50013850400D9 +:109F7800EF809FBE232804028325440463880500DC +:109F880013850400EF805FBD23220404EF805FA6E1 +:109F980023160400EF801FA66FF05FF49797000068 +:109FA800938747B69305050003A507006FF05FF197 +:109FB800130101FD2324810223229102232C410154 +:109FC8002326110223202103232E3101232A5101A4 +:109FD80023286101130A0500938405001304060071 +:109FE800EFB04F8893071000631AF5049387F4FFC6 +:109FF8001307E00F6364F704230691009309100028 +:10A0080013090000930AF0FF130BA000631239072D +:10A01800138504008320C1020324810283244102A2 +:10A02800032901028329C101032A8101832A4101ED +:10A03800032B010113010103678000009306C40587 +:10A04800138604009305C10013050A00EF10905D04 +:10A058009307F0FF93090500E314F5FA8357C4004A +:10A0680093E707042316F4001305F0FF6FF09FFA37 +:10A078009307C100B387270183C50700832784009E +:10A088009387F7FF2324F40063D80700032784018C +:10A0980063C0E702638E65018327040013871700F6 +:10A0A8002320E4002380B700130919006FF01FF67E +:10A0B8001306040013050A00EF105042E31655FF7B +:10A0C8006FF09FFA8317C6001397270163400702B2 +:10A0D80003274606B7260000B3E7D7002316F60085 +:10A0E800B7270000B367F7002322F6066FF05FEC8E +:10A0F800130101FE979700009387C7A0232C8100C6 +:10A1080003A40700232A9100232E110093040500BD +:10A1180013860500630E040083278403639A0700EF +:10A12800130504002326B100EF804FF50326C10074 +:10A1380013050400032481018320C10193850400D1 +:10A1480083244101130101026FF0DFF7130101FFBE +:10A1580023248100130405001385050093050600D8 +:10A168009797000023A4079E23261100EF605063F1 +:10A178009307F0FF631CF500979700009387079DEE +:10A1880083A70700638407002320F4008320C1000D +:10A1980003248100130101016780000083278600E2 +:10A1A8006398070093070000138507006780000085 +:10A1B80083D7C500130101FC232C81022328210326 +:10A1C80023206103232E1102232A9102232631031F +:10A1D8002324410323225103232E7101232C8101BF +:10A1E800232A91012328A1012326B10193F787008F +:10A1F800130B060013840500130905006382070E7C +:10A2080083A70501638E070C8357C400032A0B003C +:10A2180013F72700631A071693F71700930B00002C +:10A22800638A072013050000930A000093090000C1 +:10A238006386093663120502138609009305A00098 +:10A2480013850A00EFB0CFF3938B1900630605005E +:10A2580013051500B30B5541138C0B0063F47901FA +:10A26800138C090003250400832704018326440175 +:10A2780063F0A73483248400B384960063DA8433BC +:10A2880093850A0013860400EF000050832704001A +:10A298009305040013050900B38797002320F400F1 +:10A2A800EF808FC2631E0516B38B9B401305100009 +:10A2B800639A0B009305040013050900EF80CFC0D3 +:10A2C8006310051683278B00B38A9A00B3899940D7 +:10A2D800B384974023249B00E39C04F46F0080061A +:10A2E8009305040013050900EF80CF899307F0FF59 +:10A2F800E30C05F06F00400583290A0083244A0017 +:10A30800130A8A00E38A04FE9386040063F49A0021 +:10A3180093860A00832744028325C4011386090013 +:10A3280013050900E7800700635CA00E83278B00F4 +:10A33800B389A900B384A4403385A7402324AB0084 +:10A34800E31205FC930700008320C1030324810363 +:10A3580083244103032901038329C102032A8102BB +:10A36800832A4102032B0102832BC101032C8101A3 +:10A37800832C4101032D0101832DC10013850700A2 +:10A388001301010467800000B70A008093090000E8 +:10A398009304000093CA0AC06FF0DFF6832B0A000B +:10A3A80083244A00130A8A00E38A04FE8359C400FE +:10A3B800832D84000325040093F709206384071480 +:10A3C80063E0B40D93F70948638C070A832D0401F1 +:10A3D80093053000330DB54103254401EF60D024C7 +:10A3E800935AF501B38AAA0093071D0093DA1A401D +:10A3F800B387970063F4FA00938A070093F909403A +:10A408006388090C93850A0013050900EFA0DFE4AF +:10A4180093090500631A05029307C0002320F90079 +:10A428008357C40093E707042316F4009307F0FF4B +:10A438006FF09FF1370C0080934CECFF9304000001 +:10A44800134CFCFF6FF05FF68325040113060D0023 +:10A45800EF0000258357C40093F7F7B793E7070881 +:10A468002316F40023283401232A5401B389A901AF +:10A47800B38AAA4123203401938D04002324540174 +:10A488009389040063F4B401938D04000325040048 +:10A4980013860D0093850B00EF00002F832784009F +:10A4A800B387B7412324F40083270400B38DB70191 +:10A4B8002320B40183278B00B38B3B01B384344141 +:10A4C800B389374123243B01E39009EE6FF09FE7FE +:10A4D80013860A0093850D0013050900EF00403527 +:10A4E80093090500E31005F8832504011305090005 +:10A4F800EF808FE68357C40093F7F7F72316F4002D +:10A508006FF09FF18327040163E6A70083294401C4 +:10A5180063F6340593890D0063F4B401938904004C +:10A528001386090093850B00EF000026832784001B +:10A5380003270400B3873741330737012324F40086 +:10A548002320E400E39807F69305040013050900A7 +:10A55800EF808F97E30005F66FF09FEC13050C0072 +:10A5680063E49C001385040093850900EF60100ED6 +:10A5780093850900EF60500B832744028325C401AB +:10A588009306050013860B0013050900E7800700F2 +:10A5980093090500E340A0F26FF09FE8832A0A00C0 +:10A5A80083294A0013050000130A8A006FF05FC868 +:10A5B8006342DC02832744028325C40113860A0010 +:10A5C80013050900E780070093040500E34EA0CCBB +:10A5D8006FF01FE513060C0093850A00EF00C01A00 +:10A5E8008327840093040C00B38787412324F40055 +:10A5F80083270400B38787012320F4006FF0DFCAA4 +:10A60800130101FF232481001304050013850500AD +:10A618009787000023AC075223261100EF60101F14 +:10A628009307F0FF631CF500978700009387075294 +:10A6380083A70700638407002320F4008320C10058 +:10A64800032481001301010167800000130101FF49 +:10A6580023248100130405001385050093050600D3 +:10A66800138606009787000023A2074E23261100B1 +:10A67800EF60D01C9307F0FF631CF500978700007C +:10A688009387C74C83A70700638407002320F4003F +:10A698008320C100032481001301010167800000A9 +:10A6A800B3C7A50093F737003307C50063960700C3 +:10A6B8009307300063E4C70293070500636CE50065 +:10A6C8006780000083C605009387170093851500EF +:10A6D800A38FD7FEE3E8E7FE678000009377350095 +:10A6E80063920708930705009376C7FF138606FE53 +:10A6F80063F6C70883A3050083A2450083AF8500DE +:10A7080003AFC50083AE050103AE450103A3850170 +:10A7180083A8C501938545029387470203A8C5FF0F +:10A7280023AE77FC23A057FE23A2F7FF23A4E7FF5D +:10A7380023A6D7FF23A8C7FF23AA67FE23AC17FFCA +:10A7480023AE07FF6FF0DFFA83C605009387170073 +:10A7580093851500A38FD7FE93F63700E39606FE80 +:10A768006FF09FF8930705006FF01FFF03A6050021 +:10A77800938747009385450023AEC7FEE3E8D7FEDD +:10A78800E3EAE7F4678000003307C50063E8A50043 +:10A7980093070500639AE70267800000B387C50046 +:10A7A8003306F640E376F5FEB386C70063940600E9 +:10A7B800678000009387F7FF83C607001307F7FF3A +:10A7C8002300D7006FF05FFE9385150083C6F5FF61 +:10A7D80093871700A38FD7FE6FF0DFFB130101FFEC +:10A7E8002324810013040500138505009305060042 +:10A7F800138606009787000023AA07342326110032 +:10A80800EF6090089307F0FF631CF500978700003E +:10A818009387C73383A70700638407002320F400C6 +:10A828008320C10003248100130101016780000017 +:10A83800130101FC232C8102232E1102138405002D +:10A84800232A9102232821032326310323244103A9 +:10A858002322510323206103232E7101930506004F +:10A8680063180402032481038320C1038324410362 +:10A87800032901038329C102032A8102832A410291 +:10A88800032B0102832BC101130101046FA0DF9C7C +:10A89800930A05002326C100EFB08F9E8325C100CF +:10A8A8008326C4FF930760019389B500930B84FF47 +:10A8B80013F9C6FF63F4370513FB89FF63520B04D2 +:10A8C8009307C00023A0FA00130A00008320C103E5 +:10A8D8000324810313050A00832441030329010388 +:10A8E8008329C102032A8102832A4102032B010220 +:10A8F800832BC1011301010467800000130B0001C1 +:10A90800E360BBFC635E6945178700001307C7DE79 +:10A9180003268700B3872B0103A74700630CF600C3 +:10A928001375E7FF3385A700032545001375150048 +:10A93800631C050A1377C7FFB309E9006312F6041D +:10A9480013050B0163C6A90AB38B6B01B38969416F +:10A958009787000023A677DB93E9190023A23B0120 +:10A968008329C4FF13850A00130A040093F9190008 +:10A97800B3E96901232E34FFEFB0CF906FF01FF5D4 +:10A9880063C8690703A7C70083A7870023A6E70052 +:10A998002324F70003A74B00B38669411306F00090 +:10A9A80013771700B3873B016370D63CB369EB009C +:10A9B80023A23B01B3856B0193E6160023A2D500C1 +:10A9C80003A747009385850013850A0013671700BE +:10A9D80023A2E700EF804F9813850A00EFB08F8A13 +:10A9E800138A8B006FF09FEE130700009307000097 +:10A9F80093F6160063940628832484FFB3849B404F +:10AA080003AA4400137ACAFF330A2A01638E071A7D +:10AA1800B30947016318F60E93070B0163C6F91AC9 +:10AA280003A7840083A7C4001306C9FF138A840000 +:10AA38002326F70023A4E70013074002636CC70A24 +:10AA48009306300193070A0063F2C60283270400C5 +:10AA580023A4F4008327440023A6F4009307B0013D +:10AA680063ECC704130484009387040103270400DC +:10AA780023A0E7000327440023A2E700032784005C +:10AA880023A4E700B3876401B389694117870000ED +:10AA98002328F7C693E9190023A2370183A74400A6 +:10AAA80093F71700B3E9670123A2340113850A005D +:10AAB800EFA05FFD6FF09FE18327840023A8F400D7 +:10AAC8008327C40023AAF4006308E60013040401E2 +:10AAD800938784016FF09FF983270401130484018D +:10AAE80023ACF4000327C4FF9387040223AEE400D9 +:10AAF8006FF0DFF79305040013050A00EFF0DFC8D5 +:10AB08006FF05FF863C2690D03A7C70083A78700CA +:10AB18001306C9FF1385840023A6E7002324F70042 +:10AB280003A7840083A7C4002326F70023A4E70013 +:10AB38009307400263E4C708130730016372C70232 +:10AB48000327040023A4E4000327440023A6E40009 +:10AB58001307B0016366C702130484001385040158 +:10AB6800832704002320F500832744002322F500CF +:10AB7800832784002324F500938B04006FF09FE162 +:10AB88000327840023A8E4000327C40023AAE400C1 +:10AB98006308F60013040401138584016FF05FFC59 +:10ABA80083270401138504021304840123ACF400F1 +:10ABB8008327C4FF23AEF4006FF09FFA93050400C7 +:10ABC800EFF09FBC6FF05FFB634A6A0B83A7C4007A +:10ABD80003A784001306C9FF138584002326F70002 +:10ABE80023A4E7009307400263E4C7081307300172 +:10ABF8006372C7020327040023A4E4000327440068 +:10AC080023A6E4001307B0016366C7021304840097 +:10AC180013850401832704002320F50083274400BB +:10AC28002322F500832784002324F50093090A00D2 +:10AC38006FF09FF40327840023A8E4000327C400CF +:10AC480023AAE4006308F6001304040113858401B1 +:10AC58006FF05FFC83270401138504021304840149 +:10AC680023ACF4008327C4FF23AEF4006FF09FFAEF +:10AC780093050400EFF05FB16FF05FFB13850A00E6 +:10AC8800EFA08FDD130A0500E30205E28327C4FF66 +:10AC9800130785FF93F7E7FFB387FB00639AE70085 +:10ACA8008329C5FF93F9C9FFB38929016FF09FCEA6 +:10ACB8001306C9FF9307400263EEC7081307300164 +:10ACC8006374C708032704002320E5000327440012 +:10ACD8002322E5001307B001636AC7021307840043 +:10ACE800930785008326070023A0D7008326470003 +:10ACF80023A2D7000327870023A4E70093050400B5 +:10AD080013850A00EF705FE56FF05FDA03278400B0 +:10AD18002324E5000327C4002326E5006308F60082 +:10AD280013070401930705016FF0DFFB8327040174 +:10AD3800130784012328F50083264401930785011E +:10AD4800232AD5006FF01FFA9307050013070400A4 +:10AD58006FF05FF993050400EFF01FA36FF01FFA7F +:10AD6800930909006FF01FC3B3E9E90023A23B016F +:10AD780003A747001367170023A2E7006FF0DFC59A +:10AD8800130101FF232481001384050083A5050016 +:10AD980023229100232611009304050063840500F3 +:10ADA800EFF01FFE93050400032481008320C100F7 +:10ADB8001385040083244100130101016F70DFD95A +:10ADC80097870000938707D483A707006382A7109B +:10ADD8008327C504130101FE232C8100232A910037 +:10ADE80023282101232E11002326310113040500F5 +:10ADF80093040000130900086394070483250404DE +:10AE08006386050013050400EF701FD5832584149D +:10AE1800638C05049304C4146388950403A905008E +:10AE280013050400EF705FD3930509006FF0DFFE90 +:10AE3800B385950083A50500639E050093844400AF +:10AE48008325C404E39624FF13050400EF70DFD0C4 +:10AE58006FF0DFFA83A9050013050400EF70DFCF58 +:10AE6800938509006FF05FFD83254405638605001F +:10AE780013050400EF705FCE83278403638C0702F9 +:10AE88008327C40313050400E78007008325042EE5 +:10AE98006382050213050400032481018320C10194 +:10AEA80083244101032901018329C10013010102FF +:10AEB8006FF01FED8320C101032481018324410128 +:10AEC800032901018329C1001301010267800000E1 +:10AED8006780000083278600130101FD232E3101BE +:10AEE80023261102232481022322910223202103F5 +:10AEF800232C4101232A51012328610123267101B2 +:10AF080023248101232291019309060063860712F5 +:10AF1800032B0600930A050013840500930B000019 +:10AF28001309000063060908032A84006366490DB3 +:10AF3800835CC40093F70C486380070C0325440125 +:10AF480083240400032A040193053000EF50D06DD8 +:10AF5800338C44419354F501B384A40093071C0037 +:10AF680093D41440B387270163F4F4009384070053 +:10AF780093FC0C4063800C109385040013850A0031 +:10AF8800EFA08FAD130A0500631C05029307C000EC +:10AF980023A0FA008357C4001305F0FF93E70704C2 +:10AFA8002316F40023A4090023A209006F00400916 +:10AFB800832B0B0003294B00130B8B006FF09FF6BC +:10AFC8008325040113060C00EFF08FED8357C400AE +:10AFD80093F7F7B793E707082316F40023284401EB +:10AFE800232A9400330A8A01B3848441232044012C +:10AFF80023249400130A090063744901130A090001 +:10B008000325040013060A0093850B00EFF0CFF721 +:10B0180083278400B38747412324F400832704004F +:10B02800338A470183A789002320440133892741B4 +:10B0380023A42901E31E09F623A209001305000031 +:10B048008320C102032481028324410203290102CF +:10B058008329C101032A8101832A4101032B0101AC +:10B06800832BC100032C8100832C410013010103B1 +:10B078006780000093050A001386040013850A0000 +:10B08800EFF00FFB130A0500E31A05F4832504010A +:10B0980013850A00EF705FAC6FF05FEF83D7C500D0 +:10B0A800130101ED23202113232E3111232C4111EB +:10B0B8002320A11123261112232481122322911265 +:10B0C800232A511123286111232671112324811168 +:10B0D80023229111232EB10F93F70708130A0500B5 +:10B0E8001389050093090600138D0600638E070275 +:10B0F80083A70501639A070293050004EFA0CF9583 +:10B108002320A9002328A900631C05009307C00079 +:10B118002320FA009307F0FF2322F1006F00D032BA +:10B1280093070004232AF900930BC1042320710517 +:10B138002324010423220104938D0B0023260100FC +:10B148002324010023280100232A010023220100CF +:10B1580013840900930650028347040063840700A0 +:10B168006396D70AB3043441638A040483278104AD +:10B1780023A03D0123A29D00B38797002324F10457 +:10B188008327410493067000938D8D0093871700E1 +:10B198002322F10463DEF600130601049305090077 +:10B1A80013050A00EFF01FD3E31A0528938D0B004F +:10B1B80083274100B38797002322F10083470400C7 +:10B1C800E380073093091400A30D01029304F0FFF4 +:10B1D800130B0000130C00001304A002930AA0052F +:10B1E80003C6090093891900930606FEE3E2DA0E06 +:10B1F800977700009387C7A293962600B386F60038 +:10B2080083A60600B386F6006780060013041400C0 +:10B218006FF09FF4976700009387C7212326F100FA +:10B2280093760C02638C066C130D7D00137D8DFFE5 +:10B2380083280D00832A4D00930C8D0093761C0003 +:10B24800638E0600B3E65801638A0600930600037E +:10B25800230ED102A30EC102136C2C00137CFCBF79 +:10B268006F00403413050A00EF905FD38327450031 +:10B2780013850700232AF100EFB09FC32328A100FC +:10B2880013050A00EF909FD1832785002324F1003E +:10B2980083270101E38407F483278100E38007F40F +:10B2A80083C60700E38C06F2136C0C406FF01FF3A3 +:10B2B8008346B103E39406F293060002A30DD1027C +:10B2C8006FF0DFF1136C1C006FF05FF1032B0D00C2 +:10B2D800130D4D00E3540BF0330B6041136C4C001D +:10B2E8006FF0DFEF9306B0026FF05FFD03C6090051 +:10B2F800938C19006316860483240D0093064D0071 +:10B3080063D404009304F0FF138D060093890C00A6 +:10B318006FF0DFEC138504009305A000EF50D030E8 +:10B32800938C1C0003C6FCFFB3043501930906FD8A +:10B3380093079000E3F037FF93890C006FF0DFEA82 +:10B34800930400006FF09FFE136C0C086FF01FE968 +:10B35800938C0900130B000013050B009305A00044 +:10B36800232CC100EF50502C03268101938C1C0024 +:10B3780093079000130B06FD03C6FCFF330BAB00CD +:10B38800930606FDE3FAD7FC6FF01FFB03C609001E +:10B39800930680066318D60093891900136C0C2055 +:10B3A8006FF0DFE3136C0C046FF05FE303C6090072 +:10B3B8009306C0066318D60093891900136C0C0213 +:10B3C8006FF0DFE1136C0C016FF05FE183260D0075 +:10B3D800930C4D00A30D01022306D108930410001D +:10B3E800930A00001304C1086F00401F136C0C017E +:10B3F80093760C02638C0604130D7D00137D8DFF7C +:10B4080083280D00832A4D00930C8D0063DE0A000B +:10B41800B3081041B336100133035041B30AD34087 +:10B428009306D002A30DD1029306F0FF6394D45083 +:10B43800639E0A569307900063EA17579388080398 +:10B44800A307110F1304F10E6F00C05493760C017B +:10B45800930C4D006388060083280D0093DAF841A9 +:10B468006FF0DFFA93760C0483280D0063880600DA +:10B478009398080193D808416FF05FFE93760C20EB +:10B48800E38E06FC9398880193D888416FF01FFDDE +:10B4980013760C0283260D00130D4D00630C060075 +:10B4A8008327410023A0F60093D7F74123A2F60093 +:10B4B8006FF01FCA13760C0163080600832741004A +:10B4C80023A0F6006FF0DFC813760C0463080600AB +:10B4D800835741002390F6006FF09FC793770C20A5 +:10B4E800E38E07FC834741002380F6006FF05FC6B8 +:10B4F800136C0C0193760C0263860604130D7D0011 +:10B50800137D8DFF83280D00832A4D00930C8D0039 +:10B51800137CFCBF93060000A30D01021306F0FF85 +:10B528006380C44213060C00B3E55801137CFCF792 +:10B538006398054063800458130610006396C6405C +:10B548006FF0DFEF93760C01930C4D0063860600D5 +:10B5580083280D006F00000193760C0463880600B1 +:10B5680083580D00930A00006FF09FFA93760C2021 +:10B57800E38006FE83480D006FF0DFFEB786FFFF0D +:10B5880083280D0093C6068397670000938747E9D1 +:10B59800930C4D00930A0000136C2C00231ED1025B +:10B5A8002326F100930620006FF01FF7A30D010278 +:10B5B8009306F0FF930C4D0003240D006380D42CF8 +:10B5C800138604009305000013050400EFA04FBB89 +:10B5D800930A000063040500B3048540138D0A0034 +:10B5E80063D49A00138D04008346B1036384060074 +:10B5F800130D1D0093772C00232CF10063840700A2 +:10B60800130D2D0093774C08232EF1006394070641 +:10B61800B306AB416350D0069308000117680000D9 +:10B628001308C876130370000326410423A00D01F4 +:10B63800832581041306160013858D0063C4D84A38 +:10B6480023A2DD00B386B6002324D1042322C1043B +:10B6580093067000930D050063DEC600130601040F +:10B668009305090013050A00EFF0DF866318055CEF +:10B67800938D0B008346B103638806041306B10358 +:10B6880023A0CD00130610008326410423A2CD0079 +:10B6980003268104938616002322D104130616007C +:10B6A8002324C10413067000938D8D00635ED600B9 +:10B6B800130601049305090013050A00EFF09F81A2 +:10B6C800631E0556938D0B00832781016388070449 +:10B6D8001306C10323A0CD001306200083264104CE +:10B6E80023A2CD0003268104938616002322D104C9 +:10B6F800130626002324C10413067000938D8D00C1 +:10B70800635ED600130601049305090013050A00B9 +:10B71800EFF04FFC63140552938D0B008327C10192 +:10B72800930600086394D706B306AB416350D0066E +:10B738009308000117680000130848661303700097 +:10B748000326410423A00D01832581041306160056 +:10B7580013858D0063C4D83E23A2DD00B386B600EE +:10B768002324D1042322C10493067000930D0500FD +:10B7780063DEC600130601049305090013050A00D9 +:10B78800EFF04FF5631C054A938D0B00B38A9A407E +:10B7980063505007930800011768000013080860F9 +:10B7A800130370008326410423A00D01032681049E +:10B7B8009386160093858D0063CE583D23A25D01C4 +:10B7C800B38ACA00232451052322D104130670002A +:10B7D800938D0500635ED6001306010493050900E6 +:10B7E80013050A00EFF00FEF631A0544938D0B0061 +:10B7F8008326810423A29D0023A08D00B384960094 +:10B8080083264104232491041306700093861600AE +:10B818002322D10413878D00635ED600130601042A +:10B828009305090013050A00EFF0CFEA63180540F5 +:10B8380013870B0093774C006396073A6354AB0168 +:10B84800130B0D0083274100B38767012322F10002 +:10B8580083278104638C07001306010493050900FC +:10B8680013050A00EFF00FE7631A053C23220104D1 +:10B87800138D0C00938D0B006FF09F8D1305040042 +:10B88800EFB00FE393040500930A00006FF01FD593 +:10B89800136C0C0193760C0263800602130D7D0075 +:10B8A800137D8DFF83280D00832A4D00930C8D0096 +:10B8B800930610006FF05FC693760C01930C4D0051 +:10B8C8006386060083280D006F00000193760C0440 +:10B8D8006388060083580D00930A00006FF05FFD2F +:10B8E80093760C20E38006FE83480D006FF0DFFEA0 +:10B8F800976700009387C7B26FF05F9293760C0149 +:10B90800930C4D006386060083280D006F0000012C +:10B9180093760C046388060083580D00930A000090 +:10B928006FF0DF9193760C20E38006FE83480D00CC +:10B938006FF0DFFE13060C00930610006FF0DFBEF9 +:10B9480013061000E386C6AE130620006388C612ED +:10B958009306010F9395DA0113F6780093D838000F +:10B9680013060603B3E8150193DA3A00A38FC6FE5F +:10B97800B3E558011384F6FF6396050293751C001E +:10B98800638A0500930500036306B600A30FB4FE9F +:10B998001384E6FF9307010F938A0400B38487405A +:10B9A8006FF0DFC3930604006FF0DFFA93770C4063 +:10B9B800130D0000130E010F232CF100138508004E +:10B9C8001306A0009306000093850A001304FEFFE7 +:10B9D8002320C103232E1101EF00502D032E010255 +:10B9E8008327810113050503A30FAEFE130D1D0068 +:10B9F8008328C101638E07048327810083C607005B +:10BA08006398A6059307F00F6304FD0463960A0084 +:10BA18009307900063FE17038327010183254101E3 +:10BA2800232E11013304F440138607001305040084 +:10BA3800EFB0CFC983278100130D00008328C1010F +:10BA480083C6170063860600938717002324F10036 +:10BA58001385080093850A001306A00093060000CA +:10BA6800EF000047938A0500B3E5A5009308050099 +:10BA7800E38205F2130E04006FF05FF41304010F64 +:10BA88008327C10093F6F8001304F4FFB386D700A8 +:10BA980083C6060093D848002300D4009396CA01B1 +:10BAA800B3E8160193DA4A00B3E65801E39A06FCB4 +:10BAB8006FF05FEE1304010FE39E06EC1376160099 +:10BAC800E30A06EC93060003A307D10E6FF09F97D5 +:10BAD8006308061E2306C108A30D0102930C0D007E +:10BAE8006FF0DF8F9385050123A21D012324B10484 +:10BAF8002322C104635EC3021306010493050900EF +:10BB080013050A00232661022324010323221103BB +:10BB18002320D102EFF00FBC631205120323C102E8 +:10BB280003288102832841028326010213850B0022 +:10BB3800938606FF930D05006FF01FAF93850501EF +:10BB480023A21D012324B1042322C104635EC3027E +:10BB5800130601049305090013050A002322610254 +:10BB680023200103232E1101232CD100EFF08FB6DF +:10BB78006316050C03234102032801028328C1012F +:10BB88008326810113850B00938606FF930D05001C +:10BB98006FF01FBB1306060123A21D012324C10455 +:10BBA8002322D104635AD302130601049305090022 +:10BBB80013050A0023206102232E0101232C110101 +:10BBC800EFF04FB1631C0506032301020328C101EE +:10BBD8008328810193850B00938A0AFF938D0500C2 +:10BBE8006FF05FBC3304AB41E35A80C4930A000191 +:10BBF8009764000093848419130C70008327410410 +:10BC080023209700832681049387170063CE8A0632 +:10BC1800232287003304D400232481042322F1043F +:10BC280013077000E35CF7C01306010493050900CD +:10BC380013050A00EFF00FAAE30205C08357C900F5 +:10BC480093F70704639807CC8320C1120324811259 +:10BC58000325410083244112032901128329C111BC +:10BC6800032A8111832A4111032B0111832BC1104F +:10BC7800032C8110832C4110032D0110832DC10F3B +:10BC880013010113678000009386060123225701E0 +:10BC98002324D1042322F10413078700635EFC00E8 +:10BCA800130601049305090013050A00EFF08FA29B +:10BCB800E31605F813870B00130404FF6FF01FF455 +:10BCC80083278104E38C07F6130601049305090012 +:10BCD80013050A00EFF00FA06FF05FF6130101FEE5 +:10BCE800232C8100232A910023282101232E1100CF +:10BCF8002326310113090500938405001304060067 +:10BD0800630805008327850363940700EF601FB766 +:10BD1800832784012324F4008357C40093F7870002 +:10BD2800638A070883270401638607088317C4000A +:10BD380093F9F40F93F4F40F139727016356070A46 +:10BD480083270401032504003305F54083274401B4 +:10BD5800634AF5009305040013050900EF60DF96B8 +:10BD68006312050683278400130515009387F7FFE0 +:10BD78002324F40083270400138717002320E400FA +:10BD88002380370183274401638CA7008357C400AD +:10BD980093F71700638A07029307A0006396F402DB +:10BDA8009305040013050900EF601F92630E050058 +:10BDB8006F0040019305040013050900EF608FDC54 +:10BDC800E30605F69304F0FF8320C10103248101F3 +:10BDD8001385040003290101832441018329C1003B +:10BDE800130101026780000037270000B3E7E7006E +:10BDF8002316F4008327440637E7FFFF1307F7FFEE +:10BE0800B3F7E7002322F4066FF09FF3977700005B +:10BE1800938747CF138605009305050003A5070000 +:10BE28006FF0DFEB977700009387C7CD83A70700F4 +:10BE3800130101FE232C8100232A9100232E1100D7 +:10BE4800130405009384060083A747036398050439 +:10BE580063960700976700009387477383A7070EC9 +:10BE6800938604001306000093054100130504009F +:10BE7800E78007009307F0FF6318F50023A004008C +:10BE88009307A0082320F4008320C1010324810123 +:10BE980083244101130101026780000063960700B3 +:10BEA800976700009387876E83A7070E9386040021 +:10BEB8006FF0DFFB977700009387C7C493060600EF +:10BEC800138605009305050003A507006FF09FF58D +:10BED800130101FD23229102232A51012326110275 +:10BEE8002324810223202103232E3101232C410105 +:10BEF8002328610123267101232481012322910132 +:10BF08002320A101930A050093840500639E063847 +:10BF1800130406009309050017690000130949F482 +:10BF280063F8C512B7070100138B05006378F61094 +:10BF38001307F00F3337C70013173700B357E6005E +:10BF48003309F900834609003387E60093060002A7 +:10BF5800B386E640638C0600B394D40033D7EA0076 +:10BF68003314D600336B9700B399DA00935A04015F +:10BF780093850A0013050B00EF4050721309050062 +:10BF880093850A00931B040113050B00EF40906C86 +:10BF980093DB0B01930405009305050013850B0043 +:10BFA800EF4090681319090193D70901B367F900A5 +:10BFB800138A040063FEA700B3878700138AF4FF7F +:10BFC80063E8870063F6A700138AE4FFB387870056 +:10BFD800B384A74093850A0013850400EF40106CD2 +:10BFE8001309050093850A0013850400EF40906645 +:10BFF8009399090193040500930505001319090194 +:10C0080013850B0093D90901EF401062B369390118 +:10C018001386040063FCA900B30934011386F4FFF6 +:10C0280063E6890063F4A9001386E4FF13140A0188 +:10C038003364C400130A00006F000013B70700013F +:10C0480013070001E36CF6EE130780016FF01FEF92 +:10C05800138A0600631A06009305000013051000F2 +:10C06800EF40505F13040500B7070100637EF41228 +:10C078009307F00F63F48700130A8000B357440155 +:10C088003309F90003470900930600023307470103 +:10C09800B386E64063940612B3848440130A100002 +:10C0A800135B040193050B0013850400EF40105F38 +:10C0B8001309050093050B0013850400931B040165 +:10C0C800EF40505993DB0B019304050093050500DD +:10C0D80013850B00EF4050551319090193D7090137 +:10C0E800B367F900938A040063FEA700B38787004B +:10C0F800938AF4FF63E8870063F6A700938AE4FF56 +:10C10800B3878700B384A74093050B001385040009 +:10C11800EF40D0581309050093050B001385040060 +:10C12800EF405053939909019304050093050500C6 +:10C138001319090113850B0093D90901EF40D04E5B +:10C14800B36939011386040063FCA900B3093401FB +:10C158001386F4FF63E6890063F4A9001386E4FFFD +:10C1680013940A013364C4001305040093050A00FC +:10C178008320C1020324810283244102032901028E +:10C188008329C101032A8101832A4101032B01016B +:10C19800832BC100032C8100832C4100032D010057 +:10C1A8001301010367800000B7070001130A0001AB +:10C1B800E366F4EC130A80016FF05FEC3314D400EB +:10C1C80033DAE400B399DA0033D7EA00935A04016A +:10C1D800B394D40093850A0013050A00336B9700C3 +:10C1E800EF40D04B1309050093850A0013050A0098 +:10C1F800931B0401EF40104693DB0B0193040500E9 +:10C208009305050013850B00EF401042131909012F +:10C2180013570B013367E900138A0400637EA700F4 +:10C2280033078700138AF4FF636887006376A700E3 +:10C23800138AE4FF33078700B304A74093850A00F5 +:10C2480013850400EF4090451309050093850A0003 +:10C2580013850400EF401040930405009305050082 +:10C2680013850B00EF40503C13170B0113570701C0 +:10C2780013190901B367E9001387040063FEA700D7 +:10C28800B38787001387F4FF63E8870063F6A70086 +:10C298001387E4FFB3878700131A0A01B384A74002 +:10C2A800336AEA006FF0DFDF63ECD51EB7070100E1 +:10C2B80063F4F6041307F00FB335D70093953500F0 +:10C2C80033D7B60097670000938787B9B387E7002D +:10C2D80003C70700130A00023307B700330AEA400E +:10C2E80063160A0213041000E3E096E833B6CA00A6 +:10C2F800134416006FF05FE7B707000193050001CC +:10C30800E3E0F6FC930580016FF09FFBB35CE60069 +:10C31800B3964601B3ECDC0033D4E40093DB0C01A4 +:10C32800B397440133D7EA0093850B001305040043 +:10C33800336BF700B3194601EF4050361309050077 +:10C3480093850B0013050400139C0C01EF409030FB +:10C35800135C0C01930405009305050013050C00FC +:10C36800EF40902C1319090113570B013367E900AB +:10C3780013840400637EA700330797011384F4FF36 +:10C38800636897016376A7001384E4FF3307970176 +:10C39800B304A74093850B0013850400EF401030C9 +:10C3A8001309050093850B0013850400EF40902ABC +:10C3B800930405009305050013050C00EF40D026F3 +:10C3C80093170B011319090193D70701B367F900F4 +:10C3D8001386040063FEA700B38797011386F4FF52 +:10C3E80063E8970163F6A7001386E4FFB387970114 +:10C3F80013140401B70B01003364C4001389FBFF55 +:10C40800337D240133F92901B384A740930509003A +:10C4180013050D00EF405021935C040193050900BA +:10C42800130B050013850C00EF40102093D9090168 +:10C43800130C05009385090013850C00EF40D01EEE +:10C44800130905009385090013050D00EF40D01D61 +:10C458003305850193570B013385A7006374850164 +:10C468003309790193570501B387270163E6F4027D +:10C47800E392F4BCB70701009387F7FF3375F50023 +:10C4880013150501337BFB0033964A01330565011B +:10C49800130A0000E37AA6CC1304F4FF6FF09FB9E7 +:10C4A800130A0000130400006FF01FCC130101FBF6 +:10C4B8002324810423229104232E31032322910370 +:10C4C8002326110423202105232C4103232A510369 +:10C4D8002328610323267103232481032320A10336 +:10C4E800232EB101930C0500938905001304050060 +:10C4F80093840500639E062613090600138A060026 +:10C50800976A0000938ACA9563F4C514B7070100B7 +:10C518006376F6129307F00F63F4C700130A8000DE +:10C52800B3574601B38AFA0003C70A00130500028D +:10C5380033074701330AE540630C0A00B395490104 +:10C5480033D7EC0033194601B364B70033944C0178 +:10C55800935A090193850A0013850400EF401014CB +:10C568009309050093850A00131B0901138504002C +:10C57800EF40500E135B0B019305050013050B00EC +:10C58800EF40900A9399090193570401B3E7F90022 +:10C5980063FAA700B387270163E6270163F4A700BE +:10C5A800B3872701B384A74093850A001385040045 +:10C5B800EF40D00E9309050093850A001385040007 +:10C5C800EF405009131404019305050093990901DC +:10C5D80013050B0013540401EF40100533E48900E0 +:10C5E800637AA40033042401636624016374A400FD +:10C5F800330424013304A440335544019305000057 +:10C608008320C104032481048324410403290104F1 +:10C618008329C103032A8103832A4103032B0103CE +:10C62800832BC102032C8102832C4102032D0102BA +:10C63800832DC1011301010567800000B7070001C0 +:10C64800130A0001E36EF6EC130A80016FF05FED48 +:10C65800631A06009305000013051000EF40807F61 +:10C6680013090500B7070100637AF90E9307F00F65 +:10C6780063F42701130A8000B3574901B38AFA000B +:10C6880003C70A0013050002B38429413307470191 +:10C69800330AE540E30E0AEA33194901B3DAE9003F +:10C6A800B395490133D7EC0093540901336BB700B4 +:10C6B80013850A0093850400EF40407E9309050026 +:10C6C80093850400931B090113850A00EF408078C5 +:10C6D80093DB0B019305050013850B00EF40C07435 +:10C6E8009399090193570B01B3E7F90033944C016F +:10C6F80063FAA700B387270163E6270163F4A7005D +:10C70800B3872701B38AA7409385040013850A00DD +:10C71800EF40C078930905009385040013850A004B +:10C72800EF4040739305050013850B00EF40C06F81 +:10C7380093150B019399090193D50501B3E5B90048 +:10C7480063FAA500B385250163E6250163F4A50016 +:10C75800B3852501B384A5406FF09FDFB7070001BB +:10C76800130A0001E36AF9F0130A80016FF0DFF0A1 +:10C77800E3E8D5E8B707010063FCF604930BF00F74 +:10C7880033B5DB001315350033D7A60097570000E3 +:10C798009387076DB387E70083CB070093050002F3 +:10C7A800B38BAB00338B7541631E0B0263E4360118 +:10C7B80063EACC003384CC40B386D94033B58C00CF +:10C7C800B384A64013050400938504006FF05FE36B +:10C7D800B707000113050001E3E8F6FA1305800125 +:10C7E8006FF09FFAB3966601335D7601336DDD0015 +:10C7F80033D47901B395690133DC7C0193540D017D +:10C80800336CBC001305040093850400B31A660159 +:10C81800EF40C068130A050093850400130504005F +:10C8280033996C01931C0D01EF40C06293DC0C013D +:10C83800130405009305050013850C00EF40C05E46 +:10C84800131A0A0113570C013367EA00130A04008C +:10C85800637EA7003307A701130AF4FF6368A701E3 +:10C868006376A700130AE4FF3307A701B309A740BB +:10C878009385040013850900EF4040629385040006 +:10C888001304050013850900EF40C05C93050500FB +:10C898009304050013850C00EF40005993150C0113 +:10C8A8001314040193D50501B365B400138704007C +:10C8B80063FEA500B385A5011387F4FF63E8A5010E +:10C8C80063F6A5001387E4FFB385A501131A0A01CF +:10C8D800B70C0100336AEA001384FCFFB3778A00BF +:10C8E80033F48A00B384A540138507009305040038 +:10C8F8002326F100135A0A01EF400053930905005B +:10C908009305040013050A00EF40005213DC0A01E6 +:10C91800930D050093050C0013050A00EF40C05065 +:10C928008327C100130A050093050C00138507002F +:10C93800EF40804F3305B50113D709013307A7002E +:10C948006374B701330A9A01B70701009387F7FFA9 +:10C95800935507013377F70013170701B3F7F90069 +:10C96800B3854501B307F70063E6B400639EB400DE +:10C97800637CF90033865741B3B7C700B385A54137 +:10C98800B385F54093070600B307F9403339F9003A +:10C99800B385B440B385254133947501B3D7670196 +:10C9A8003365F400B3D565016FF09FC5130101FB32 +:10C9B80023248104232C41033704100013DA450192 +:10C9C80023202105232E3103232A51032324810305 +:10C9D8001304F4FF2326110423229104232861035E +:10C9E80023267103232291032320A103232EB101BF +:10C9F800137AFA7F13090500130C0600938A0600C0 +:10CA08003374B40093D9F50163060A0A9307F07FDB +:10CA18006304FA1013143400B70780003364F40079 +:10CA2800135BD501336B8B0093143500130A1AC0BE +:10CA3800930B000013D54A01370910001309F9FFB9 +:10CA48001375F57F3379590193050C0093DAFA01D0 +:10CA5800630205109307F07F6302F5163704800020 +:10CA680013193900336989001354DC013364240134 +:10CA780093153C00130515C09307000013972B006E +:10CA88003367F7001307F7FF9306E00033C959012E +:10CA9800330AAA4063E0E6169756000093860631EB +:10CAA800131727003307D700032707003307D700DA +:10CAB80067000700336BA400630E0B0663000404D1 +:10CAC80013050400EF40C043930755FF1307C00147 +:10CAD800634CF702130BD001930485FF330BFB4023 +:10CAE80033149400335B6901336B8B00B3149900E2 +:10CAF800130AD0C0330AAA406FF09FF3EF404040BA +:10CB0800130505026FF05FFC130485FD331B8900D4 +:10CB1800930400006FF0DFFD336BA40063040B0285 +:10CB280093040500130B0400130AF07F930B3000E5 +:10CB38006FF05FF093040000130A0000930B1000DD +:10CB48006FF05FEF93040000130AF07F930B20004F +:10CB58006FF05FEE33648901630E04066300090415 +:10CB680013050900EF40C039930755FF1307C001AB +:10CB7800634EF7021304D001930585FF3304F44094 +:10CB88003319B90033548C0033642401B315BC0045 +:10CB98001307D0C03305A7406FF01FEE13050C0034 +:10CBA800EF400036130505026FF01FFC130485FDE6 +:10CBB80033148C00930500006FF09FFD33648901E6 +:10CBC80063020402130409001305F07F9307300081 +:10CBD8006FF0DFEA930500001305000093071000CB +:10CBE8006FF0DFE9930500001305F07F930720003D +:10CBF8006FF0DFE86366640163128B4863E0B44852 +:10CC08009316FB0113D71400139CF401135B1B004C +:10CC1800B3E4E6001314840093DC8501B3EC8C00C4 +:10CC280093DA0C0193970C0193D70701139D8500A4 +:10CC380013050B0093850A002322F100EF408021A1 +:10CC480093050500930B050013950C011355050179 +:10CC5800EF40801D1304050093850A0013050B009F +:10CC6800EF40C0231315050113D704013365A7004E +:10CC780093890B00637E8500330595019389FBFF3B +:10CC880063689501637685009389EBFF3305950109 +:10CC98003304854093850A0013050400EF40801B88 +:10CCA80093050500930B050013950C011355050119 +:10CCB800EF408017130B050093850A001305040045 +:10CCC800EF40C01D939D04011315050193DD0D016F +:10CCD800B3EDAD0013870B0063FE6D01B38D9D01AD +:10CCE8001387FBFF63E89D0163F66D011387EBFF74 +:10CCF800B38D9D0193960901B7040100B3E6E600E0 +:10CD0800B38D6D41138BF4FF33F76601337B6D01EF +:10CD18001305070093050B0013D406012326D10041 +:10CD28002324E100EF4040102322A10093050B00CB +:10CD380013050400EF40400F935B0D0193090500B4 +:10CD480093850B0013050400EF40000E03278100B4 +:10CD58001304050013850B0093050700EF40C00C72 +:10CD680003264100330535018326C1001357060108 +:10CD78003307A700637437013304940037050100B3 +:10CD88001305F5FF93540701B379A7009399090197 +:10CD98003376A600B3848400B389C90063E89D0094 +:10CDA8001384060063949D0463723C05330CAC0144 +:10CDB8003337AC0133079701B38DED001384F6FFC9 +:10CDC80063E6BC016394BC036362AC0363E69D0045 +:10CDD800639EB401637C3C01330CAC013337AC0176 +:10CDE800330797011384E6FFB38DED00B3093C4187 +:10CDF800B3849D40B3373C01B384F4409305F0FFFE +:10CE080063889C1A93850A0013850400EF40800408 +:10CE1800930505002324A10013950C011355050162 +:10CE2800EF4080002322A10093850A0013850400A7 +:10CE3800EF40C00683268100032741001315050132 +:10CE480093D7090133E5A700938D0600637EE500BB +:10CE580033059501938DF6FF636895016376E500C8 +:10CE6800938DE6FF33059501B304E54093850A00E9 +:10CE780013850400EF30107E930505002322A100DE +:10CE880013950C0113550501EF30107A130C0500AA +:10CE980093850A0013850400EF4040009399090127 +:10CEA800032741001315050193D9090133E5A900AA +:10CEB80093070700637E8501330595019307F7FF04 +:10CEC80063689501637685019307E7FF330595014C +:10CED80093940D01B3E4F4009397040193D70701E9 +:10CEE80093050B00B3098541138507002322F10040 +:10CEF80093DD0401EF30507393050B00930A05008E +:10CF080013850D00EF305072130C050093850D004A +:10CF180013850B00EF30507183274100130B050078 +:10CF280013850B0093850700EF30107033058501DA +:10CF380093D70A013385A70063768501B7070100F7 +:10CF4800330BFB00B70601009386F6FF93570501E4 +:10CF58003377D50013170701B3FADA00B3876701EF +:10CF68003307570163E8F900938504006390F904D7 +:10CF78006300070433853C019385F4FF63649503DC +:10CF88006366F5006314F5026370ED0293161D00E5 +:10CF980033BDA601B30C9D019385E4FF33059501CC +:10CFA800138D06006314F5006304A70193E51500CB +:10CFB8001307FA3F6352E01293F775006380070284 +:10CFC80093F7F50093064000638AD70093864500DF +:10CFD800B3B5B6003304B400938506009317740004 +:10CFE80063DA0700B70700FF9387F7FF3374F4008D +:10CFF80013070A409307E07F63C2E71A9317D40127 +:10D0080093D53500B3E7B70013543400B7061000C2 +:10D018009386F6FF3374D400B70610801377F77F32 +:10D028009386F6FF131747013374D4001319F901D7 +:10D038003364E400336724018320C104032481049A +:10D0480083244104032901048329C103032A81039A +:10D05800832A4103032B0103832BC102032C810282 +:10D06800832C4102032D0102832DC1011385070082 +:10D07800930507001301010567800000130AFAFFF2 +:10D08800130C00006FF01FB91389090013040B007B +:10D098009385040093870B00130720006380E71033 +:10D0A800130730006382E70E13071000E392E7F0DE +:10D0B80013040000930700006F00400913890A0059 +:10D0C8006FF09FFD37040800930500001309000066 +:10D0D800930730006FF05FFC93061000B386E640BC +:10D0E80093078003E3C6D7FC9307F00163C4D70610 +:10D0F800130AEA41B317440133D7D500339A4501DF +:10D10800B3E7E700333A4001B3E747013354D400AB +:10D1180013F777006300070213F7F7009306400040 +:10D12800630AD70013874700B337F7003304F400C6 +:10D138009307070013178400634A07061317D401DF +:10D1480093D73700B367F700135434001307000070 +:10D158006FF0DFEB930710FEB387E7401307000279 +:10D16800B357F400130500006386E600130AEA4388 +:10D1780033154401336AB500333A4001B3E7470138 +:10D18800130400006FF0DFF837040800930700006D +:10D198001307F07F130900006FF05FE71304000026 +:10D1A800930700001307F07F6FF05FE61304000099 +:10D1B80093070000130710006FF05FE5130101FAF1 +:10D1C800232C8104232631053704100093D9450107 +:10D1D800232A910423206105232E7103232C810324 +:10D1E8001304F4FF232E11042328210523244105C9 +:10D1F80023225105232A91032328A1032326B103BF +:10D2080093F9F97F93040500930B0600138C06002D +:10D218003374B40013DBF5016388090A9307F07FC0 +:10D228006386F9103709800013143400336424012D +:10D238001359D50133698900131D3500938919C025 +:10D24800930C000013554C01370A1000130AFAFF1B +:10D258001375F57F337A8A0193840B00135CFC0104 +:10D26800630405109307F07F6304F5163704800004 +:10D27800131A3A00336A8A0013D4DB013364440179 +:10D2880093943B00130515C09307000013972C00D7 +:10D298003367F700B389A9001307F7FF9306E00087 +:10D2A800B34B8B01938A190063E0E616975600008A +:10D2B800938686B3131727003307D7000327070081 +:10D2C8003307D700670007003369A400630E090617 +:10D2D8006300040413050400EF309042930755FFE0 +:10D2E8001307C001634CF7021309D001130D85FF22 +:10D2F8003309F9403314A40133D92401336989006F +:10D30800339DA4019309D0C0B389A9406FF05FF39E +:10D31800EF30103F130505026FF05FFC130985FD20 +:10D3280033992401130D00006FF0DFFD3369A40069 +:10D3380063040902130D0500130904009309F07F23 +:10D34800930C30006FF01FF0130D000093090000DC +:10D35800930C10006FF01FEF130D00009309F07F7E +:10D36800930C20006FF01FEE33647A01630E0406FD +:10D3780063000A0413050A00EF309038930755FF3D +:10D388001307C001634EF7021304D001930485FF0D +:10D398003304F440331A9A0033D48B0033644401C5 +:10D3A800B3949B009307D0C03385A7406FF0DFED9F +:10D3B80013850B00EF30D034130505026FF01FFC06 +:10D3C800130485FD33948B00930400006FF09FFDD8 +:10D3D80033647A016302040213040A001305F07F20 +:10D3E800930730006FF09FEA9304000013050000D4 +:10D3F800930710006FF09FE9930400001305F07F76 +:10D40800930720006FF09FE837070100130AF7FF22 +:10D41800135C0D0193DD0401337D4D01B3F4440128 +:10D4280093050D00138504002328E100EF30D01F79 +:10D43800930C05009385040013050C00EF30D01EF3 +:10D448002326A10093850D0013050C00EF30D01D95 +:10D45800130B050093050D0013850D00EF30D01C4C +:10D468008326C10093D70C013305D5003385A70067 +:10D478006376D50003270101330BEB0093560501B2 +:10D4880033754501B3FC4C0113150501B30795012C +:10D49800935C04013374440193050D0013050400E3 +:10D4A800232AD1002326F100EF3010182328A100E9 +:10D4B8009305040013050C00EF301017130A05003C +:10D4C80093850C0013050C00EF301016130C0500A3 +:10D4D80093050D0013850C00EF301015032701018B +:10D4E8003305450183264101935707013385A7007A +:10D4F80063764501B7070100330CFC0037060100CD +:10D5080093570501338C87019307F6FF337AF500AB +:10D518003377F700131A0A01135D0901330AEA0089 +:10D528003379F90033874601930509001385040010 +:10D538002328E100232EC100EF30100F938504004B +:10D54800232CA10013050D00EF30100E232AA10093 +:10D5580093050D0013850D00EF30100D93040500A1 +:10D568009305090013850D00EF30100C8326410147 +:10D57800032781013305D500935707013385A70099 +:10D588006376D5000326C101B384C400B706010041 +:10D598009387F6FF935D0501B3849D00B37DF50085 +:10D5A8003377F7009305090013050400939D0D01D7 +:10D5B800B38DED00232CD100EF3010079305040044 +:10D5C800232AA10013050D00EF30100693050D0066 +:10D5D8001304050013850C00EF301005130D05002A +:10D5E8009305090013850C00EF301004032741014F +:10D5F80033058500935707013385A70063768500B7 +:10D6080083268101330DDD0083270101B706010060 +:10D618009386F6FF330BFB00B377D5003377D7003B +:10D6280093970701B387E700333A4B01B387870124 +:10D6380033844701330BBB0133079400B33DBB016F +:10D64800B306B70133BC870133344401935705014E +:10D658003337970033648C00B3BDB6013304F4004C +:10D66800B36DB7013304B4013304A40193D7760131 +:10D67800131494003364F4008327C10093149B00AF +:10D68800135B7B01B3E4F400B334900093979600E6 +:10D69800B3E46401B3E4F4009317740063D207128F +:10D6A80093D7140093F41400B3E497009317F4018C +:10D6B800B3E4F400135414001387FA3F6356E010E0 +:10D6C80093F774006380070293F7F4009306400011 +:10D6D800638AD70093874400B3B497003304940057 +:10D6E800938407009317740063DA0700B70700FFF5 +:10D6F8009387F7FF3374F40013870A409307E07F9A +:10D7080063C6E71893DA34009314D401B3E45401E0 +:10D7180013543400B70710009387F7FF3374F400ED +:10D728009377F77F370710801307F7FF9397470121 +:10D738003374E400939BFB013364F400B367740112 +:10D748008320C105032481051385040003290105ED +:10D75800832441058329C104032A8104832A4104BF +:10D76800032B0104832BC103032C8103832C410366 +:10D77800032D0103832DC1029385070013010106C0 +:10D7880067800000930B0B001304090093040D003D +:10D7980093870C0013072000638AE70E13073000F5 +:10D7A800638CE70C13071000E398E7F013040000FC +:10D7B800930400006F008008930B0C006FF09FFD2E +:10D7C800938A09006FF05FEF93061000B386E64076 +:10D7D80093078003E3CCD7FC9307F00163C4D70613 +:10D7E800938AEA41B317540133D7D400B394540150 +:10D7F800B3E7E700B3349000B3E497003354D400A0 +:10D8080093F774006380070293F7F400130740004E +:10D81800638AE70093874400B3B497003304940005 +:10D82800938407009317840063CA07069317D401EB +:10D8380093D43400B3E49700135434001307000062 +:10D848006FF05FED930710FEB387E7401306000201 +:10D85800B357F400130700006386C600938AEA43AF +:10D8680033175401B3649700B3349000B3E49700BE +:10D87800130400006FF0DFF8370408009304000079 +:10D888001307F07F930B00006FF0DFE8130400002C +:10D89800930400001307F07F6FF0DFE71304000024 +:10D8A80093040000130710006FF0DFE68327C5001C +:10D8B80003AF050083AF450083A2850083A5C5009B +:10D8C8003787000093D607011307F7FF139807015E +:10D8D800939E050113D6F701B3F6E60093D7050129 +:10D8E800130101FF8328050003234500032E85004B +:10D8F8001358080193DE0E01B3F7E70093D5F5013D +:10D908006390E60233E768003367C70133670701AE +:10D9180013051000631A07046398D7046F0080008A +:10D92800639CE7003367FF01336757003367D7010C +:10D9380013051000631A0702130510006396D70237 +:10D948006394E8036312F30363105E02631ED80155 +:10D958006300B602639A070033E568003365C501C2 +:10D96800336505013335A00013010101678000000C +:10D97800130500006FF05FFF8327C50083A8C5006B +:10D98800032F05000326450003288500378500007E +:10D9980013D707011305F5FF939E080193D60801D5 +:10D9A80083A2050003A3450003AE85003377A700D3 +:10D9B80093950701130101FF93D5050193D7F7014B +:10D9C80093DE0E01B3F6A60093D8F8016310A70200 +:10D9D800B36FCF00B3EF0F01B3EFBF001305E0FF44 +:10D9E80063800F0E13010101678000006398A6028F +:10D9F800B3EF6200B3EFCF01B3EFDF011305E0FF30 +:10DA0800E3920FFE631207043365CF003365050107 +:10DA18003365B500133515006F00C0026314070A9B +:10DA28003365CF00336505013365B500133515003F +:10DA3800639A0600B3EF6200B3EFCF01B3EFDF01E3 +:10DA4800638C0F06631A0500638E17011305100017 +:10DA5800E38A07F86F008000E39608F81305F0FFE3 +:10DA68006FF05FF8E3C4E6FE635AD7001305F0FFD2 +:10DA7800E38A07F6130510006FF0DFF6E3E8BEFC53 +:10DA88006396D503E3640EFD6314C805E360C3FC25 +:10DA980063146600E3ECE2FBE36A66FC130500002E +:10DAA800E31266F4E3645FFC6FF0DFF3E3E0D5FDB7 +:10DAB800130500006FF01FF3E31C05FE6FF01FF95C +:10DAC800E388E6F213050000E38606F66FF0DFF759 +:10DAD800E36EC8F96FF0DFFD8327C50083A8C50092 +:10DAE800032F05000326450003288500378500001D +:10DAF80013D707011305F5FF939E080193D6080174 +:10DB080083A2050003A3450003AE85003377A70071 +:10DB180093950701130101FF93D5050193D7F701E9 +:10DB280093DE0E01B3F6A60093D8F8016310A7029E +:10DB3800B36FCF00B3EF0F01B3EFBF0013052000A1 +:10DB480063800F0E13010101678000006398A6022D +:10DB5800B3EF6200B3EFCF01B3EFDF01130520008D +:10DB6800E3920FFE631207043365CF0033650501A6 +:10DB78003365B500133515006F00C0026314070A3A +:10DB88003365CF00336505013365B50013351500DE +:10DB9800639A0600B3EF6200B3EFCF01B3EFDF0182 +:10DBA800638C0F06631A0500638E170113051000B6 +:10DBB800E38A07F86F008000E39608F81305F0FF82 +:10DBC8006FF05FF8E3C4E6FE635AD7001305F0FF71 +:10DBD800E38A07F6130510006FF0DFF6E3E8BEFCF2 +:10DBE8006396D503E3640EFD6314C805E360C3FCC4 +:10DBF80063146600E3ECE2FBE36A66FC13050000CD +:10DC0800E31266F4E3645FFC6FF0DFF3E3E0D5FD55 +:10DC1800130500006FF01FF3E31C05FE6FF01FF9FA +:10DC2800E388E6F213050000E38606F66FF0DFF7F7 +:10DC3800E36EC8F96FF0DFFD130101F22326310D01 +:10DC480083A9C50083A6050083A745002328A10052 +:10DC580003A58500139709012328210D2324410DCD +:10DC68002322510D2320610D032A0600032B4600B1 +:10DC7800832A86000329C60037860000232C810CDE +:10DC88001357070113D409011306F6FF2326310998 +:10DC9800232E110C232A910C232E710B232C810B7C +:10DCA800232A910B2328A10B2326B10B2320D1086B +:10DCB8002322F1082324A1082328D104232AF104CC +:10DCC800232CA104232EE1043374C40093D9F90151 +:10DCD800630A04126300C426370501003365A700F0 +:10DCE800232EA104130601059307C10503A7070006 +:10DCF80083A6C7FF9387C7FF1317370093D6D601AC +:10DD08003367D70023A2E700E312F6FE8327010555 +:10DD1800939737002328F104B7C7FFFF93871700AD +:10DD28003304F400930400009317090137870000B7 +:10DD38001355090193D707011307F7FF2326210974 +:10DD48002320410923226109232451092320410763 +:10DD580023226107232451072326F1063375E500A2 +:10DD68001359F901630C051E6304E532B70A010073 +:10DD7800B3EA570123265107130601069307C10684 +:10DD880003A7070083A6C7FF9387C7FF13173700AA +:10DD980093D6D6013367D70023A2E700E312F6FE35 +:10DDA80083270106939737002320F106B7C7FFFFA3 +:10DDB800938717003305F50013070000B3C729013F +:10DDC800232AF100B30785002324F1029387170063 +:10DDD8002322F10293972400B3E7E7009387F7FF24 +:10DDE8009306E00063EAF62C9746000093868603C4 +:10DDF80093972700B387D70083A70700B387D70077 +:10DE08006780070033E6D7003366A6003366E6006E +:10DE1800630006146302070613050700EF30400E7F +:10DE2800130C0500930B4CFF93D45B4093FBFB0151 +:10DE3800638E0B069305C0FF13850400EF20D07E88 +:10DE48009306000213972400130800FFB386764157 +:10DE58001305C5FF631605099307010A3387E70011 +:10DE6800832701059384F4FFB39B7701232877FB6D +:10DE78001307F0FF6F00C00A63080500EF30400881 +:10DE8800130C05026FF01FFA638A07001385070059 +:10DE9800EF300007130C05046FF0DFF81385060058 +:10DEA800EF300006130C05066FF0DFF79305C0FF8F +:10DEB80013850400EF205077130401059307300001 +:10DEC8003307A4000327C7009387F7FF1304C4FF91 +:10DED8002328E400E3D697FE9384F4FF6FF05FF9FC +:10DEE80093070105B385A7003306A7003386C7004B +:10DEF80083A7C50083A50501B3D7D700B3957501DE +:10DF0800B3E7B7002328F6006FF09FF49397240037 +:10DF180093060105B387F60023A007009384F4FF56 +:10DF2800E396E4FE37C4FFFF13041401330484416D +:10DF38006FF05FDFB3E7D700B3E7A70033E5E7008B +:10DF480093042000E30205DE930430006FF0DFDD68 +:10DF580013040000930410006FF01FDD33676A019B +:10DF6800336757013367F7006302071463820706B4 +:10DF780013850700EF20D078130B0500930A4BFF99 +:10DF880013DA5A4093FAFA0163800A089305C0FF2E +:10DF980013050A00EF2050699306000213172A00A0 +:10DFA800130800FFB38656411305C5FF631805091A +:10DFB8009307010A3387E70083270106130AFAFF4C +:10DFC800B39A5701232057FD1307F0FF6F00000B8A +:10DFD800638A0A0013850A00EF209072130B05026A +:10DFE8006FF0DFF9630A0B0013050B00EF20507187 +:10DFF800130B05046FF09FF813050A00EF2050700B +:10E00800130B05066FF09FF79305C0FF13050A0071 +:10E01800EF209061930A0106930730003387AA0026 +:10E028000327C7009387F7FF938ACAFF23A8EA004C +:10E03800E3D647FF130AFAFF6FF01FF993070106AB +:10E04800B385A7003306A7003386C70083A7C5009A +:10E0580083A50501B3D7D700B3955501B3E7B7003A +:10E068002328F6006FF05FF493172A009306010641 +:10E07800B387F60023A00700130AFAFFE316EAFEA7 +:10E0880037C5FFFF13051501330565416FF0DFD272 +:10E09800336A6A01B36A5A01B3EAFA001307200027 +:10E0A800E38E0AD0130730006FF05FD1130500002C +:10E0B800130710006FF09FD0832901050324010680 +:10E0C800370B01009304FBFF93DA0901135904018C +:10E0D800B3F999003374940093850900130504007B +:10E0E800EF209054130A05009305040013850A00D5 +:10E0F800EF209053130C05009305090013850A00BF +:10E10800EF209052930B0500938509001305090031 +:10E11800EF2090513305850193570A013385A700F5 +:10E1280063748501B38B6B01832C4106135D050174 +:10E1380033759500337A9A0013150501B307450125 +:10E1480013DA0C01B3FC9C009385090013850C00BD +:10E158002326F1022320F108EF20104D130B0500B0 +:10E1680093850C0013850A00EF20104C93040500DA +:10E1780093050A0013850A00EF20104B130C0500C5 +:10E188009385090013050A00EF20104A330595000E +:10E1980093570B013385A70063769500B7070100F5 +:10E1A800330CFC00B706010093570501232CF1003E +:10E1B8009387F6FFB37DF50083244105337BFB008D +:10E1C800939D0D01B38D6D013307BD0113DD04016E +:10E1D800B3F4F4009385040013050400232AE10234 +:10E1E8002324D100EF2050442322A10093050400EA +:10E1F80013050D00EF2050432320A10093050D00C7 +:10E2080013050900EF205042130B05009385040005 +:10E2180013050900EF2050410326010003274100A0 +:10E228003305C500935707013385A7006376C500FA +:10E2380083268100330BDB00935705013706010065 +:10E24800B3876701232EF1009307F6FF337BF500B0 +:10E258003377F70093850C00131B0B01138504001B +:10E26800330BEB002326C100EF20103C2324A10030 +:10E2780093850C0013050D00EF20103B2322A1000D +:10E2880093050D0013050A00EF20103A2320A10082 +:10E298009385040013050A00EF2010390328410074 +:10E2A80003278100832601003305050193570701E1 +:10E2B8003385A700637605010326C100B386C6002F +:10E2C8009357050137060100B387D7002320F102D1 +:10E2D8009307F6FF3375F500131505013377F7003B +:10E2E8003307E5002328E102032781069385090007 +:10E2F800232EC102B377F700935607011385070051 +:10E308002320D1002324F100EF201032832581003F +:10E31800232CA10213850A00EF2010318325010068 +:10E328002326A10013850A00EF2010302322A10024 +:10E338000325010093850900EF20102F0328C10051 +:10E3480003278103832641003305050193570701FD +:10E358003385A700637605010326C103B386C6008B +:10E368003708010093570501B386D7009307F8FFD4 +:10E378003376F5003377F700131606013306E60007 +:10E38800032781052326D10493050400B377F700FA +:10E3980093560701138507002322C1042322D100C5 +:10E3A8002326F10023240105EF2010282320A104AF +:10E3B8000325410093050400EF2010278325410021 +:10E3C800232EA10213050900EF2010268325C10082 +:10E3D800232CA10213050900EF2010258328C1036F +:10E3E8000323010403278103330515019357030110 +:10E3F800B387A700032641048326C10463F61701E7 +:10E40800032881043307070113D807013308E800FC +:10E41800370701009305F7FFB3F7B7003373B3006D +:10E4280093970701B38E670083274103232CE102EA +:10E4380003270103B38BFB0083278101B3BDBB0115 +:10E44800B388B701B3876B0133BB6701232CF10095 +:10E458002322F1088327C101B3888801B387F80014 +:10E46800338C67013303EC00333EE3000327C1011B +:10E47800333C6C01B3B8B801B3B7E70033EC87019C +:10E4880083270102330C1C013303C300330CFC0047 +:10E498003305CC01B308D500032701023336C30086 +:10E4A800B307D301338FC80033B3D701232EF1004C +:10E4B8002324F108032BC106B3070F01333EC5011E +:10E4C800333CEC00B3B6D8003336CF00B38D6700C9 +:10E4D800B3E6C600336CCC01B3B7070133B36D00A4 +:10E4E800330CDC00B3E76700935B0B01337BBB00A5 +:10E4F800B307FC009385090013050B002320F102E4 +:10E50800EF209012130C050093050B0013850A00E9 +:10E51800EF2090112328A10293850B0013850A0090 +:10E52800EF209010930A05009385090013850B00CE +:10E53800EF20900F8326010393570C013305D50074 +:10E548003385A7006376D50003278103B38AEA00E1 +:10E5580093570501B7060100B38757012328F10235 +:10E56800832AC1059387F6FFB379F500337CFC0055 +:10E5780093990901B389890113DC0A01B3FAFA00F6 +:10E5880093850A0013050400232ED102EF20D00939 +:10E5980093050400232CA10213050C00EF20D008DA +:10E5A800232AA10293050C0013050900EF20D007C8 +:10E5B8001304050093850A0013050900EF20D0060F +:10E5C80003264103032781033305C5009357070139 +:10E5D8003385A7006376C5008326C1033304D400BE +:10E5E8003708010093570501338487009307F8FF24 +:10E5F8003379F500032581003377F700938504000C +:10E6080013190901232001053309E900EF20D0017E +:10E6180083258100232EA10213050D00EF20D000D1 +:10E6280083250100232CA10213050D00EF20C07FD4 +:10E63800232AA1020325010093850400EF20C07E50 +:10E64800832881038326C10303264103330515016B +:10E6580093D706013385A7006376150103280104C3 +:10E6680033060601B70801009387F8FF3377F500F2 +:10E67800135E05010325C100B3F6F6001317070161 +:10E68800330ECE003307D70093850C002324C10531 +:10E698002320E10423221105EF200079232EA10273 +:10E6A8000325410093850C00EF2000788325410065 +:10E6B800232CA10213050A00EF2000778325C1004F +:10E6C800232AA10213050A00EF2000760323810301 +:10E6D8000328C10303264103330565009356080147 +:10E6E8003385A60003270104032E810463766500A1 +:10E6F8008328410433061601370301009306F3FF0C +:10E7080093580501B388C800B377D5003378D8008B +:10E718000326010383260102B38D3D01B3BF3D01EA +:10E72800B386C600338FF601B38D2D019397070189 +:10E73800B387070133B92D0133088F00B30E2801C1 +:10E74800B38DED00232A610203230103B385CE01B3 +:10E7580033B7ED003386FD003385E50033B92E016C +:10E76800B3B5C501B3B66600333FFF013334880043 +:10E77800B337F6002320C1022326C1083337E5004A +:10E788003306150133E7E50033EFE601B309F60078 +:10E79800336424018325C1000325810033048F00DD +:10E7A80033361601B3B7F9003304E400B367F60053 +:10E7B8003304F400EF2040672328A1028325810059 +:10E7C80003254100EF204066130905008325410019 +:10E7D80003250100EF204065930D05008325C10046 +:10E7E80003250100EF2040640327010333052501B9 +:10E7F800935707013385A700637625010323410357 +:10E80800B38D6D00B706010093570501B38DB701AD +:10E818009387F6FF3379F5003377F7009385040083 +:10E828001319090113050B003309E900232CD10240 +:10E83800EF20805F232AA10293050B0013050D002A +:10E84800EF20805E2328A10293850B0013050D009D +:10E85800EF20805D130D05009385040013850B00E0 +:10E86800EF20805C03260103032741033305C5001D +:10E87800935707013385A7006376C5008326810374 +:10E88800330DDD00B706010093570501338DA7014D +:10E898009387F6FFB374F5003377F70093850C0080 +:10E8A8009394040113850A00B384E400232CD10255 +:10E8B800EF20805793850C00232AA10213050C0032 +:10E8C800EF2080562328A10293050C0013050A00A7 +:10E8D800EF208055930C050093850A0013050A0064 +:10E8E800EF20805403260103032741033305C500A5 +:10E8F800935707013385A7006376C50083268103F4 +:10E90800B38CDC003708010093570501B38C9701DD +:10E918009307F8FF337AF5000325C1003377F70032 +:10E9280093050B00131A0A01330AEA00232C01038A +:10E93800EF20804F232AA1020325410093050B00F5 +:10E94800EF20804E2328A1020325410093850B0068 +:10E95800EF20804D8325C1002322A10013850B00E1 +:10E96800EF20804C832801038326410303264100BE +:10E978003305150113D706013305A7006376150182 +:10E988000328810333060601370801001307F8FF3F +:10E99800B377E5001353050103258100B3F6E600BC +:10E9A800939707013303C300B387D70093850A0001 +:10E9B800232C61022328F102232A0103EF20C046F9 +:10E9C800832581002326A10013050C00EF20C045F4 +:10E9D8002324A1000325010093050C00EF20C04467 +:10E9E8002322A1000325010093850A00EF20C043DC +:10E9F80083288100032EC100032641003305150139 +:10EA080093560E013385A600832701030323810350 +:10EA18006376150103284103330606013708010010 +:10EA280093580501B3892901B388C80033B929016E +:10EA38001306F8FF3304B401B30E24013377C5007D +:10EA4800B3899900337ECE00B385AE01B3B4990083 +:10EA5800131707013307C701B3894901338E95009E +:10EA6800330F9E0133BA4901B30F4F0133B52E015D +:10EA78003334B40133BDA501B3349E00336E9D0019 +:10EA8800B33C9F0133BA4F013365A4003305C50178 +:10EA980033EA4C01B386FF003305450133056500B1 +:10EAA800B3B7F600B305F500B3B7F5003335650025 +:10EAB800B386E60033B7E6003364F5003385150105 +:10EAC800B304E50033B7E4003335150123283109D1 +:10EAD80093850A00B369E50013050B002320C100E4 +:10EAE80023220101232AD108EF20003493050B00CB +:10EAF800130A050013050C00EF200033130B050063 +:10EB080093050C0013850B00EF2000321309050054 +:10EB180093850A0013850B00EF200031330565014A +:10EB280013570A013305A700032601006376650120 +:10EB38000328410033090901B377C50093970701FA +:10EB48003376CA003386C700032781018327C102B1 +:10EB580013550501B384C400B3E7E7000327C101D7 +:10EB68003305850033B6C400B36BF7008327010271 +:10EB7800330535013305C500330525019397D700C3 +:10EB8800232EA108232C9108B3E777011307010866 +:10EB980013060000130540008326C70083250701DC +:10EBA8001306160093D636019395D500B3E6B60042 +:10EBB8002320D70013074700E310A6FE0327010808 +:10EBC80083268108B337F000B3E7E700232CD1068A +:10EBD8000327C108832641082328F106232EE106CE +:10EBE800232AD1069316B70063D406249397F70116 +:10EBF80013070107130600001305300083260700DA +:10EC0800832547001306160093D616009395F50141 +:10EC1800B3E6B6002320D70013074700E310A6FE8B +:10EC28000327C107B337F00013571700232EE10657 +:10EC380003270107B367F7002328F10603274102DA +:10EC4800B74700009387F7FFB307F7006354F02036 +:10EC58000327010793767700638406049376F70009 +:10EC680013064000638EC602832641071307470038 +:10EC78002328E10613374700B306D70033B7E60069 +:10EC8800232AD10683268107B306D700232CD10671 +:10EC9800B3B6E6000327C107B386E600232ED106E4 +:10ECA8000327C1079316B70063D00602B707F0FF22 +:10ECB8009387F7FF3377F700232EE10603274102F6 +:10ECC800B7470000B307F700130701071306000052 +:10ECD8001305300083260700832547001306160016 +:10ECE80093D636009395D501B3E6B6002320D70016 +:10ECF80013074700E310A6FE378700009306E7FFD7 +:10ED080063CEF6120327C10713573700232EE106F7 +:10ED18000327C107B70601809386F6FF2316E1088B +:10ED2800378700001307F7FFB3F7E7000327C10889 +:10ED3800939707018320C10D3377D700B367F70096 +:10ED480003274101B706008093C6F6FF1317F701A2 +:10ED5800B3F7D700B3E7E7008326010103270107CC +:10ED68000324810D23A6F60023A0E600032741070C +:10ED78008324410D0329010D23A2E60003278107FF +:10ED88008329C10C032A810C23A4E600832A410CA1 +:10ED9800032B010C832BC10B032C810B832C410B00 +:10EDA800032D010B832DC10A138506001301010EE3 +:10EDB80067800000232A3101832701052328F106F3 +:10EDC80083274105232AF10683278105232CF10691 +:10EDD8008327C105232EF106930720006380F428BA +:10EDE80093073000638AF42893071000E398F4E44B +:10EDF800232E0106232C0106232A010623280106B7 +:10EE08006F00C021232A21018327010693040700EC +:10EE18002328F10683274106232AF1068327810642 +:10EE2800232CF1068327C106232EF1066FF0DFFAA3 +:10EE3800832781022322F1026FF05FE0232E01066F +:10EE4800232C0106232A0106232801069307F7FF2E +:10EE58006FF01FEC93061000B387F64013074007C6 +:10EE68006342F71C13D6574093F6F70113070000C7 +:10EE780093070000931527006312C70263980604DE +:10EE880013053000130601073305E5406354D50226 +:10EE9800930640003387E6406F00400813050107DA +:10EEA800B305B50083A5050013071700B3E7B7003E +:10EEB8006FF05FFC3308B60003280800938616003D +:10EEC80013064600232E06FF6FF05FFC1306010AA7 +:10EED8003306B600032606FD130800023308D8409F +:10EEE80033160601B3E7C70013063000930801077D +:10EEF800130300003306E6406346C302930540004F +:10EF08003387E540131626009305010A3386C500AA +:10EF18008325C107B3D6D5002328D6FC13064000A5 +:10EF28006F000004338EB80003250E00032E4E0038 +:10EF3800130313003355D500331E0E013365C50185 +:10EF480023A0A800938848006FF01FFB93162700A2 +:10EF580093050107B386D50023A006001307170001 +:10EF6800E316C7FE83260107B337F000B3E7D700DF +:10EF78002328F10693F677006382060493F6F700D8 +:10EF8800638EE60203274107938747002328F1068B +:10EF980093B747003387E700B337F700232AE10622 +:10EFA800032781073387E700232CE1063337F7006F +:10EFB8008327C1073307F700232EE1068327C107FC +:10EFC8001397C700635E0700232E0106232C010652 +:10EFD800232A010623280106930710006FF05FD348 +:10EFE80093070107930600009305300003A7070065 +:10EFF80003A6470093861600135737001316D60149 +:10F008003367C70023A0E70093874700E390B6FE65 +:10F018008327C10793D73700232EF10693070000F3 +:10F028006FF01FCF83274107032701073367F700D6 +:10F03800832781073367F7008327C1073367F70002 +:10F0480093070000E30607CC232E0106232C0106B4 +:10F05800232A0106232801066FF09FCBB7870000FB +:10F06800232E0106232C0106232A01062328010644 +:10F078009387F7FF6FF0DFC9B7870000232EF106EB +:10F08800232C0106232A0106232801069387F7FF6C +:10F09800232A01006FF0DFC7130101FA83A7850057 +:10F0A8002324410503AAC50083A6050003A745003C +:10F0B800232CF102232CF10093170A01232C81043D +:10F0C80093D7070113141A00232A91040323060077 +:10F0D8009304050083284600832586000325C6007F +:10F0E800232E4103232E110423282105232631052D +:10F0F800232251052328D102232AE1022328D10003 +:10F10800232AE100232EF10013541401135AFA01A3 +:10F11800130801011306C101832706000327C6FF50 +:10F128001306C6FF939737001357D701B3E7E700D5 +:10F138002322F600E312C8FE832601019317050176 +:10F14800232CB102939636002324B10293D70701EA +:10F158009315150023286102232A1103232EA102E7 +:10F1680023206102232211032328D1002326F10240 +:10F1780093D515011355F501930801021303C10234 +:10F18800832703000327C3FF1303C3FF93973700A5 +:10F198001357D701B3E7E7002322F300E39268FE91 +:10F1A80083270102378700001307F7FF939737007B +:10F1B8002320F1026390E502032E81020327410216 +:10F1C8003367C701032EC1023367C7013367F700EE +:10F1D80063140700134515003307B440E3164511BF +:10F1E8006352E030639605140325410283258102AA +:10F1F8000328C1023366B500336606013366F6009C +:10F2080063140602832741012328D102130407004F +:10F21800232AF10283278101232CF1028327C101CC +:10F22800232EF1026F0040301306F7FF631E060C11 +:10F2380003274101B387F600B3B6D7003306E500CC +:10F248002328F102B307D600B3B6D700232AF10268 +:10F25800832781013337E600B366D7003387F5008B +:10F268003306D700B337F7000327C101B336D600FA +:10F27800B3E7D7003308E800B3870701232CC1029E +:10F28800232EF102130410008327C1031397C7002C +:10F29800635C07283707F8FF1307F7FFB3F7E700A2 +:10F2A800232EF1028327010313041400130701031B +:10F2B8009397F70113060000130530008326070013 +:10F2C800832547001306160093D616009395F5017B +:10F2D800B3E6B6002320D70013074700E310A6FEC5 +:10F2E8000327C103B337F00013571700232EE10299 +:10F2F80003270103B367F7002328F102B78700004B +:10F308009387F7FF6F00C07CB78700009387F7FFEC +:10F31800E30AF7EE9307400763D0C70623260102E6 +:10F328002324010223220102930710006F00801496 +:10F33800B78700009387F7FF6312F4028327410120 +:10F348002328D102232AF10283278101232CF102E9 +:10F358008327C101232EF1026F00001D8327C102FC +:10F36800B7060800B3E7D7002326F1029307400742 +:10F37800E3C6E7FA13060700135756409305000043 +:10F388001376F60193070000939627006390E7022F +:10F3980063140604130730003307F7406352C702AB +:10F3A80013074000B307F7406F00C007B386D800C3 +:10F3B80083A6060093871700B3E5D5006FF0DFFC3E +:10F3C8003305D30003250500130616001303430075 +:10F3D800232EA3FE6FF09FFC130701043307D70009 +:10F3E800032707FE130800023308C8403317070134 +:10F3F800B3E5E50013073000130E00003307F740AC +:10F408006346EE0293064000B387F64013172700C1 +:10F41800930601043387E6008326C10233D6C6006B +:10F428002320C7FE930640006F00C003B30ED3002D +:10F4380003A50E0083AE4E00130E1E003355C50003 +:10F44800B39E0E013365D5012320A30013034300A7 +:10F458006FF01FFB139727003387E800232007006E +:10F4680093871700E398D7FE03270102B337B0004C +:10F47800B367F7002320F1028326010183270102E5 +:10F488000327410183258102B387F600B3B6D7006D +:10F498002328F102832741020325C102B307F7009D +:10F4A8003386D70033B7E700B337D600B367F70022 +:10F4B800032781018326C101232AC102B305B700AE +:10F4C8003386F50033B7E500B337F600B386A600F8 +:10F4D8003367F7003387E600232CC102232EE102AD +:10F4E8006FF09FDA6300073E631604248328410106 +:10F4F80003258101032EC10133E3A8003363C3014F +:10F508003363D3006310031A2328F10283274102CF +:10F5180013840500232AF10283278102232CF10298 +:10F528008327C102232EF1028327010313F77700F3 +:10F538006304070413F7F70093064000630ED7022D +:10F5480003274103938747002328F10293B7470015 +:10F558003387E700B337F700232AE1020327810343 +:10F568003387E700232CE1023337F7008327C103F1 +:10F578003307F700232EE1028327C1031397C7003F +:10F588006354070237870000130414001307F7FFBA +:10F598006314E4006F00507F3707F8FF1307F7FF85 +:10F5A800B3F7E700232EF102930701039306000047 +:10F5B8009305300003A7070003A6470093861600AB +:10F5C800135737001316D6013367C70023A0E70087 +:10F5D80093874700E390B6FE8327C103B7860000F0 +:10F5E80013D73700232EE1029387F6FF631AF4023C +:10F5F8000326410383270103B3E7C70003268103DA +:10F60800B3E7C700B3E7E700638C0700232ED102F6 +:10F61800232C0102232A010223280102130A0000D5 +:10F628008327C103370701801307F7FF2316F1006B +:10F63800B78700009387F7FF3374F400931704012A +:10F648000324C1008320C105138504003374E4003A +:10F658003364F4009317FA01370A0080134AFAFF5B +:10F6680033744401336AF4008327010303248105BA +:10F6780023A6440123A0F40083274103032901059D +:10F688008329C10423A2F40083278103032A810468 +:10F69800832A410423A4F4008324410513010106AD +:10F6A800678000001303F0FF63106706032641021A +:10F6B800B386F600B3B7F6003388C8003307F800FE +:10F6C800B337F700232AE102032781023336C80043 +:10F6D800B367F6002328D102B306E5003386F600A7 +:10F6E800B337F60033B7E6003367F7008327C10264 +:10F6F800232CC102330EFE003307C701232EE1027B +:10F70800138405006FF05FB8B78600009386F6FF94 +:10F71800E38CD5DE1347F7FF9307400763D0E70470 +:10F72800232E0100232C0100232A01009307100037 +:10F738006F008012B78600009386F6FFE386D5DC5B +:10F748008327C101B7060800B3E7D700232EF100CD +:10F758009307C0F8E346F7FC3307E0409356574059 +:10F76800930800001377F70193070000139527000B +:10F778006390D7026314070493063000B386F640FB +:10F7880063D2E60213074000B307F7406F00C007D3 +:10F798003305A8000325050093871700B3E8A800E0 +:10F7A8006FF0DFFC3303A6000323030013071700E1 +:10F7B80013064600232E66FE6FF09FFC9306010495 +:10F7C800B386A60083A606FD130E0002330EEE4094 +:10F7D800B396C601B3E8D80093063000930E000034 +:10F7E800B386F64063C6DE0213064000B307F64050 +:10F7F8009396260013060104B306D6000326C1011A +:10F808003357E60023A8E6FC930640006F00C003C8 +:10F81800330FA60003230F00032F4F00938E1E0003 +:10F828003353E300331FCF013363E3012320660022 +:10F83800130646006FF01FFB139727003307E800F5 +:10F848002320070093871700E398D7FE03270101B9 +:10F85800B3371001B367F7002328F10083260102AC +:10F86800832701010327410203258101B387F6009D +:10F87800B3B6D7002328F102832741010328C10129 +:10F88800B307F7003386D70033B7E700B337D6009E +:10F89800B367F700032781028326C102232AC10226 +:10F8A8003305A7003306F5003337E500B337F60014 +:10F8B800B38606013367F700232CC1023387E600BD +:10F8C8006FF0DFE3378F000013051400930EFFFF7E +:10F8D800B372D501930F100003274102032681025A +:10F8E8000328C10283254101032E81010323C1019D +:10F8F8009308010363C65F1433E5C50133656500EA +:10F908003365D5006316040A631C05002328F10239 +:10F91800232AE102232CC102232E01036FF0DFC04A +:10F928003365C700336505013365F500631C0500C1 +:10F938002328D102232AB102232CC103232E6102DA +:10F948006FF09FBEB387F6003307B7002328F10294 +:10F95800B3B7D700B306F700232AD1023337B7006D +:10F96800B3B6F600B366D700B305C601B387D500B2 +:10F9780033B6C501B3B6D700B366D6003306680000 +:10F988003386C600232CF1029317C60063C607000E +:10F99800232EC1026FF05FB9B706F8FF9386F6FF12 +:10F9A8003376D600232EC102130410006FF0DFB7A0 +:10F9B800631E05002328F102232AE102232CC10239 +:10F9C800232E010313840E006FF01FB63366C700A1 +:10F9D80033660601B367F600639C07002328D1024B +:10F9E800232AB102232CC103232E61026FF09FFD4D +:10F9F800232EE103232C0102232A010223280102DA +:10FA08001307C103832707008326C7FF1307C7FF10 +:10FA18009397370093D6D601B3E7D7002322F70090 +:10FA2800E392E8FE83270103378400001304F4FF00 +:10FA3800939737002328F102130A00006FF0DFAE16 +:10FA4800B387F6003307B7002328F102B3B7D7000E +:10FA5800B306F700232AD1023337B700B3B6F6004E +:10FA6800B366D7003306C6013307D600B336D700CE +:10FA78003336C6013366D600B30668003306D600AF +:10FA8800232CE102232EC10293870800930600006D +:10FA98009305300003A7070003A6470093861600C6 +:10FAA800135717001316F6013367C70023A0E700A2 +:10FAB80093874700E390B6FE8327C103130405002C +:10FAC80093D71700232EF102B78700009387F7FF1B +:10FAD800E31CF4A4232E0102232C0102232A010291 +:10FAE800232801026FF05FA46352E028639E050C8F +:10FAF8000325410283258102032EC1023368B50024 +:10FB08003368C8013368F800630E08EE1306F7FF80 +:10FB18006318060883284101B387F64033B7F60017 +:10FB28003388A84033B308013308E8402328F1029A +:10FB3800232A01031307000063F6F6003307154173 +:10FB4800133717000328810133676700B306B840ED +:10FB58003335D800B386E640232CD102630607006C +:10FB6800B385054113B615008327C1013366A60086 +:10FB780013041000B387C7413386C740232EC10240 +:10FB88008327C1031397C700E350079A3707080074 +:10FB98001307F7FFB3F7E700232EF1026F00C072D7 +:10FBA800B78700009387F7FF630EF7E493074007D2 +:10FBB80063D0C70423260102232401022322010261 +:10FBC800930710006F008012B78700009387F7FF34 +:10FBD8006306F4F68327C102B7060800B3E7D70027 +:10FBE8002326F10293074007E3C6E7FC1306070044 +:10FBF80013575640930500001376F601930700004B +:10FC0800939627006390E7026314060413073000F5 +:10FC18003307F7406352C70213074000B307F740A2 +:10FC28006F00C007B386D80083A606009387170025 +:10FC3800B3E5D5006FF0DFFC3305D30003250500DD +:10FC48001306160013034300232EA3FE6FF09FFC38 +:10FC5800130701043307D700032707FE1308000220 +:10FC68003308C84033170701B3E5E5001307300030 +:10FC7800130E00003307F7406346EE029306400078 +:10FC8800B387F64013172700930601043387E6006D +:10FC98008326C10233D6C6002320C7FE9306400040 +:10FCA8006F00C003B30ED30003A50E0083AE4E0051 +:10FCB800130E1E003355C500B39E0E013365D501E2 +:10FCC8002320A300130343006FF01FFB13972700A3 +:10FCD8003387E8002320070093871700E398D7FEAF +:10FCE80003270102B337B000B367F7002320F102FE +:10FCF800832641018325010103270102832741024D +:10FD08003387E540B387F64033B6F600B3B6E5006F +:10FD1800B386D740232AD1022328E10293060000A4 +:10FD280063F4E50093B61700B3E6C6000327810223 +:10FD3800032681013307E640B307D740232CF1029D +:10FD4800B335E60013060000638406001336170077 +:10FD58008327C1010327C1023366B600B387E74092 +:10FD6800B387C740232EF1026FF09FE1630C072889 +:10FD7800631A040E0323410183288101832EC101E4 +:10FD8800336E1301336EDE01336EDE0063160E022E +:10FD98002328F1028327410213840500232AF10254 +:10FDA80083278102232CF1028327C102232EF1022B +:10FDB800130A05006FF04FF7130EF0FF631EC70715 +:10FDC80003284102B386D74033B7D70033066840CB +:10FDD800333EC8003306E6402328D102232AC10255 +:10FDE8001307000063F6D7003307034113371700E2 +:10FDF800032881023367C7019306000033061841C0 +:10FE08003333C8003306E640232CC10263060700DB +:10FE1800B388084193B618008327C102B3E8660087 +:10FE2800B387D741B3871741232EF1021384050006 +:10FE3800130A05006FF0DFD4B78600009386F6FF3B +:10FE4800E388D5F41347F7FF9307400763D0E70427 +:10FE5800232E0100232C0100232A01009307100000 +:10FE68006F008012B78600009386F6FFE382D5F212 +:10FE78008327C101B7060800B3E7D700232EF10096 +:10FE88009307C0F8E346F7FC3307E0409356574022 +:10FE9800130300001377F7019307000093982700D6 +:10FEA8006390D7026314070493063000B386F640C4 +:10FEB80063D2E60213074000B307F7406F00C0079C +:10FEC800B308180183A80800938717003363130148 +:10FED8006FF0DFFC330E1601032E0E001307170018 +:10FEE80013064600232EC6FF6FF09FFC93060104FD +:10FEF800B386160183A606FD930E0002B38EEE406C +:10FF0800B396D6013363D30093063000130F000075 +:10FF1800B386F6406346DF0213064000B307F64097 +:10FF28009396260013060104B306D6000326C101E2 +:10FF38003357E60023A8E6FC930640006F00C00391 +:10FF4800B30F160103AE0F0083AF4F00130F1F004E +:10FF5800335EEE00B39FDF01336EFE012320C6013E +:10FF6800130646006FF01FFB139727003307E800BE +:10FF78002320070093871700E398D7FE0327010182 +:10FF8800B3376000B367F7002328F10083264102E6 +:10FF98000328010203270101832741013307E840B1 +:10FFA800B387F64033B6F600B336E800B386D740D9 +:10FFB800232AD1022328E102930600006374E80093 +:10FFC80093B61700B3E6C600032781010326810212 +:10FFD8003307E640B307D740232CF1023338E60055 +:10FFE8001306000063840600133617008327C10236 +:08FFF8000327C1013366060175 +:02000004800179 +:10000000B387E740B387C7406FF09FE2B78F000028 +:10001000930E14009382FFFFB3FE5E00130F1000D7 +:10002000832541020326410103288101032EC101DA +:10003000832881020323C102634EDF1DB3EE150145 +:10004000336F0601B3EE6E00336FCF01B3EEFE00E7 +:10005000336FDF006318041063120F022328F102CC +:10006000232AB102232C1103232E6102E3960ED41E +:1000700013040000130A00006FF08FD0639C0E0081 +:100080002328D102232AC102232C0103232EC103DA +:100090006FF00FCAB38EF640B302B640B3BFD601BD +:1000A000333F5600B382F2412328D103232A510261 +:1000B000930F000063F6D601B38FC54093BF1F00B6 +:1000C000B3031841B3EFEF01B389F341232C31039C +:1000D000B33A78001309000063840F0013B91300CA +:1000E000330F6E4033695901330F2F41232EE10343 +:1000F000931FCF0063DE0F04B386D7403386C5401D +:10010000B3BED700B30ED641232AD1032328D10290 +:10011000B3B5C500930E000063F4D700933E1600FC +:10012000B3870841B3E5BE00B3B6F800B387B74064 +:10013000232CF1026384050013B713003303C3417A +:100140003367D7003303E340232E61026FF0DFC62D +:10015000B3EE5E00B3EE3E01B3EEEE01E38A0EF0C5 +:100160006FF00FBD93030103631E0F04639E0E0225 +:10017000232EF103232C0102232A0102232801024A +:100180009307C10303A7070083A6C7FF9387C7FF91 +:100190001317370093D6D6013367D70023A2E700A1 +:1001A000E392F3FE6FF09F882328F102232AB10225 +:1001B000232C1103232E6102130A0500138402006D +:1001C0006FF00FB7639C0E002328D102232AC102CF +:1001D000232C0103232EC1036FF05FFE232EF103B6 +:1001E000232C0102232A0102232801029307C103C1 +:1001F00003A7070083A6C7FF9387C7FF131737001E +:1002000093D6D6013367D70023A2E700E392F3FE2B +:100210006FF0DF81B38EF640B302B640B3BFD601B4 +:10022000333F5600B382F2412328D103232A5102DF +:10023000930F000063F6D601B38FC54093BF1F0034 +:10024000B3031841B3EFEF01B389F341232C31031A +:10025000B33A78001309000063840F0013B9130048 +:10026000330F6E4033695901330F2F41232EE103C1 +:10027000931FCF0063D00F0EB386D7403386C5409F +:10028000B3BED700B30ED641232AD1032328D1020F +:10029000B3B5C500930E000063F4D700933E16007B +:1002A000B3870841B3E5BE00B3B6F800B387B740E3 +:1002B000232CF1026384050013B713003303C341F9 +:1002C0003367D7003307E340232EE102130A05000A +:1002D0000325C103630A0508EF001043930A45FF95 +:1002E00013D9FA41B70900801379F9019389F9010B +:1002F00033095901B3F93A011359594063D8090038 +:100300009389F9FF93E909FE93891900638A090823 +:100310009305C0FF13050900EF009031930600021A +:1003200013172900130800FFB38636411305C5FFD4 +:100330006312050B930701043387E700832701034A +:100340001309F9FFB3993701232837FF1307F0FF8B +:100350006F00400CB3EE5E00B3EE3E01B3EEEE0173 +:10036000E3880ED06FF0DFF60325810363080500F4 +:10037000EF009039130505026FF05FF60325410386 +:1003800063080500EF005038130505046FF01FF5F2 +:1003900003250103EF005037130505066FF01FF426 +:1003A0009305C0FF13050900EF009028930901038E +:1003B000930730003387A9000327C7009387F7FF0F +:1003C0009389C9FF23A8E900E3D627FF1309F9FFA2 +:1003D0006FF0DFF793070103B385A7003306A7008B +:1003E0003386C70083A7C50083A50501B3D7D7000F +:1003F000B3953501B3E7B7002328F6006FF01FF37C +:100400009317290093060103B387F60023A0070082 +:100410001309F9FFE316E9FE63C08A1633848A40A4 +:10042000130414009357F4413707008093F7F70142 +:100430001307F701B38787003374E40093D757405D +:10044000635804001304F4FF136404FE130414003F +:10045000930604001305000013070000634AF70227 +:100460001387070063D407001307000013932700C6 +:10047000631A040413063000130701033306F64021 +:100480006356D60213074000B307F7406F00C00859 +:1004900013162700930501033386C50003260600C3 +:1004A000130717003365C5006FF05FFBB3056700E6 +:1004B00083A505009386160013074700232EB7FE79 +:1004C0006FF01FFC13172700930601043387E60023 +:1004D000032707FF13060002330686403317C700C1 +:1004E0003365E5001307300093050103130800008E +:1004F0003307F7406346E80293064000B387F640AF +:1005000013172700930601043387E6008326C103EF +:1005100033D48600232887FE930630006F00000442 +:10052000B388650083A6080083A848001308180054 +:10053000B3D68600B398C800B3E6160123A0D50051 +:10054000938545006FF01FFB1397270013060103E7 +:100550003307E6002320070093871700E3D6F6FE53 +:1005600003270103B337A00013040000B367F700AB +:100570002328F1026FE0DFFB8327C1033707F8FF71 +:100580001307F7FF33045441B3F7E7006FE01FDDB3 +:10059000232E0102232C0102232A01022328010217 +:1005A0006FF00F8103274500832785000326C500D0 +:1005B00083260500130101FE2322E1002324F1001C +:1005C000232AE100232CF10037470000931716007F +:1005D0002320D1002328D1002326C10093D6170160 +:1005E0009307E7FF1305000063D0D7029307D701F5 +:1005F000931506011356F60163DCD700370500801A +:100600001345F5FF3305A6001301010267800000C2 +:10061000B707010093D50501B3E5F5009307F70689 +:10062000B387D74013D75740232EB10093F7F70174 +:100630006392070A93053000930601011315270002 +:10064000B385E54063DEF502930740003387E7405A +:100650009306010193172700B387F6009306400025 +:1006600023A007001307170093874700E31AD7FE5C +:1006700003250101E30A06F83305A0406FF0DFF817 +:100680003388A60003280800938717009386460046 +:1006900023AE06FF6FF01FFB832605FF032307FF32 +:1006A000B39616013353F300B3E666002328D10056 +:1006B00093061000E3C206FF13871600130501021C +:1006C00093962600B306D500B3D7F50023A8F6FE0F +:1006D0006FF01FF81305170093080102130830008C +:1006E000131525003308E8403385A80013172700A9 +:1006F000930800021303010293060000B388F84038 +:100700003307E3006FF01FFB130101FD2322910269 +:1007100023261102232481022320210393040500B0 +:10072000638605141384050013D9F50163D405000D +:100730003304B04013050400EF00007D9305150558 +:10074000B74700009387E70113D75540232881005E +:10075000232A0100232C0100232E010093F5F5012B +:10076000B387A740638C050293062000631CD70E55 +:1007700093060002B386B640B356D400232ED100B0 +:100780009306F7FF13060102131727003307E6004D +:100790003314B400232887FE6F0040039306300013 +:1007A000B386E6401306010293962600B306D600F0 +:1007B00083A606FF13062000232ED10093062000F7 +:1007C0006316C700232C8100930610001306010155 +:1007D000139726003307E6001306F0FF23200700D7 +:1007E0009386F6FF1307C7FFE39AC6FE0327C101EE +:1007F000B70601809386F6FF2316E10037870000D5 +:100800001307F7FFB3F7E700139707018327C1002A +:100810001319F9018320C102B3F7D700B3E7E7004A +:10082000370700801347F7FFB3F7E70003270101FD +:1008300003248102B3E7270123A0E4000327410139 +:1008400023A6F4001385040023A2E40003278101FA +:100850000329010223A4E4008324410213010103BC +:1008600067800000130730006FF09FF1232E010016 +:10087000232C0100232A01002328010093070000F4 +:10088000130900006FF09FF69357460137071000D9 +:100890001307F7FF93F7F77F130101FC3377C700C6 +:1008A00093861700232C8102232A9102232E110202 +:1008B0009354F601232821032326310323244103E3 +:1008C000232251032328B100232AE100232E010013 +:1008D000232C010093F6F67F130610001304050085 +:1008E000635CD60AB7460000938606C013D5450060 +:1008F000B387D700935647001317C7013367A70084 +:100900009395C501232ED100232CE100232AB100A9 +:10091000232801000327C101B70601809386F6FF53 +:100920002316E100378700001307F7FFB3F7E7004E +:100930000327C100939707019394F4013377D700FD +:10094000B367F700370700801347F7FFB3F7E700F7 +:10095000B3E49700832701012326940013050400C4 +:100960002320F400832741018320C1038324410312 +:100970002322F40083278101032901038329C10273 +:100980002324F40003248103032A8102832A4102E1 +:1009900013010104678000003365B70063900710FE +:1009A000E30A05F66304070613050700EF00C055C8 +:1009B000130A050093091A0313D9594093F9F90151 +:1009C000638C09049305C0FF13050900EF0040463E +:1009D00093070002930A0101130600FFB387374112 +:1009E0001305C5FF938ACAFF6318C5089307010260 +:1009F0009306F9FF13192900338927018327010181 +:100A0000B3993701232839FF6F000004EF00C04F6E +:100A1000130A05026FF01FFA9305C0FF13050900C2 +:100A2000EF00004193090101930730003387A900CB +:100A30000327C7009387F7FF9389C9FF23A8E9001D +:100A4000E3D627FF9306F9FF9307010113972600CA +:100A50003387E7009307F0FF232007009386F6FF14 +:100A60001307C7FFE39AF6FEB74700009387C7C096 +:100A7000B38747416FF01FEA13070101B306A700D0 +:100A800003A7C60083A606013357F700B3963601C5 +:100A90003367D70023A8EA006FF09FF4B787000000 +:100AA000630805029317C70193D64500B3E7D70043 +:100AB000232CF10013574700B78700009395C50119 +:100AC0003367F700232AB10023280100232EE10019 +:100AD0009387F7FF6FF01FE48325C5008327850008 +:100AE00003274500130101FE832605002324F1009E +:100AF000232CF100939705012322E100232AE10032 +:100B000093D70701139715002326B1002320D100A6 +:100B10002328D100232EF1001357170193D5F50197 +:100B2000130301011306C101832706008326C6FFB4 +:100B30001306C6FF9397370093D6D601B3E7D700C5 +:100B40002322F600E312C3FE832601019307170058 +:100B500013953600B78600009386F6FFB3F7D700EB +:100B60002328A1009306100063DCF61AB7C7FFFF25 +:100B7000938707403307F7009307E07F63CEE71EB4 +:100B80006358E006032881010326C1018327410140 +:100B90009356C801131646003366D6009396470055 +:100BA000B3E6A60093D7C70113184800B336D000A8 +:100BB000B3E70701B3E6F600232AC1002328D100DA +:100BC000832601018327410113F67600630C061A80 +:100BD00013F6F600130540006306A61A13864600B6 +:100BE000B336D600B387D700930606006F0080198E +:100BF0009307C0FC635AF700232A01002328D10081 +:100C0000130700006FF0DFFB8327C101B706080060 +:100C100093080000B3E7D700232EF1009307D00319 +:100C20003387E74013555740930703001377F701C5 +:100C30009306000003A807009386160093874700D9 +:100C4000B3E80801E318D5FE939E2600631A070255 +:100C500093073000B387D74063D8E700930740007D +:100C6000B386D7406F0080063305D6010325050003 +:100C70001307170013064600232EA6FE6FF0DFFDB4 +:100C800093070102B387D70183A707FF1308000268 +:100C90003308E840B3970701B3E8F8009307300042 +:100CA000130E0000B387D7406348FE0413064000CC +:100CB000B306D6409397270013060102B307F60048 +:100CC0000326C1013357E60023A8E7FE13074000BF +:100CD00093972600B307F30023A00700938616001E +:100CE000E398E6FE03270101B3371001B367F7006D +:100CF0002328F1006FF0DFF0330FD60103250F003A +:100D0000032F4F00130E1E003355E500331F0F0154 +:100D10003365E5012320A600130646006FF0DFF8D7 +:100D200003264101832781010328C101B366F60030 +:100D3000B3E60601B3E6A60063180700B336D00099 +:100D4000930700006FF05FE8638A060C9356C601B4 +:100D5000131848001396470093D7C7013707400080 +:100D6000B3E6C600B3E70701B3E7E70093F686FFF3 +:100D70001307F07F6FF05FE5930700009306000014 +:100D80001307F07F13968700635E060013071700B2 +:100D90001306F07F6308C708370680FF1306F6FFC7 +:100DA000B3F7C7001396D70193D63600B366D600C3 +:100DB0001306F07F93D73700631EC700B3E6F60033 +:100DC0009307000063880600B70708009306000039 +:100DD00093050000370610001306F6FFB3F7C700AF +:100DE000370610801377F77F1306F6FF13174701B6 +:100DF000B3F7C700B3E7E700370700801347F7FFF3 +:100E00009395F501B3F7E70033E7B70013850600C4 +:100E1000930507001301010267800000930700009B +:100E20006FF01FF693070000930600006FF09FF726 +:100E3000130605001305000093F6150063840600F1 +:100E40003305C50093D5150013161600E39605FE6D +:100E5000678000006340050663C60506138605002B +:100E6000930505001305F0FF630C060293061000BE +:100E7000637AB6006358C0001316160093961600E6 +:100E8000E36AB6FE1305000063E6C500B385C540FE +:100E90003365D50093D6160013561600E39606FE6A +:100EA0006780000093820000EFF05FFB1385050070 +:100EB000678002003305A04063D80500B305B04049 +:100EC0006FF0DFF9B305B04093820000EFF01FF937 +:100ED0003305A040678002009382000063CA0500CA +:100EE000634C0500EFF09FF7138505006780020053 +:100EF000B305B040E35805FE3305A040EFF01FF600 +:100F00003305B04067800200B7070100637AF5023D +:100F10009307F00FB3B7A7009397370013070002AA +:100F20003307F740B357F500171500001305C5F355 +:100F3000B307F50003C507003305A740678000002D +:100F40003707000193070001E36AE5FC930780017E +:100F50006FF0DFFC130101FF232611002324810021 +:100F6000930500001306000093060000130700001D +:100F7000930700009308900373000000130405001A +:100F8000635A050033048040EF00402E2320850083 +:100F90001304F0FF130504008320C1000324810023 +:100FA0001301010167800000130101F8232A910653 +:100FB000232E110693840500232C810693058100BE +:100FC00013060000930600001307000093070000BB +:100FD000930800057300000013040500635A050020 +:100FE00033048040EF008028232085001304F0FFA5 +:100FF0001385040093058100EF0080201305040091 +:101000008320C107032481078324410713010108BA +:1010100067800000130101FB9305410023261104A2 +:10102000EFF09FF89307F0FF6308F50003258100B8 +:101030001355D500137515008320C1041301010554 +:1010400067800000130101FF232611002324810083 +:101050009306000013070000930700009308E003C5 +:101060007300000013040500635A05003304804038 +:10107000EF00C01F232085001304F0FF13050400B8 +:101080008320C10003248100130101016780000057 +:10109000130101FF23261100232481009306000081 +:1010A00013070000930700009308F003730000008B +:1010B00013040500635A050033048040EF00001B51 +:1010C000232085001304F0FF130504008320C100D2 +:1010D000032481001301010167800000130101FF57 +:1010E0002322910097240000938404A483A7040082 +:1010F0002320210123261100232481001309050048 +:10110000639C07041305000093050000130600000C +:1011100093060000130700009308600D73000000A1 +:101120001304050063560502EF00401433048040A9 +:10113000232085001305F0FF8320C10003248100D4 +:10114000832441000329010013010101678000008D +:101150009727000023AAA79C03A50400930500007D +:10116000130600003305A9009306000013070000D2 +:10117000930700009308600D73000000130405003E +:10118000635A050033048040EF00400E23208500A1 +:101190001304F0FF83A704001305F0FF3309F900DF +:1011A000E31C24F9172700002320879813850700E4 +:1011B0006FF09FF8130101FF232611002324810003 +:1011C0009306000013070000930700009308000433 +:1011D0007300000013040500635A050033048040C7 +:1011E000EF00C008232085001304F0FF130504005E +:1011F0008320C100032481001301010167800000E6 +:1012000083A705002310F50083A785002311F500AF +:1012100083A705012322F50083A745012314F500C8 +:1012200083A785012315F50083A7C5012316F500C3 +:1012300083A705022317F50083A705032328F500DC +:1012400083A705042328F50283A785032326F50237 +:1012500083A78504232AF50083A70505232EF5001F +:1012600083A785052322F5026780000097270000E9 +:101270009387C78903A50700678000004D69616FE8 +:10128000752021210000000068F3FEFFE404FFFF49 +:10129000E404FFFF7CF3FEFFE404FFFFE404FFFF30 +:1012A000E404FFFF1CF3FEFFE404FFFFE404FFFF80 +:1012B00084F3FEFFA4F3FEFFE404FFFF9CF3FEFFB4 +:1012C000ACF3FEFFE404FFFF10F4FEFF18F4FEFF92 +:1012D00018F4FEFF18F4FEFF18F4FEFF18F4FEFFEA +:1012E00018F4FEFF18F4FEFF18F4FEFF18F4FEFFDA +:1012F000E404FFFFE404FFFFE404FFFFE404FFFF56 +:10130000E404FFFFE404FFFFE404FFFF2CF8FEFF0A +:10131000E404FFFFACF4FEFF80F7FEFF2CF8FEFFB5 +:101320002CF8FEFF2CF8FEFFE404FFFFE404FFFFAF +:10133000E404FFFFE404FFFF4CF4FEFFE404FFFFBE +:10134000E404FFFF7C01FFFFE404FFFFE404FFFF70 +:10135000E404FFFF4802FFFFE404FFFF9402FFFFE5 +:10136000E404FFFFE404FFFFC0F2FEFFE404FFFF1C +:10137000E404FFFFE404FFFFE404FFFFE404FFFFD5 +:10138000E404FFFFE404FFFFE404FFFF2CF8FEFF8A +:10139000E404FFFFACF4FEFF84F7FEFF2CF8FEFF31 +:1013A0002CF8FEFF2CF8FEFF54F4FEFF84F7FEFF3E +:1013B0009CF4FEFFE404FFFF80F4FEFFE404FFFF63 +:1013C0001001FFFF8001FFFF1002FFFF9CF4FEFFF2 +:1013D000E404FFFF4802FFFF7CF2FEFF9802FFFFDC +:1013E000E404FFFFE404FFFFFC02FFFFE404FFFF4F +:1013F0007CF2FEFF20202020202020202020202002 +:10140000202020203030303030303030303030301C +:1014100030303030494E4600696E66004E414E0015 +:101420006E616E00303132333435363738396162AF +:10143000636465660000000030313233343536377E +:101440003839414243444546000000003000000066 +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000080FF3F7665924A4A803F15D9 +:101480004CC99A97208A025260C42575326A52CE9E +:101490009A32CE284DA7E45D3DC55D3B8B9E925AA6 +:1014A0006C52CE508BF1283D0D65170C75818675F9 +:1014B00076C9484D669CF85850BC545C65CCC691C2 +:1014C0000EA6AEA019E3A3461E85B7EAFE981B90B0 +:1014D000BBDD8DDEF99DFBEB7EAA51433502370162 +:1014E000B1366C336FC6DF8CE980C947BA93A84127 +:1014F000F850FB256BC7716BBF3CD5A6CFFF491FCA +:1015000078C2D340000000000000000020F09DB52C +:10151000702BA8ADC59D69400000000000000000D0 +:10152000000000000004BFC91B8E34400000000012 +:10153000000000000000000000000020BCBE1940B8 +:10154000000000000000000000000000000000009B +:10155000409C0C4000000000000000000000000063 +:101560000000000000C8054000000000000000006E +:10157000000000000000000000A00240FFFFFEFF8E +:10158000FCFFF8FFF0FFE0FFC0FF80FF00FF00FE60 +:1015900000FC00F800F000E000C000800000000047 +:1015A0003020FCCFC3A12381E32DDE9FCED2C8041F +:1015B000DDA6D80A6482CBD2EAF2D4122549E42D02 +:1015C00036344F53AECE6B253FF598F6D36B5801AA +:1015D000A687BDC057DAA582A6A2B53231E7D404EA +:1015E000F2E332D332711CD223DB32EE49905A3906 +:1015F0003EA20853FBFE551191FA39197A6325432F +:1016000031C0AC3C6DE2DEDB5DD0F6B37CACA0E477 +:10161000BC647C46D0DD553E202A2462B347D7986F +:10162000233FA5E939A527EA7FA82A3F5B0BF24AA9 +:1016300081A5ED18DE67BA943945AD1EB1CF943F50 +:1016400071BFB3A9897968BE2E4C5BE14DC4BE94CD +:1016500095E6C93F4D3D3D7CBA362B0DC2FDFCCE13 +:1016600061841177CCABE43F55C1A8A44E4013610F +:10167000C3D32B6519E25817B7D1F13F0AD7A3702E +:101680003D0AD7A3703D0AD7A3703D0AD7A3F83F00 +:10169000CDCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC89 +:1016A000CCCCFB3F204E614E20000000202D496E27 +:1016B00066696E697479200020496E66696E697486 +:1016C000792000004E614E00452564004300000073 +:1016D000504F5349580000002E0000000000000049 +:1016E00005000000190000007D000000000000005F +:1016F000000000000000F03F000000000000244057 +:1017000000000000000059400000000000408F4031 +:10171000000000000088C34000000000006AF8409C +:101720000000000080842E4100000000D0126341C0 +:101730000000000084D797410000000065CDCD4136 +:10174000000000205FA00242000000E87648374217 +:10175000000000A2941A6D42000040E59C30A242B5 +:101760000000901EC4BCD64200003426F56B0C432A +:101770000080E03779C3414300A0D88557347643D1 +:1017800000C84E676DC1AB43003D9160E458E14332 +:10179000408CB5781DAF154450EFE2D6E41A4B44A7 +:1017A00092D54D06CFF08044F64AE1C7022DB544EC +:1017B000B49DD9794378EA44BC89D897B2D29C3C8D +:1017C00033A7A8D523F649393DA7F444FD0FA53228 +:1017D0009D978CCF08BA5B25436FAC642806C80A76 +:1017E0000080E03779C34143176E05B5B5B893461D +:1017F000F5F93FE9034F384D321D30F94877825AE9 +:101800003CBF737FDD4F15758859FFFF8C6BFFFF61 +:101810008C6BFFFF9C59FFFF8C6BFFFF8C6BFFFFF6 +:101820008C6BFFFF3459FFFF8C6BFFFF8C6BFFFF4E +:10183000A459FFFFC459FFFF8C6BFFFFBC59FFFF8A +:10184000CC59FFFF8C6BFFFF305AFFFF385AFFFF68 +:10185000385AFFFF385AFFFF385AFFFF385AFFFF48 +:10186000385AFFFF385AFFFF385AFFFF385AFFFF38 +:101870008C6BFFFF8C6BFFFF8C6BFFFF8C6BFFFF94 +:101880008C6BFFFF8C6BFFFF8C6BFFFFB45BFFFF6C +:101890008C6BFFFFD45AFFFF085BFFFFB45BFFFFB9 +:1018A000B45BFFFFB45BFFFF8C6BFFFF8C6BFFFF34 +:1018B0008C6BFFFF8C6BFFFF745AFFFF8C6BFFFF7D +:1018C0008C6BFFFF8465FFFF8C6BFFFF8C6BFFFF52 +:1018D0008C6BFFFF5066FFFF8C6BFFFF3469FFFFCF +:1018E0008C6BFFFF8C6BFFFFD858FFFF8C6BFFFFEB +:1018F0008C6BFFFF8C6BFFFF8C6BFFFF8C6BFFFF14 +:101900008C6BFFFF8C6BFFFF8C6BFFFFB45BFFFFEB +:101910008C6BFFFFD45AFFFF0C5BFFFFB45BFFFF34 +:10192000B45BFFFFB45BFFFF7C5AFFFF0C5BFFFF64 +:10193000C45AFFFF8C6BFFFFA85AFFFF8C6BFFFFA1 +:101940001865FFFF8865FFFF1866FFFFC45AFFFF99 +:101950008C6BFFFF5066FFFF9458FFFF3869FFFF55 +:101960008C6BFFFF8C6BFFFF9C69FFFF8C6BFFFF95 +:101970009458FFFF202020202020202020202020FD +:101980002020202030303030303030303030303097 +:1019900030303030C878FFFFE880FFFFE880FFFF7D +:1019A000DC78FFFFE880FFFFE880FFFFE880FFFFB3 +:1019B0007C78FFFFE880FFFFE880FFFFE478FFFF0F +:1019C000FC78FFFFE880FFFFF478FFFF0479FFFF5A +:1019D000E880FFFF6079FFFF6879FFFF6879FFFF0C +:1019E0006879FFFF6879FFFF6879FFFF6879FFFF7B +:1019F0006879FFFF6879FFFF6879FFFFE880FFFFE4 +:101A0000E880FFFFE880FFFFE880FFFFE880FFFF3E +:101A1000E880FFFFE880FFFFE880FFFFE880FFFF2E +:101A2000E479FFFF047AFFFFE880FFFFE880FFFF13 +:101A3000E880FFFFE880FFFFE880FFFFE880FFFF0E +:101A4000E880FFFFE880FFFFE880FFFFE880FFFFFE +:101A5000087BFFFFE880FFFFE880FFFFE880FFFFD3 +:101A6000C47BFFFFE880FFFFA87EFFFFE880FFFF49 +:101A7000E880FFFF2C78FFFFE880FFFFE880FFFF92 +:101A8000E880FFFFE880FFFFE880FFFFE880FFFFBE +:101A9000E880FFFFE880FFFFE880FFFFE880FFFFAE +:101AA000E479FFFF087AFFFFE880FFFFE880FFFF8F +:101AB000E880FFFFA479FFFF087AFFFFD479FFFFDA +:101AC000E880FFFFC479FFFFE880FFFFA87AFFFFEF +:101AD0000C7BFFFF947BFFFFD479FFFFE880FFFFC3 +:101AE000C47BFFFFF877FFFFAC7EFFFFE880FFFFBE +:101AF000E880FFFF087FFFFFE880FFFFF877FFFF28 +:101B000020202020202020202020202020202020D5 +:101B100030303030303030303030303030303030C5 +:101B200000202020202020202020282828282820AD +:101B300020202020202020202020202020202020A5 +:101B4000208810101010101010101010101010100D +:101B500010040404040404040404041010101010FD +:101B600010104141414141410101010101010101C7 +:101B70000101010101010101010101011010101019 +:101B80001010424242424242020202020202020299 +:101B900002020202020202020202020210101010ED +:101BA0002000000000000000000000000000000015 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C2000000000009496FFFFB49EFFFFB49EFFFFEC +:101C3000A896FFFFB49EFFFFB49EFFFFB49EFFFF78 +:101C40004896FFFFB49EFFFFB49EFFFFB096FFFFD4 +:101C5000C896FFFFB49EFFFFC096FFFFD096FFFF20 +:101C6000B49EFFFF2C97FFFF3497FFFF3497FFFFD1 +:101C70003497FFFF3497FFFF3497FFFF3497FFFF40 +:101C80003497FFFF3497FFFF3497FFFFB49EFFFFA9 +:101C9000B49EFFFFB49EFFFFB49EFFFFB49EFFFF04 +:101CA000B49EFFFFB49EFFFFB49EFFFFB49EFFFFF4 +:101CB000B097FFFFD097FFFFB49EFFFFB49EFFFFDA +:101CC000B49EFFFFB49EFFFFB49EFFFFB49EFFFFD4 +:101CD000B49EFFFFB49EFFFFB49EFFFFB49EFFFFC4 +:101CE000D498FFFFB49EFFFFB49EFFFFB49EFFFF9A +:101CF0009099FFFFB49EFFFF749CFFFFB49EFFFF0F +:101D0000B49EFFFFF895FFFFB49EFFFFB49EFFFF58 +:101D1000B49EFFFFB49EFFFFB49EFFFFB49EFFFF83 +:101D2000B49EFFFFB49EFFFFB49EFFFFB49EFFFF73 +:101D3000B097FFFFD497FFFFB49EFFFFB49EFFFF55 +:101D4000B49EFFFF7097FFFFD497FFFFA097FFFFA0 +:101D5000B49EFFFF9097FFFFB49EFFFF7498FFFFB4 +:101D6000D898FFFF6099FFFFA097FFFFB49EFFFF89 +:101D70009099FFFFC495FFFF789CFFFFB49EFFFF83 +:101D8000B49EFFFFD49CFFFFB49EFFFFC495FFFFEE +:101D90002020202020202020202020202020202043 +:101DA0003030303030303030303030303030303033 +:101DB000F4B3FFFF08B3FFFF14B3FFFF08B3FFFF47 +:101DC000E0B3FFFF08B3FFFF14B3FFFFF4B3FFFF5F +:101DD000F4B3FFFFE0B3FFFF14B3FFFFE0B2FFFF78 +:101DE000E0B2FFFFE0B2FFFF1CB3FFFFB0B9FFFF9F +:101DF000B0B9FFFFD4B9FFFFA4B9FFFFA4B9FFFF3B +:101E000094BAFFFFD4B9FFFFA4B9FFFF94BAFFFF54 +:101E1000A4B9FFFFD4B9FFFFA0B9FFFFA0B9FFFF2E +:101E2000A0B9FFFF94BAFFFFE8CFFFFFE8CFFFFFA5 +:101E3000E4CFFFFF98CFFFFF98CFFFFF58D2FFFFFF +:101E4000E4CFFFFF98CFFFFF58D2FFFF98CFFFFFEF +:101E5000E4CFFFFF94CFFFFF94CFFFFF94CFFFFFAE +:101E600058D2FFFF00010202030303030404040429 +:101E70000404040405050505050505050505050516 +:101E800005050505060606060606060606060606F6 +:101E900006060606060606060606060606060606E2 +:101EA00006060606070707070707070707070707C6 +:101EB00007070707070707070707070707070707B2 +:101EC00007070707070707070707070707070707A2 +:101ED0000707070707070707070707070707070792 +:101EE0000707070708080808080808080808080876 +:101EF0000808080808080808080808080808080862 +:101F00000808080808080808080808080808080851 +:101F10000808080808080808080808080808080841 +:101F20000808080808080808080808080808080831 +:101F30000808080808080808080808080808080821 +:101F40000808080808080808080808080808080811 +:101F50000808080808080808080808080808080801 +:101F60000808080800000000000000000000F03F22 +:101F7000000000000000244000000000000050436A +:101F80001000000000000000017A5200017C0101F5 +:101F90001B0D02001000000018000000CCE1FEFF45 +:101FA0000800000000000000100000002C000000ED +:101FB000C0E1FEFF0800000000000000100000006B +:101FC00040000000B4E1FEFF080000000000000037 +:101FD0001000000054000000A8E1FEFF0400000013 +:101FE00000000000100000006800000098E1FEFF03 +:101FF0000800000000000000100000007C0000004D +:102000008CE1FEFF0800000000000000100000004E +:102010009000000080E1FEFF0C00000000000000C6 +:102020001C000000A400000078E1FEFF3800000062 +:1020300000440E10488802810160C144C8440E006B +:1020400024000000C400000090E1FEFF50000000EA +:1020500000440E1050920481018802890364C14437 +:10206000C848C944D2440E0018000000EC0000002B +:10207000BCE1FEFF2C00000000480E104881014822 +:10208000C1500E0010000000080100009CE1FEFF9E +:10209000040000000000000010000000000000002C +:1020A000017A5200017C01011B0D02004C0000006E +:1020B00018000000249EFFFFDC05000000440E30E5 +:1020C000708903950781018802920493059406960E +:1020D000089709980A990B9A0C0370020AC144C820 +:1020E00044C944D244D344D444D544D644D744D834 +:1020F00044D944DA440E00440B00000010000000F4 +:1021000000000000017A5200017C01011B0D020059 +:1021100050000000180000009CA3FFFF0005000015 +:1021200000440E5074880289039305990B81019233 +:10213000049406950796089709980A9A0C9B0D0334 +:1021400020010AC144C844C944D244D344D444D52C +:1021500044D644D744D844D944DA44DB440E00443E +:042160000B00000070 +:102168000000000054240180BC2401802425018043 +:102178000000000000000000000000000000000057 +:102188000000000000000000000000000000000047 +:102198000000000000000000000000000000000037 +:1021A8000000000000000000000000000000000027 +:1021B8000000000000000000000000000000000017 +:1021C8000000000000000000000000000000000007 +:1021D80000000000000000000000000000000000F7 +:1021E80000000000000000000000000000000000E7 +:1021F80000000000000000000000000000000000D7 +:1022080000000000000000000100000000000000C5 +:102218000E33CDAB34126DE6ECDE05000B0000008A +:1022280000000000000000000000000000000000A6 +:102238000000000000000000000000000000000096 +:102248000000000000000000000000000000000086 +:102258000000000000000000000000000000000076 +:102268000000000000000000000000000000000066 +:102278000000000000000000000000000000000056 +:102288000000000000000000000000000000000046 +:102298000000000000000000000000000000000036 +:1022A8000000000000000000000000000000000026 +:1022B8000000000000000000000000000000000016 +:1022C8000000000000000000000000000000000006 +:1022D80000000000000000000000000000000000F6 +:1022E80000000000000000000000000000000000E6 +:1022F80000000000000000000000000000000000D6 +:1023080000000000000000000000000000000000C5 +:1023180000000000000000000000000000000000B5 +:1023280000000000000000000000000000000000A5 +:102338000000000000000000000000000000000095 +:102348000000000000000000000000000000000085 +:102358000000000000000000000000000000000075 +:102368000000000000000000000000000000000065 +:102378000000000000000000000000000000000055 +:102388000000000000000000000000000000000045 +:102398000000000000000000000000000000000035 +:1023A8000000000000000000000000000000000025 +:1023B8000000000000000000000000000000000015 +:1023C8000000000000000000000000000000000005 +:1023D80000000000000000000000000000000000F5 +:1023E80000000000000000000000000000000000E5 +:1023F80000000000000000000000000000000000D5 +:1024080000000000000000000000000000000000C4 +:1024180000000000000000000000000000000000B4 +:1024280000000000000000000000000000000000A4 +:102438000000000000000000000000000000000094 +:102448000000000000000000000000000000000084 +:102458000000000000000000000000000000000074 +:102468000000000000000000000000000000000064 +:102478000000000000000000000000000000000054 +:102488000000000000000000000000000000000044 +:102498000000000000000000000000000000000034 +:1024A8000000000000000000000000000000000024 +:1024B8000000000000000000000000000000000014 +:1024C8000000000000000000000000000000000004 +:1024D80000000000000000000000000000000000F4 +:1024E80000000000000000000000000000000000E4 +:1024F80000000000000000000000000000000000D4 +:1025080000000000000000000000000000000000C3 +:1025180000000000000000000000000000000000B3 +:1025280000000000000000000000000000000000A3 +:102538000000000000000000000000000000000093 +:102548000000000000000000000000000000000083 +:102558000000000000000000000000000000000073 +:102568000000000000000000000000000000000063 +:102578000000000000000000000000000000000053 +:102588000000000000000000430000000000000000 +:102598000000000000000000000000000000000033 +:1025A80000000000000000004300000000000000E0 +:1025B8000000000000000000000000000000000013 +:1025C80000000000000000004300000000000000C0 +:1025D80000000000000000000000000000000000F3 +:1025E80000000000000000004300000000000000A0 +:1025F80000000000000000000000000000000000D3 +:10260800000000000000000043000000000000007F +:1026180000000000000000000000000000000000B2 +:10262800000000000000000043000000000000005F +:102638000000000000000000000000000000000092 +:10264800000000000000000043000000000000003F +:102658000000000000000000000000000000000072 +:102668000000000000000000489D00802C590080F8 +:1026780000000000201B0180D81601808412018010 +:1026880084120180841201808412018084120180E6 +:1026980084120180841201808412018084120180D6 +:1026A800FFFFFFFFFFFFFFFFFFFFFFFFFFFF000030 +:1026B80001004153434949000000000000000000A8 +:1026C8000000000000000000000000000000000002 +:1026D8000000415343494900000000000000000089 +:1026E80000000000000000000000000000000000E2 +:1026F800000000000000000000000000FC2601802F +:10270800FC26018004270180042701800C27018012 +:102718000C27018014270180142701801C270180C1 +:102728001C27018024270180242701802C27018071 +:102738002C27018034270180342701803C27018021 +:102748003C27018044270180442701804C270180D1 +:102758004C27018054270180542701805C27018081 +:102768005C27018064270180642701806C27018031 +:102778006C27018074270180742701807C270180E1 +:102788007C27018084270180842701808C27018091 +:102798008C27018094270180942701809C27018041 +:1027A8009C270180A4270180A4270180AC270180F1 +:1027B800AC270180B4270180B4270180BC270180A1 +:1027C800BC270180C4270180C4270180CC27018051 +:1027D800CC270180D4270180D4270180DC27018001 +:1027E800DC270180E4270180E4270180EC270180B1 +:1027F800EC270180F4270180F4270180FC27018061 +:10280800FC27018004280180042801800C2801800D +:102818000C28018014280180142801801C280180BC +:102828001C28018024280180242801802C2801806C +:102838002C28018034280180342801803C2801801C +:102848003C28018044280180442801804C280180CC +:102858004C28018054280180542801805C2801807C +:102868005C28018064280180642801806C2801802C +:102878006C28018074280180742801807C280180DC +:102888007C28018084280180842801808C2801808C +:102898008C28018094280180942801809C2801803C +:1028A8009C280180A4280180A4280180AC280180EC +:1028B800AC280180B4280180B4280180BC2801809C +:1028C800BC280180C4280180C4280180CC2801804C +:1028D800CC280180D4280180D4280180DC280180FC +:1028E800DC280180E4280180E4280180EC280180AC +:1028F800EC280180F4280180F4280180FC2801805C +:10290800FC28018004290180042901800C29018008 +:102918000C29018014290180142901801C290180B7 +:102928001C29018024290180242901802C29018067 +:102938002C29018034290180342901803C29018017 +:102948003C29018044290180442901804C290180C7 +:102958004C29018054290180542901805C29018077 +:102968005C29018064290180642901806C29018027 +:102978006C29018074290180742901807C290180D7 +:102988007C29018084290180842901808C29018087 +:102998008C29018094290180942901809C29018037 +:1029A8009C290180A4290180A4290180AC290180E7 +:1029B800AC290180B4290180B4290180BC29018097 +:1029C800BC290180C4290180C4290180CC29018047 +:1029D800CC290180D4290180D4290180DC290180F7 +:1029E800DC290180E4290180E4290180EC290180A7 +:1029F800EC290180F4290180F4290180FC29018057 +:102A0800FC290180042A0180042A01800C2A018003 +:102A18000C2A0180142A0180142A01801C2A0180B2 +:102A28001C2A0180242A0180242A01802C2A018062 +:102A38002C2A0180342A0180342A01803C2A018012 +:102A48003C2A0180442A0180442A01804C2A0180C2 +:102A58004C2A0180542A0180542A01805C2A018072 +:102A68005C2A0180642A0180642A01806C2A018022 +:102A78006C2A0180742A0180742A01807C2A0180D2 +:102A88007C2A0180842A0180842A01808C2A018082 +:102A98008C2A0180942A0180942A01809C2A018032 +:102AA8009C2A0180A42A0180A42A0180AC2A0180E2 +:102AB800AC2A0180B42A0180B42A0180BC2A018092 +:102AC800BC2A0180C42A0180C42A0180CC2A018042 +:102AD800CC2A0180D42A0180D42A0180DC2A0180F2 +:102AE800DC2A0180E42A0180E42A0180EC2A0180A2 +:102AF800EC2A0180F42A0180F42A018068210180EF +:0C2B080068210180FFFFFFFF00000200B9 +:040000058000004037 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32uc-p-rvc.hex b/VexRiscv/src/test/resources/hex/rv32uc-p-rvc.hex new file mode 100644 index 0000000..dc3c066 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32uc-p-rvc.hex @@ -0,0 +1,754 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F00400093E19153FD +:10004000173F000023203FFC6FF09FFF732540F116 +:1000500063100500970200009382020173905230F2 +:1000600073500018970200009382C20173905230BF +:100070009302F0FF7390023B9302F0017390023AF7 +:1000800097020000938282017390523073502030A7 +:1000900073503030735040309301000097020000DD +:1000A000938282F673905230130510001315F501F8 +:1000B000634805000F00F00F93011000730000006B +:1000C00097020080938202F4638E020073905210B4 +:1000D000B7B20000938292107390223073232030C5 +:1000E000E39E62F473500030970200009382420155 +:1000F00073901234732540F1730020309301200077 +:100100009305A0296F10B06F1032547698BADCFEB8 +:100110001032547698BADCFE130000001300000081 +:100120001300000013000000130000001300000083 +:100130001300000013000000130000001300000073 +:100140001300000013000000130000001300000063 +:100150001300000013000000130000001300000053 +:100160001300000013000000130000001300000043 +:100170001300000013000000130000001300000033 +:100180001300000013000000130000001300000023 +:100190001300000013000000130000001300000013 +:1001A0001300000013000000130000001300000003 +:1001B00013000000130000001300000013000000F3 +:1001C00013000000130000001300000013000000E3 +:1001D00013000000130000001300000013000000D3 +:1001E00013000000130000001300000013000000C3 +:1001F00013000000130000001300000013000000B3 +:1002000013000000130000001300000013000000A2 +:100210001300000013000000130000001300000092 +:100220001300000013000000130000001300000082 +:100230001300000013000000130000001300000072 +:100240001300000013000000130000001300000062 +:100250001300000013000000130000001300000052 +:100260001300000013000000130000001300000042 +:100270001300000013000000130000001300000032 +:100280001300000013000000130000001300000022 +:100290001300000013000000130000001300000012 +:1002A0001300000013000000130000001300000002 +:1002B00013000000130000001300000013000000F2 +:1002C00013000000130000001300000013000000E2 +:1002D00013000000130000001300000013000000D2 +:1002E00013000000130000001300000013000000C2 +:1002F00013000000130000001300000013000000B2 +:1003000013000000130000001300000013000000A1 +:100310001300000013000000130000001300000091 +:100320001300000013000000130000001300000081 +:100330001300000013000000130000001300000071 +:100340001300000013000000130000001300000061 +:100350001300000013000000130000001300000051 +:100360001300000013000000130000001300000041 +:100370001300000013000000130000001300000031 +:100380001300000013000000130000001300000021 +:100390001300000013000000130000001300000011 +:1003A0001300000013000000130000001300000001 +:1003B00013000000130000001300000013000000F1 +:1003C00013000000130000001300000013000000E1 +:1003D00013000000130000001300000013000000D1 +:1003E00013000000130000001300000013000000C1 +:1003F00013000000130000001300000013000000B1 +:1004000013000000130000001300000013000000A0 +:100410001300000013000000130000001300000090 +:100420001300000013000000130000001300000080 +:100430001300000013000000130000001300000070 +:100440001300000013000000130000001300000060 +:100450001300000013000000130000001300000050 +:100460001300000013000000130000001300000040 +:100470001300000013000000130000001300000030 +:100480001300000013000000130000001300000020 +:100490001300000013000000130000001300000010 +:1004A0001300000013000000130000001300000000 +:1004B00013000000130000001300000013000000F0 +:1004C00013000000130000001300000013000000E0 +:1004D00013000000130000001300000013000000D0 +:1004E00013000000130000001300000013000000C0 +:1004F00013000000130000001300000013000000B0 +:10050000130000001300000013000000130000009F +:10051000130000001300000013000000130000008F +:10052000130000001300000013000000130000007F +:10053000130000001300000013000000130000006F +:10054000130000001300000013000000130000005F +:10055000130000001300000013000000130000004F +:10056000130000001300000013000000130000003F +:10057000130000001300000013000000130000002F +:10058000130000001300000013000000130000001F +:10059000130000001300000013000000130000000F +:1005A00013000000130000001300000013000000FF +:1005B00013000000130000001300000013000000EF +:1005C00013000000130000001300000013000000DF +:1005D00013000000130000001300000013000000CF +:1005E00013000000130000001300000013000000BF +:1005F00013000000130000001300000013000000AF +:10060000130000001300000013000000130000009E +:10061000130000001300000013000000130000008E +:10062000130000001300000013000000130000007E +:10063000130000001300000013000000130000006E +:10064000130000001300000013000000130000005E +:10065000130000001300000013000000130000004E +:10066000130000001300000013000000130000003E +:10067000130000001300000013000000130000002E +:10068000130000001300000013000000130000001E +:10069000130000001300000013000000130000000E +:1006A00013000000130000001300000013000000FE +:1006B00013000000130000001300000013000000EE +:1006C00013000000130000001300000013000000DE +:1006D00013000000130000001300000013000000CE +:1006E00013000000130000001300000013000000BE +:1006F00013000000130000001300000013000000AE +:10070000130000001300000013000000130000009D +:10071000130000001300000013000000130000008D +:10072000130000001300000013000000130000007D +:10073000130000001300000013000000130000006D +:10074000130000001300000013000000130000005D +:10075000130000001300000013000000130000004D +:10076000130000001300000013000000130000003D +:10077000130000001300000013000000130000002D +:10078000130000001300000013000000130000001D +:10079000130000001300000013000000130000000D +:1007A00013000000130000001300000013000000FD +:1007B00013000000130000001300000013000000ED +:1007C00013000000130000001300000013000000DD +:1007D00013000000130000001300000013000000CD +:1007E00013000000130000001300000013000000BD +:1007F00013000000130000001300000013000000AD +:10080000130000001300000013000000130000009C +:10081000130000001300000013000000130000008C +:10082000130000001300000013000000130000007C +:10083000130000001300000013000000130000006C +:10084000130000001300000013000000130000005C +:10085000130000001300000013000000130000004C +:10086000130000001300000013000000130000003C +:10087000130000001300000013000000130000002C +:10088000130000001300000013000000130000001C +:10089000130000001300000013000000130000000C +:1008A00013000000130000001300000013000000FC +:1008B00013000000130000001300000013000000EC +:1008C00013000000130000001300000013000000DC +:1008D00013000000130000001300000013000000CC +:1008E00013000000130000001300000013000000BC +:1008F00013000000130000001300000013000000AC +:10090000130000001300000013000000130000009B +:10091000130000001300000013000000130000008B +:10092000130000001300000013000000130000007B +:10093000130000001300000013000000130000006B +:10094000130000001300000013000000130000005B +:10095000130000001300000013000000130000004B +:10096000130000001300000013000000130000003B +:10097000130000001300000013000000130000002B +:10098000130000001300000013000000130000001B +:10099000130000001300000013000000130000000B +:1009A00013000000130000001300000013000000FB +:1009B00013000000130000001300000013000000EB +:1009C00013000000130000001300000013000000DB +:1009D00013000000130000001300000013000000CB +:1009E00013000000130000001300000013000000BB +:1009F00013000000130000001300000013000000AB +:100A0000130000001300000013000000130000009A +:100A1000130000001300000013000000130000008A +:100A2000130000001300000013000000130000007A +:100A3000130000001300000013000000130000006A +:100A4000130000001300000013000000130000005A +:100A5000130000001300000013000000130000004A +:100A6000130000001300000013000000130000003A +:100A7000130000001300000013000000130000002A +:100A8000130000001300000013000000130000001A +:100A9000130000001300000013000000130000000A +:100AA00013000000130000001300000013000000FA +:100AB00013000000130000001300000013000000EA +:100AC00013000000130000001300000013000000DA +:100AD00013000000130000001300000013000000CA +:100AE00013000000130000001300000013000000BA +:100AF00013000000130000001300000013000000AA +:100B00001300000013000000130000001300000099 +:100B10001300000013000000130000001300000089 +:100B20001300000013000000130000001300000079 +:100B30001300000013000000130000001300000069 +:100B40001300000013000000130000001300000059 +:100B50001300000013000000130000001300000049 +:100B60001300000013000000130000001300000039 +:100B70001300000013000000130000001300000029 +:100B80001300000013000000130000001300000019 +:100B90001300000013000000130000001300000009 +:100BA00013000000130000001300000013000000F9 +:100BB00013000000130000001300000013000000E9 +:100BC00013000000130000001300000013000000D9 +:100BD00013000000130000001300000013000000C9 +:100BE00013000000130000001300000013000000B9 +:100BF00013000000130000001300000013000000A9 +:100C00001300000013000000130000001300000098 +:100C10001300000013000000130000001300000088 +:100C20001300000013000000130000001300000078 +:100C30001300000013000000130000001300000068 +:100C40001300000013000000130000001300000058 +:100C50001300000013000000130000001300000048 +:100C60001300000013000000130000001300000038 +:100C70001300000013000000130000001300000028 +:100C80001300000013000000130000001300000018 +:100C90001300000013000000130000001300000008 +:100CA00013000000130000001300000013000000F8 +:100CB00013000000130000001300000013000000E8 +:100CC00013000000130000001300000013000000D8 +:100CD00013000000130000001300000013000000C8 +:100CE00013000000130000001300000013000000B8 +:100CF00013000000130000001300000013000000A8 +:100D00001300000013000000130000001300000097 +:100D10001300000013000000130000001300000087 +:100D20001300000013000000130000001300000077 +:100D30001300000013000000130000001300000067 +:100D40001300000013000000130000001300000057 +:100D50001300000013000000130000001300000047 +:100D60001300000013000000130000001300000037 +:100D70001300000013000000130000001300000027 +:100D80001300000013000000130000001300000017 +:100D90001300000013000000130000001300000007 +:100DA00013000000130000001300000013000000F7 +:100DB00013000000130000001300000013000000E7 +:100DC00013000000130000001300000013000000D7 +:100DD00013000000130000001300000013000000C7 +:100DE00013000000130000001300000013000000B7 +:100DF00013000000130000001300000013000000A7 +:100E00001300000013000000130000001300000096 +:100E10001300000013000000130000001300000086 +:100E20001300000013000000130000001300000076 +:100E30001300000013000000130000001300000066 +:100E40001300000013000000130000001300000056 +:100E50001300000013000000130000001300000046 +:100E60001300000013000000130000001300000036 +:100E70001300000013000000130000001300000026 +:100E80001300000013000000130000001300000016 +:100E90001300000013000000130000001300000006 +:100EA00013000000130000001300000013000000F6 +:100EB00013000000130000001300000013000000E6 +:100EC00013000000130000001300000013000000D6 +:100ED00013000000130000001300000013000000C6 +:100EE00013000000130000001300000013000000B6 +:100EF00013000000130000001300000013000000A6 +:100F00001300000013000000130000001300000095 +:100F10001300000013000000130000001300000085 +:100F20001300000013000000130000001300000075 +:100F30001300000013000000130000001300000065 +:100F40001300000013000000130000001300000055 +:100F50001300000013000000130000001300000045 +:100F60001300000013000000130000001300000035 +:100F70001300000013000000130000001300000025 +:100F80001300000013000000130000001300000015 +:100F90001300000013000000130000001300000005 +:100FA00013000000130000001300000013000000F5 +:100FB00013000000130000001300000013000000E5 +:100FC00013000000130000001300000013000000D5 +:100FD00013000000130000001300000013000000C5 +:100FE00013000000130000001300000013000000B5 +:100FF00013000000130000001300000013000000A5 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000009385C9 +:102000001500930EB02993012000639FD52337114B +:10201000000013014123E81FB71E0000938E0E63DA +:10202000930130006312D5237D610100B71E0000CB +:10203000938E4E42930140006318D121017101003B +:10204000B71E0000938E4E2293015000631ED11FD5 +:1020500097E5FFFF9385850BC8410505C8C1D041B1 +:10206000B7CEDCFE938E9EA993016000631ED61D41 +:102070001365100041150100930E10FF93018000BD +:102080006314D51D93671000C1570100930E00FF24 +:1020900093019000639AD71B05743184930E10FE50 +:1020A0009301B0006312D41B05743180B70E100089 +:1020B000938E1EFE9301C0006318D41979543D9885 +:1020C000930EE0FE9301E0006310D419D14419454A +:1020D000898C0100930EE0009301F0006396D41701 +:1020E000D1441945A98C0100930E200193010001F0 +:1020F000639CD415D1441945C98C0100930E60012D +:10210000930110016392D415D1441945E98C010063 +:10211000930E4000930120016398D41337140000FC +:102120001304442312040100B72E0100938E0E34D1 +:1021300093015001631AD411814011A011A011A084 +:1021400021A20100930E00009301E001639ED00FD5 +:10215000014511C111A011A0C5A80100930E0000F6 +:102160009301F0016312D00F054511E111A011A0F8 +:10217000E1A80100930E0000930100026316D00D48 +:10218000054511C111A0C9A0930E000093011002D2 +:10219000631CD00B014511E111A07DA0930E00003E +:1021A000930120026312D00B970200009382E20099 +:1021B0008140828211A011A041A80100930E00006D +:1021C000930130026392D009970200009382E200EB +:1021D0008140829211A011A085A8B38050400100D7 +:1021E000930EE0FF930140026390D0079702000036 +:1021F0009382E2008140112011A011A0B1A0B38010 +:1022000050400100930EE0FF93015002639ED00303 +:1022100017E1FFFF130181EF324505052AC632465B +:10222000B7CEDCFE938E9EA993018002631ED60179 +:1022300013053012AA82AA92930E60249301A00281 +:102240006394D201631C30000F00F00F6380010023 +:102250009391110093E11100730000000F00F00F43 +:102260009301100073000000731000C00000000014 +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:0A2E90000000000000000000000038 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:08304000000000000000000088 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ud-p-fadd.hex b/VexRiscv/src/test/resources/hex/rv32ud-p-fadd.hex new file mode 100755 index 0000000..af0e7c2 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ud-p-fadd.hex @@ -0,0 +1,101 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F00400093E19153FD +:10004000171F000023203FFC6FF09FFF930000006C +:10005000130100009301000013020000930200004E +:100060001303000093030000130400009304000036 +:10007000130500009305000013060000930600001E +:100080001307000093070000130800009308000006 +:100090001309000093090000130A0000930A0000EE +:1000A000130B0000930B0000130C0000930C0000D6 +:1000B000130D0000930D0000130E0000930E0000BE +:1000C000130F0000930F0000732540F1631005002B +:1000D000970200009382020173905230735000180F +:1000E000970200009382020273905230B7020080A0 +:1000F0009382F2FF7390023B9302F0017390023AF5 +:100100007350403097020000938242017390523046 +:10011000735020307350303093010000970200007C +:10012000938282EE73905230130510001315F5017F +:10013000634C05000F00F00F930110009308D005E9 +:10014000130500007300000097020080938282EB89 +:10015000638A020073905210B7B20000938292102B +:100160007390223073500030372500007320053023 +:100170007350300097020000938242017390123452 +:10018000732540F1730020309301200017250000F3 +:10019000130545E707300500873085000731050165 +:1001A000832685010323C501D37110022730350052 +:1001B0008323450003250500F315100013060000F6 +:1001C000631AD526631873266396C52693013000FB +:1001D00017250000130505E5073005008730850069 +:1001E00007310501832685010323C501D371100260 +:1001F000273035008323450003250500F315100043 +:10020000130610006318D522631673226394C52267 +:1002100093014000172500001305C5E207300500D3 +:100220008730850007310501832685010323C50139 +:10023000D3711002273035008323450003250500C4 +:10024000F3151000130610006316D51E6314731EF9 +:100250006392C51E9301500017250000130585E029 +:1002600007300500873085000731050183268501A9 +:100270000323C501D371100A2730350083234500BD +:1002800003250500F3151000130600006314D51AAA +:100290006312731A6390C51A93016000172500005A +:1002A000130545DE0730050087308500073105015D +:1002B000832685010323C501D371100A2730350039 +:1002C0008323450003250500F315100013061000D5 +:1002D0006312D51663107316639EC51493017000E4 +:1002E00017250000130505DC073005008730850061 +:1002F00007310501832685010323C501D371100A47 +:10030000273035008323450003250500F315100031 +:10031000130610006310D512631E7310639CC51082 +:1003200093018000172500001305C5D9073005008B +:100330008730850007310501832685010323C50128 +:10034000D3711012273035008323450003250500A3 +:10035000F315100013060000631ED50C631C730C0C +:10036000639AC50C9301900017250000130585D7EB +:100370000730050087308500073105018326850198 +:100380000323C501D37110122730350083234500A4 +:1003900003250500F315100013061000631CD50893 +:1003A000631A73086398C5089301A000172500001D +:1003B000130545D507300500873085000731050155 +:1003C000832685010323C501D37110122730350020 +:1003D0008323450003250500F315100013061000C4 +:1003E000631AD504631873046396C5049301B000BF +:1003F00017250000130505D3073005008730850059 +:1004000007310501832685010323C501D371100A35 +:10041000273035008323450003250500F315100020 +:10042000130600016318D500631673006394C500BA +:10043000631030020F00F00F6380010093911100F0 +:1004400093E111009308D0051385010073000000AB +:100450000F00F00F930110009308D0051305000062 +:1004600073000000731000C00000000000000000D6 +:10047000000000000000000000000000000000007C +:040480000000000078 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:102000000000000000000440000000000000F03F5D +:1020100000000000000000000000000000000C4074 +:1020200066666666664C93C09A9999999999F13F4C +:10203000000000000000000000000000004893C005 +:10204000F1D4C853FB2109403A8C30E28E79453EE9 +:102050000000000000000000DF6D2055FB2109405A +:102060000000000000000440000000000000F03FFD +:102070000000000000000000000000000000F83F29 +:1020800066666666664C93C09A9999999999F1BF6C +:10209000000000000000000000000000004893C0A5 +:1020A000F1D4C853FB2109403A8C30E28E79453E89 +:1020B0000000000000000000033C7152FB210940B9 +:1020C0000000000000000440000000000000F03F9D +:1020D00000000000000000000000000000000440BC +:1020E00066666666664C93C09A9999999999F1BF0C +:1020F00000000000000000003D0AD7A3703A9540A0 +:10210000F1D4C853FB2109403A8C30E28E79453E28 +:10211000000000000000000009FFC1A5C5DD603E11 +:10212000000000000000F07F000000000000F07FD1 +:102130000000000000000000000000000000F87F28 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ud-p-fclass.hex b/VexRiscv/src/test/resources/hex/rv32ud-p-fclass.hex new file mode 100755 index 0000000..bd420da --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ud-p-fclass.hex @@ -0,0 +1,62 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F00400093E19153FD +:10004000171F000023203FFC6FF09FFF930000006C +:10005000130100009301000013020000930200004E +:100060001303000093030000130400009304000036 +:10007000130500009305000013060000930600001E +:100080001307000093070000130800009308000006 +:100090001309000093090000130A0000930A0000EE +:1000A000130B0000930B0000130C0000930C0000D6 +:1000B000130D0000930D0000130E0000930E0000BE +:1000C000130F0000930F0000732540F1631005002B +:1000D000970200009382020173905230735000180F +:1000E000970200009382020273905230B7020080A0 +:1000F0009382F2FF7390023B9302F0017390023AF5 +:100100007350403097020000938242017390523046 +:10011000735020307350303093010000970200007C +:10012000938282EE73905230130510001315F5017F +:10013000634C05000F00F00F930110009308D005E9 +:10014000130500007300000097020080938282EB89 +:10015000638A020073905210B7B20000938292102B +:100160007390223073500030372500007320053023 +:100170007350300097020000938242017390123452 +:10018000732540F17300203017250000130585E723 +:1001900007350500531505E2930310009301200075 +:1001A0006312751017250000130545E60735050095 +:1001B000531505E293032000930130006314750E7C +:1001C00017250000130505E507350500531505E261 +:1001D00093034000930140006316750C172500003F +:1001E0001305C5E307350500531505E293038000A9 +:1001F000930150006318750A17250000130585E266 +:1002000007350500531505E29303000193016000D3 +:10021000631A750817250000130545E10735050029 +:10022000531505E29303000293017000631C7506E9 +:1002300017250000130505E007350500531505E2F5 +:100240009303000493018000631E750417250000CA +:100250001305C5DE07350500531505E293030008B5 +:10026000930190006310750417250000130585DDC8 +:1002700007350500531505E2930300109301A00014 +:100280006312750217250000130545DC07350500CC +:10029000531505E2930300209301B0006314750029 +:1002A000631030020F00F00F638001009391110082 +:1002B00093E111009308D00513850100730000003D +:1002C0000F00F00F930110009308D00513050000F4 +:1002D00073000000731000C0000000000000000068 +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:0403000000000000F9 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:10200000000000000000F0FF000000000000F0BF32 +:10201000FFFFFFFFFFFF0F800000000000000080B7 +:102020000000000000000000FFFFFFFFFFFF0F00A7 +:10203000000000000000F03F000000000000F07F02 +:10204000010000000000F07F000000000000F87FA9 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ud-p-fcmp.hex b/VexRiscv/src/test/resources/hex/rv32ud-p-fcmp.hex new file mode 100755 index 0000000..1b0ec55 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ud-p-fcmp.hex @@ -0,0 +1,123 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F00400093E19153FD +:10004000171F000023203FFC6FF09FFF930000006C +:10005000130100009301000013020000930200004E +:100060001303000093030000130400009304000036 +:10007000130500009305000013060000930600001E +:100080001307000093070000130800009308000006 +:100090001309000093090000130A0000930A0000EE +:1000A000130B0000930B0000130C0000930C0000D6 +:1000B000130D0000930D0000130E0000930E0000BE +:1000C000130F0000930F0000732540F1631005002B +:1000D000970200009382020173905230735000180F +:1000E000970200009382020273905230B7020080A0 +:1000F0009382F2FF7390023B9302F0017390023AF5 +:100100007350403097020000938242017390523046 +:10011000735020307350303093010000970200007C +:10012000938282EE73905230130510001315F5017F +:10013000634C05000F00F00F930110009308D005E9 +:10014000130500007300000097020080938282EB89 +:10015000638A020073905210B7B20000938292102B +:100160007390223073500030372500007320053023 +:100170007350300097020000938242017390123452 +:10018000732540F1730020309301200017250000F3 +:10019000130545E707300500873085000731050165 +:1001A000832685010323C501532510A29303000074 +:1001B000F315100013060000631CD534631A733462 +:1001C0006398C5349301300017250000130585E5B9 +:1001D000073005008730850007310501832685013A +:1001E0000323C501530510A293030000F31510006B +:1001F00013060000631ED530631C7330639AC5304C +:1002000093014000172500001305C5E307300500E2 +:100210008730850007310501832685010323C50149 +:10022000531510A293030000F315100013060000ED +:100230006310D52E631E732C639CC52C9301500054 +:1002400017250000130505E20730050087308500FB +:1002500007310501832685010323C501532510A21B +:1002600093030000F3151000130600006312D52A53 +:100270006310732A639EC528930160001725000050 +:10028000130545E00730050087308500073105017B +:10029000832685010323C501530510A293030000A3 +:1002A000F3151000130600006314D526631273269D +:1002B0006390C5269301700017250000130585DEA5 +:1002C0000730050087308500073105018326850149 +:1002D0000323C501531510A293030000F31510006A +:1002E000130600006316D522631473226392C5229D +:1002F00093018000172500001305C5DC07300500B9 +:100300008730850007310501832685010323C50158 +:10031000532510A293030000F315100013060000EC +:100320006318D51E6316731E6394C51E9301900057 +:1003300017250000130505DB073005008730850011 +:1003400007310501832685010323C501532510A22A +:1003500093030000F315100013060000631AD51A6A +:100360006318731A6396C51A9301A000172500003D +:10037000130545D907300500873085000731050191 +:10038000832685010323C501532510A29303000092 +:10039000F315100013060001631CD516631A7316BB +:1003A0006398C5169301B00017250000130585D783 +:1003B0000730050087308500073105018326850158 +:1003C0000323C501531510A293030000F315100079 +:1003D00013060001631ED512631C7312639AC512C3 +:1003E0009301C000172500001305C5D5073005008F +:1003F0008730850007310501832685010323C50168 +:10040000531510A293030000F3151000130600010A +:100410006310D510631E730E639CC50E9301D0004C +:1004200017250000130505D4073005008730850027 +:1004300007310501832685010323C501531510A249 +:1004400093030000F3151000130600016312D50C8E +:100450006310730C639EC50A9301E000172500002A +:10046000130545D2073005008730850007310501A7 +:10047000832685010323C501530510A293030000C1 +:10048000F3151000130600016314D50863127308F6 +:100490006390C5089301F00017250000130585D06F +:1004A0000730050087308500073105018326850167 +:1004B0000323C501530510A293030000F315100098 +:1004C000130600016316D504631473046392C50414 +:1004D00093010001172500001305C5CE0730050064 +:1004E0008730850007310501832685010323C50177 +:1004F000530510A293030000F3151000130600012A +:100500006318D500631673006394C500631030024E +:100510000F00F00F638001009391110093E111002F +:100520009308D00513850100730000000F00F00F41 +:10053000930110009308D00513050000730000001C +:04054000731000C074 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:10200000C3F5285C8FC2F5BFC3F5285C8FC2F5BF4E +:1020100000000000000000000100000000000000BF +:10202000C3F5285C8FC2F5BFC3F5285C8FC2F5BF2E +:10203000000000000000000001000000000000009F +:10204000C3F5285C8FC2F5BFC3F5285C8FC2F5BF0E +:102050000000000000000000000000000000000080 +:10206000EC51B81E85EBF5BFC3F5285C8FC2F5BFF8 +:102070000000000000000000000000000000000060 +:10208000EC51B81E85EBF5BFC3F5285C8FC2F5BFD8 +:10209000000000000000000001000000000000003F +:1020A000EC51B81E85EBF5BFC3F5285C8FC2F5BFB8 +:1020B000000000000000000001000000000000001F +:1020C000FFFFFFFFFFFFFF7F000000000000000098 +:1020D0000000000000000000000000000000000000 +:1020E000FFFFFFFFFFFFFF7FFFFFFFFFFFFFFF7F00 +:1020F00000000000000000000000000000000000E0 +:10210000010000000000F07F00000000000000005F +:1021100000000000000000000000000000000000BF +:10212000FFFFFFFFFFFFFF7F000000000000000037 +:10213000000000000000000000000000000000009F +:10214000FFFFFFFFFFFFFF7FFFFFFFFFFFFFFF7F9F +:10215000000000000000000000000000000000007F +:10216000010000000000F07F0000000000000000FF +:10217000000000000000000000000000000000005F +:10218000FFFFFFFFFFFFFF7F0000000000000000D7 +:10219000000000000000000000000000000000003F +:1021A000FFFFFFFFFFFFFF7FFFFFFFFFFFFFFF7F3F +:1021B000000000000000000000000000000000001F +:1021C000010000000000F07F00000000000000009F +:1021D00000000000000000000000000000000000FF +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ud-p-fcvt.hex b/VexRiscv/src/test/resources/hex/rv32ud-p-fcvt.hex new file mode 100755 index 0000000..78064fa --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ud-p-fcvt.hex @@ -0,0 +1,72 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F00400093E19153FD +:10004000171F000023203FFC6FF09FFF930000006C +:10005000130100009301000013020000930200004E +:100060001303000093030000130400009304000036 +:10007000130500009305000013060000930600001E +:100080001307000093070000130800009308000006 +:100090001309000093090000130A0000930A0000EE +:1000A000130B0000930B0000130C0000930C0000D6 +:1000B000130D0000930D0000130E0000930E0000BE +:1000C000130F0000930F0000732540F1631005002B +:1000D000970200009382020173905230735000180F +:1000E000970200009382020273905230B7020080A0 +:1000F0009382F2FF7390023B9302F0017390023AF5 +:100100007350403097020000938242017390523046 +:10011000735020307350303093010000970200007C +:10012000938282EE73905230130510001315F5017F +:10013000634C05000F00F00F930110009308D005E9 +:10014000130500007300000097020080938282EB89 +:10015000638A020073905210B7B20000938292102B +:100160007390223073500030372500007320053023 +:100170007350300097020000938242017390123452 +:10018000732540F1730020309301200017250000F3 +:10019000130545E783260500032745009305200046 +:1001A000538005D22730050083254500032505002F +:1001B000731010006312D5166390E516930130009A +:1001C00017250000130585E4832605000327450055 +:1001D0009305E0FF538005D22730050083254500B5 +:1001E00003250500731010006318D5126396E512FD +:1001F00093014000172500001305C5E18326050083 +:100200000327450093052000538015D227300500B1 +:10021000832545000325050073101000631ED50ECD +:10022000639CE50E9301500017250000130505DFC0 +:1002300083260500032745009305E0FF538015D270 +:1002400027300500832545000325050073101000A5 +:100250006314D50C6392E50C9301A00017250000F0 +:10026000130545DC0730050087308500073105019F +:10027000832685010323C501D3711040D381014238 +:10028000273035008323450003250500F3151000B2 +:10029000130600006312D50863107308639EC50639 +:1002A0009301B000172500001305C5D907200500EC +:1002B00087204500072185008326C500D301004221 +:1002C000D3F11140538501E0F3151000130600002F +:1002D0006314D5046392C50497250000938585D8DF +:1002E00007B10500537111405301014227B02500A9 +:1002F00003A5050083A5450097270000938787D5B0 +:1003000083A3070083A747009301C000631675000D +:100310006394F500631030020F00F00F638001005A +:100320009391110093E111009308D005138501000A +:10033000730000000F00F00F930110009308D00528 +:100340001305000073000000731000C000000000DF +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:040380000000000079 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:10200000000000000000004000000000000000C0D0 +:1020100000000000000000400000C0FFFFFFEF4193 +:10202000000000000000F8BF0000000000000000F9 +:102030000000000000000000000000000000F8BFE9 +:102040000000C0BF00000000000000000000C0BF92 +:10205000000000000000F87F000000000000000009 +:102060000480FFFFFFFFFC7F000000000000000075 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ud-p-fcvt_w.hex b/VexRiscv/src/test/resources/hex/rv32ud-p-fcvt_w.hex new file mode 100755 index 0000000..f758858 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ud-p-fcvt_w.hex @@ -0,0 +1,120 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F00400093E19153FD +:10004000171F000023203FFC6FF09FFF930000006C +:10005000130100009301000013020000930200004E +:100060001303000093030000130400009304000036 +:10007000130500009305000013060000930600001E +:100080001307000093070000130800009308000006 +:100090001309000093090000130A0000930A0000EE +:1000A000130B0000930B0000130C0000930C0000D6 +:1000B000130D0000930D0000130E0000930E0000BE +:1000C000130F0000930F0000732540F1631005002B +:1000D000970200009382020173905230735000180F +:1000E000970200009382020273905230B7020080A0 +:1000F0009382F2FF7390023B9302F0017390023AF5 +:100100007350403097020000938242017390523046 +:10011000735020307350303093010000970200007C +:10012000938282EE73905230130510001315F5017F +:10013000634C05000F00F00F930110009308D005E9 +:10014000130500007300000097020080938282EB89 +:10015000638A020073905210B7B20000938292102B +:100160007390223073500030372500007320053023 +:100170007350300097020000938242017390123452 +:10018000732540F1730020309301200017250000F3 +:10019000130545E707200500872045000721850056 +:1001A0008326C500531500C0F31510001306100078 +:1001B0006314D53C6392C53C9301300017250000C1 +:1001C000130545E507200500872045000721850028 +:1001D0008326C500531500C0F31510001306000058 +:1001E000631CD538639AC538930140001725000079 +:1001F000130545E3072005008720450007218500FA +:100200008326C500531500C0F31510001306100017 +:100210006314D5366392C53693015000172500004C +:10022000130545E1072005008720450007218500CB +:100230008326C500531500C0F315100013061000E7 +:10024000631CD532639AC532930160001725000004 +:10025000130545DF0720050087204500072185009D +:100260008326C500531500C0F315100013060000C7 +:100270006314D5306392C5309301700017250000D8 +:10028000130545DD0720050087204500072185006F +:100290008326C500531500C0F31510001306100087 +:1002A000631CD52C639AC52C930180001725000090 +:1002B000130545DB07200500872045000721850041 +:1002C0008326C500531500C0F31510001306000166 +:1002D0006314D52A6392C52A930190001725000064 +:1002E000130545D907200500872045000721850013 +:1002F0008326C500531500C0F31510001306000136 +:10030000631CD526639AC5269301C00017250000FB +:10031000130545D7072005008720450007218500E4 +:100320008326C500531510C0F315100013060001F5 +:100330006314D5246392C5249301D00017250000CF +:10034000130545D5072005008720450007218500B6 +:100350008326C500531510C0F315100013060001C5 +:10036000631CD520639AC5209301E0001725000087 +:10037000130545D307200500872045000721850088 +:100380008326C500531510C0F31510001306100086 +:100390006314D51E6392C51E9301F000172500005B +:1003A000130545D10720050087204500072185005A +:1003B0008326C500531510C0F31510001306100056 +:1003C000631CD51A639AC51A930100011725000012 +:1003D000130545CF0720050087204500072185002C +:1003E0008326C500531510C0F31510001306000036 +:1003F0006314D5186392C5189301100117250000E6 +:10040000130545CD072005008720450007218500FD +:100410008326C500531510C0F315100013061000F5 +:10042000631CD514639AC51493012001172500009D +:10043000130545CB072005008720450007218500CF +:100440008326C500531510C0F315100013060001D4 +:100450006314D5126392C512930130011725000071 +:10046000130545C9072005008720450007218500A1 +:100470008326C500531510C0F315100013060000A5 +:10048000631CD50E639AC50E97200000938080C729 +:1004900087A00000D3F000C0B70300809383F3FF70 +:1004A0009301A002639A700C97200000938080C58E +:1004B00087A08000D3F000C0B70300809301C00282 +:1004C000639C700A972000009380C0C387A04000FF +:1004D000D3F000C0B70300809383F3FF9301400380 +:1004E000639C7008972000009380C0C187A0C00063 +:1004F000D3F000C0B70300809383F3FF9301600340 +:10050000639C7006972000009380C0BF87A0000006 +:10051000D3F010C09303F0FF9301E003639E7004D7 +:1005200097200000938000BE87A04000D3F010C049 +:100530009303F0FF9301F003639070049720000091 +:10054000938040BC87A08000D3F010C093030000CC +:10055000930100046392700297200000938080BA98 +:1005600087A0C000D3F010C09303F0FF93011004E4 +:1005700063947000631030020F00F00F638001007D +:100580009391110093E111009308D00513850100A8 +:10059000730000000F00F00F930110009308D005C6 +:1005A0001305000073000000731000C0000000007D +:1005B000000000000000000000000000000000003B +:0405C0000000000037 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:10200000CDCC8CBF0000000000000000FFFFFFFFF0 +:10201000000080BF0000000000000000FFFFFFFF85 +:10202000666666BF000000000000000000000000BF +:102030006666663F0000000000000000000000002F +:102040000000803F000000000000000001000000D0 +:10205000CDCC8C3F0000000000000000010000001B +:102060005ED032CF000000000000000000000080C1 +:102070005ED0324F0000000000000000FFFFFF7F35 +:10208000000040C000000000000000000000000050 +:10209000000080BF00000000000000000000000001 +:1020A000666666BF0000000000000000000000003F +:1020B0006666663F000000000000000000000000AF +:1020C0000000803F00000000000000000100000050 +:1020D000CDCC8C3F0000000000000000010000009B +:1020E0005ED032CF000000000000000000000000C1 +:1020F0005ED0324F0000000000000000005ED0B251 +:10210000FFFFFFFFFFFFFF7F000080FF0000807FD9 +:10211000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7F4F +:10212000000000000000F0FF000000000000F07F51 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ud-p-fdiv.hex b/VexRiscv/src/test/resources/hex/rv32ud-p-fdiv.hex new file mode 100755 index 0000000..c203f41 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ud-p-fdiv.hex @@ -0,0 +1,89 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F00400093E19153FD +:10004000171F000023203FFC6FF09FFF930000006C +:10005000130100009301000013020000930200004E +:100060001303000093030000130400009304000036 +:10007000130500009305000013060000930600001E +:100080001307000093070000130800009308000006 +:100090001309000093090000130A0000930A0000EE +:1000A000130B0000930B0000130C0000930C0000D6 +:1000B000130D0000930D0000130E0000930E0000BE +:1000C000130F0000930F0000732540F1631005002B +:1000D000970200009382020173905230735000180F +:1000E000970200009382020273905230B7020080A0 +:1000F0009382F2FF7390023B9302F0017390023AF5 +:100100007350403097020000938242017390523046 +:10011000735020307350303093010000970200007C +:10012000938282EE73905230130510001315F5017F +:10013000634C05000F00F00F930110009308D005E9 +:10014000130500007300000097020080938282EB89 +:10015000638A020073905210B7B20000938292102B +:100160007390223073500030372500007320053023 +:100170007350300097020000938242017390123452 +:10018000732540F1730020309301200017250000F3 +:10019000130545E707300500873085000731050165 +:1001A000832685010323C501D371101A273035003A +:1001B0008323450003250500F315100013061000E6 +:1001C0006316D51E6314731E6392C51E930130001F +:1001D00017250000130505E5073005008730850069 +:1001E00007310501832685010323C501D371101A48 +:1001F000273035008323450003250500F315100043 +:10020000130610006314D51A6312731A6390C51A8B +:1002100093014000172500001305C5E207300500D3 +:100220008730850007310501832685010323C50139 +:10023000D371101A273035008323450003250500AC +:10024000F3151000130600006312D5166310731621 +:10025000639EC5149301500017250000130585E027 +:1002600007300500873085000731050183268501A9 +:100270000323C501D371005A27303500832345007D +:1002800003250500F3151000130610006310D512A6 +:10029000631E7310639CC510930160001725000056 +:1002A000130545DE0730050087308500073105015D +:1002B000832685010323C501D371005A27303500F9 +:1002C0008323450003250500F315100013060000E5 +:1002D000631ED50C631C730C639AC50C930100015B +:1002E00017250000130505DC073005008730850061 +:1002F00007310501832685010323C501D371005A07 +:10030000273035008323450003250500F315100031 +:1003100013060001631CD508631A73086398C508A7 +:1003200093017000172500001305C5D9073005009B +:100330008730850007310501832685010323C50128 +:10034000D371005A2730350083234500032505006B +:10035000F315100013061000631AD5046318730414 +:100360006396C5049301800017250000130585D707 +:100370000730050087308500073105018326850198 +:100380000323C501D371005A27303500832345006C +:1003900003250500F3151000130610006318D5009F +:1003A000631673006394C500631030020F00F00FF2 +:1003B000638001009391110093E111009308D0052F +:1003C00013850100730000000F00F00F930110006F +:1003D0009308D0051305000073000000731000C0DF +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:0404000000000000F8 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:10200000F1D4C853FB210940DDB0F1890ABF054076 +:102010000000000000000000EC83C3F6DB7DF23F0F +:1020200000000000004893C066666666664C9340F8 +:102030000000000000000000A529193EB4F8EFBF21 +:10204000F1D4C853FB210940000000000000F03F1C +:102050000000000000000000F1D4C853FB2109403B +:10206000F1D4C853FB21094000000000000000002B +:1020700000000000000000007B586F91F85BFC3FFF +:10208000000000000088C3400000000000000000C5 +:1020900000000000000000000000000000005940A7 +:1020A000000000000000F0BF000000000000000081 +:1020B0000000000000000000000000000000F87FA9 +:1020C000000000000060654000000000000000000B +:1020D0000000000000000000F57496CE44272A405E +:1020E00005A10AC7DF94853E000000000000000043 +:1020F0000000000000000000997FE3C089473A3FDC +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ud-p-fmadd.hex b/VexRiscv/src/test/resources/hex/rv32ud-p-fmadd.hex new file mode 100755 index 0000000..7744209 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ud-p-fmadd.hex @@ -0,0 +1,113 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F00400093E19153FD +:10004000171F000023203FFC6FF09FFF930000006C +:10005000130100009301000013020000930200004E +:100060001303000093030000130400009304000036 +:10007000130500009305000013060000930600001E +:100080001307000093070000130800009308000006 +:100090001309000093090000130A0000930A0000EE +:1000A000130B0000930B0000130C0000930C0000D6 +:1000B000130D0000930D0000130E0000930E0000BE +:1000C000130F0000930F0000732540F1631005002B +:1000D000970200009382020173905230735000180F +:1000E000970200009382020273905230B7020080A0 +:1000F0009382F2FF7390023B9302F0017390023AF5 +:100100007350403097020000938242017390523046 +:10011000735020307350303093010000970200007C +:10012000938282EE73905230130510001315F5017F +:10013000634C05000F00F00F930110009308D005E9 +:10014000130500007300000097020080938282EB89 +:10015000638A020073905210B7B20000938292102B +:100160007390223073500030372500007320053023 +:100170007350300097020000938242017390123452 +:10018000732540F1730020309301200017250000F3 +:10019000130545E707300500873085000731050165 +:1001A000832685010323C501C37110122730350052 +:1001B0008323450003250500F315100013060000F6 +:1001C000631ED52E631C732E639AC52E93013000D7 +:1001D00017250000130505E5073005008730850069 +:1001E00007310501832685010323C501C371101260 +:1001F000273035008323450003250500F315100043 +:1002000013061000631CD52A631A732A6398C52A43 +:1002100093014000172500001305C5E207300500D3 +:100220008730850007310501832685010323C50139 +:10023000C3711012273035008323450003250500C4 +:10024000F315100013060000631AD52663187326F1 +:100250006396C5269301500017250000130585E01D +:1002600007300500873085000731050183268501A9 +:100270000323C501CF7110122730350083234500B9 +:1002800003250500F3151000130600006318D5229E +:10029000631673226394C522930160001725000042 +:1002A000130545DE0730050087308500073105015D +:1002B000832685010323C501CF7110122730350035 +:1002C0008323450003250500F315100013061000D5 +:1002D0006316D51E6314731E6392C51E93017000CE +:1002E00017250000130505DC073005008730850061 +:1002F00007310501832685010323C501CF71101243 +:10030000273035008323450003250500F315100031 +:10031000130600006314D51A6312731A6390C51A8A +:1003200093018000172500001305C5D9073005008B +:100330008730850007310501832685010323C50128 +:10034000C7711012273035008323450003250500AF +:10035000F3151000130600006312D5166310731610 +:10036000639EC5149301900017250000130585D7DF +:100370000730050087308500073105018326850198 +:100380000323C501C77110122730350083234500B0 +:1003900003250500F3151000130610006310D51295 +:1003A000631E7310639CC5109301A0001725000005 +:1003B000130545D507300500873085000731050155 +:1003C000832685010323C501C7711012273035002C +:1003D0008323450003250500F315100013060000D4 +:1003E000631ED50C631C730C639AC50C9301B0009B +:1003F00017250000130505D3073005008730850059 +:1004000007310501832685010323C501CB71101235 +:10041000273035008323450003250500F315100020 +:1004200013060000631CD508631A73086398C50897 +:100430009301C000172500001305C5D00730050043 +:100440008730850007310501832685010323C50117 +:10045000CB7110122730350083234500032505009A +:10046000F315100013061000631AD5046318730403 +:100470006396C5049301D00017250000130585CEAF +:100480000730050087308500073105018326850187 +:100490000323C501CB71101227303500832345009B +:1004A00003250500F3151000130600006318D5009E +:1004B000631673006394C500631030020F00F00FE1 +:1004C000638001009391110093E111009308D0051E +:1004D00013850100730000000F00F00F930110005E +:1004E0009308D0051305000073000000731000C0CE +:1004F00000000000000000000000000000000000FC +:0405000000000000F7 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:10200000000000000000F03F00000000000004405D +:10201000000000000000F03F0000000000000C4045 +:10202000000000000000F0BF66666666664C93C064 +:102030009A9999999999F13FCCCCCCCCCC509340BA +:10204000000000000000004000000000000014C07C +:1020500000000000000000C000000000000028C0D8 +:10206000000000000000F03F0000000000000440FD +:10207000000000000000F03F0000000000000CC065 +:10208000000000000000F0BF66666666664C93C004 +:102090009A9999999999F13FCCCCCCCCCC5093C0DA +:1020A000000000000000004000000000000014C01C +:1020B00000000000000000C00000000000002840F8 +:1020C000000000000000F03F00000000000004409D +:1020D000000000000000F03F000000000000F83F9A +:1020E000000000000000F0BF66666666664C93C0A4 +:1020F0009A9999999999F13F0000000000489340FE +:10210000000000000000004000000000000014C0BB +:1021100000000000000000C000000000000020C01F +:10212000000000000000F03F00000000000004403C +:10213000000000000000F03F000000000000F8BFB9 +:10214000000000000000F0BF66666666664C93C043 +:102150009A9999999999F13F00000000004893C01D +:10216000000000000000004000000000000014C05B +:1021700000000000000000C000000000000020403F +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ud-p-fmin.hex b/VexRiscv/src/test/resources/hex/rv32ud-p-fmin.hex new file mode 100755 index 0000000..5c18421 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ud-p-fmin.hex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diff --git a/VexRiscv/src/test/resources/hex/rv32ud-p-ldst.hex b/VexRiscv/src/test/resources/hex/rv32ud-p-ldst.hex new file mode 100755 index 0000000..408d2d3 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ud-p-ldst.hex @@ -0,0 +1,58 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F00400093E19153FD +:10004000171F000023203FFC6FF09FFF930000006C +:10005000130100009301000013020000930200004E +:100060001303000093030000130400009304000036 +:10007000130500009305000013060000930600001E +:100080001307000093070000130800009308000006 +:100090001309000093090000130A0000930A0000EE +:1000A000130B0000930B0000130C0000930C0000D6 +:1000B000130D0000930D0000130E0000930E0000BE +:1000C000130F0000930F0000732540F1631005002B +:1000D000970200009382020173905230735000180F +:1000E000970200009382020273905230B7020080A0 +:1000F0009382F2FF7390023B9302F0017390023AF5 +:100100007350403097020000938242017390523046 +:10011000735020307350303093010000970200007C +:10012000938282EE73905230130510001315F5017F +:10013000634C05000F00F00F930110009308D005E9 +:10014000130500007300000097020080938282EB89 +:10015000638A020073905210B7B20000938292102B +:100160007390223073500030372500007320053023 +:100170007350300097020000938242017390123452 +:10018000732540F17300203017240000130484EA23 +:100190000731040027382400032504018325440186 +:1001A00097270000938707E683A3070083A74700EC +:1001B00093012000631E750A639CF50A0731040051 +:1001C00027282400032504018325440197270000E4 +:1001D0009387C7E383A3070083A7470093013000F9 +:1001E000631875086396F508072104002728240082 +:1001F000032504018325440197270000938787E1A5 +:1002000083A3070083A7470093014000631275068C +:100210006390F50607318400273824000325040184 +:100220008325440197270000938747DF83A30700B6 +:1002300083A7470093015000631C7502639AF5027F +:100240000721840027382400032504018325440165 +:1002500097270000938707DD83A3070083A7470044 +:1002600093016000631675006394F500631030021B +:100270000F00F00F638001009391110093E11100D2 +:100280009308D00513850100730000000F00F00FE4 +:10029000930110009308D0051305000073000000BF +:1002A000731000C00000000000000000000000000B +:1002B000000000000000000000000000000000003E +:0402C000000000003A +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:10200000000080BF00000040000080BF00000040D2 +:10201000000080BF0000004000004040000080C081 +:1020200000004040FFFFFFFF000000000000000034 +:10203000000080BF0000004000004040000080C061 +:10204000EFBEADDEBEBAFECAEA1DADAB0DD0371392 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ud-p-recoding.hex b/VexRiscv/src/test/resources/hex/rv32ud-p-recoding.hex new file mode 100755 index 0000000..bd12e2a --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ud-p-recoding.hex @@ -0,0 +1,46 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F00400093E19153FD +:10004000171F000023203FFC6FF09FFF930000006C +:10005000130100009301000013020000930200004E +:100060001303000093030000130400009304000036 +:10007000130500009305000013060000930600001E +:100080001307000093070000130800009308000006 +:100090001309000093090000130A0000930A0000EE +:1000A000130B0000930B0000130C0000930C0000D6 +:1000B000130D0000930D0000130E0000930E0000BE +:1000C000130F0000930F0000732540F1631005002B +:1000D000970200009382020173905230735000180F +:1000E000970200009382020273905230B7020080A0 +:1000F0009382F2FF7390023B9302F0017390023AF5 +:100100007350403097020000938242017390523046 +:10011000735020307350303093010000970200007C +:10012000938282EE73905230130510001315F5017F +:10013000634C05000F00F00F930110009308D005E9 +:10014000130500007300000097020080938282EB89 +:10015000638A020073905210B7B20000938292102B +:100160007390223073500030372500007320053023 +:100170007350300097020000938242017390123452 +:10018000732540F17300203017250000072085E714 +:1001900017250000872045E7D3F00010532510A055 +:1001A000930310009301200063147506530510A0FB +:1001B0009303100093013000631C7504531510A0C5 +:1001C000930300009301400063147504537000D042 +:1001D00013051000D37005D0D3F00010532510A0E4 +:1001E000930310009301500063147502530510A08F +:1001F0009303100093016000631C7500531510A059 +:1002000093030000930170006314750063103002C3 +:100210000F00F00F638001009391110093E1110032 +:100220009308D00513850100730000000F00F00F44 +:10023000930110009308D00513050000730000001F +:04024000731000C077 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:10200000000080FF000040400000000000000000D1 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32uf-p-fadd.hex b/VexRiscv/src/test/resources/hex/rv32uf-p-fadd.hex new file mode 100755 index 0000000..f174c68 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32uf-p-fadd.hex @@ -0,0 +1,83 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F00400093E19153FD +:10004000171F000023203FFC6FF09FFF930000006C +:10005000130100009301000013020000930200004E +:100060001303000093030000130400009304000036 +:10007000130500009305000013060000930600001E +:100080001307000093070000130800009308000006 +:100090001309000093090000130A0000930A0000EE +:1000A000130B0000930B0000130C0000930C0000D6 +:1000B000130D0000930D0000130E0000930E0000BE +:1000C000130F0000930F0000732540F1631005002B +:1000D000970200009382020173905230735000180F +:1000E000970200009382020273905230B7020080A0 +:1000F0009382F2FF7390023B9302F0017390023AF5 +:100100007350403097020000938242017390523046 +:10011000735020307350303093010000970200007C +:10012000938282EE73905230130510001315F5017F +:10013000634C05000F00F00F930110009308D005E9 +:10014000130500007300000097020080938282EB89 +:10015000638A020073905210B7B20000938292102B +:100160007390223073500030372500007320053023 +:100170007350300097020000938242017390123452 +:10018000732540F1730020309301200017250000F3 +:10019000130545E707200500872045000721850056 +:1001A0008326C500D3711000538501E0F3151000BC +:1001B000130600006310D51E639EC51C930130001A +:1001C00017250000130505E50720050087204500D9 +:1001D000072185008326C500D3711000538501E0F7 +:1001E000F3151000130610006316D51A6394C51A90 +:1001F00093014000172500001305C5E20720050004 +:1002000087204500072185008326C500D371100093 +:10021000538501E0F315100013061000631CD5167A +:10022000639AC5169301500017250000130585E059 +:100230000720050087204500072185008326C5008B +:10024000D3711008538501E0F31510001306000068 +:100250006312D5146390C514930160001725000044 +:10026000130545DE0720050087204500072185008E +:100270008326C500D3711008538501E0F3151000E3 +:10028000130610006318D5106396C5109301700013 +:1002900017250000130505DC072005008720450011 +:1002A000072185008326C500D3711008538501E01E +:1002B000F315100013061000631ED50C639CC50CCB +:1002C00093018000172500001305C5D907200500FC +:1002D00087204500072185008326C500D3711010B3 +:1002E000538501E0F3151000130600006314D50ACE +:1002F0006392C50A9301900017250000130585D766 +:100300000720050087204500072185008326C500BA +:10031000D3711010538501E0F3151000130610007F +:10032000631AD5066398C5069301A000172500003F +:10033000130545D5072005008720450007218500C6 +:100340008326C500D3711010538501E0F31510000A +:10035000130610006310D504639EC5029301B0001C +:1003600017250000130505D3072005008720450049 +:10037000072185008326C500D3711008538501E04D +:10038000F3151000130600016316D5006394C50031 +:10039000631030020F00F00F638001009391110091 +:1003A00093E111009308D00513850100730000004C +:1003B0000F00F00F930110009308D0051305000003 +:1003C00073000000731000C0000000000000000077 +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:0404000000000000F8 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:10200000000020400000803F000000000000604011 +:1020100033639AC4CDCC8C3F0000000000409AC4CA +:10202000DB0F494077CC2B3200000000DB0F49402A +:10203000000020400000803F000000000000C03F82 +:1020400033639AC4CDCC8CBF0000000000409AC41A +:10205000DB0F494077CC2B3200000000DB0F4940FA +:10206000000020400000803F0000000000002040F1 +:1020700033639AC4CDCC8CBF0000000085D3A94443 +:10208000DB0F494077CC2B32000000002DEE0633E9 +:102090000000807F0000807F000000000000C07F03 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32uf-p-fclass.hex b/VexRiscv/src/test/resources/hex/rv32uf-p-fclass.hex new file mode 100755 index 0000000..849f4aa --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32uf-p-fclass.hex @@ -0,0 +1,53 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F00400093E19153FD +:10004000171F000023203FFC6FF09FFF930000006C +:10005000130100009301000013020000930200004E +:100060001303000093030000130400009304000036 +:10007000130500009305000013060000930600001E +:100080001307000093070000130800009308000006 +:100090001309000093090000130A0000930A0000EE +:1000A000130B0000930B0000130C0000930C0000D6 +:1000B000130D0000930D0000130E0000930E0000BE +:1000C000130F0000930F0000732540F1631005002B +:1000D000970200009382020173905230735000180F +:1000E000970200009382020273905230B7020080A0 +:1000F0009382F2FF7390023B9302F0017390023AF5 +:100100007350403097020000938242017390523046 +:10011000735020307350303093010000970200007C +:10012000938282EE73905230130510001315F5017F +:10013000634C05000F00F00F930110009308D005E9 +:10014000130500007300000097020080938282EB89 +:10015000638A020073905210B7B20000938292102B +:100160007390223073500030372500007320053023 +:100170007350300097020000938242017390123452 +:10018000732540F173002030370580FF530505F0DB +:10019000531505E093031000930120006316750EBC +:1001A000370580BF530505F0531505E09303200084 +:1001B00093013000631A750C370580801305F5FF35 +:1001C000530505F0531505E09303400093014000EB +:1001D000631C750A37050080530505F0531505E0CB +:1001E00093038000930150006310750A130500000B +:1001F000530505F0531505E09303000193016000DA +:1002000063147508370580001305F5FF530505F0E5 +:10021000531505E093030002930170006316750601 +:100220003705803F530505F0531505E0930300049F +:1002300093018000631A75043705807F530505F02C +:10024000531505E09303000893019000631E7502A7 +:100250003705807F13051500530505F0531505E09C +:10026000930300109301A000631075023705C07F4F +:10027000530505F0531505E0930300209301B000EA +:1002800063147500631030020F00F00F63800100EB +:100290009391110093E111009308D005138501009B +:1002A000730000000F00F00F930110009308D005B9 +:1002B0001305000073000000731000C00000000070 +:0402C000000000003A +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32uf-p-fcmp.hex b/VexRiscv/src/test/resources/hex/rv32uf-p-fcmp.hex new file mode 100755 index 0000000..8ba2a82 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32uf-p-fcmp.hex @@ -0,0 +1,100 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F00400093E19153FD +:10004000171F000023203FFC6FF09FFF930000006C +:10005000130100009301000013020000930200004E +:100060001303000093030000130400009304000036 +:10007000130500009305000013060000930600001E +:100080001307000093070000130800009308000006 +:100090001309000093090000130A0000930A0000EE +:1000A000130B0000930B0000130C0000930C0000D6 +:1000B000130D0000930D0000130E0000930E0000BE +:1000C000130F0000930F0000732540F1631005002B +:1000D000970200009382020173905230735000180F +:1000E000970200009382020273905230B7020080A0 +:1000F0009382F2FF7390023B9302F0017390023AF5 +:100100007350403097020000938242017390523046 +:10011000735020307350303093010000970200007C +:10012000938282EE73905230130510001315F5017F +:10013000634C05000F00F00F930110009308D005E9 +:10014000130500007300000097020080938282EB89 +:10015000638A020073905210B7B20000938292102B +:100160007390223073500030372500007320053023 +:100170007350300097020000938242017390123452 +:10018000732540F1730020309301200017250000F3 +:10019000130545E707200500872045000721850056 +:1001A0008326C500532510A0F31510001306000088 +:1001B0006316D52A6394C52A9301300017250000E1 +:1001C000130545E507200500872045000721850028 +:1001D0008326C500530510A0F31510001306000078 +:1001E000631ED526639CC526930140001725000099 +:1001F000130545E3072005008720450007218500FA +:100200008326C500531510A0F31510001306000037 +:100210006316D5246394C52493015000172500006C +:10022000130545E1072005008720450007218500CB +:100230008326C500532510A0F315100013060000F7 +:10024000631ED520639CC520930160001725000024 +:10025000130545DF0720050087204500072185009D +:100260008326C500530510A0F315100013060000E7 +:100270006316D51E6394C51E9301700017250000F8 +:10028000130545DD0720050087204500072185006F +:100290008326C500531510A0F315100013060000A7 +:1002A000631ED51A639CC51A9301800017250000B0 +:1002B000130545DB07200500872045000721850041 +:1002C0008326C500532510A0F31510001306000067 +:1002D0006316D5186394C518930190001725000084 +:1002E000130545D907200500872045000721850013 +:1002F0008326C500532510A0F31510001306000037 +:10030000631ED514639CC5149301A000172500003B +:10031000130545D7072005008720450007218500E4 +:100320008326C500532510A0F31510001306000105 +:100330006316D5126394C5129301B000172500000F +:10034000130545D5072005008720450007218500B6 +:100350008326C500531510A0F315100013060001E5 +:10036000631ED50E639CC50E9301C00017250000C7 +:10037000130545D307200500872045000721850088 +:100380008326C500531510A0F315100013060001B5 +:100390006316D50C6394C50C9301D000172500009B +:1003A000130545D10720050087204500072185005A +:1003B0008326C500531510A0F31510001306000185 +:1003C000631ED508639CC5089301E0001725000053 +:1003D000130545CF0720050087204500072185002C +:1003E0008326C500530510A0F31510001306000165 +:1003F0006316D5066394C5069301F0001725000027 +:10040000130545CD072005008720450007218500FD +:100410008326C500530510A0F31510001306000134 +:10042000631ED502639CC5029301000117250000DD +:10043000130545CB072005008720450007218500CF +:100440008326C500530510A0F31510001306000104 +:100450006316D5006394C500631030020F00F00FDF +:10046000638001009391110093E111009308D0057E +:1004700013850100730000000F00F00F93011000BE +:100480009308D0051305000073000000731000C02E +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:0404C0000000000038 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:102000007B14AEBF7B14AEBF0000000001000000D7 +:102010007B14AEBF7B14AEBF0000000001000000C7 +:102020007B14AEBF7B14AEBF0000000000000000B8 +:10203000295CAFBF7B14AEBF0000000000000000B1 +:10204000295CAFBF7B14AEBF0000000001000000A0 +:10205000295CAFBF7B14AEBF000000000100000090 +:10206000FFFFFF7F000000000000000000000000F4 +:10207000FFFFFF7FFFFFFF7F000000000000000068 +:102080000100807F00000000000000000000000050 +:10209000FFFFFF7F000000000000000000000000C4 +:1020A000FFFFFF7FFFFFFF7F000000000000000038 +:1020B0000100807F00000000000000000000000020 +:1020C000FFFFFF7F00000000000000000000000094 +:1020D000FFFFFF7FFFFFFF7F000000000000000008 +:1020E0000100807F000000000000000000000000F0 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32uf-p-fcvt.hex b/VexRiscv/src/test/resources/hex/rv32uf-p-fcvt.hex new file mode 100755 index 0000000..0190534 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32uf-p-fcvt.hex @@ -0,0 +1,50 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F00400093E19153FD +:10004000171F000023203FFC6FF09FFF930000006C +:10005000130100009301000013020000930200004E +:100060001303000093030000130400009304000036 +:10007000130500009305000013060000930600001E +:100080001307000093070000130800009308000006 +:100090001309000093090000130A0000930A0000EE +:1000A000130B0000930B0000130C0000930C0000D6 +:1000B000130D0000930D0000130E0000930E0000BE +:1000C000130F0000930F0000732540F1631005002B +:1000D000970200009382020173905230735000180F +:1000E000970200009382020273905230B7020080A0 +:1000F0009382F2FF7390023B9302F0017390023AF5 +:100100007350403097020000938242017390523046 +:10011000735020307350303093010000970200007C +:10012000938282EE73905230130510001315F5017F +:10013000634C05000F00F00F930110009308D005E9 +:10014000130500007300000097020080938282EB89 +:10015000638A020073905210B7B20000938292102B +:100160007390223073500030372500007320053023 +:100170007350300097020000938242017390123452 +:10018000732540F1730020309301200017250000F3 +:10019000130545E78326050013052000537005D09D +:1001A00073101000530500E0631AD5069301300068 +:1001B00017250000130545E5832605001305E0FF1C +:1001C000537005D073101000530500E06318D50478 +:1001D0009301400017250000130545E38326050021 +:1001E00013052000537015D073101000530500E064 +:1001F0006316D5029301500017250000130545E151 +:10020000832605001305E0FF537015D0731010000E +:10021000530500E06314D500631030020F00F00FA7 +:10022000638001009391110093E111009308D005C0 +:1002300013850100730000000F00F00F9301100000 +:100240009308D0051305000073000000731000C070 +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:04028000000000007A +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:1020000000000040000000C0000000400000804FC1 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32uf-p-fcvt_w.hex b/VexRiscv/src/test/resources/hex/rv32uf-p-fcvt_w.hex new file mode 100755 index 0000000..f758858 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32uf-p-fcvt_w.hex @@ -0,0 +1,120 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F00400093E19153FD +:10004000171F000023203FFC6FF09FFF930000006C +:10005000130100009301000013020000930200004E +:100060001303000093030000130400009304000036 +:10007000130500009305000013060000930600001E +:100080001307000093070000130800009308000006 +:100090001309000093090000130A0000930A0000EE +:1000A000130B0000930B0000130C0000930C0000D6 +:1000B000130D0000930D0000130E0000930E0000BE +:1000C000130F0000930F0000732540F1631005002B +:1000D000970200009382020173905230735000180F +:1000E000970200009382020273905230B7020080A0 +:1000F0009382F2FF7390023B9302F0017390023AF5 +:100100007350403097020000938242017390523046 +:10011000735020307350303093010000970200007C +:10012000938282EE73905230130510001315F5017F +:10013000634C05000F00F00F930110009308D005E9 +:10014000130500007300000097020080938282EB89 +:10015000638A020073905210B7B20000938292102B +:100160007390223073500030372500007320053023 +:100170007350300097020000938242017390123452 +:10018000732540F1730020309301200017250000F3 +:10019000130545E707200500872045000721850056 +:1001A0008326C500531500C0F31510001306100078 +:1001B0006314D53C6392C53C9301300017250000C1 +:1001C000130545E507200500872045000721850028 +:1001D0008326C500531500C0F31510001306000058 +:1001E000631CD538639AC538930140001725000079 +:1001F000130545E3072005008720450007218500FA +:100200008326C500531500C0F31510001306100017 +:100210006314D5366392C53693015000172500004C +:10022000130545E1072005008720450007218500CB +:100230008326C500531500C0F315100013061000E7 +:10024000631CD532639AC532930160001725000004 +:10025000130545DF0720050087204500072185009D +:100260008326C500531500C0F315100013060000C7 +:100270006314D5306392C5309301700017250000D8 +:10028000130545DD0720050087204500072185006F +:100290008326C500531500C0F31510001306100087 +:1002A000631CD52C639AC52C930180001725000090 +:1002B000130545DB07200500872045000721850041 +:1002C0008326C500531500C0F31510001306000166 +:1002D0006314D52A6392C52A930190001725000064 +:1002E000130545D907200500872045000721850013 +:1002F0008326C500531500C0F31510001306000136 +:10030000631CD526639AC5269301C00017250000FB +:10031000130545D7072005008720450007218500E4 +:100320008326C500531510C0F315100013060001F5 +:100330006314D5246392C5249301D00017250000CF +:10034000130545D5072005008720450007218500B6 +:100350008326C500531510C0F315100013060001C5 +:10036000631CD520639AC5209301E0001725000087 +:10037000130545D307200500872045000721850088 +:100380008326C500531510C0F31510001306100086 +:100390006314D51E6392C51E9301F000172500005B +:1003A000130545D10720050087204500072185005A +:1003B0008326C500531510C0F31510001306100056 +:1003C000631CD51A639AC51A930100011725000012 +:1003D000130545CF0720050087204500072185002C +:1003E0008326C500531510C0F31510001306000036 +:1003F0006314D5186392C5189301100117250000E6 +:10040000130545CD072005008720450007218500FD +:100410008326C500531510C0F315100013061000F5 +:10042000631CD514639AC51493012001172500009D +:10043000130545CB072005008720450007218500CF +:100440008326C500531510C0F315100013060001D4 +:100450006314D5126392C512930130011725000071 +:10046000130545C9072005008720450007218500A1 +:100470008326C500531510C0F315100013060000A5 +:10048000631CD50E639AC50E97200000938080C729 +:1004900087A00000D3F000C0B70300809383F3FF70 +:1004A0009301A002639A700C97200000938080C58E +:1004B00087A08000D3F000C0B70300809301C00282 +:1004C000639C700A972000009380C0C387A04000FF +:1004D000D3F000C0B70300809383F3FF9301400380 +:1004E000639C7008972000009380C0C187A0C00063 +:1004F000D3F000C0B70300809383F3FF9301600340 +:10050000639C7006972000009380C0BF87A0000006 +:10051000D3F010C09303F0FF9301E003639E7004D7 +:1005200097200000938000BE87A04000D3F010C049 +:100530009303F0FF9301F003639070049720000091 +:10054000938040BC87A08000D3F010C093030000CC +:10055000930100046392700297200000938080BA98 +:1005600087A0C000D3F010C09303F0FF93011004E4 +:1005700063947000631030020F00F00F638001007D +:100580009391110093E111009308D00513850100A8 +:10059000730000000F00F00F930110009308D005C6 +:1005A0001305000073000000731000C0000000007D +:1005B000000000000000000000000000000000003B +:0405C0000000000037 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:10200000CDCC8CBF0000000000000000FFFFFFFFF0 +:10201000000080BF0000000000000000FFFFFFFF85 +:10202000666666BF000000000000000000000000BF +:102030006666663F0000000000000000000000002F +:102040000000803F000000000000000001000000D0 +:10205000CDCC8C3F0000000000000000010000001B +:102060005ED032CF000000000000000000000080C1 +:102070005ED0324F0000000000000000FFFFFF7F35 +:10208000000040C000000000000000000000000050 +:10209000000080BF00000000000000000000000001 +:1020A000666666BF0000000000000000000000003F +:1020B0006666663F000000000000000000000000AF +:1020C0000000803F00000000000000000100000050 +:1020D000CDCC8C3F0000000000000000010000009B +:1020E0005ED032CF000000000000000000000000C1 +:1020F0005ED0324F0000000000000000005ED0B251 +:10210000FFFFFFFFFFFFFF7F000080FF0000807FD9 +:10211000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7F4F +:10212000000000000000F0FF000000000000F07F51 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32uf-p-fdiv.hex b/VexRiscv/src/test/resources/hex/rv32uf-p-fdiv.hex new file mode 100755 index 0000000..2d48fa4 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32uf-p-fdiv.hex @@ -0,0 +1,69 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F00400093E19153FD +:10004000171F000023203FFC6FF09FFF930000006C +:10005000130100009301000013020000930200004E +:100060001303000093030000130400009304000036 +:10007000130500009305000013060000930600001E +:100080001307000093070000130800009308000006 +:100090001309000093090000130A0000930A0000EE +:1000A000130B0000930B0000130C0000930C0000D6 +:1000B000130D0000930D0000130E0000930E0000BE +:1000C000130F0000930F0000732540F1631005002B +:1000D000970200009382020173905230735000180F +:1000E000970200009382020273905230B7020080A0 +:1000F0009382F2FF7390023B9302F0017390023AF5 +:100100007350403097020000938242017390523046 +:10011000735020307350303093010000970200007C +:10012000938282EE73905230130510001315F5017F +:10013000634C05000F00F00F930110009308D005E9 +:10014000130500007300000097020080938282EB89 +:10015000638A020073905210B7B20000938292102B +:100160007390223073500030372500007320053023 +:100170007350300097020000938242017390123452 +:10018000732540F1730020309301200017250000F3 +:10019000130545E707200500872045000721850056 +:1001A0008326C500D3711018538501E0F3151000A4 +:1001B000130610006312D5146390C5149301300028 +:1001C00017250000130505E50720050087204500D9 +:1001D000072185008326C500D3711018538501E0DF +:1001E000F3151000130610006318D5106396C510A0 +:1001F00093014000172500001305C5E20720050004 +:1002000087204500072185008326C500D37110187B +:10021000538501E0F315100013060000631ED50C92 +:10022000639CC50C9301500017250000130585E061 +:100230000720050087204500072185008326C5008B +:10024000D3710058538501E0F31510001306100018 +:100250006314D50A6392C50A930160001725000054 +:10026000130545DE0720050087204500072185008E +:100270008326C500D3710058538501E0F3151000A3 +:1002800013060000631AD5066398C5069301700033 +:1002900017250000130505DC072005008720450011 +:1002A000072185008326C500D3710058538501E0DE +:1002B000F3151000130600016310D504639EC502F8 +:1002C0009301800017250000130505DA07200500BB +:1002D00087204500072185008326C500D37100587B +:1002E000538501E0F3151000130610006316D500C6 +:1002F0006394C500631030020F00F00F63800100AB +:100300009391110093E111009308D005138501002A +:10031000730000000F00F00F930110009308D00548 +:100320001305000073000000731000C000000000FF +:1003300000000000000000000000000000000000BD +:0403400000000000B9 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:10200000DB0F494054F82D4000000000E0EE933F04 +:1020100000409AC433639A4400000000A2C57FBF09 +:10202000DB0F49400000803F00000000DB0F49400B +:10203000DB0F49400000000000000000C5DFE23F68 +:1020400000401C4600000000000000000000C842E4 +:10205000000080BF00000000000000000000C07F02 +:102060000000000000002B43000000000000000002 +:10207000263A51410000000000000000000000006E +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32uf-p-fmadd.hex b/VexRiscv/src/test/resources/hex/rv32uf-p-fmadd.hex new file mode 100755 index 0000000..b8ead87 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32uf-p-fmadd.hex @@ -0,0 +1,89 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F00400093E19153FD +:10004000171F000023203FFC6FF09FFF930000006C +:10005000130100009301000013020000930200004E +:100060001303000093030000130400009304000036 +:10007000130500009305000013060000930600001E +:100080001307000093070000130800009308000006 +:100090001309000093090000130A0000930A0000EE +:1000A000130B0000930B0000130C0000930C0000D6 +:1000B000130D0000930D0000130E0000930E0000BE +:1000C000130F0000930F0000732540F1631005002B +:1000D000970200009382020173905230735000180F +:1000E000970200009382020273905230B7020080A0 +:1000F0009382F2FF7390023B9302F0017390023AF5 +:100100007350403097020000938242017390523046 +:10011000735020307350303093010000970200007C +:10012000938282EE73905230130510001315F5017F +:10013000634C05000F00F00F930110009308D005E9 +:10014000130500007300000097020080938282EB89 +:10015000638A020073905210B7B20000938292102B +:100160007390223073500030372500007320053023 +:100170007350300097020000938242017390123452 +:10018000732540F1730020309301200017250000F3 +:10019000130545E707200500872045000721850056 +:1001A0008326C500C3711010538501E0F3151000BC +:1001B000130600006314D5246392C5249301300014 +:1001C00017250000130505E50720050087204500D9 +:1001D000072185008326C500C3711010538501E0F7 +:1001E000F315100013061000631AD5206398C5207C +:1001F00093014000172500001305C5E20720050004 +:1002000087204500072185008326C500C371101093 +:10021000538501E0F3151000130600006310D51E8E +:10022000639EC51C9301500017250000130585E04F +:100230000720050087204500072185008326C5008B +:10024000CF711010538501E0F31510001306000064 +:100250006316D51A6394C51A930160001725000030 +:10026000130545DE0720050087204500072185008E +:100270008326C500CF711010538501E0F3151000DF +:1002800013061000631CD516639AC51693017000FF +:1002900017250000130505DC072005008720450011 +:1002A000072185008326C500CF711010538501E01A +:1002B000F3151000130600006312D5146390C514E3 +:1002C00093018000172500001305C5D907200500FC +:1002D00087204500072185008326C500C7711010BF +:1002E000538501E0F3151000130600006318D510C4 +:1002F0006396C5109301900017250000130585D75C +:100300000720050087204500072185008326C500BA +:10031000C7711010538501E0F3151000130610008B +:10032000631ED50C639CC50C9301A000172500002B +:10033000130545D5072005008720450007218500C6 +:100340008326C500C7711010538501E0F315100016 +:10035000130600006314D50A6392C50A9301B00026 +:1003600017250000130505D3072005008720450049 +:10037000072185008326C500CB711010538501E04D +:10038000F315100013060000631AD5066398C5061E +:100390009301C000172500001305C5D007200500F4 +:1003A00087204500072185008326C500CB711010EA +:1003B000538501E0F3151000130610006310D504F7 +:1003C000639EC5029301D00017250000130585CE5A +:1003D0000720050087204500072185008326C500EA +:1003E000CB711010538501E0F315100013060000C7 +:1003F0006316D5006394C500631030020F00F00F40 +:10040000638001009391110093E111009308D005DE +:1004100013850100730000000F00F00F930110001E +:100420009308D0051305000073000000731000C08E +:1004300000000000000000000000000000000000BC +:0404400000000000B8 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:102000000000803F000020400000803F0000604052 +:10201000000080BF33639AC4CDCC8C3F66869A445F +:10202000000000400000A0C0000000C0000040C14F +:102030000000803F000020400000803F000060C0A2 +:10204000000080BF33639AC4CDCC8C3F66869AC4AF +:10205000000000400000A0C0000000C0000040419F +:102060000000803F000020400000803F0000C03F93 +:10207000000080BF33639AC4CDCC8C3F00409A44AB +:10208000000000400000A0C0000000C0000000C12F +:102090000000803F000020400000803F0000C0BFE3 +:1020A000000080BF33639AC4CDCC8C3F00409AC4FB +:1020B000000000400000A0C0000000C0000000417F +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32uf-p-fmin.hex b/VexRiscv/src/test/resources/hex/rv32uf-p-fmin.hex new file mode 100755 index 0000000..b1126d3 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32uf-p-fmin.hex @@ -0,0 +1,115 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F00400093E19153FD +:10004000171F000023203FFC6FF09FFF930000006C +:10005000130100009301000013020000930200004E +:100060001303000093030000130400009304000036 +:10007000130500009305000013060000930600001E +:100080001307000093070000130800009308000006 +:100090001309000093090000130A0000930A0000EE +:1000A000130B0000930B0000130C0000930C0000D6 +:1000B000130D0000930D0000130E0000930E0000BE +:1000C000130F0000930F0000732540F1631005002B +:1000D000970200009382020173905230735000180F +:1000E000970200009382020273905230B7020080A0 +:1000F0009382F2FF7390023B9302F0017390023AF5 +:100100007350403097020000938242017390523046 +:10011000735020307350303093010000970200007C +:10012000938282EE73905230130510001315F5017F +:10013000634C05000F00F00F930110009308D005E9 +:10014000130500007300000097020080938282EB89 +:10015000638A020073905210B7B20000938292102B +:100160007390223073500030372500007320053023 +:100170007350300097020000938242017390123452 +:10018000732540F1730020309301200017250000F3 +:10019000130545E707200500872045000721850056 +:1001A0008326C500D3011028538501E0F315100004 +:1001B000130600006310D538639EC53693013000E6 +:1001C00017250000130505E50720050087204500D9 +:1001D000072185008326C500D3011028538501E03F +:1001E000F3151000130600006316D5346394C5346C +:1001F00093014000172500001305C5E20720050004 +:1002000087204500072185008326C500D3011028DB +:10021000538501E0F315100013060000631CD53070 +:10022000639AC5309301500017250000130585E03F +:100230000720050087204500072185008326C5008B +:10024000D3011028538501E0F315100013060000B8 +:100250006312D52E6390C52E930160001725000010 +:10026000130545DE0720050087204500072185008E +:100270008326C500D3011028538501E0F315100033 +:10028000130600006318D52A6396C52A93017000EF +:1002900017250000130505DC072005008720450011 +:1002A000072185008326C500D3011028538501E06E +:1002B000F315100013060000631ED526639CC526A7 +:1002C0009301C000172500001305C5D907200500BC +:1002D00087204500072185008326C500D3111028FB +:1002E000538501E0F3151000130600006314D524B4 +:1002F0006392C5249301D00017250000130585D70C +:100300000720050087204500072185008326C500BA +:10031000D3111028538501E0F315100013060000D7 +:10032000631AD5206398C5209301E00017250000CB +:10033000130545D5072005008720450007218500C6 +:100340008326C500D3111028538501E0F315100052 +:10035000130600006310D51E639EC51C9301F000B8 +:1003600017250000130505D3072005008720450049 +:10037000072185008326C500D3111028538501E08D +:10038000F3151000130600006316D51A6394C51AFE +:1003900093010001172500001305C5D007200500B3 +:1003A00087204500072185008326C500D31110282A +:1003B000538501E0F315100013060000631CD516E9 +:1003C000639AC5169301100117250000130585CE09 +:1003D0000720050087204500072185008326C500EA +:1003E000D3111028538501E0F31510001306000007 +:1003F0006312D5146390C5149301400117250000C2 +:10040000130545CC072005008720450007218500FE +:100410008326C500D3111028538501E0F315100081 +:10042000130600016318D5106396C510930150019F +:1004300017250000130505CA072005008720450081 +:10044000072185008326C500D3111028538501E0BC +:10045000F315100013060000631ED50C639CC50C39 +:100460009301E001172500001305C5C7072005000B +:1004700087204500072185008326C500D301102869 +:10048000538501E0F3151000130600006314D50A2C +:100490006392C50A9301F00117250000130585C575 +:1004A0000720050087204500072185008326C50019 +:1004B000D3011028538501E0F31510001306000046 +:1004C000631AD5066398C50693010002172500003C +:1004D000130545C307200500872045000721850037 +:1004E0008326C500D3111028538501E0F3151000B1 +:1004F000130600006310D504639EC5029301100229 +:1005000017250000130505C10720050087204500B9 +:10051000072185008326C500D3111028538501E0EB +:10052000F3151000130600006316D5006394C50090 +:10053000631030020F00F00F6380010093911100EF +:1005400093E111009308D0051385010073000000AA +:100550000F00F00F930110009308D0051305000061 +:1005600073000000731000C00000000000000000D5 +:10057000000000000000000000000000000000007B +:040580000000000077 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:10200000000020400000803F000000000000803FF2 +:1020100033639AC4CDCC8C3F0000000033639AC474 +:10202000CDCC8C3F33639AC40000000033639AC464 +:10203000FFFFFF7F33639AC40000000033639AC43C +:10204000DB0F494077CC2B320000000077CC2B32DD +:10205000000080BF000000C000000000000000C0C1 +:10206000000020400000803F0000000000002040F1 +:1020700033639AC4CDCC8C3F00000000CDCC8C3FA4 +:10208000CDCC8C3F33639AC400000000CDCC8C3F94 +:10209000FFFFFF7F33639AC40000000033639AC4DC +:1020A000DB0F494077CC2B3200000000DB0F4940AA +:1020B000000080BF000000C000000000000080BFE2 +:1020C0000100807F0000803F000000000000803F92 +:1020D000FFFFFF7FFFFFFF7F000000000000C07FC9 +:1020E00000000080000000000000000000000080F0 +:1020F00000000000000000800000000000000080E0 +:10210000000000800000000000000000000000004F +:10211000000000000000008000000000000000003F +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32uf-p-ldst.hex b/VexRiscv/src/test/resources/hex/rv32uf-p-ldst.hex new file mode 100755 index 0000000..3626fdb --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32uf-p-ldst.hex @@ -0,0 +1,43 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F00400093E19153FD +:10004000171F000023203FFC6FF09FFF930000006C +:10005000130100009301000013020000930200004E +:100060001303000093030000130400009304000036 +:10007000130500009305000013060000930600001E +:100080001307000093070000130800009308000006 +:100090001309000093090000130A0000930A0000EE +:1000A000130B0000930B0000130C0000930C0000D6 +:1000B000130D0000930D0000130E0000930E0000BE +:1000C000130F0000930F0000732540F1631005002B +:1000D000970200009382020173905230735000180F +:1000E000970200009382020273905230B7020080A0 +:1000F0009382F2FF7390023B9302F0017390023AF5 +:100100007350403097020000938242017390523046 +:10011000735020307350303093010000970200007C +:10012000938282EE73905230130510001315F5017F +:10013000634C05000F00F00F930110009308D005E9 +:10014000130500007300000097020080938282EB89 +:10015000638A020073905210B7B20000938292102B +:100160007390223073500030372500007320053023 +:100170007350300097020000938242017390123452 +:10018000732540F17300203097250000938585E7A3 +:1001900087A0450027AA150003A54501B703004025 +:1001A000930120006314750297250000938585E56F +:1001B00087A0050027AC150003A58501B70380BF04 +:1001C0009301300063147500631030020F00F00FCC +:1001D000638001009391110093E111009308D00511 +:1001E00013850100730000000F00F00F9301100051 +:1001F0009308D0051305000073000000731000C0C1 +:0402000000000000FA +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:10200000000080BF0000004000004040000080C091 +:10201000EFBEADDEBEBAFECAEA1DADAB0DD03713C2 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32uf-p-move.hex b/VexRiscv/src/test/resources/hex/rv32uf-p-move.hex new file mode 100755 index 0000000..7b8c19a --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32uf-p-move.hex @@ -0,0 +1,81 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F00400093E19153FD +:10004000171F000023203FFC6FF09FFF930000006C +:10005000130100009301000013020000930200004E +:100060001303000093030000130400009304000036 +:10007000130500009305000013060000930600001E +:100080001307000093070000130800009308000006 +:100090001309000093090000130A0000930A0000EE +:1000A000130B0000930B0000130C0000930C0000D6 +:1000B000130D0000930D0000130E0000930E0000BE +:1000C000130F0000930F0000732540F1631005002B +:1000D000970200009382020173905230735000180F +:1000E000970200009382020273905230B7020080A0 +:1000F0009382F2FF7390023B9302F0017390023AF5 +:100100007350403097020000938242017390523046 +:10011000735020307350303093010000970200007C +:10012000938282EE73905230130510001315F5017F +:10013000634C05000F00F00F930110009308D005E9 +:10014000130500007300000097020080938282EB89 +:10015000638A020073905210B7B20000938292102B +:100160007390223073500030372500007320053023 +:100170007350300097020000938242017390123452 +:10018000732540F17300203073D030003715000024 +:1001900013054523F3153500930310009301200048 +:1001A000639C752673253000930340039301300050 +:1001B00063147526732510009303400193014000DA +:1001C000631C7524735521009303100093015000A4 +:1001D0006314752473253000930340059301600078 +:1001E000631C752273751200930340019301700024 +:1001F000631475227325300093030005930180007A +:10020000631C7520B755341293858567130600006B +:10021000D38005F0530106F053802020530500E001 +:10022000B7533412938383679301A0006316751E3E +:10023000B7553412938585671306F0FFD38005F018 +:10024000530106F053802020530500E0B753349249 +:10025000938383679301B0006310751CB755349284 +:100260009385856713060000D38005F0530106F0DF +:1002700053802020530500E0B753341293838367E3 +:100280009301C000631A7518B7553492938585673A +:100290001306F0FFD38005F0530106F053802020B1 +:1002A000530500E0B7533492938383679301D000E2 +:1002B00063147516B75534129385856713060000CD +:1002C000D38005F0530106F053902020530500E041 +:1002D000B75334929383836793014001631E751271 +:1002E000B7553412938585671306F0FFD38005F068 +:1002F000530106F053902020530500E0B753341209 +:10030000938383679301500163187510B755349236 +:100310009385856713060000D38005F0530106F02E +:1003200053902020530500E0B753349293838367A2 +:10033000930160016312750EB755349293858567FA +:100340001306F0FFD38005F0530106F053902020F0 +:10035000530500E0B7533412938383679301700110 +:10036000631C750AB7553412938585671306000020 +:10037000D38005F0530106F053A02020530500E080 +:10038000B7533412938383679301E00163167508B2 +:10039000B7553412938585671306F0FFD38005F0B7 +:1003A000530106F053A02020530500E0B7533492C8 +:1003B000938383679301F00163107506B7553492F8 +:1003C0009385856713060000D38005F0530106F07E +:1003D00053A02020530500E0B753349293838367E2 +:1003E00093010002631A7502B755349293858567AD +:1003F0001306F0FFD38005F0530106F053A0202030 +:10040000530500E0B75334129383836793011002BE +:1004100063147500631030020F00F00F6380010059 +:100420009391110093E111009308D0051385010009 +:10043000730000000F00F00F930110009308D00527 +:100440001305000073000000731000C000000000DE +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:040480000000000078 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32uf-p-recoding.hex b/VexRiscv/src/test/resources/hex/rv32uf-p-recoding.hex new file mode 100755 index 0000000..bd12e2a --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32uf-p-recoding.hex @@ -0,0 +1,46 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F00400093E19153FD +:10004000171F000023203FFC6FF09FFF930000006C +:10005000130100009301000013020000930200004E +:100060001303000093030000130400009304000036 +:10007000130500009305000013060000930600001E +:100080001307000093070000130800009308000006 +:100090001309000093090000130A0000930A0000EE +:1000A000130B0000930B0000130C0000930C0000D6 +:1000B000130D0000930D0000130E0000930E0000BE +:1000C000130F0000930F0000732540F1631005002B +:1000D000970200009382020173905230735000180F +:1000E000970200009382020273905230B7020080A0 +:1000F0009382F2FF7390023B9302F0017390023AF5 +:100100007350403097020000938242017390523046 +:10011000735020307350303093010000970200007C +:10012000938282EE73905230130510001315F5017F +:10013000634C05000F00F00F930110009308D005E9 +:10014000130500007300000097020080938282EB89 +:10015000638A020073905210B7B20000938292102B +:100160007390223073500030372500007320053023 +:100170007350300097020000938242017390123452 +:10018000732540F17300203017250000072085E714 +:1001900017250000872045E7D3F00010532510A055 +:1001A000930310009301200063147506530510A0FB +:1001B0009303100093013000631C7504531510A0C5 +:1001C000930300009301400063147504537000D042 +:1001D00013051000D37005D0D3F00010532510A0E4 +:1001E000930310009301500063147502530510A08F +:1001F0009303100093016000631C7500531510A059 +:1002000093030000930170006314750063103002C3 +:100210000F00F00F638001009391110093E1110032 +:100220009308D00513850100730000000F00F00F44 +:10023000930110009308D00513050000730000001F +:04024000731000C077 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:10200000000080FF000040400000000000000000D1 +:040000058000000077 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-add.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-add.hex new file mode 100644 index 0000000..1033177 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-add.hex @@ -0,0 +1,101 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F17300203093000000D8 +:1000C00013010000B3812000930E0000130E2000E6 +:1000D0006396D14D9300100013011000B3812000EE +:1000E000930E2000130E3000639AD14B9300300022 +:1000F00013017000B3812000930EA000130E400086 +:10010000639ED149930000003781FFFFB381200037 +:10011000B78EFFFF130E50006392D149B7000080E5 +:1001200013010000B3812000B70E0080130E6000A1 +:100130006396D147B70000803781FFFFB38120006D +:10014000B78EFF7F130E7000639AD14593000000B5 +:10015000378100001301F1FFB3812000B78E00004A +:10016000938EFEFF130E8000639AD143B700008088 +:100170009380F0FF13010000B3812000B70E0080D0 +:10018000938EFEFF130E9000639AD141B70000805A +:100190009380F0FF378100001301F1FFB38120004D +:1001A000B78E0080938EEEFF130EA0006398D13FB0 +:1001B000B7000080378100001301F1FFB3812000F8 +:1001C000B78E0080938EFEFF130EB0006398D13D72 +:1001D000B70000809380F0FF3781FFFFB3812000DC +:1001E000B78EFF7F938EFEFF130EC0006398D13B46 +:1001F000930000001301F0FFB3812000930EF0FF85 +:10020000130ED000639CD1399300F0FF130110004E +:10021000B3812000930E0000130EE0006390D139EB +:100220009300F0FF1301F0FFB3812000930EE0FF75 +:10023000130EF0006394D137930010003701008053 +:100240001301F1FFB3812000B70E0080130E0001EF +:100250006396D1359300D0001301B000B380200025 +:10026000930E8001130E1001639AD0339300E000C7 +:100270001301B00033812000930E9001130E200172 +:10028000631ED1319300D000B3801000930EA00103 +:10029000130E30016394D031130200009300D0009C +:1002A0001301B000B3812000138301001302120078 +:1002B00093022000E31452FE930E8001130E4001BE +:1002C000631ED32D130200009300E0001301B00061 +:1002D000B3812000130000001383010013021200F9 +:1002E00093022000E31252FE930E9001130E500170 +:1002F0006316D32B130200009300F0001301B0002B +:10030000B3812000130000001300000013830100DC +:100310001302120093022000E31052FE930EA0017C +:10032000130E6001631CD327130200009300D0005A +:100330001301B000B38120001302120093022000C9 +:10034000E31652FE930E8001130E70016398D125BF +:10035000130200009300E0001301B000130000003E +:10036000B38120001302120093022000E31452FE16 +:10037000930E9001130E80016392D12313020000AB +:100380009300F0001301B000130000001300000000 +:10039000B38120001302120093022000E31252FEE8 +:1003A000930EA001130E9001639AD11F1302000057 +:1003B0009300D000130000001301B000B3812000AF +:1003C0001302120093022000E31452FE930E8001E8 +:1003D000130EA0016394D11D130200009300E000EE +:1003E000130000001301B00013000000B3812000CF +:1003F0001302120093022000E31252FE930E9001AA +:10040000130EB001639CD119130200009300F00099 +:1004100013000000130000001301B000B38120009E +:100420001302120093022000E31252FE930EA00169 +:10043000130EC0016394D117130200001301B00022 +:100440009300D000B3812000130212009302200019 +:10045000E31652FE930E8001130ED0016390D11566 +:10046000130200001301B0009300E000130000002D +:10047000B38120001302120093022000E31452FE05 +:10048000930E9001130EE001639AD1111302000044 +:100490001301B0009300F0001300000013000000EF +:1004A000B38120001302120093022000E31252FED7 +:1004B000930EA001130EF0016392D10F13020000FE +:1004C0001301B000130000009300D000B38120009E +:1004D0001302120093022000E31452FE930E8001D7 +:1004E000130E0002639CD10B130200001301B00035 +:1004F000130000009300E00013000000B38120000F +:100500001302120093022000E31252FE930E900198 +:10051000130E10026394D109130200001301B000FE +:1005200013000000130000009300F000B3812000CE +:100530001302120093022000E31252FE930EA00158 +:10054000130E2002639CD1059300F00033011000CC +:10055000930EF000130E30026312D10593000002D7 +:1005600033810000930E0002130E40026318D10382 +:10057000B3000000930E0000130E50026390D003EE +:10058000930000011301E00133802000930E00006E +:10059000130E60026314D001631CC0010F00F00F42 +:1005A00063000E00131E1E00136E1E007300000079 +:1005B0000F00F00F130E100073000000731000C046 +:0405C0000000000037 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-addi.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-addi.hex new file mode 100644 index 0000000..988b321 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-addi.hex @@ -0,0 +1,65 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F17300203093000000D8 +:1000C00093810000930E0000130E2000639CD12743 +:1000D0009300100093811000930E2000130E300047 +:1000E0006392D1279300300093817000930EA0009B +:1000F000130E40006398D125930000009381008087 +:10010000930E0080130E5000639ED123B700008031 +:1001100093810000B70E0080130E60006394D1231A +:10012000B700008093810080B70E0080938E0E8010 +:10013000130E70006398D121930000009381F07F2B +:10014000930EF07F130E8000639ED11FB7000080D6 +:100150009380F0FF93810000B70E0080938EFEFF26 +:10016000130E90006390D11FB70000809380F0FFC2 +:100170009381F07FB70E0080938EEE7F130EA00068 +:100180006392D11DB70000809381F07FB70E00808D +:10019000938EFE7F130EB0006396D11BB7000080D4 +:1001A0009380F0FF93810080B7FEFF7F938EFE7FE8 +:1001B000130EC0006398D119930000009381F0FFE3 +:1001C000930EF0FF130ED000639ED1179300F0FF43 +:1001D00093811000930E0000130EE0006394D1177A +:1001E0009300F0FF9381F0FF930EE0FF130EF000F9 +:1001F000639AD115B70000809380F0FF93811000BF +:10020000B70E0080130E0001639ED1139300D0003F +:100210009380B000930E8001130E10016394D013ED +:10022000130200009300D0009381B00013830100FB +:100230001302120093022000E31652FE930E800177 +:10024000130E20016310D311130200009300D0009D +:100250009381A00013000000138301001302120019 +:1002600093022000E31452FE930E7001130E30012E +:10027000631AD30D130200009300D0009381900005 +:10028000130000001300000013830100130212008A +:1002900093022000E31252FE930E6001130E400100 +:1002A0006312D30B130200009300D0009381B000BF +:1002B0001302120093022000E31852FE930E8001F5 +:1002C000130E50016390D109130200009300D00077 +:1002D000130000009381A00013021200930220007B +:1002E000E31652FE930E7001130E6001639CD1055C +:1002F000130200009300D000130000001300000060 +:10030000938190001302120093022000E31452FE26 +:10031000930E6001130E70016396D10393000002E7 +:10032000930E0002130E8001639ED0019300100211 +:1003300013802003930E0000130E90016314D0016C +:10034000631CC0010F00F00F63000E00131E1E009F +:10035000136E1E00730000000F00F00F130E10004C +:1003600073000000731000C00000000000000000D7 +:10037000000000000000000000000000000000007D +:040380000000000079 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-and.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-and.hex new file mode 100644 index 0000000..6ec06d9 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-and.hex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diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-andi.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-andi.hex new file mode 100644 index 0000000..8a63aef --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-andi.hex @@ -0,0 +1,53 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F173002030B70001FFB4 +:1000C000938000F093F1F0F0B70E01FF938E0EF0E5 +:1000D000130E20006394D11BB710F00F938000FF24 +:1000E00093F1000F930E000F130E30006398D11997 +:1000F000B700FF009380F00F93F1F070930EF000C3 +:10010000130E4000639CD117B7F00FF09380F000FE +:1001100093F1000F930E0000130E50006390D1175F +:10012000B70001FF938000F093F0000F930E0000E2 +:10013000130E60006394D01513020000B710F00F87 +:10014000938000FF93F1F0701383010013021200FB +:1001500093022000E31452FE930E0070130E700001 +:10016000631ED31113020000B700FF009380F00F4D +:1001700093F1000F1300000013830100130212001B +:1001800093022000E31252FE930E000F130E800024 +:100190006316D30F13020000B7F00FF09380F00046 +:1001A00093F1F0F01300000013000000138301002E +:1001B0001302120093022000E31052FEB7FE0FF06C +:1001C000938EFE00130E9000631AD30B13020000EF +:1001D000B710F00F938000FF93F1F070130212003C +:1001E00093022000E31652FE930E0070130EA0003F +:1001F0006396D10913020000B700FF009380F00F4F +:100200001300000093F1000F13021200930220006C +:10021000E31452FE930E000F130EB0006390D1074B +:1002200013020000B7F00FF09380F00013000000FD +:100230001300000093F1F0701302120093022000EB +:10024000E31252FE930EF000130EC0006398D10328 +:100250009370000F930E0000130ED0006390D00334 +:10026000B700FF009380F00F13F0F070930E0000C2 +:10027000130EE0006314D001631CC0010F00F00FE7 +:1002800063000E00131E1E00136E1E00730000009C +:100290000F00F00F130E100073000000731000C069 +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:0402C000000000003A +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-auipc.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-auipc.hex new file mode 100644 index 0000000..6d15d3d --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-auipc.hex @@ -0,0 +1,28 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F1730020301300000058 +:1000C000172500001305C571EF0540003305B54045 +:1000D000B72E0000938E0E71130E20006314D5030B +:1000E00017E5FFFF1305C58FEF0540003305B54049 +:1000F000B7EEFFFF938E0E8F130E30006314D50101 +:10010000631CC0010F00F00F63000E00131E1E00E1 +:10011000136E1E00730000000F00F00F130E10008E +:1001200073000000731000C0000000000000000019 +:1001300000000000000000000000000000000000BF +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-beq.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-beq.hex new file mode 100644 index 0000000..5983d71 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-beq.hex @@ -0,0 +1,69 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F173002030130E20002A +:1000C0009300000013010000638620006318C02B1A +:1000D0006316C001E38E20FE6312C02B130E3000A6 +:1000E0009300100013011000638620006318C029DC +:1000F0006316C001E38E20FE6312C029130E400078 +:100100009300F0FF1301F0FF638620006318C027FF +:100110006316C001E38E20FE6312C027130E500049 +:100120009300000013011000638420006314C001D9 +:100130006316C025E38E20FE130E600093001000AE +:1001400013010000638420006314C0016318C023FE +:10015000E38E20FE130E70009300F0FF13011000D9 +:10016000638420006314C001631AC021E38E20FE63 +:10017000130E8000930010001301F0FF6384200031 +:100180006314C001631CC01FE38E20FE130E900099 +:1001900013020000930000001301F0FF6380201E93 +:1001A0001302120093022000E31652FE130EA00069 +:1001B00013020000930000001301F0FF1300000081 +:1001C000638E201A1302120093022000E31452FEE1 +:1001D000130EB00013020000930000001301F0FFA3 +:1001E0001300000013000000638A2018130212009D +:1001F00093022000E31252FE130EC000130200000F +:1002000093000000130000001301F0FF6388201624 +:100210001302120093022000E31452FE130ED000CA +:100220001302000093000000130000001301F0FF10 +:1002300013000000638420141302120093022000B4 +:10024000E31252FE130EE0001302000093000000C0 +:1002500013000000130000001301F0FF6380201260 +:100260001302120093022000E31252FE130EF0005C +:1002700013020000930000001301F0FF63802010C0 +:100280001302120093022000E31652FE130E000127 +:1002900013020000930000001301F0FF13000000A0 +:1002A000638E200C1302120093022000E31452FE0E +:1002B000130E100113020000930000001301F0FF61 +:1002C0001300000013000000638A200A13021200CA +:1002D00093022000E31252FE130E200113020000CD +:1002E00093000000130000001301F0FF6388200852 +:1002F0001302120093022000E31452FE130E300189 +:100300001302000093000000130000001301F0FF2F +:1003100013000000638420061302120093022000E1 +:10032000E31252FE130E400113020000930000007E +:1003300013000000130000001301F0FF638020048D +:100340001302120093022000E31252FE93001000E9 +:10035000630A0000938010009380100093801000C7 +:10036000938010009380100093801000930E300053 +:10037000130E50016394D001631CC0010F00F00FF5 +:1003800063000E00131E1E00136E1E00730000009B +:100390000F00F00F130E100073000000731000C068 +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:0403C0000000000039 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-bge.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-bge.hex new file mode 100644 index 0000000..ea098af --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-bge.hex @@ -0,0 +1,73 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F173002030130E20002A +:1000C000930000001301000063D620006318C031C4 +:1000D0006316C001E3DE20FE6312C031130E300050 +:1000E000930010001301100063D620006318C02F86 +:1000F0006316C001E3DE20FE6312C02F130E400022 +:100100009300F0FF1301F0FF63D620006318C02DA9 +:100110006316C001E3DE20FE6312C02D130E5000F3 +:10012000930010001301000063D620006318C02B59 +:100130006316C001E3DE20FE6312C02B130E6000C5 +:10014000930010001301F0FF63D620006318C0294C +:100150006316C001E3DE20FE6312C029130E700097 +:100160009300F0FF1301E0FF63D620006318C0275F +:100170006316C001E3DE20FE6312C027130E800069 +:10018000930000001301100063D420006314C00129 +:100190006316C025E3DE20FE130E90009300F0FFEF +:1001A0001301100063D420006314C0016318C0233E +:1001B000E3DE20FE130EA0009300E0FF1301F0FF2A +:1001C00063D420006314C001631AC021E3DE20FE63 +:1001D000130EB0009300E0FF1301100063D4200061 +:1001E0006314C001631CC01FE3DE20FE130EC000B9 +:1001F000130200009300F0FF1301000063D0201EE3 +:100200001302120093022000E31652FE130ED000D8 +:10021000130200009300F0FF130100001300000020 +:1002200063DE201A1302120093022000E31452FE30 +:10023000130EE000130200009300F0FF1301000012 +:10024000130000001300000063DA201813021200EC +:1002500093022000E31252FE130EF000130200007E +:100260009300F0FF130000001301000063D8201674 +:100270001302120093022000E31452FE130E000139 +:10028000130200009300F0FF1300000013010000B0 +:100290001300000063D42014130212009302200004 +:1002A000E31252FE130E1001130200009300F0FF40 +:1002B00013000000130000001301000063D020129F +:1002C0001302120093022000E31252FE130E2001CB +:1002D000130200009300F0FF1301000063D0201010 +:1002E0001302120093022000E31652FE130E300197 +:1002F000130200009300F0FF130100001300000040 +:1003000063DE200C1302120093022000E31452FE5D +:10031000130E4001130200009300F0FF13010000D0 +:10032000130000001300000063DA200A1302120019 +:1003300093022000E31252FE130E5001130200003C +:100340009300F0FF130000001301000063D82008A1 +:100350001302120093022000E31452FE130E6001F8 +:10036000130200009300F0FF1300000013010000CF +:100370001300000063D42006130212009302200031 +:10038000E31252FE130E7001130200009300F0FFFF +:1003900013000000130000001301000063D02004CC +:1003A0001302120093022000E31252FE9300100089 +:1003B00063DA000093801000938010009380100097 +:1003C000938010009380100093801000930E3000F3 +:1003D000130E80016394D001631CC0010F00F00F65 +:1003E00063000E00131E1E00136E1E00730000003B +:1003F0000F00F00F130E100073000000731000C008 +:0404000000000000F8 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-bgeu.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-bgeu.hex new file mode 100644 index 0000000..b7970cd --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-bgeu.hex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diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-blt.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-blt.hex new file mode 100644 index 0000000..322ff1d --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-blt.hex @@ -0,0 +1,69 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F173002030130E20002A +:1000C000930000001301100063C620006318C02BCA +:1000D0006316C001E3CE20FE6312C02B130E300066 +:1000E0009300F0FF1301100063C620006318C029BD +:1000F0006316C001E3CE20FE6312C029130E400038 +:100100009300E0FF1301F0FF63C620006318C027CF +:100110006316C001E3CE20FE6312C027130E500009 +:10012000930010001301000063C420006314C00199 +:100130006316C025E3CE20FE130E6000930010006E +:100140001301F0FF63C420006314C0016318C023CF +:10015000E3CE20FE130E70009300F0FF1301E0FFCA +:1001600063C420006314C001631AC021E3CE20FEE3 +:10017000130E8000930010001301E0FF63C4200001 +:100180006314C001631CC01FE3CE20FE130E900059 +:1001900013020000930000001301F0FF63C0201E53 +:1001A0001302120093022000E31652FE130EA00069 +:1001B00013020000930000001301F0FF1300000081 +:1001C00063CE201A1302120093022000E31452FEA1 +:1001D000130EB00013020000930000001301F0FFA3 +:1001E000130000001300000063CA2018130212005D +:1001F00093022000E31252FE130EC000130200000F +:1002000093000000130000001301F0FF63C82016E4 +:100210001302120093022000E31452FE130ED000CA +:100220001302000093000000130000001301F0FF10 +:100230001300000063C42014130212009302200074 +:10024000E31252FE130EE0001302000093000000C0 +:1002500013000000130000001301F0FF63C0201220 +:100260001302120093022000E31252FE130EF0005C +:1002700013020000930000001301F0FF63C0201080 +:100280001302120093022000E31652FE130E000127 +:1002900013020000930000001301F0FF13000000A0 +:1002A00063CE200C1302120093022000E31452FECE +:1002B000130E100113020000930000001301F0FF61 +:1002C000130000001300000063CA200A130212008A +:1002D00093022000E31252FE130E200113020000CD +:1002E00093000000130000001301F0FF63C8200812 +:1002F0001302120093022000E31452FE130E300189 +:100300001302000093000000130000001301F0FF2F +:100310001300000063C420061302120093022000A1 +:10032000E31252FE130E400113020000930000007E +:1003300013000000130000001301F0FF63C020044D +:100340001302120093022000E31252FE93001000E9 +:10035000634A100093801000938010009380100077 +:10036000938010009380100093801000930E300053 +:10037000130E50016394D001631CC0010F00F00FF5 +:1003800063000E00131E1E00136E1E00730000009B +:100390000F00F00F130E100073000000731000C068 +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:0403C0000000000039 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-bltu.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-bltu.hex new file mode 100644 index 0000000..783304b --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-bltu.hex @@ -0,0 +1,73 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F173002030130E20002A +:1000C000930000001301100063E620006312C02FAC +:1000D0006316C001E3EE20FE631CC02D130E30003A +:1000E0009300E0FF1301F0FF63E620006312C02DD0 +:1000F0006316C001E3EE20FE631CC02B130E40000C +:10010000930000001301F0FF63E620006312C02B90 +:100110006316C001E3EE20FE631CC029130E5000DD +:10012000930010001301000063E420006314C00179 +:100130006310C029E3EE20FE130E60009300F0FF71 +:100140001301E0FF63E420006314C0016312C027C1 +:10015000E3EE20FE130E70009300F0FF1301000089 +:1001600063E420006314C0016314C025E3EE20FEA5 +:10017000130E8000B7000080370100801301F1FFEB +:1001800063E420006314C0016314C023E3EE20FE87 +:10019000130E900013020000B70000F0370100F0CA +:1001A0001301F1FF63E620201302120093022000E6 +:1001B000E31452FE130EA00013020000B70000F07B +:1001C000370100F01301F1FF1300000063E2201E6D +:1001D0001302120093022000E31252FE130EB0002D +:1001E00013020000B70000F0370100F01301F1FF27 +:1001F000130000001300000063EC201A1302120029 +:1002000093022000E31052FE130EC0001302000000 +:10021000B70000F013000000370100F01301F1FFF8 +:1002200063E820181302120093022000E31252FE2A +:10023000130ED00013020000B70000F013000000FE +:10024000370100F01301F1FF1300000063E22016F4 +:100250001302120093022000E31052FE130EE0007E +:1002600013020000B70000F01300000013000000AC +:10027000370100F01301F1FF63EC201213021200AA +:1002800093022000E31052FE130EF0001302000050 +:10029000B70000F0370100F01301F1FF63EA20100E +:1002A0001302120093022000E31452FE130E000109 +:1002B00013020000B70000F0370100F01301F1FF56 +:1002C0001300000063E6200E1302120093022000C8 +:1002D000E31252FE130E100113020000B70000F0EB +:1002E000370100F01301F1FF1300000013000000BC +:1002F00063E0200C1302120093022000E31052FE70 +:10030000130E200113020000B70000F013000000DC +:10031000370100F01301F1FF63EC20081302120013 +:1003200093022000E31252FE130E3001130200006C +:10033000B70000F013000000370100F01301F1FFD7 +:100340001300000063E6200613021200930220004F +:10035000E31052FE130E400113020000B70000F03C +:100360001300000013000000370100F01301F1FF3B +:1003700063E020041302120093022000E31052FEF7 +:1003800093001000636A10009380100093801000A7 +:1003900093801000938010009380100093801000D1 +:1003A000930E3000130E50016394D001631CC00102 +:1003B0000F00F00F63000E00131E1E00136E1E00D0 +:1003C000730000000F00F00F130E10007300000008 +:1003D000731000C0000000000000000000000000DA +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:0404000000000000F8 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-bne.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-bne.hex new file mode 100644 index 0000000..83bb9db --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-bne.hex @@ -0,0 +1,69 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F173002030130E20002A +:1000C000930000001301100063962000631AC02BF8 +:1000D0006316C001E39E20FE6314C02B130E300094 +:1000E000930010001301000063962000631AC029DA +:1000F0006316C001E39E20FE6314C029130E400066 +:100100009300F0FF1301100063962000631AC027CC +:100110006316C001E39E20FE6314C027130E500037 +:10012000930010001301F0FF63962000631AC025AE +:100130006316C001E39E20FE6314C025130E600009 +:100140009300000013010000639420006314C001B9 +:100150006318C023E39E20FE130E7000930010006E +:1001600013011000639420006314C001631AC021BE +:10017000E39E20FE130E80009300F0FF1301F0FFBA +:10018000639420006314C001631CC01FE39E20FE23 +:10019000130E9000130200009300000013010000F2 +:1001A0006390201E1302120093022000E31652FEF9 +:1001B000130EA000130200009300000013010000C2 +:1001C00013000000639E201A130212009302200005 +:1001D000E31452FE130EB00013020000930000005F +:1001E000130100001300000013000000639A2018A0 +:1001F0001302120093022000E31252FE130EC000FD +:10020000130200009300000013000000130100001F +:10021000639820161302120093022000E31452FE8A +:10022000130ED00013020000930000001300000022 +:100230001301000013000000639420141302120045 +:1002400093022000E31252FE130EE000130200009E +:1002500093000000130000001300000013010000D1 +:10026000639020121302120093022000E31252FE48 +:10027000130EF000130200009300000013010000B1 +:10028000639020101302120093022000E31652FE26 +:10029000130E000113020000930000001301000080 +:1002A00013000000639E200C130212009302200032 +:1002B000E31452FE130E100113020000930000001D +:1002C000130100001300000013000000639A200ACD +:1002D0001302120093022000E31252FE130E2001BB +:1002E000130200009300000013000000130100003F +:1002F000639820081302120093022000E31452FEB8 +:10030000130E3001130200009300000013000000E0 +:100310001301000013000000639420061302120072 +:1003200093022000E31252FE130E4001130200005C +:1003300093000000130000001300000013010000F0 +:10034000639020041302120093022000E31252FE75 +:1003500093001000639A00009380100093801000B7 +:100360009380100093801000938010009380100001 +:10037000930E3000130E50016394D001631CC00132 +:100380000F00F00F63000E00131E1E00136E1E0000 +:10039000730000000F00F00F130E10007300000038 +:1003A000731000C00000000000000000000000000A +:1003B000000000000000000000000000000000003D +:0403C0000000000039 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-fence_i.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-fence_i.hex new file mode 100644 index 0000000..4823a04 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-fence_i.hex @@ -0,0 +1,41 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F1730020309306F006DC +:1000C00017250000031505F4972500008395A5F377 +:1000D00013000000130000001300000013000000D4 +:1000E00013000000130000001300000013000000C4 +:1000F00013000000130000001300000013000000B4 +:1001000097020000239AA200970200002397B200F2 +:100110000F1000009386E60D13000000930EC01B25 +:10012000130E2000639AD607130740061307F7FF44 +:10013000E31E07FE970200002396A2049702000028 +:100140002393B2040F1000001300000013000000FE +:100150001300000013000000130000001300000053 +:100160001300000013000000130000001300000043 +:100170001300000013000000130000001300000033 +:100180009386B62213000000930E9030130E3000B9 +:100190006394D601631CC0010F00F00F63000E00D2 +:1001A000131E1E00136E1E00730000000F00F00FE0 +:1001B000130E100073000000731000C00000000058 +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:0401F000000000000B +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:102000009386D614000000000000000000000000CD +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-jal.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-jal.hex new file mode 100644 index 0000000..f03aa97 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-jal.hex @@ -0,0 +1,29 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F173002030130E20002A +:1000C00093000000EF010001130000001300000086 +:1000D0006F00000417010000130141FF631A310291 +:1000E000930010006F004001938010009380100077 +:1000F0009380100093801000938010009380100074 +:10010000930E3000130E30006394D001631CC001C5 +:100110000F00F00F63000E00131E1E00136E1E0072 +:10012000730000000F00F00F130E100073000000AA +:10013000731000C00000000000000000000000007C +:0401400000000000BB +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-jalr.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-jalr.hex new file mode 100644 index 0000000..0381aae --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-jalr.hex @@ -0,0 +1,37 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F173002030130E20002A +:1000C000930200001703000013030301E70203007B +:1000D0006F00000C170300001303C3FF639A620A4A +:1000E000130E400013020000170300001303030166 +:1000F000E7090300631EC0091302120093022000E7 +:10010000E31452FE130E5000130200001703000008 +:100110001303430113000000E7090300631AC0073B +:100120001302120093022000E31252FE130E60002D +:1001300013020000170300001303830113000000E3 +:1001400013000000E70903006314C0051302120046 +:1001500093022000E31052FE9302100017030000E8 +:100160001303C3016700C3FF93821200938212003E +:1001700093821200938212009382120093821200E3 +:10018000930E4000130E70006394D201631CC001F3 +:100190000F00F00F63000E00131E1E00136E1E00F2 +:1001A000730000000F00F00F130E1000730000002A +:1001B000731000C0000000000000000000000000FC +:0401C000000000003B +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-lb.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-lb.hex new file mode 100644 index 0000000..f91bb8b --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-lb.hex @@ -0,0 +1,62 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F17300203097200000B4 +:1000C000938040F483810000930EF0FF130E200014 +:1000D000639CD123972000009380C0F2838110009D +:1000E000930E0000130E30006390D1239720000080 +:1000F000938040F183812000930E00FF130E400097 +:100100006394D121972000009380C0EF8381300059 +:10011000930EF000130E50006398D11F972000003B +:10012000938070EE8381D0FF930EF0FF130E60007A +:10013000639CD11D972000009380F0EC8381E0FF49 +:10014000930E0000130E70006390D11D97200000E5 +:10015000938070EB8381F0FF930E00FF130E8000FD +:100160006394D11B972000009380F0E98381000005 +:10017000930EF000130E90006398D11997200000A1 +:10018000938040E8938000FE83810002930EF0FF8D +:10019000130EA000639AD11797200000938080E689 +:1001A0009380A0FF83817000930E0000130EB000B7 +:1001B000639CD115130EC0001302000097200000AD +:1001C000938050E48381100013830100930E00FF9D +:1001D000631CD3131302120093022000E31052FE9B +:1001E000130ED00013020000972000009380A0E1BE +:1001F000838110001300000013830100930EF000B0 +:100200006314D3111302120093022000E31E52FC68 +:10021000130EE0001302000097200000938080DEA0 +:1002200083811000130000001300000013830100FD +:10023000930E0000631AD30D1302120093022000E4 +:10024000E31C52FC130EF000130200009720000084 +:10025000938050DB83811000930E00FF6396D10BD7 +:100260001302120093022000E31252FE130E00014B +:1002700013020000972000009380E0D813000000D4 +:1002800083811000930EF0006390D10913021200D5 +:1002900093022000E31052FE130E1001130200001F +:1002A00097200000938000D6130000001300000088 +:1002B00083811000930E00006398D1051302120091 +:1002C00093022000E31E52FC97210000938181D30A +:1002D0000381010013012000930E2000130E200162 +:1002E0006314D103972100009381C1D103810100E0 +:1002F0001300000013012000930E2000130E3001A4 +:100300006314D101631CC0010F00F00F63000E00E5 +:10031000131E1E00136E1E00730000000F00F00F6E +:10032000130E100073000000731000C000000000E6 +:1003300000000000000000000000000000000000BD +:0403400000000000B9 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:10200000FF00F00F000000000000000000000000D2 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-lbu.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-lbu.hex new file mode 100644 index 0000000..b7c6f98 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-lbu.hex @@ -0,0 +1,62 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F17300203097200000B4 +:1000C000938040F483C10000930EF00F130E2000C4 +:1000D000639CD123972000009380C0F283C110005D +:1000E000930E0000130E30006390D1239720000080 +:1000F000938040F183C12000930E000F130E400047 +:100100006394D121972000009380C0EF83C1300019 +:10011000930EF000130E50006398D11F972000003B +:10012000938070EE83C1D0FF930EF00F130E60002A +:10013000639CD11D972000009380F0EC83C1E0FF09 +:10014000930E0000130E70006390D11D97200000E5 +:10015000938070EB83C1F0FF930E000F130E8000AD +:100160006394D11B972000009380F0E983C10000C5 +:10017000930EF000130E90006398D11997200000A1 +:10018000938040E8938000FE83C10002930EF00F3D +:10019000130EA000639AD11797200000938080E689 +:1001A0009380A0FF83C17000930E0000130EB00077 +:1001B000639CD115130EC0001302000097200000AD +:1001C000938050E483C1100013830100930E000F4D +:1001D000631CD3131302120093022000E31052FE9B +:1001E000130ED00013020000972000009380A0E1BE +:1001F00083C110001300000013830100930EF00070 +:100200006314D3111302120093022000E31E52FC68 +:10021000130EE0001302000097200000938080DEA0 +:1002200083C11000130000001300000013830100BD +:10023000930E0000631AD30D1302120093022000E4 +:10024000E31C52FC130EF000130200009720000084 +:10025000938050DB83C11000930E000F6396D10B87 +:100260001302120093022000E31252FE130E00014B +:1002700013020000972000009380E0D813000000D4 +:1002800083C11000930EF0006390D1091302120095 +:1002900093022000E31052FE130E1001130200001F +:1002A00097200000938000D6130000001300000088 +:1002B00083C11000930E00006398D1051302120051 +:1002C00093022000E31E52FC97210000938181D30A +:1002D00003C1010013012000930E2000130E200122 +:1002E0006314D103972100009381C1D103C10100A0 +:1002F0001300000013012000930E2000130E3001A4 +:100300006314D101631CC0010F00F00F63000E00E5 +:10031000131E1E00136E1E00730000000F00F00F6E +:10032000130E100073000000731000C000000000E6 +:1003300000000000000000000000000000000000BD +:0403400000000000B9 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:10200000FF00F00F000000000000000000000000D2 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-lh.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-lh.hex new file mode 100644 index 0000000..fe96f9c --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-lh.hex @@ -0,0 +1,66 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F17300203097200000B4 +:1000C000938040F483910000930EF00F130E2000F4 +:1000D000639CD125972000009380C0F2839120007B +:1000E000930E00F0130E30006390D125972000008E +:1000F000938040F183914000B71E0000938E0EFF65 +:10010000130E40006392D12397200000938080EF6C +:1001100083916000B7FEFFFF938EFE00130E500028 +:100120006394D12197200000938020EE8391A0FF5B +:10013000930EF00F130E60006398D11F97200000FC +:100140009380A0EC8391C0FF930E00F0130E70001B +:10015000639CD11D97200000938020EB8391E0FFEA +:10016000B71E0000938E0EFF130E8000639ED11BFE +:1001700097200000938060E983910000B7FEFFFFA5 +:10018000938EFE00130E90006390D11B9720000009 +:10019000938040E7938000FE83910002930EF00F5E +:1001A000130EA0006392D11997200000938080E580 +:1001B0009380B0FF83917000930E00F0130EB00097 +:1001C0006394D117130EC0001302000097200000A3 +:1001D000938060E38391200013830100B71E000029 +:1001E000938E0EFF6312D3151302120093022000A8 +:1001F000E31E52FC130ED0001302000097200000F3 +:10020000938080E08391200013000000138301009D +:10021000B7FEFFFF938EFE006318D3111302120086 +:1002200093022000E31C52FC130EE00013020000B6 +:1002300097200000938000DD8391200013000000D0 +:100240001300000013830100930E00F0631ED30D12 +:100250001302120093022000E31C52FC130EF00064 +:1002600013020000972000009380E0D983912000C2 +:10027000B71E0000938E0EFF6398D10B130212007D +:1002800093022000E31052FE130E0001130200003F +:1002900097200000938040D7130000008391200036 +:1002A000B7FEFFFF938EFE006390D1091302120088 +:1002B00093022000E31E52FC130E100113020000F3 +:1002C00097200000938000D413000000130000006A +:1002D00083912000930E00F06398D1051302120061 +:1002E00093022000E31E52FC97210000938181D1EC +:1002F0000391010013012000930E2000130E200132 +:100300006314D103972100009381C1CF03910100B1 +:100310001300000013012000930E2000130E300183 +:100320006314D101631CC0010F00F00F63000E00C5 +:10033000131E1E00136E1E00730000000F00F00F4E +:10034000130E100073000000731000C000000000C6 +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:040380000000000079 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:10200000FF0000FFF00F0FF00000000000000000D4 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-lhu.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-lhu.hex new file mode 100644 index 0000000..c191ecb --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-lhu.hex @@ -0,0 +1,66 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F17300203097200000B4 +:1000C000938040F483D10000930EF00F130E2000B4 +:1000D0006396D127972000009380C0F283D120003F +:1000E000B70E0100938E0EF0130E30006398D125E9 +:1000F00097200000938000F183D14000B71E0000DC +:10010000938E0EFF130E4000639AD12397200000B8 +:10011000938040EF83D16000B7FE0000938EFE0015 +:10012000130E5000639CD121972000009380E0EDD6 +:1001300083D1A0FF930EF00F130E60006390D121C6 +:1001400097200000938060EC83D1C0FFB70E0100C0 +:10015000938E0EF0130E70006392D11F9720000053 +:100160009380A0EA83D1E0FFB71E0000938E0EFFBC +:10017000130E80006394D11D972000009380E0E867 +:1001800083D10000B7FE0000938EFE00130E900096 +:100190006396D11B972000009380C0E6938000FEF9 +:1001A00083D10002930EF00F130EA0006398D119B3 +:1001B00097200000938000E59380B0FF83D170000A +:1001C000B70E0100938E0EF0130EB0006398D11796 +:1001D000130EC00013020000972000009380A0E2DD +:1001E00083D1200013830100B71E0000938E0EFF01 +:1001F0006316D3151302120093022000E31E52FC73 +:10020000130ED00013020000972000009380C0DF7F +:1002100083D120001300000013830100B7FE00000B +:10022000938EFE00631CD311130212009302200070 +:10023000E31C52FC130EE0001302000097200000A4 +:10024000938040DC83D120001300000013000000E5 +:1002500013830100B70E0100938E0EF06310D30FCD +:100260001302120093022000E31A52FC130EF00056 +:1002700013020000972000009380E0D883D1200073 +:10028000B71E0000938E0EFF639AD10B130212006B +:1002900093022000E31052FE130E0001130200002F +:1002A00097200000938040D61300000083D12000E7 +:1002B000B7FE0000938EFE006392D1091302120074 +:1002C00093022000E31E52FC130E100113020000E3 +:1002D00097200000938000D313000000130000005B +:1002E00083D12000B70E0100938E0EF06398D105E4 +:1002F0001302120093022000E31C52FC972100001D +:10030000938141D003D1010013012000930E2000FE +:10031000130E20016314D10397210000938181CE35 +:1003200003D101001300000013012000930E2000F0 +:10033000130E30016314D101631CC0010F00F00FD4 +:1003400063000E00131E1E00136E1E0073000000DB +:100350000F00F00F130E100073000000731000C0A8 +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:040380000000000079 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:10200000FF0000FFF00F0FF00000000000000000D4 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-lui.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-lui.hex new file mode 100644 index 0000000..f362421 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-lui.hex @@ -0,0 +1,29 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F173002030B7000000B4 +:1000C000930E0000130E2000639AD005B7F0FFFFD7 +:1000D00093D01040930E0080130E30006390D00533 +:1000E000B7F0FF7F93D04041930EF07F130E400096 +:1000F0006396D003B700008093D04041930E0080F8 +:10010000130E5000639CD00137000080930E000056 +:10011000130E60006314D001631CC0010F00F00FC8 +:1001200063000E00131E1E00136E1E0073000000FD +:100130000F00F00F130E100073000000731000C0CA +:0401400000000000BB +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-lui.hex.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-lui.hex.hex new file mode 100644 index 0000000..f362421 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-lui.hex.hex @@ -0,0 +1,29 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F173002030B7000000B4 +:1000C000930E0000130E2000639AD005B7F0FFFFD7 +:1000D00093D01040930E0080130E30006390D00533 +:1000E000B7F0FF7F93D04041930EF07F130E400096 +:1000F0006396D003B700008093D04041930E0080F8 +:10010000130E5000639CD00137000080930E000056 +:10011000130E60006314D001631CC0010F00F00FC8 +:1001200063000E00131E1E00136E1E0073000000FD +:100130000F00F00F130E100073000000731000C0CA +:0401400000000000BB +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-lw.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-lw.hex new file mode 100644 index 0000000..6cf9e00 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-lw.hex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diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-or.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-or.hex new file mode 100644 index 0000000..e1140c8 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-or.hex @@ -0,0 +1,101 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F173002030B70001FFB4 +:1000C000938000F037110F0F1301F1F0B3E120001E +:1000D000B70E10FF938EFEF0130E20006392D14BEB +:1000E000B710F00F938000FF37F1F0F01301010F0C +:1000F000B3E12000B70EF1FF938E0EFF130E300018 +:100100006390D149B700FF009380F00F37110F0FB4 +:100110001301F1F0B3E12000B71EFF0F938EFEFF35 +:10012000130E4000639ED145B7F00FF09380F000AE +:1001300037F1F0F01301010FB3E12000B7FEFFF03B +:10014000938EFE0F130E5000639CD143B70001FF46 +:10015000938000F037110F0F1301F1F0B3E020008E +:10016000B70E10FF938EFEF0130E6000639AD0411D +:10017000B70001FF938000F037110F0F1301F1F06A +:1001800033E12000B70E10FF938EFEF0130E7000C7 +:100190006318D13FB70001FF938000F0B3E0100077 +:1001A000B70E01FF938E0EF0130E8000639AD03DC0 +:1001B00013020000B70001FF938000F037110F0F0A +:1001C0001301F1F0B3E120001383010013021200C8 +:1001D00093022000E31052FEB70E10FF938EFEF044 +:1001E000130E9000631ED33913020000B710F00FF6 +:1001F000938000FF37F1F0F01301010FB3E120000D +:100200001300000013830100130212009302200068 +:10021000E31E52FCB70EF1FF938E0EFF130EA000EB +:100220006310D33713020000B700FF009380F00F74 +:1002300037110F0F1301F1F0B3E12000130000009C +:100240001300000013830100130212009302200028 +:10025000E31C52FCB71EFF0F938EFEFF130EB0007F +:100260006310D33313020000B70001FF938000F046 +:1002700037110F0F1301F1F0B3E120001302120048 +:1002800093022000E31252FEB70E10FF938EFEF091 +:10029000130EC0006396D12F13020000B710F00FA9 +:1002A000938000FF37F1F0F01301010F13000000FD +:1002B000B3E120001302120093022000E31052FE6B +:1002C000B70EF1FF938E0EFF130ED000639AD12B61 +:1002D00013020000B700FF009380F00F37110F0FDB +:1002E0001301F1F01300000013000000B3E120003F +:1002F0001302120093022000E31E52FCB71EFF0FF0 +:10030000938EFEFF130EE000639CD12713020000C2 +:10031000B70001FF938000F01300000037110F0FAA +:100320001301F1F0B3E12000130212009302200048 +:10033000E31052FEB70E10FF938EFEF0130EF00086 +:100340006390D12513020000B710F00F938000FFD7 +:100350001300000037F1F0F01301010F130000004B +:10036000B3E120001302120093022000E31E52FCAE +:10037000B70EF1FF938E0EFF130E00016392D12191 +:1003800013020000B700FF009380F00F130000007D +:100390001300000037110F0F1301F1F0B3E120003B +:1003A0001302120093022000E31E52FCB71EFF0F3F +:1003B000938EFEFF130E10016394D11D13020000F3 +:1003C00037110F0F1301F1F0B70001FF938000F018 +:1003D000B3E120001302120093022000E31252FE48 +:1003E000B70E10FF938EFEF0130E2001639AD11901 +:1003F0001302000037F1F0F01301010FB710F00FF6 +:10040000938000FF13000000B3E1200013021200EC +:1004100093022000E31052FEB70EF1FF938E0EFF01 +:10042000130E3001639ED1151302000037110F0F18 +:100430001301F1F0B700FF009380F00F13000000EC +:1004400013000000B3E12000130212009302200009 +:10045000E31E52FCB71EFF0F938EFEFF130E4001EA +:100460006390D1131302000037110F0F1301F1F045 +:1004700013000000B70001FF938000F0B3E12000FB +:100480001302120093022000E31052FEB70E10FF79 +:10049000938EFEF0130E50016394D10F13020000EF +:1004A00037F1F0F01301010F13000000B710F00F47 +:1004B000938000FF13000000B3E12000130212003C +:1004C00093022000E31E52FCB70EF1FF938E0EFF45 +:1004D000130E60016396D10B1302000037110F0F4A +:1004E0001301F1F01300000013000000B700FF003B +:1004F0009380F00FB3E1200013021200930220005A +:10050000E31E52FCB71EFF0F938EFEFF130E700109 +:100510006398D107B70001FF938000F033611000AA +:10052000B70E01FF938E0EF0130E8001631AD105F2 +:10053000B700FF009380F00F33E10000B70EFF001B +:10054000938EFE0F130E9001631CD103B360000065 +:10055000930E0000130EA0016394D003B710111185 +:1005600093801011372122221301212233E0200031 +:10057000930E0000130EB0016314D001631CC00180 +:100580000F00F00F63000E00131E1E00136E1E00FE +:10059000730000000F00F00F130E10007300000036 +:1005A000731000C000000000000000000000000008 +:1005B000000000000000000000000000000000003B +:0405C0000000000037 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-ori.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-ori.hex new file mode 100644 index 0000000..17049a8 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-ori.hex @@ -0,0 +1,53 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F173002030B70001FFB4 +:1000C000938000F093E1F0F0930EF0F0130E200017 +:1000D0006394D11DB710F00F938000FF93E1000FE0 +:1000E000B71EF00F938E0EFF130E30006396D11BD8 +:1000F000B700FF009380F00F93E1F070B70EFF00A0 +:10010000938EFE7F130E40006398D119B7F00FF065 +:100110009380F00093E1000FB7FE0FF0938EFE0F77 +:10012000130E5000639AD117B70001FF938000F0BF +:1001300093E0000FB70E01FF938E0EFF130E6000C9 +:10014000639CD01513020000B710F00F938000FFDE +:1001500093E1000F138301001302120093022000A9 +:10016000E31452FEB71EF00F938E0EFF130E7000B5 +:100170006314D31313020000B700FF009380F00F45 +:1001800093E1F070130000001383010013021200CA +:1001900093022000E31252FEB70EFF00938EFE7F03 +:1001A000130E8000631AD30F13020000B7F00FF094 +:1001B0009380F00093E1000F130000001300000093 +:1001C000138301001302120093022000E31052FE79 +:1001D000B7FE0FF0938EFE0F130E9000631ED30B2D +:1001E00013020000B710F00F938000FF93E1000F9F +:1001F0001302120093022000E31652FEB71EF00F06 +:10020000938E0EFF130EA0006398D1091302000015 +:10021000B700FF009380F00F1300000093E1F0F0AF +:100220001302120093022000E31452FE930EF0FF1B +:10023000130EB0006392D10713020000B7F00FF065 +:100240009380F000130000001300000093E1000F02 +:100250001302120093022000E31252FEB7FE0FF0C9 +:10026000938EFE0F130EC0006398D1039360000FAE +:10027000930E000F130ED0006390D003B700FF0061 +:100280009380F00F13E0F070930E0000130EE00067 +:100290006314D001631CC0010F00F00F63000E0057 +:1002A000131E1E00136E1E00730000000F00F00FDF +:1002B000130E100073000000731000C00000000057 +:0402C000000000003A +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-sb.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-sb.hex new file mode 100644 index 0000000..5b83fec --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-sb.hex @@ -0,0 +1,90 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F17300203097200000B4 +:1000C000938040F41301A0FA238020008381000074 +:1000D000930EA0FA130E2000639CD13D97200000E0 +:1000E000938040F213010000A38020008381100060 +:1000F000930E0000130E3000639CD13B972000004C +:10010000938040F037F1FFFF130101FA23812000B3 +:1001100083912000B7FEFFFF938E0EFA130E40006E +:100120006398D139972000009380C0ED1301A0009F +:10013000A381200083813000930EA000130E500095 +:100140006398D13797200000938030EC1301A0FA18 +:10015000A38E20FE8381D0FF930EA0FA130E6000C1 +:100160006398D13597200000938030EA1301000096 +:10017000238F20FE8381E0FF930E0000130E70009A +:100180006398D13397200000938030E8130100FA80 +:10019000A38F20FE8381F0FF930E00FA130E8000E0 +:1001A0006398D13197200000938030E61301A000BE +:1001B0002380200083810000930EA000130E900086 +:1001C0006398D12F97200000938040E43751341278 +:1001D00013018167138200FE230022028381000045 +:1001E000930E8007130EA0006394D12D972000007A +:1001F0009380C0E137310000130181099380A0FF93 +:10020000A383200017220000130252E083010200A2 +:10021000930E80F9130EB000639CD129130EC00019 +:10022000130200009300D0FD17210000130181DDAF +:100230002300110083010100930ED0FD639AD127A2 +:100240001302120093022000E31E52FC130ED00092 +:10025000130200009300D0FC17210000130181DA83 +:1002600013000000A300110083011100930ED0FCC5 +:100270006390D1251302120093022000E31C52FC6C +:10028000130EE000130200009300C0FC17210000D1 +:10029000130141D7130000001300000023011100D7 +:1002A00083012100930EC0FC6394D121130212003C +:1002B00093022000E31A52FC130EF0001302000018 +:1002C0009300C0FB1300000017210000130181D32D +:1002D000A301110083013100930EC0FB639AD11D6D +:1002E0001302120093022000E31C52FC130E0001C3 +:1002F000130200009300B0FB130000001721000060 +:10030000130141D0130000002302110083014100BA +:10031000930EB0FB639ED1191302120093022000CA +:10032000E31A52FC130E1001130200009300B0FAFE +:10033000130000001300000017210000130181CCFE +:10034000A302110083015100930EB0FA6392D117FA +:100350001302120093022000E31A52FC130E200134 +:1003600013020000172100001301C1C993003003DC +:100370002300110083010100930E3003639AD1130F +:100380001302120093022000E31E52FC130E3001F0 +:1003900013020000172100001301C1C693003002B0 +:1003A00013000000A300110083011100930E30021E +:1003B0006390D1111302120093022000E31C52FC3F +:1003C000130E40011302000017210000130181C326 +:1003D000930020021300000013000000230111000D +:1003E00083012100930E20026394D10D13021200A9 +:1003F00093022000E31A52FC130E50011302000076 +:1004000017210000130101C0130000009300200118 +:10041000A301110083013100930E2001639AD109D9 +:100420001302120093022000E31C52FC130E600121 +:1004300013020000172100001301C1BC13000000CB +:1004400093001001130000002302110083014100FA +:10045000930E1001639ED105130212009302200037 +:10046000E31A52FC130E7001130200001721000062 +:10047000130141B9130000001300000093001000A5 +:10048000A302110083015100930E10006392D10367 +:100490001302120093022000E31A52FC1305F00E1F +:1004A00097250000938505B6A381A500631CC001B4 +:1004B0000F00F00F63000E00131E1E00136E1E00CF +:1004C000730000000F00F00F130E10007300000007 +:1004D000731000C0000000000000000000000000D9 +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:0405000000000000F7 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:10200000EFEFEFEFEFEFEFEFEFEF0000000000007A +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-sh.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-sh.hex new file mode 100644 index 0000000..b8ceb20 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-sh.hex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diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-simple.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-simple.hex new file mode 100644 index 0000000..018e25a --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-simple.hex @@ -0,0 +1,25 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F1730020300F00F00F5D +:1000C000130E100073000000731000C00000000049 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:0401000000000000FB +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-sll.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-sll.hex new file mode 100644 index 0000000..9fdbf4a --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-sll.hex @@ -0,0 +1,109 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F17300203093001000C8 +:1000C00013010000B3912000930E1000130E2000C6 +:1000D000639ED1539300100013011000B3912000D0 +:1000E000930E2000130E30006392D1539300100042 +:1000F00013017000B3912000930E0008130E40000E +:100100006396D151930010001301E000B3912000D9 +:10011000B74E0000130E5000639AD14F93001000A9 +:100120001301F001B3912000B70E0080130E6000A0 +:10013000639ED14D9300F0FF13010000B3912000A6 +:10014000930EF0FF130E70006392D14D9300F0FFF9 +:1001500013011000B3912000930EE0FF130E8000F6 +:100160006396D14B9300F0FF13017000B391200010 +:10017000930E00F8130E9000639AD1499300F0FF9C +:100180001301E000B3912000B7CEFFFF130EA000D3 +:10019000639ED1479300F0FF1301F001B39120005B +:1001A000B70E0080130EB0006392D147B720212113 +:1001B0009380101213010000B3912000B72E21216B +:1001C000938E1E12130EC0006392D145B7202121D9 +:1001D0009380101213011000B3912000B74E4242D9 +:1001E000938E2E24130ED0006392D143B720212189 +:1001F0009380101213017000B3912000B79E90906D +:10020000938E0E08130EE0006392D141B720212196 +:10021000938010121301E000B3912000B74E4848BC +:10022000130EF0006394D13FB72021219380101268 +:100230001301F001B3912000B70E0080130E0001EE +:100240006396D13DB720212193801012130100FC49 +:10025000B3912000B72E2121938E1E12130E100190 +:100260006396D13BB720212193801012130110FC1B +:10027000B3912000B74E4242938E2E24130E2001DC +:100280006396D139B720212193801012130170FC9D +:10029000B3912000B79E9090938E0E08130E3001FC +:1002A0006396D137B7202121938010121301E0FC0F +:1002B000B3912000B74E4848130E40016398D135E2 +:1002C0009300100013017000B3902000930E0008FB +:1002D000130E6001639CD033930010001301E00003 +:1002E00033912000B74E0000130E70016310D1331C +:1002F00093003000B3901000930E8001130E800124 +:100300006396D031130200009300100013017000B7 +:10031000B391200013830100130212009302200006 +:10032000E31452FE930E0008130E90016310D32FB6 +:1003300013020000930010001301E000B3912000AD +:100340001300000013830100130212009302200027 +:10035000E31252FEB74E0000130EA0016318D32B18 +:1003600013020000930010001301F001B39120006C +:100370001300000013000000138301001302120099 +:1003800093022000E31052FEB70E0080130EB0015E +:10039000631ED327130200009300100013017000A6 +:1003A000B39120001302120093022000E31652FEC4 +:1003B000930E0008130EC001639AD12513020000AA +:1003C000930010001301E00013000000B39120001F +:1003D0001302120093022000E31452FEB74E0000F5 +:1003E000130ED0016394D123130200009300100078 +:1003F0001301F0011300000013000000B39120006E +:100400001302120093022000E31252FEB70E008086 +:10041000130EE001639CD11F130200009300100033 +:100420001300000013017000B391200013021200AA +:1004300093022000E31452FE930E0008130EF00105 +:100440006396D11D130200009300100013000000FA +:100450001301E00013000000B3912000130212000A +:1004600093022000E31252FEB74E0000130E00026A +:10047000639ED119130200009300100013000000C6 +:10048000130000001301F001B391200013021200C9 +:1004900093022000E31252FEB70E0080130E1002EA +:1004A0006396D1171302000013017000930010002F +:1004B000B39120001302120093022000E31652FEB3 +:1004C000930E0008130E20026392D1151302000050 +:1004D0001301E0009300100013000000B39120000E +:1004E0001302120093022000E31452FEB74E0000E4 +:1004F000130E3002639CD111130200001301F001AE +:10050000930010001300000013000000B3912000BE +:100510001302120093022000E31252FEB70E008075 +:10052000130E40026394D10F1302000013017000F8 +:100530001300000093001000B3912000130212007A +:1005400093022000E31452FE930E0008130E500293 +:10055000639ED10B130200001301E00013000000A2 +:100560009300100013000000B3912000130212004A +:1005700093022000E31252FEB74E0000130E6002F9 +:100580006396D109130200001301F001130000006B +:100590001300000093001000B3912000130212001A +:1005A00093022000E31252FEB70E0080130E700279 +:1005B000639ED1059300F00033111000930E0000EC +:1005C000130E80026314D1059300000233910000E2 +:1005D000930E0002130E9002631AD103B3100000B1 +:1005E000930E0000130EA0026392D003930000400C +:1005F000371100001301018033902000930E00009A +:10060000130EB0026314D001631CC0010F00F00F81 +:1006100063000E00131E1E00136E1E007300000008 +:100620000F00F00F130E100073000000731000C0D5 +:1006300000000000000000000000000000000000BA +:0406400000000000B6 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-slli.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-slli.hex new file mode 100644 index 0000000..6b602bd --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-slli.hex @@ -0,0 +1,65 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F17300203093001000C8 +:1000C00093910000930E1000130E2000639AD12725 +:1000D0009300100093911000930E2000130E300037 +:1000E0006390D1279300100093917000930E000845 +:1000F000130E40006396D125930010009391E00009 +:10010000B74E0000130E5000639CD12393001000E3 +:100110009391F001B70E0080130E60006392D1231B +:100120009300F0FF93910000930EF0FF130E700008 +:100130006398D1219300F0FF93911000930EE0FF9C +:10014000130E8000639ED11F9300F0FF9391700007 +:10015000930E00F8130E90006394D11F9300F0FFEC +:100160009391E000B7CEFFFF130EA000639AD11D5C +:100170009300F0FF9391F001B70E0080130EB000D2 +:100180006390D11DB720212193801012939100001C +:10019000B72E2121938E1E12130EC0006392D11B25 +:1001A000B72021219380101293911000B74E424244 +:1001B000938E2E24130ED0006394D119B7202121E1 +:1001C0009380101293917000B79E9090938E0E08BA +:1001D000130EE0006396D117B720212193801012EF +:1001E0009391E000B74E4848130EF000639AD11582 +:1001F000B7202121938010129391F001B70E008057 +:10020000130E0001639ED1139300100093907000B1 +:10021000930E0008130E10016394D0131302000014 +:1002200093001000939170001383010013021200D9 +:1002300093022000E31652FE930E0008130E2001D5 +:100240006310D31113020000930010009391E0009B +:100250001300000013830100130212009302200018 +:10026000E31452FEB74E0000130E3001631AD30D93 +:1002700013020000930010009391F001130000009E +:1002800013000000138301001302120093022000E8 +:10029000E31252FEB70E0080130E40016312D30B1F +:1002A00013020000930010009391700013021200DB +:1002B00093022000E31852FE930E0008130E500123 +:1002C0006390D10913020000930010001300000096 +:1002D0009391E0001302120093022000E31652FEF5 +:1002E000B74E0000130E6001639CD105130200009D +:1002F0009300100013000000130000009391F00120 +:100300001302120093022000E31452FEB70E008085 +:10031000130E70016396D1039310F001930E000049 +:10032000130E8001639ED0019300100213904001D0 +:10033000930E0000130E90016314D001631CC001E2 +:100340000F00F00F63000E00131E1E00136E1E0040 +:10035000730000000F00F00F130E10007300000078 +:10036000731000C00000000000000000000000004A +:10037000000000000000000000000000000000007D +:040380000000000079 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-slt.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-slt.hex new file mode 100644 index 0000000..abca302 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-slt.hex @@ -0,0 +1,101 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F17300203093000000D8 +:1000C00013010000B3A12000930E0000130E2000C6 +:1000D000639AD14B9300100013011000B3A12000CC +:1000E000930E0000130E3000639ED1499300300040 +:1000F00013017000B3A12000930E1000130E4000F6 +:100100006392D1499300700013013000B3A1200025 +:10011000930E0000130E50006396D1479300000029 +:100120003781FFFFB3A12000930E0000130E600083 +:10013000639AD145B700008013010000B3A12000ED +:10014000930E1000130E7000639ED143B700008021 +:100150003781FFFFB3A12000930E1000130E800023 +:100160006392D14393000000378100001301F1FF37 +:10017000B3A12000930E1000130E90006394D141A0 +:10018000B70000809380F0FF13010000B3A12000AE +:10019000930E0000130EA0006396D13FB7000080BD +:1001A0009380F0FF378100001301F1FFB3A120001D +:1001B000930E0000130EB0006396D13DB70000808F +:1001C000378100001301F1FFB3A12000930E10004E +:1001D000130EC0006398D13BB70000809380F0FFFE +:1001E0003781FFFFB3A12000930E0000130ED00053 +:1001F000639AD139930000001301F0FFB3A12000EE +:10020000930E0000130EE000639ED1379300F0FFC1 +:1002100013011000B3A12000930E1000130EF00084 +:100220006392D1379300F0FF1301F0FFB3A12000D8 +:10023000930E0000130E00016396D1359300E00089 +:100240001301D000B3A02000930E0000130E100184 +:10025000639AD0339300B0001301D00033A1200083 +:10026000930E1000130E2001631ED1319300D000B5 +:10027000B3A01000930E0000130E30016394D03130 +:10028000130200009300B0001301D000B3A12000BE +:10029000138301001302120093022000E31452FEA4 +:1002A000930E1000130E4001631ED32D13020000A5 +:1002B0009300E0001301D000B3A120001300000060 +:1002C000138301001302120093022000E31252FE76 +:1002D000930E0000130E50016316D32B130200007F +:1002E0009300C0001301D000B3A120001300000050 +:1002F0001300000013830100130212009302200078 +:10030000E31052FE930E1000130E6001631CD327FE +:10031000130200009300E0001301D000B3A12000FD +:100320001302120093022000E31652FE930E000007 +:10033000130E70016398D125130200009300B000E2 +:100340001301D00013000000B3A12000130212001B +:1003500093022000E31452FE930E1000130E80014E +:100360006392D123130200009300F0001301D00028 +:100370001300000013000000B3A1200013021200BC +:1003800093022000E31252FE930E0000130E900120 +:10039000639AD11F130200009300A0001300000015 +:1003A0001301D000B3A12000130212009302200019 +:1003B000E31452FE930E1000130EA0016394D11D9E +:1003C0001302000093000001130000001301D0008D +:1003D00013000000B3A120001302120093022000BA +:1003E000E31252FE930E0000130EB001639CD1196C +:1003F000130200009300900013000000130000009F +:100400001301D000B3A120001302120093022000B8 +:10041000E31252FE930E1000130EC0016394D11725 +:10042000130200001301D00093001001B3A12000BB +:100430001302120093022000E31652FE930E0000F6 +:10044000130ED0016390D115130200001301D000E8 +:100450009300800013000000B3A1200013021200DB +:1004600093022000E31452FE930E1000130EE001DD +:10047000639AD111130200001301D00093002001F0 +:100480001300000013000000B3A1200013021200AB +:1004900093022000E31252FE930E0000130EF001AF +:1004A0006392D10F130200001301D000130000006B +:1004B00093007000B3A120001302120093022000E9 +:1004C000E31452FE930E1000130E0002639CD10B36 +:1004D000130200001301D00013000000930030014C +:1004E00013000000B3A120001302120093022000A9 +:1004F000E31252FE930E0000130E10026394D10912 +:10050000130200001301D0001300000013000000CC +:1005100093006000B3A12000130212009302200098 +:10052000E31252FE930E1000130E2002639CD105BD +:100530009300F0FF33211000930E0000130E3002E1 +:100540006312D1059300F0FF33A10000930E100059 +:10055000130E40026318D103B3200000930E000075 +:10056000130E50026390D003930000011301E001C9 +:1005700033A02000930E0000130E60026314D0011C +:10058000631CC0010F00F00F63000E00131E1E005D +:10059000136E1E00730000000F00F00F130E10000A +:1005A00073000000731000C0000000000000000095 +:1005B000000000000000000000000000000000003B +:0405C0000000000037 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-slti.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-slti.hex new file mode 100644 index 0000000..8f6fdbb --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-slti.hex @@ -0,0 +1,65 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F17300203093000000D8 +:1000C00093A10000930E0000130E20006392D1272D +:1000D0009300100093A11000930E0000130E300047 +:1000E0006398D1259300300093A17000930E100007 +:1000F000130E4000639ED1239300700093A1300043 +:10010000930E0000130E50006394D123930000005F +:1001100093A10080930E0000130E6000639AD1211A +:10012000B700008093A10000930E1000130E700022 +:100130006390D121B700008093A10080930E10003E +:10014000130E80006396D11F9300000093A1F07FEF +:10015000930E1000130E9000639CD11DB700008019 +:100160009380F0FF93A10000930E0000130EA000F7 +:100170006390D11DB70000809380F0FF93A1F07FC2 +:10018000930E0000130EB0006394D11BB7000080E3 +:1001900093A1F07F930E1000130EC000639AD11943 +:1001A000B70000809380F0FF93A10080930E0000C1 +:1001B000130ED000639ED1179300000093A1F0FFAF +:1001C000930E0000130EE0006394D1179300F0FF2C +:1001D00093A11000930E1000130EF000639AD11536 +:1001E0009300F0FF93A1F0FF930E0000130E0001A7 +:1001F0006390D1159300B00093A0D000930E10002F +:10020000130E10016396D013130200009300F00048 +:1002100093A1A00013830100130212009302200097 +:10022000E31652FE930E0000130E20016312D31149 +:10023000130200009300A00093A10001130000002E +:10024000138301001302120093022000E31452FEF4 +:10025000930E1000130E3001631CD30D1302000027 +:100260009300000193A19000130000001300000010 +:10027000138301001302120093022000E31252FEC6 +:10028000930E0000130E40016314D30B1302000001 +:100290009300B00093A1F00013021200930220001B +:1002A000E31852FE930E1000130E50016392D10911 +:1002B00013020000930010011300000093A18000BE +:1002C0001302120093022000E31652FE930E000068 +:1002D000130E6001639ED105130200009300C0005D +:1002E000130000001300000093A1E00013021200AD +:1002F00093022000E31452FE930E1000130E7001BF +:100300006398D1039320F0FF930E0000130E800139 +:100310006390D003B700FF009380F00F13A0F0FFAD +:10032000930E0000130E90016314D001631CC001F2 +:100330000F00F00F63000E00131E1E00136E1E0050 +:10034000730000000F00F00F130E10007300000088 +:10035000731000C00000000000000000000000005A +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:040380000000000079 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-sltiu.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-sltiu.hex new file mode 100644 index 0000000..7d9a537 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-sltiu.hex @@ -0,0 +1,65 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F17300203093000000D8 +:1000C00093B10000930E0000130E20006392D1271D +:1000D0009300100093B11000930E0000130E300037 +:1000E0006398D1259300300093B17000930E1000F7 +:1000F000130E4000639ED1239300700093B1300033 +:10010000930E0000130E50006394D123930000005F +:1001100093B10080930E1000130E6000639AD121FA +:10012000B700008093B10000930E0000130E700022 +:100130006390D121B700008093B10080930E10002E +:10014000130E80006396D11F9300000093B1F07FDF +:10015000930E1000130E9000639CD11DB700008019 +:100160009380F0FF93B10000930E0000130EA000E7 +:100170006390D11DB70000809380F0FF93B1F07FB2 +:10018000930E0000130EB0006394D11BB7000080E3 +:1001900093B1F07F930E0000130EC000639AD11943 +:1001A000B70000809380F0FF93B10080930E1000A1 +:1001B000130ED000639ED1179300000093B1F0FF9F +:1001C000930E1000130EE0006394D1179300F0FF1C +:1001D00093B11000930E0000130EF000639AD11536 +:1001E0009300F0FF93B1F0FF930E0000130E000197 +:1001F0006390D1159300B00093B0D000930E10001F +:10020000130E10016396D013130200009300F00048 +:1002100093B1A00013830100130212009302200087 +:10022000E31652FE930E0000130E20016312D31149 +:10023000130200009300A00093B10001130000001E +:10024000138301001302120093022000E31452FEF4 +:10025000930E1000130E3001631CD30D1302000027 +:100260009300000193B19000130000001300000000 +:10027000138301001302120093022000E31252FEC6 +:10028000930E0000130E40016314D30B1302000001 +:100290009300B00093B1F00013021200930220000B +:1002A000E31852FE930E1000130E50016392D10911 +:1002B00013020000930010011300000093B18000AE +:1002C0001302120093022000E31652FE930E000068 +:1002D000130E6001639ED105130200009300C0005D +:1002E000130000001300000093B1E000130212009D +:1002F00093022000E31452FE930E1000130E7001BF +:100300006398D1039330F0FF930E1000130E800119 +:100310006390D003B700FF009380F00F13B0F0FF9D +:10032000930E0000130E90016314D001631CC001F2 +:100330000F00F00F63000E00131E1E00136E1E0050 +:10034000730000000F00F00F130E10007300000088 +:10035000731000C00000000000000000000000005A +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:040380000000000079 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-sltu.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-sltu.hex new file mode 100644 index 0000000..a94616d --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-sltu.hex @@ -0,0 +1,101 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F17300203093000000D8 +:1000C00013010000B3B12000930E0000130E2000B6 +:1000D000639AD14B9300100013011000B3B12000BC +:1000E000930E0000130E3000639ED1499300300040 +:1000F00013017000B3B12000930E1000130E4000E6 +:100100006392D1499300700013013000B3B1200015 +:10011000930E0000130E50006396D1479300000029 +:100120003781FFFFB3B12000930E1000130E600063 +:10013000639AD145B700008013010000B3B12000DD +:10014000930E0000130E7000639ED143B700008031 +:100150003781FFFFB3B12000930E1000130E800013 +:100160006392D14393000000378100001301F1FF37 +:10017000B3B12000930E1000130E90006394D14190 +:10018000B70000809380F0FF13010000B3B120009E +:10019000930E0000130EA0006396D13FB7000080BD +:1001A0009380F0FF378100001301F1FFB3B120000D +:1001B000930E0000130EB0006396D13DB70000808F +:1001C000378100001301F1FFB3B12000930E00004E +:1001D000130EC0006398D13BB70000809380F0FFFE +:1001E0003781FFFFB3B12000930E1000130ED00033 +:1001F000639AD139930000001301F0FFB3B12000DE +:10020000930E1000130EE000639ED1379300F0FFB1 +:1002100013011000B3B12000930E0000130EF00084 +:100220006392D1379300F0FF1301F0FFB3B12000C8 +:10023000930E0000130E00016396D1359300E00089 +:100240001301D000B3B02000930E0000130E100174 +:10025000639AD0339300B0001301D00033B1200073 +:10026000930E1000130E2001631ED1319300D000B5 +:10027000B3B01000930E0000130E30016394D03120 +:10028000130200009300B0001301D000B3B12000AE +:10029000138301001302120093022000E31452FEA4 +:1002A000930E1000130E4001631ED32D13020000A5 +:1002B0009300E0001301D000B3B120001300000050 +:1002C000138301001302120093022000E31252FE76 +:1002D000930E0000130E50016316D32B130200007F +:1002E0009300C0001301D000B3B120001300000040 +:1002F0001300000013830100130212009302200078 +:10030000E31052FE930E1000130E6001631CD327FE +:10031000130200009300E0001301D000B3B12000ED +:100320001302120093022000E31652FE930E000007 +:10033000130E70016398D125130200009300B000E2 +:100340001301D00013000000B3B12000130212000B +:1003500093022000E31452FE930E1000130E80014E +:100360006392D123130200009300F0001301D00028 +:100370001300000013000000B3B1200013021200AC +:1003800093022000E31252FE930E0000130E900120 +:10039000639AD11F130200009300A0001300000015 +:1003A0001301D000B3B12000130212009302200009 +:1003B000E31452FE930E1000130EA0016394D11D9E +:1003C0001302000093000001130000001301D0008D +:1003D00013000000B3B120001302120093022000AA +:1003E000E31252FE930E0000130EB001639CD1196C +:1003F000130200009300900013000000130000009F +:100400001301D000B3B120001302120093022000A8 +:10041000E31252FE930E1000130EC0016394D11725 +:10042000130200001301D00093001001B3B12000AB +:100430001302120093022000E31652FE930E0000F6 +:10044000130ED0016390D115130200001301D000E8 +:100450009300800013000000B3B1200013021200CB +:1004600093022000E31452FE930E1000130EE001DD +:10047000639AD111130200001301D00093002001F0 +:100480001300000013000000B3B12000130212009B +:1004900093022000E31252FE930E0000130EF001AF +:1004A0006392D10F130200001301D000130000006B +:1004B00093007000B3B120001302120093022000D9 +:1004C000E31452FE930E1000130E0002639CD10B36 +:1004D000130200001301D00013000000930030014C +:1004E00013000000B3B12000130212009302200099 +:1004F000E31252FE930E0000130E10026394D10912 +:10050000130200001301D0001300000013000000CC +:1005100093006000B3B12000130212009302200088 +:10052000E31252FE930E1000130E2002639CD105BD +:100530009300F0FF33311000930E1000130E3002C1 +:100540006312D1059300F0FF33B10000930E000059 +:10055000130E40026318D103B3300000930E000065 +:10056000130E50026390D003930000011301E001C9 +:1005700033B02000930E0000130E60026314D0010C +:10058000631CC0010F00F00F63000E00131E1E005D +:10059000136E1E00730000000F00F00F130E10000A +:1005A00073000000731000C0000000000000000095 +:1005B000000000000000000000000000000000003B +:0405C0000000000037 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-sra.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-sra.hex new file mode 100644 index 0000000..ac2ca2b --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-sra.hex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diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-srai.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-srai.hex new file mode 100644 index 0000000..6f388a0 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-srai.hex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diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-srl.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-srl.hex new file mode 100644 index 0000000..8f09b9e --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-srl.hex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diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-srli.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-srli.hex new file mode 100644 index 0000000..b36084e --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-srli.hex @@ -0,0 +1,65 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F173002030B700008034 +:1000C00093D10000B70E0080130E20006398D12951 +:1000D000B700008093D11000B70E0040130E30001F +:1000E000639ED127B700008093D17000B70E000146 +:1000F000130E40006394D127B700008093D1E00035 +:10010000B70E0200130E5000639AD125B70000808D +:100110009380100093D1F001930E1000130E600035 +:10012000639ED1239300F0FF93D10000930EF0FF64 +:10013000130E70006394D1239300F0FF93D110004D +:10014000B70E0080938EFEFF130E80006398D121BE +:100150009300F0FF93D17000B70E0002938EFEFF64 +:10016000130E9000639CD11F9300F0FF93D1E00029 +:10017000B70E0400938EFEFF130EA0006390D11FF4 +:100180009300F0FF93D1F001930E1000130EB00016 +:100190006396D11DB72021219380101293D10000C6 +:1001A000B72E2121938E1E12130EC0006398D11B0F +:1001B000B72021219380101293D11000B79E901088 +:1001C000938E0E09130ED000639AD119B720212106 +:1001D0009380101293D17000B74E4200938E2E245C +:1001E000130EE000639CD117B720212193801012D9 +:1001F00093D1E000B78E0000938E4E48130EF000AE +:10020000639ED115B72021219380101293D1F00164 +:10021000930E0000130E00016392D115B700008009 +:1002200093D07000B70E0001130E10016398D01325 +:1002300013020000B700008093D170001383010007 +:100240001302120093022000E31652FEB70E0001C3 +:10025000130E20016314D31113020000B7000080B5 +:1002600093D1E00013000000138301001302120079 +:1002700093022000E31452FEB70E0200130E300169 +:10028000631ED30D13020000B7000080938010009E +:1002900093D1F0011300000013000000138301004C +:1002A0001302120093022000E31052FE930E10007E +:1002B000130E40016314D30B13020000B70000803B +:1002C00093D170001302120093022000E31852FE33 +:1002D000B70E0001130E50016392D1091302000002 +:1002E000B70000801300000093D1E0001302120059 +:1002F00093022000E31652FEB70E0200130E6001B7 +:10030000639ED10513020000B700008093801000A7 +:10031000130000001300000093D1F001130212003B +:1003200093022000E31252FE930E1000130E700190 +:100330006396D10393504000930E0000130E80018A +:10034000639ED0019300100213D0A000930E000012 +:10035000130E90016314D001631CC0010F00F00F55 +:1003600063000E00131E1E00136E1E0073000000BB +:100370000F00F00F130E100073000000731000C088 +:040380000000000079 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-sub.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-sub.hex new file mode 100644 index 0000000..aec1f5d --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-sub.hex @@ -0,0 +1,101 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F17300203093000000D8 +:1000C00013010000B3812040930E0000130E2000A6 +:1000D0006396D14B9300100013011000B3812040B0 +:1000E000930E0000130E3000639AD1499300300044 +:1000F00013017000B3812040930EC0FF130E400027 +:10010000639ED147930000003781FFFFB3812040F9 +:10011000B78E0000130E50006392D147B7000080E5 +:1001200013010000B3812040B70E0080130E600061 +:100130006396D145B70000803781FFFFB38120402F +:10014000B78E0080130E7000639AD14393000000B5 +:10015000378100001301F1FFB3812040B78EFFFF0C +:10016000938E1E00130E8000639AD141B700008069 +:100170009380F0FF13010000B3812040B70E008090 +:10018000938EFEFF130E9000639AD13FB70000805C +:100190009380F0FF378100001301F1FFB38120400D +:1001A000B78EFF7F130EA000639AD13DB700008089 +:1001B000378100001301F1FFB3812040B78EFF7F2C +:1001C000938E1E00130EB000639AD13BB7000080DF +:1001D0009380F0FF3781FFFFB3812040B78E00800E +:1001E000938EFEFF130EC000639AD1399300000076 +:1001F0001301F0FFB3812040930E1000130ED000C6 +:10020000639ED1379300F0FF13011000B3812040AB +:10021000930EE0FF130EE0006392D1379300F0FFDE +:100220001301F0FFB3812040930E0000130EF00085 +:100230006396D1359300D0001301B000B380204005 +:10024000930E2000130E0001639AD0339300E00058 +:100250001301B00033812040930E3000130E1001C3 +:10026000631ED1319300D000B3801040930E000084 +:10027000130E20016394D031130200009300D000CC +:100280001301B000B3812040138301001302120058 +:1002900093022000E31452FE930E2000130E30014F +:1002A000631ED32D130200009300E0001301B00081 +:1002B000B3812040130000001383010013021200D9 +:1002C00093022000E31252FE930E3000130E400101 +:1002D0006316D32B130200009300F0001301B0004B +:1002E000B3812040130000001300000013830100BD +:1002F0001302120093022000E31052FE930E4000FE +:10030000130E5001631CD327130200009300D0008A +:100310001301B000B38120401302120093022000A9 +:10032000E31652FE930E2000130E60016398D12550 +:10033000130200009300E0001301B000130000005E +:10034000B38120401302120093022000E31452FEF6 +:10035000930E3000130E70016392D123130200003C +:100360009300F0001301B000130000001300000020 +:10037000B38120401302120093022000E31252FEC8 +:10038000930E4000130E8001639AD11F13020000E8 +:100390009300D000130000001301B000B38120408F +:1003A0001302120093022000E31452FE930E200069 +:1003B000130E90016394D11D130200009300E0001E +:1003C000130000001301B00013000000B3812040AF +:1003D0001302120093022000E31252FE930E30002B +:1003E000130EA001639CD119130200009300F000CA +:1003F00013000000130000001301B000B38120407F +:100400001302120093022000E31252FE930E4000EA +:10041000130EB0016394D117130200001301B00052 +:100420009300D000B38120401302120093022000F9 +:10043000E31652FE930E2000130EC0016390D115F7 +:10044000130200001301B0009300E000130000004D +:10045000B38120401302120093022000E31452FEE5 +:10046000930E3000130ED001639AD11113020000D5 +:100470001301B0009300F00013000000130000000F +:10048000B38120401302120093022000E31252FEB7 +:10049000930E4000130EE0016392D10F130200008F +:1004A0001301B000130000009300D000B38120407E +:1004B0001302120093022000E31452FE930E200058 +:1004C000130EF001639CD10B130200001301B00066 +:1004D000130000009300E00013000000B3812040EF +:1004E0001302120093022000E31252FE930E30001A +:1004F000130E00026394D109130200001301B0002F +:1005000013000000130000009300F000B3812040AE +:100510001302120093022000E31252FE930E4000D9 +:10052000130E1002639CD105930010FF330110409D +:10053000930EF000130E20026312D1059300000207 +:1005400033810040930E0002130E30026318D10372 +:10055000B3000040930E0000130E40026390D003DE +:10056000930000011301E00133802040930E00004E +:10057000130E50026314D001631CC0010F00F00F72 +:1005800063000E00131E1E00136E1E007300000099 +:100590000F00F00F130E100073000000731000C066 +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:0405C0000000000037 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-sw.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-sw.hex new file mode 100644 index 0000000..49c084f --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-sw.hex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diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-xor.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-xor.hex new file mode 100644 index 0000000..6e8cc1d --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-xor.hex @@ -0,0 +1,101 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F173002030B70001FFB4 +:1000C000938000F037110F0F1301F1F0B3C120003E +:1000D000B7FE0FF0938EFE00130E20006390D14BFD +:1000E000B710F00F938000FF37F1F0F01301010F0C +:1000F000B3C12000B70E01FF938E0EF0130E300037 +:10010000639ED147B700FF009380F00F37110F0FA8 +:100110001301F1F0B3C12000B71EF00F938E0EFF54 +:10012000130E4000639CD145B7F00FF09380F000B0 +:1001300037F1F0F01301010FB3C12000B70EFF003B +:10014000938EFE0F130E5000639AD143B70001FF48 +:10015000938000F037110F0F1301F1F0B3C02000AE +:10016000B7FE0FF0938EFE00130E60006398D0412F +:10017000B70001FF938000F037110F0F1301F1F06A +:1001800033C12000B7FE0FF0938EFE00130E7000F7 +:100190006316D13FB70001FF938000F0B3C0100099 +:1001A000930E0000130E8000639AD03D13020000EE +:1001B000B70001FF938000F037110F0F1301F1F02A +:1001C000B3C1200013830100130212009302200028 +:1001D000E31052FEB7FE0FF0938EFE00130E900058 +:1001E000631ED33913020000B710F00F938000FF95 +:1001F00037F1F0F01301010FB3C12000130000002C +:10020000138301001302120093022000E31E52FC2C +:10021000B70E01FF938E0EF0130EA0006310D337BC +:1002200013020000B700FF009380F00F37110F0F8B +:100230001301F1F0B3C1200013000000130000000F +:10024000138301001302120093022000E31C52FCEE +:10025000B71EF00F938E0EFF130EB0006310D33352 +:1002600013020000B70001FF938000F037110F0F59 +:100270001301F1F0B3C12000130212009302200019 +:10028000E31252FEB7FE0FF0938EFE00130EC00075 +:100290006396D12F13020000B710F00F938000FF78 +:1002A00037F1F0F01301010F13000000B3C120007B +:1002B0001302120093022000E31052FEB70E01FF5A +:1002C000938E0EF0130ED000639AD12B1302000010 +:1002D000B700FF009380F00F37110F0F1301F1F0FB +:1002E0001300000013000000B3C12000130212002D +:1002F00093022000E31E52FCB71EF00F938E0EFFF8 +:10030000130EE000639CD12713020000B70001FF29 +:10031000938000F01300000037110F0F1301F1F06C +:10032000B3C120001302120093022000E31052FE1A +:10033000B7FE0FF0938EFE00130EF0006390D125F0 +:1003400013020000B710F00F938000FF13000000AD +:1003500037F1F0F01301010F13000000B3C12000CA +:100360001302120093022000E31E52FCB70E01FF9D +:10037000938E0EF0130E00016392D1211302000040 +:10038000B700FF009380F00F13000000130000007F +:1003900037110F0F1301F1F0B3C120001302120047 +:1003A00093022000E31E52FCB71EF00F938E0EFF47 +:1003B000130E10016394D11D1302000037110F0FAB +:1003C0001301F1F0B70001FF938000F0B3C12000EA +:1003D0001302120093022000E31252FEB7FE0FF048 +:1003E000938EFE00130E2001639AD11913020000B0 +:1003F00037F1F0F01301010FB710F00F938000FFF9 +:1004000013000000B3C12000130212009302200069 +:10041000E31052FEB70E01FF938E0EF0130E300163 +:10042000639ED1151302000037110F0F1301F1F075 +:10043000B700FF009380F00F1300000013000000CE +:10044000B3C120001302120093022000E31E52FCED +:10045000B71EF00F938E0EFF130E40016390D11361 +:100460001302000037110F0F1301F1F01300000009 +:10047000B70001FF938000F0B3C120001302120007 +:1004800093022000E31052FEB7FE0FF0938EFE00A1 +:10049000130E50016394D10F1302000037F1F0F0F6 +:1004A0001301010F13000000B710F00F938000FF3D +:1004B00013000000B3C120001302120093022000B9 +:1004C000E31E52FCB70E01FF938E0EF0130E600177 +:1004D0006396D10B1302000037110F0F1301F1F0D7 +:1004E0001300000013000000B700FF009380F00F1E +:1004F000B3C120001302120093022000E31E52FC3D +:10050000B71EF00F938E0EFF130E70016398D10784 +:10051000B70001FF938000F033411000B70E01FFD8 +:10052000938E0EF0130E8001631AD105B700FF0001 +:100530009380F00F33C10000B70EFF00938EFE0FC3 +:10054000130E9001631CD103B3400000930E000012 +:10055000130EA0016394D003B710111193801011F2 +:10056000372122221301212233C02000930E0000E4 +:10057000130EB0016314D001631CC0010F00F00F13 +:1005800063000E00131E1E00136E1E007300000099 +:100590000F00F00F130E100073000000731000C066 +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:0405C0000000000037 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32ui-p-xori.hex b/VexRiscv/src/test/resources/hex/rv32ui-p-xori.hex new file mode 100644 index 0000000..98a8449 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32ui-p-xori.hex @@ -0,0 +1,53 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F173002030B710FF00A5 +:1000C000938000F093C1F0F0B7FE00FF938EFE0026 +:1000D000130E20006396D11DB710F00F938000FF20 +:1000E00093C1000FB71EF00F938E0EF0130E300069 +:1000F0006398D11BB710FF009380F08F93C1F0700D +:10010000B71EFF00938E0EFF130E4000639AD119A5 +:10011000B7F00FF09380F00093C1000FB7FE0FF01F +:10012000938EFE0F130E5000639CD117B7F000FFA3 +:100130009380007093C0F070B7FE00FF938EFE00B6 +:10014000130E6000639ED01513020000B710F00F6D +:10015000938000FF93C1000F13830100130212006C +:1001600093022000E31452FEB71EF00F938E0EF0A0 +:10017000130E70006316D31313020000B710FF00B4 +:100180009380F08F93C1F07013000000138301007F +:100190001302120093022000E31252FEB71EFF006A +:1001A000938E0EFF130E8000631CD30F130200000A +:1001B000B7F00FF09380F00093C1000F1300000020 +:1001C00013000000138301001302120093022000A9 +:1001D000E31052FEB7FE0FF0938EFE0F130E900049 +:1001E0006310D30D13020000B710F00F938000FFCF +:1001F00093C1000F1302120093022000E31652FE77 +:10020000B71EF00F938E0EF0130EA000639AD10963 +:1002100013020000B710FF009380F0FF13000000EE +:1002200093C1F0001302120093022000E31452FE67 +:10023000B71EFF00938E0EFF130EB0006392D1071E +:1002400013020000B7F00FF09380F00013000000DD +:100250001300000093C1000F13021200930220004C +:10026000E31252FEB7FE0FF0938EFE0F130EC00086 +:100270006398D1039340000F930E000F130ED0002C +:100280006390D003B700FF009380F00F13C0F070AD +:10029000930E0000130EE0006314D001631CC00134 +:1002A0000F00F00F63000E00131E1E00136E1E00E1 +:1002B000730000000F00F00F130E10007300000019 +:0402C000731000C0F7 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32um-p-div.hex b/VexRiscv/src/test/resources/hex/rv32um-p-div.hex new file mode 100644 index 0000000..c320a49 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32um-p-div.hex @@ -0,0 +1,37 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F1730020309300400197 +:1000C00013016000B3C12002930E3000130E200014 +:1000D0006394D10D9300C0FE13016000B3C12002F0 +:1000E000930ED0FF130E30006398D10B93004001A4 +:1000F0001301A0FFB3C12002930ED0FF130E4000E6 +:10010000639CD1099300C0FE1301A0FFB3C120027C +:10011000930E3000130E50006390D109930000003D +:1001200013011000B3C12002930E0000130E6000F3 +:100130006394D107930000001301F0FFB3C12002C4 +:10014000930E0000130E70006398D1059300000019 +:1001500013010000B3C12002930EF0FF130E8000C4 +:10016000639CD1039300100013010000B3C120026F +:10017000930EF0FF130E90006390D10393000000E4 +:1001800013010000B3C12002930EF0FF130EA00074 +:100190006394D101631CC0010F00F00F63000E00D7 +:1001A000131E1E00136E1E00730000000F00F00FE0 +:1001B000130E100073000000731000C00000000058 +:0401C000000000003B +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32um-p-divu.hex b/VexRiscv/src/test/resources/hex/rv32um-p-divu.hex new file mode 100644 index 0000000..ba68b46 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32um-p-divu.hex @@ -0,0 +1,37 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F1730020309300400197 +:1000C00013016000B3D12002930E3000130E200004 +:1000D0006396D10D9300C0FE13016000B3D12002DE +:1000E000B7BEAA2A938E7EAA130E30006398D10B56 +:1000F000930040011301A0FFB3D12002930E000032 +:10010000130E4000639CD1099300C0FE1301A0FFB1 +:10011000B3D12002930E0000130E50006390D1095A +:10012000B700008013011000B3D12002B70E008089 +:10013000130E60006394D107B70000801301F0FF35 +:10014000B3D12002930E0000130E70006398D10506 +:10015000B700008013010000B3D12002930EF0FF1E +:10016000130E8000639CD103930010001301000064 +:10017000B3D12002930EF0FF130E90006390D103D1 +:100180009300000013010000B3D12002930EF0FF92 +:10019000130EA0006394D101631CC0010F00F00F87 +:1001A00063000E00131E1E00136E1E00730000007D +:1001B0000F00F00F130E100073000000731000C04A +:0401C000000000003B +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32um-p-mul.hex b/VexRiscv/src/test/resources/hex/rv32um-p-mul.hex new file mode 100644 index 0000000..c2e28a2 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32um-p-mul.hex @@ -0,0 +1,101 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F173002030B780000034 +:1000C000938000E03771DBB6130171DBB38120024E +:1000D000B71E0000938E0E20130E00026394D14BC6 +:1000E000B7800000938000FC3771DBB6130171DB31 +:1000F000B3812002B71E0000938E0E24130E10024F +:100100006392D1499300000013010000B3812002E3 +:10011000930E0000130E20006396D1479300100049 +:1001200013011000B3812002930E1000130E300053 +:10013000639AD1459300300013017000B38120020F +:10014000930E5001130E4000639ED14393000000B4 +:100150003781FFFFB3812002930E0000130E500081 +:100160006392D143B700008013010000B3812002E5 +:10017000930E0000130E60006396D141B70000801B +:100180003781FFFFB3812002930E0000130E700031 +:10019000639AD13FB7B0AAAA9380B0AA37010300EF +:1001A0001301D1E7B3812002B70E0100938EFEF751 +:1001B000130EE0016398D13DB70003009380D0E7B0 +:1001C00037B1AAAA1301B1AAB3812002B70E010068 +:1001D000938EFEF7130EF0016396D13BB70000FF3C +:1001E000370100FFB3812002930E0000130E20029E +:1001F000639AD1399300F0FF1301F0FFB38120021D +:10020000930E1000130E3002639ED1379300F0FF5F +:1002100013011000B3812002930EF0FF130E400271 +:100220006392D137930010001301F0FFB3812002D5 +:10023000930EF0FF130E50026396D1359300D00059 +:100240001301B000B3802002930EF008130E80005B +:10025000639AD0339300E0001301B0003381200291 +:10026000930EA009130E9000631ED1319300D000AD +:10027000B3801002930E900A130EA0006394D03145 +:10028000130200009300D0001301B000B3812002DC +:10029000138301001302120093022000E31452FEA4 +:1002A000930EF008130EB000631ED32D130200004E +:1002B0009300E0001301B000B3812002130000009E +:1002C000138301001302120093022000E31252FE76 +:1002D000930EA009130EC0006316D32B1302000067 +:1002E0009300F0001301B000B3812002130000005E +:1002F0001300000013830100130212009302200078 +:10030000E31052FE930E500A130ED000631CD32745 +:10031000130200009300D0001301B000B38120024B +:100320001302120093022000E31652FE930EF0080F +:10033000130EE0006398D125130200009300E00043 +:100340001301B00013000000B38120021302120059 +:1003500093022000E31452FE930EA009130EF00046 +:100360006392D123130200009300F0001301B00048 +:100370001300000013000000B381200213021200DA +:1003800093022000E31252FE930E500A130E000156 +:10039000639AD11F130200009300D00013000000E5 +:1003A0001301B000B3812002130212009302200057 +:1003B000E31452FE930EF008130E10016394D11D46 +:1003C000130200009300E000130000001301B000CE +:1003D00013000000B38120021302120093022000D8 +:1003E000E31252FE930EA009130E2001639CD11953 +:1003F000130200009300F00013000000130000003F +:100400001301B000B38120021302120093022000F6 +:10041000E31252FE930E500A130E30016394D1176B +:10042000130200001301B0009300D000B38120023A +:100430001302120093022000E31652FE930EF008FE +:10044000130E40016390D115130200001301B00098 +:100450009300E00013000000B38120021302120099 +:1004600093022000E31452FE930EA009130E5001D4 +:10047000639AD111130200001301B0009300F00041 +:100480001300000013000000B381200213021200C9 +:1004900093022000E31252FE930E500A130E6001E5 +:1004A0006392D10F130200001301B000130000008B +:1004B0009300D000B38120021302120093022000A7 +:1004C000E31452FE930EF008130E7001639CD10BDF +:1004D000130200001301B000130000009300E000BD +:1004E00013000000B38120021302120093022000C7 +:1004F000E31252FE930EA009130E80016394D109FA +:10050000130200001301B0001300000013000000EC +:100510009300F000B3812002130212009302200026 +:10052000E31252FE930E500A130E9001639CD10504 +:100530009300F00133011002930E0000130EA0018E +:100540006312D1059300000233810002930E000074 +:10055000130EB0016318D103B3000002930E000024 +:10056000130EC0016390D003930010021301200208 +:1005700033802002930E0000130ED0016314D001CB +:10058000631CC0010F00F00F63000E00131E1E005D +:10059000136E1E00730000000F00F00F130E10000A +:1005A00073000000731000C0000000000000000095 +:1005B000000000000000000000000000000000003B +:0405C0000000000037 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32um-p-mulh.hex b/VexRiscv/src/test/resources/hex/rv32um-p-mulh.hex new file mode 100644 index 0000000..d4117c0 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32um-p-mulh.hex @@ -0,0 +1,101 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F17300203093000000D8 +:1000C00013010000B3912002930E0000130E2000D4 +:1000D000639AD14B9300100013011000B3912002DA +:1000E000930E0000130E3000639ED1499300300040 +:1000F00013017000B3912002930E0000130E400014 +:100100006392D149930000003781FFFFB391200231 +:10011000930E0000130E50006396D147B700008085 +:1001200013010000B3912002930E0000130E600033 +:10013000639AD145B700008013010000B3912002FB +:10014000930E0000130E7000639ED143B7B0AAAAAD +:100150009380B0AA370103001301D1E7B3912002C5 +:10016000B70EFFFF938E1E08130EE001639CD14172 +:10017000B70003009380D0E737B1AAAA1301B1AA50 +:10018000B3912002B70EFFFF938E1E08130EF001ED +:10019000639AD13FB70000FF370100FFB3912002FF +:1001A000B70E0100130E0002639ED13D9300F0FFD5 +:1001B0001301F0FFB3912002930E0000130E100202 +:1001C0006392D13D9300F0FF13011000B391200220 +:1001D000930EF0FF130E20026396D13B93001000A4 +:1001E0001301F0FFB3912002930EF0FF130E3002C3 +:1001F000639AD139B700D0003701B000B390200224 +:10020000B79E0000938E0EF0130E8000639CD037D3 +:10021000B700E0003701B00033912002B7AE000014 +:10022000938E0EA0130E9000631ED135B700D00040 +:10023000B3901002B7BE0000938E0E90130EA00074 +:100240006392D03513020000B700D0003701B00030 +:10025000B3912002138301001302120093022000C5 +:10026000E31452FEB79E0000938E0EF0130EB00002 +:10027000631AD33113020000B700E0003701B00069 +:10028000B391200213000000138301001302120037 +:1002900093022000E31252FEB7AE0000938E0EA030 +:1002A000130EC0006310D32F13020000B700F0003C +:1002B0003701B000B39120021300000013000000CA +:1002C000138301001302120093022000E31052FE78 +:1002D000B7AE0000938E0E50130ED0006314D32BD4 +:1002E00013020000B700D0003701B000B391200224 +:1002F0001302120093022000E31652FEB79E000084 +:10030000938E0EF0130EE000639ED12713020000BF +:10031000B700E0003701B00013000000B3912002E5 +:100320001302120093022000E31452FEB7AE000045 +:10033000938E0EA0130EF0006396D12513020000D9 +:10034000B700F0003701B0001300000013000000F8 +:10035000B39120021302120093022000E31252FE16 +:10036000B7AE0000938E0E50130E0001639CD12196 +:1003700013020000B700D000130000003701B000E6 +:10038000B39120021302120093022000E31452FEE4 +:10039000B79E0000938E0EF0130E10016394D11FD0 +:1003A00013020000B700E000130000003701B000A6 +:1003B00013000000B39120021302120093022000E8 +:1003C000E31252FEB7AE0000938E0EA0130E200172 +:1003D000639AD11B13020000B700F0001300000065 +:1003E000130000003701B000B39120021302120085 +:1003F00093022000E31252FEB7AE0000938E0E501F +:10040000130E30016390D119130200003701B000C0 +:10041000B700D000B3912002130212009302200013 +:10042000E31652FEB79E0000938E0EF0130E4001AD +:10043000639AD115130200003701B000B700E00045 +:1004400013000000B3912002130212009302200057 +:10045000E31452FEB7AE0000938E0EA0130E5001AF +:100460006392D113130200003701B000B700F0000F +:100470001300000013000000B391200213021200C9 +:1004800093022000E31252FEB7AE0000938E0E508E +:10049000130E60016398D10F130200003701B00002 +:1004A00013000000B700D000B39120021302120025 +:1004B00093022000E31452FEB79E0000938E0EF0CC +:1004C000130E70016390D10D130200003701B000CC +:1004D00013000000B700E00013000000B3912002F9 +:1004E0001302120093022000E31252FEB7AE000086 +:1004F000938E0EA0130E80016396D10913020000A3 +:100500003701B0001300000013000000B700F00036 +:10051000B39120021302120093022000E31252FE54 +:10052000B7AE0000938E0E50130E9001639CD10560 +:10053000B700007C33111002930E0000130EA001CF +:100540006312D105B700008033910002930E0000C2 +:10055000130EB0016318D103B3100002930E000014 +:10056000130EC0016390D003B700100237012002C0 +:1005700033902002930E0000130ED0016314D001BB +:10058000631CC0010F00F00F63000E00131E1E005D +:10059000136E1E00730000000F00F00F130E10000A +:1005A00073000000731000C0000000000000000095 +:1005B000000000000000000000000000000000003B +:0405C0000000000037 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32um-p-mulhsu.hex b/VexRiscv/src/test/resources/hex/rv32um-p-mulhsu.hex new file mode 100644 index 0000000..131a31a --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32um-p-mulhsu.hex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diff --git a/VexRiscv/src/test/resources/hex/rv32um-p-mulhu.hex b/VexRiscv/src/test/resources/hex/rv32um-p-mulhu.hex new file mode 100644 index 0000000..5535954 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32um-p-mulhu.hex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diff --git a/VexRiscv/src/test/resources/hex/rv32um-p-rem.hex b/VexRiscv/src/test/resources/hex/rv32um-p-rem.hex new file mode 100644 index 0000000..f65f890 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32um-p-rem.hex @@ -0,0 +1,37 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F1730020309300400197 +:1000C00013016000B3E12002930E2000130E200004 +:1000D0006394D10D9300C0FE13016000B3E12002D0 +:1000E000930EE0FF130E30006398D10B9300400194 +:1000F0001301A0FFB3E12002930E2000130E400075 +:10010000639CD1099300C0FE1301A0FFB3E120025C +:10011000930EE0FF130E50006390D109930000008E +:1001200013011000B3E12002930E0000130E6000D3 +:100130006394D107930000001301F0FFB3E12002A4 +:10014000930E0000130E70006398D1059300000019 +:1001500013010000B3E12002930E0000130E800093 +:10016000639CD1039300100013010000B3E120024F +:10017000930E1000130E90006390D10393000000C3 +:1001800013010000B3E12002930E0000130EA00043 +:100190006394D101631CC0010F00F00F63000E00D7 +:1001A000131E1E00136E1E00730000000F00F00FE0 +:1001B000130E100073000000731000C00000000058 +:0401C000000000003B +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/rv32um-p-remu.hex b/VexRiscv/src/test/resources/hex/rv32um-p-remu.hex new file mode 100644 index 0000000..1be0127 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/rv32um-p-remu.hex @@ -0,0 +1,37 @@ +:0200000480007A +:100000006F00C004732F2034930F8000630AFF0336 +:10001000930F90006306FF03930FB0006302FF038A +:10002000170F0080130F0FFE63040F0067000F000F +:10003000732F203463540F006F004000136E9E53E3 +:10004000171F00002320CFFD6FF09FFF732540F1A5 +:100050006310050073251030635805000F00F00F82 +:10006000130E100073000000130E00009702000032 +:10007000938282F973905230735020307350303035 +:1000800073504030970200809382C2F7638C020065 +:10009000739052109302B01A739022307323203061 +:1000A000E39E62F873500030970200009382420191 +:1000B00073901234732540F1730020309300400197 +:1000C00013016000B3F12002930E2000130E2000F4 +:1000D0006394D10D9300C0FE13016000B3F12002C0 +:1000E000930E2000130E30006398D10B9300400153 +:1000F0001301A0FFB3F12002930E4001130E400044 +:10010000639CD1099300C0FE1301A0FFB3F120024C +:10011000930EC0FE130E50006390D10993000000AF +:1001200013011000B3F12002930E0000130E6000C3 +:100130006394D107930000001301F0FFB3F1200294 +:10014000930E0000130E70006398D1059300000019 +:1001500013010000B3F12002930E0000130E800083 +:10016000639CD1039300100013010000B3F120023F +:10017000930E1000130E90006390D10393000000C3 +:1001800013010000B3F12002930E0000130EA00033 +:100190006394D101631CC0010F00F00F63000E00D7 +:1001A000131E1E00136E1E00730000000F00F00FE0 +:1001B000130E100073000000731000C00000000058 +:0401C000000000003B +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:081040000000000000000000A8 +:040000058000200057 +:00000001FF diff --git a/VexRiscv/src/test/resources/hex/testA.hex b/VexRiscv/src/test/resources/hex/testA.hex new file mode 100644 index 0000000..8bb3b24 --- /dev/null +++ b/VexRiscv/src/test/resources/hex/testA.hex @@ -0,0 +1,29 @@ +:1000000013000000130000001300000013000000A4 +:100010001300000013000000130000009300A00074 +:100020001300000013000000130000001300000084 +:100030001300000013000000130000001301400132 +:100040001300000013000000130000001300000064 +:100050001300000013000000130000009381E00172 +:100060001300000013000000130000001300000044 +:100070001300000013000000130000003382210071 +:100080001300000013000000130000001300000024 +:100090001300000013000000130000009300A000F4 +:1000A000130140019381E00133822100130000001D +:1000B00013000000130000001300000013000000F4 +:1000C00013000000130000001300000013000000E4 +:1000D00013000000130000001300000013000000D4 +:1000E00013000000130000001300000013000000C4 +:1000F00013000000130000001300000013000000B4 +:1001000013000000130000001300000013000000A3 +:100110001300000013000000130000009302100001 +:100120001300000013000000130000001300000083 +:100130001300000013000000130000006F00000413 +:100140001300000013000000130000001300000063 +:100150001300000013000000130000001303200030 +:100160001300000013000000130000001300000043 +:100170001300000013000000130000009303300080 +:100180001300000013000000130000001300000023 +:100190001300000013000000130000009302100081 +:0C01A0006F008000130320009303300068 +:0400000540000000B7 +:00000001FF diff --git a/VexRiscv/src/test/resources/ref/C.ADD.reference_output b/VexRiscv/src/test/resources/ref/C.ADD.reference_output new file mode 100644 index 0000000..46bfbe7 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/C.ADD.reference_output @@ -0,0 +1,7 @@ +00007fffffffffff0000000100000000 +00000000000000020000000100008000 +00000000ffffffff0000800100008000 +00007fff00007fff00007ffefffffffe +0000ffff0000fffe00007ffe00008000 +0000ffff00007fff0000800100008000 +00000000000000000000000000010000 diff --git a/VexRiscv/src/test/resources/ref/C.ADDI.reference_output b/VexRiscv/src/test/resources/ref/C.ADDI.reference_output new file mode 100644 index 0000000..9c2b399 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/C.ADDI.reference_output @@ -0,0 +1,7 @@ +000000100000000f0000000200000001 +0000001000000003000000020000001f +00000001000000000000002000000011 +000800000000001e0000000f0000000e +0008001e0008000f0008000e00080001 +000800100008000f0008000200080001 +0000000000000000000000000008001f diff --git a/VexRiscv/src/test/resources/ref/C.ADDI16SP.reference_output b/VexRiscv/src/test/resources/ref/C.ADDI16SP.reference_output new file mode 100644 index 0000000..f337829 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/C.ADDI16SP.reference_output @@ -0,0 +1,2 @@ +00000260000000700000003000000010 +00000000000000000000000000000060 diff --git a/VexRiscv/src/test/resources/ref/C.ADDI4SPN.reference_output b/VexRiscv/src/test/resources/ref/C.ADDI4SPN.reference_output new file mode 100644 index 0000000..71b679e --- /dev/null +++ b/VexRiscv/src/test/resources/ref/C.ADDI4SPN.reference_output @@ -0,0 +1,2 @@ +000000100000000c0000000800000004 +000000000000000000000000000003fc diff --git a/VexRiscv/src/test/resources/ref/C.AND.reference_output b/VexRiscv/src/test/resources/ref/C.AND.reference_output new file mode 100644 index 0000000..91d8655 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/C.AND.reference_output @@ -0,0 +1,7 @@ +00000000000000000000000000000000 +00000001000000010000000000000000 +00000001000000000000000000000001 +000000000000800000007fffffffffff +0000000000007fff00007fff00000001 +00000000000080000000000000000000 +00000000000000000000000000008000 diff --git a/VexRiscv/src/test/resources/ref/C.ANDI.reference_output b/VexRiscv/src/test/resources/ref/C.ANDI.reference_output new file mode 100644 index 0000000..649033f --- /dev/null +++ b/VexRiscv/src/test/resources/ref/C.ANDI.reference_output @@ -0,0 +1,7 @@ +00000000000000000000000000000000 +00000000000000010000000000000000 +00000001000000000000000100000001 +00000000ffffffe10000001f00000010 +0007ffe10000001f0000001000000001 +00000000000000000000000000000000 +00000000000000000000000000080000 diff --git a/VexRiscv/src/test/resources/ref/C.BEQZ.reference_output b/VexRiscv/src/test/resources/ref/C.BEQZ.reference_output new file mode 100644 index 0000000..e4dab5e --- /dev/null +++ b/VexRiscv/src/test/resources/ref/C.BEQZ.reference_output @@ -0,0 +1,2 @@ +00000000000000000000000000000000 +00000000000000000000000000000000 diff --git a/VexRiscv/src/test/resources/ref/C.BNEZ.reference_output b/VexRiscv/src/test/resources/ref/C.BNEZ.reference_output new file mode 100644 index 0000000..7d62167 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/C.BNEZ.reference_output @@ -0,0 +1,2 @@ +00007fffffffffff0000000100000000 +00000000000000000000000000008000 diff --git a/VexRiscv/src/test/resources/ref/C.J.reference_output b/VexRiscv/src/test/resources/ref/C.J.reference_output new file mode 100644 index 0000000..7d62167 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/C.J.reference_output @@ -0,0 +1,2 @@ +00007fffffffffff0000000100000000 +00000000000000000000000000008000 diff --git a/VexRiscv/src/test/resources/ref/C.JAL.reference_output b/VexRiscv/src/test/resources/ref/C.JAL.reference_output new file mode 100644 index 0000000..7d62167 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/C.JAL.reference_output @@ -0,0 +1,2 @@ +00007fffffffffff0000000100000000 +00000000000000000000000000008000 diff --git a/VexRiscv/src/test/resources/ref/C.JALR.reference_output b/VexRiscv/src/test/resources/ref/C.JALR.reference_output new file mode 100644 index 0000000..b5027d6 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/C.JALR.reference_output @@ -0,0 +1,2 @@ +8000016a80000146800001288000010a +00000000000000000000000080000188 diff --git a/VexRiscv/src/test/resources/ref/C.JR.reference_output b/VexRiscv/src/test/resources/ref/C.JR.reference_output new file mode 100644 index 0000000..b5027d6 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/C.JR.reference_output @@ -0,0 +1,2 @@ +8000016a80000146800001288000010a +00000000000000000000000080000188 diff --git a/VexRiscv/src/test/resources/ref/C.LI.reference_output b/VexRiscv/src/test/resources/ref/C.LI.reference_output new file mode 100644 index 0000000..3544be1 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/C.LI.reference_output @@ -0,0 +1,7 @@ +0000001f000000100000000100000000 +000000100000000100000000ffffffe1 +0000000100000000ffffffe10000001f +00000000ffffffe10000001f00000010 +ffffffe10000001f0000001000000001 +0000001f000000100000000100000000 +000000000000000000000000ffffffe1 diff --git a/VexRiscv/src/test/resources/ref/C.LUI.reference_output b/VexRiscv/src/test/resources/ref/C.LUI.reference_output new file mode 100644 index 0000000..032946e --- /dev/null +++ b/VexRiscv/src/test/resources/ref/C.LUI.reference_output @@ -0,0 +1,7 @@ +0001f0000000f0000000200000001000 +0000f0000000200000001000fffff000 +0000200000001000fffff0000001f000 +00001000fffff0000001f0000000f000 +fffff0000001f0000000f00000002000 +0001f0000000f0000000200000001000 +000000000000000000000000fffff000 diff --git a/VexRiscv/src/test/resources/ref/C.LW.reference_output b/VexRiscv/src/test/resources/ref/C.LW.reference_output new file mode 100644 index 0000000..d6288ce --- /dev/null +++ b/VexRiscv/src/test/resources/ref/C.LW.reference_output @@ -0,0 +1,10 @@ +00000019000000020000000100000000 +0000000200000001000000000000001f +00000006000000050000000400000003 +0000000a000000090000000800000007 +0000000e0000000d0000000c0000000b +0000001200000011000000100000000f +00000016000000150000001400000013 +0000001a000000190000001800000017 +0000001e0000001d0000001c0000001b +0000000000000000000000000000001f diff --git a/VexRiscv/src/test/resources/ref/C.LWSP.reference_output b/VexRiscv/src/test/resources/ref/C.LWSP.reference_output new file mode 100644 index 0000000..574b7cb --- /dev/null +++ b/VexRiscv/src/test/resources/ref/C.LWSP.reference_output @@ -0,0 +1,10 @@ +0000001f000000020000000100000000 +000000020000000100000000ffffffff +00000006000000050000000400000003 +0000000a000000090000000800000007 +0000000e0000000d0000000c0000000b +0000001200000011000000100000000f +00000016000000150000001400000013 +0000001a000000190000001800000017 +0000001e0000001d0000001c0000001b +0000000000000000000000000000001f diff --git a/VexRiscv/src/test/resources/ref/C.MV.reference_output b/VexRiscv/src/test/resources/ref/C.MV.reference_output new file mode 100644 index 0000000..0d8bd51 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/C.MV.reference_output @@ -0,0 +1,7 @@ +00000000000000000000000000000000 +00000001000000010000000100000000 +ffffffffffffffff0000000100000001 +00007fffffffffffffffffffffffffff +00007fff00007fff00007fff00007fff +00008000000080000000800000008000 +00000000000000000000000000008000 diff --git a/VexRiscv/src/test/resources/ref/C.OR.reference_output b/VexRiscv/src/test/resources/ref/C.OR.reference_output new file mode 100644 index 0000000..ebd52e6 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/C.OR.reference_output @@ -0,0 +1,7 @@ +00007fffffffffff0000000100000000 +ffffffff000000010000000100008000 +ffffffffffffffff0000800100007fff +00007fffffffffffffffffffffffffff +0000ffff00007fffffffffff00007fff +0000ffffffffffff0000800100008000 +00000000000000000000000000008000 diff --git a/VexRiscv/src/test/resources/ref/C.SLLI.reference_output b/VexRiscv/src/test/resources/ref/C.SLLI.reference_output new file mode 100644 index 0000000..6f7516a --- /dev/null +++ b/VexRiscv/src/test/resources/ref/C.SLLI.reference_output @@ -0,0 +1,7 @@ +00000000000000000000000000000000 +00008000000000040000000200000000 +fffffffcfffffffe8000000000010000 +000ffffe80000000ffff0000ffff8000 +80000000ffff0000ffff8000001ffffc +00000000000000000020000000100000 +00000000000000000000000000000000 diff --git a/VexRiscv/src/test/resources/ref/C.SRAI.reference_output b/VexRiscv/src/test/resources/ref/C.SRAI.reference_output new file mode 100644 index 0000000..43f4b79 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/C.SRAI.reference_output @@ -0,0 +1,7 @@ +00000000000000000000000000000000 +00000000000000000000000000000000 +ffffffffffffffff0000000000000000 +0003ffffffffffffffffffffffffffff +00000000000000070000000f0001ffff +00000008000000100002000000040000 +00000000000000000000000000000000 diff --git a/VexRiscv/src/test/resources/ref/C.SRLI.reference_output b/VexRiscv/src/test/resources/ref/C.SRLI.reference_output new file mode 100644 index 0000000..77035be --- /dev/null +++ b/VexRiscv/src/test/resources/ref/C.SRLI.reference_output @@ -0,0 +1,7 @@ +00000000000000000000000000000000 +00000000000000000000000000000000 +3fffffff7fffffff0000000000000000 +0003ffff000000010000ffff0001ffff +00000000000000070000000f0001ffff +00000008000000100002000000040000 +00000000000000000000000000000000 diff --git a/VexRiscv/src/test/resources/ref/C.SUB.reference_output b/VexRiscv/src/test/resources/ref/C.SUB.reference_output new file mode 100644 index 0000000..839dbe9 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/C.SUB.reference_output @@ -0,0 +1,7 @@ +00007fffffffffff0000000100000000 +fffffffe00000000ffffffff00008000 +000000020000000100007fff00007ffe +ffff8001000080010000800000000000 +0000000100000000ffff8000ffff8002 +ffffffffffff7fffffff8001ffff8000 +00000000000000000000000000000000 diff --git a/VexRiscv/src/test/resources/ref/C.SW.reference_output b/VexRiscv/src/test/resources/ref/C.SW.reference_output new file mode 100644 index 0000000..f86a855 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/C.SW.reference_output @@ -0,0 +1,10 @@ +00007fffffffffff0000000100000000 +ffffffff000000010000000000008000 +ffffffffffffffff00007fffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +00000000000000000000000000008000 diff --git a/VexRiscv/src/test/resources/ref/C.SWSP.reference_output b/VexRiscv/src/test/resources/ref/C.SWSP.reference_output new file mode 100644 index 0000000..1cfc185 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/C.SWSP.reference_output @@ -0,0 +1,10 @@ +00007fffffffffff0000000100000000 +ffffffff000000010000000000008000 +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +00007fffffffffffffffffffffffffff +000000000000000000000000ffffffff diff --git a/VexRiscv/src/test/resources/ref/C.XOR.reference_output b/VexRiscv/src/test/resources/ref/C.XOR.reference_output new file mode 100644 index 0000000..c83ba4f --- /dev/null +++ b/VexRiscv/src/test/resources/ref/C.XOR.reference_output @@ -0,0 +1,7 @@ +00007fffffffffff0000000100000000 +fffffffe000000000000000100008000 +fffffffeffffffff0000800100007ffe +00007fffffff7fffffff800000000000 +0000ffff00000000ffff800000007ffe +0000ffffffff7fff0000800100008000 +00000000000000000000000000000000 diff --git a/VexRiscv/src/test/resources/ref/DIV.reference_output b/VexRiscv/src/test/resources/ref/DIV.reference_output new file mode 100644 index 0000000..cdc6e44 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/DIV.reference_output @@ -0,0 +1,13 @@ +000000000000000000000000ffffffff +ffffffff00000001ffffffff00000000 +ffffffffffffffff0000000000000000 +ffffffff000000000000000000000001 +0000000000000001800000017fffffff +ffffffff8000000080000000ffffffff +ffffffffffffffffffffffff00000001 +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +0000000000000000ffffffffffffffff diff --git a/VexRiscv/src/test/resources/ref/DIVU.reference_output b/VexRiscv/src/test/resources/ref/DIVU.reference_output new file mode 100644 index 0000000..3164133 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/DIVU.reference_output @@ -0,0 +1,13 @@ +000000000000000000000000ffffffff +0000000000000001ffffffff00000000 +ffffffffffffffff0000000000000000 +ffffffff000000010000000200000001 +0000000000000001000000007fffffff +000000010000000080000000ffffffff +ffffffffffffffffffffffff00000001 +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +0000000000000000ffffffffffffffff diff --git a/VexRiscv/src/test/resources/ref/I-ADD-01.reference_output b/VexRiscv/src/test/resources/ref/I-ADD-01.reference_output new file mode 100644 index 0000000..a84f4ab --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-ADD-01.reference_output @@ -0,0 +1,11 @@ +ffffffff000000010000000000000000 +0000000100000001800000007fffffff +80000001800000000000000000000002 +fffffffe00000000ffffffffffffffff +7fffffff7fffffff7fffffff7ffffffe +fffffffffffffffe7ffffffe80000000 +7fffffff800000018000000080000000 +0000abcd0000000100000000ffffffff +0000abd10000abd00000abcf0000abce +00000000000000000000abd30000abd2 +36925814369258143692581400000000 diff --git a/VexRiscv/src/test/resources/ref/I-ADDI-01.reference_output b/VexRiscv/src/test/resources/ref/I-ADDI-01.reference_output new file mode 100644 index 0000000..0192910 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-ADDI-01.reference_output @@ -0,0 +1,11 @@ +ffffffff000007ff0000000100000000 +0000000200000001fffff80000000000 +fffff801000000010000000000000800 +fffffffe000007fe00000000ffffffff +800000007ffffffffffff7ffffffffff +7ffff7ff7fffffff7ffffffe800007fe +7fffffff800007ff8000000180000000 +0000abce0000abcd7ffff80080000000 +0000abd20000abd10000abd00000abcf +0000000100000000000000000000abd3 +36925814369258143692581436925814 diff --git a/VexRiscv/src/test/resources/ref/I-AND-01.reference_output b/VexRiscv/src/test/resources/ref/I-AND-01.reference_output new file mode 100644 index 0000000..6e8bf9d --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-AND-01.reference_output @@ -0,0 +1,11 @@ +00000000000000000000000000000000 +00000000000000010000000000000000 +00000000000000010000000100000001 +ffffffff0000000100000000ffffffff +000000007fffffff800000007fffffff +000000007fffffff7fffffff00000001 +80000000000000000000000080000000 +abcdffff0000007f8000000000000000 +0000000f0000001f0000003f0000007f +00000000000000000000000300000007 +36925814369258143692581400000000 diff --git a/VexRiscv/src/test/resources/ref/I-ANDI-01.reference_output b/VexRiscv/src/test/resources/ref/I-ANDI-01.reference_output new file mode 100644 index 0000000..a67df5a --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-ANDI-01.reference_output @@ -0,0 +1,11 @@ +00000000000000000000000000000000 +00000001000000010000000000000000 +00000000000000000000000100000001 +ffffffff000007ff00000001ffffffff +000000017ffffffffffff80000000000 +7ffff800000000007fffffff000007ff +80000000000000000000000080000000 +0000007fabcdffff8000000000000000 +000000070000000f0000001f0000003f +00000000000000000000000000000003 +36925814369258143692581436925814 diff --git a/VexRiscv/src/test/resources/ref/I-AUIPC-01.reference_output b/VexRiscv/src/test/resources/ref/I-AUIPC-01.reference_output new file mode 100644 index 0000000..2112d89 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-AUIPC-01.reference_output @@ -0,0 +1,4 @@ +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 diff --git a/VexRiscv/src/test/resources/ref/I-BEQ-01.reference_output b/VexRiscv/src/test/resources/ref/I-BEQ-01.reference_output new file mode 100644 index 0000000..56b3d4c --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-BEQ-01.reference_output @@ -0,0 +1,10 @@ +ffffffff000000010000000000000000 +000000010000001e800000007fffffff +7fffffffffffffff0000000100000000 +00000000ffffffff0000001d80000000 +800000007fffffffffffffff00000001 +00000001000000007fffffff0000001b +00000017800000007fffffffffffffff +ffffffff000000010000000080000000 +000003de0000000f800000007fffffff +0fedcba9876543219abcdef000000000 diff --git a/VexRiscv/src/test/resources/ref/I-BGE-01.reference_output b/VexRiscv/src/test/resources/ref/I-BGE-01.reference_output new file mode 100644 index 0000000..9091dc1 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-BGE-01.reference_output @@ -0,0 +1,10 @@ +ffffffff000000010000000000000000 +000000010000000a800000007fffffff +7fffffffffffffff0000000100000000 +00000000ffffffff0000000880000000 +800000007fffffffffffffff00000001 +00000001000000007fffffff0000000b +00000000800000007fffffffffffffff +ffffffff000000010000000080000000 +000001540000000f800000007fffffff +0fedcba9876543219abcdef000000000 diff --git a/VexRiscv/src/test/resources/ref/I-BGEU-01.reference_output b/VexRiscv/src/test/resources/ref/I-BGEU-01.reference_output new file mode 100644 index 0000000..1388506 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-BGEU-01.reference_output @@ -0,0 +1,10 @@ +ffffffff000000010000000000000000 +000000010000001e800000007fffffff +7fffffffffffffff0000000100000000 +00000000ffffffff0000001c80000000 +800000007fffffffffffffff00000001 +00000001000000007fffffff00000000 +00000014800000007fffffffffffffff +ffffffff000000010000000080000000 +000003c000000004800000007fffffff +0fedcba9876543219abcdef000000000 diff --git a/VexRiscv/src/test/resources/ref/I-BLT-01.reference_output b/VexRiscv/src/test/resources/ref/I-BLT-01.reference_output new file mode 100644 index 0000000..b878276 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-BLT-01.reference_output @@ -0,0 +1,10 @@ +ffffffff000000010000000000000000 +0000000100000015800000007fffffff +7fffffffffffffff0000000100000000 +00000000ffffffff0000001780000000 +800000007fffffffffffffff00000001 +00000001000000007fffffff00000014 +0000001f800000007fffffffffffffff +ffffffff000000010000000080000000 +000002ab00000010800000007fffffff +0fedcba9876543219abcdef000000000 diff --git a/VexRiscv/src/test/resources/ref/I-BLTU-01.reference_output b/VexRiscv/src/test/resources/ref/I-BLTU-01.reference_output new file mode 100644 index 0000000..462c7f1 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-BLTU-01.reference_output @@ -0,0 +1,10 @@ +ffffffff000000010000000000000000 +0000000100000001800000007fffffff +7fffffffffffffff0000000100000000 +00000000ffffffff0000000380000000 +800000007fffffffffffffff00000001 +00000001000000007fffffff0000001f +0000000b800000007fffffffffffffff +ffffffff000000010000000080000000 +0000003f0000001b800000007fffffff +0fedcba9876543219abcdef000000000 diff --git a/VexRiscv/src/test/resources/ref/I-BNE-01.reference_output b/VexRiscv/src/test/resources/ref/I-BNE-01.reference_output new file mode 100644 index 0000000..7d8e848 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-BNE-01.reference_output @@ -0,0 +1,10 @@ +ffffffff000000010000000000000000 +0000000100000001800000007fffffff +7fffffffffffffff0000000100000000 +00000000ffffffff0000000280000000 +800000007fffffffffffffff00000001 +00000001000000007fffffff00000004 +00000008800000007fffffffffffffff +ffffffff000000010000000080000000 +0000002100000010800000007fffffff +0fedcba9876543219abcdef000000000 diff --git a/VexRiscv/src/test/resources/ref/I-CSRRC-01.reference_output b/VexRiscv/src/test/resources/ref/I-CSRRC-01.reference_output new file mode 100644 index 0000000..a385582 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-CSRRC-01.reference_output @@ -0,0 +1,6 @@ +800f0000fffffffffffffffe00000000 +fffffffeffffffff000000007fffffff +00000000000f0000800f0000fffffffe +ffffffff00000000ffffffffedcba987 +0000000042726e6f00000000ffffffff +49c1a90369c7ad8bfffffffff7ff8818 diff --git a/VexRiscv/src/test/resources/ref/I-CSRRCI-01.reference_output b/VexRiscv/src/test/resources/ref/I-CSRRCI-01.reference_output new file mode 100644 index 0000000..229f312 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-CSRRCI-01.reference_output @@ -0,0 +1,4 @@ +ffffffe0fffffffffffffffe00000000 +fffffffffffffffffffffff0ffffffef +ffffffe0ffffffe0fffffffefffffffe +3216549000000000ffffffffffffffe0 diff --git a/VexRiscv/src/test/resources/ref/I-CSRRS-01.reference_output b/VexRiscv/src/test/resources/ref/I-CSRRS-01.reference_output new file mode 100644 index 0000000..d254040 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-CSRRS-01.reference_output @@ -0,0 +1,6 @@ +7ff0ffff000000000000000100000000 +0000000100000000ffffffff80000000 +fffffffffff0ffff7ff0ffff00000001 +00000000123456780000000012345678 +0000000042726e6f0000000012345678 +b63e56fc9638527400000000f7ff8818 diff --git a/VexRiscv/src/test/resources/ref/I-CSRRSI-01.reference_output b/VexRiscv/src/test/resources/ref/I-CSRRSI-01.reference_output new file mode 100644 index 0000000..176aab8 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-CSRRSI-01.reference_output @@ -0,0 +1,4 @@ +0000001f000000000000000100000000 +00000000000000000000000f00000010 +0000001f0000001f0000000100000001 +321654983216549f000000000000001f diff --git a/VexRiscv/src/test/resources/ref/I-CSRRW-01.reference_output b/VexRiscv/src/test/resources/ref/I-CSRRW-01.reference_output new file mode 100644 index 0000000..0a2ecc1 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-CSRRW-01.reference_output @@ -0,0 +1,4 @@ +ffffffff000000000000000100000000 +9abcdef012345678800000007fffffff +00000000000000009abcdef012345678 +32165498963852741472583600000000 diff --git a/VexRiscv/src/test/resources/ref/I-CSRRWI-01.reference_output b/VexRiscv/src/test/resources/ref/I-CSRRWI-01.reference_output new file mode 100644 index 0000000..3a794f7 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-CSRRWI-01.reference_output @@ -0,0 +1,2 @@ +00000000000000010000000000000000 +00000000000000100000000f0000001f diff --git a/VexRiscv/src/test/resources/ref/I-DELAY_SLOTS-01.reference_output b/VexRiscv/src/test/resources/ref/I-DELAY_SLOTS-01.reference_output new file mode 100644 index 0000000..3fd3d3f --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-DELAY_SLOTS-01.reference_output @@ -0,0 +1,2 @@ +44444444333333332222222211111111 +88888888777777776666666655555555 diff --git a/VexRiscv/src/test/resources/ref/I-EBREAK-01.reference_output b/VexRiscv/src/test/resources/ref/I-EBREAK-01.reference_output new file mode 100644 index 0000000..8780014 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-EBREAK-01.reference_output @@ -0,0 +1 @@ +00000000000000001111111100000003 diff --git a/VexRiscv/src/test/resources/ref/I-ECALL-01.reference_output b/VexRiscv/src/test/resources/ref/I-ECALL-01.reference_output new file mode 100644 index 0000000..752c29b --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-ECALL-01.reference_output @@ -0,0 +1 @@ +0000000000000000111111110000000b diff --git a/VexRiscv/src/test/resources/ref/I-ENDIANESS-01.reference_output b/VexRiscv/src/test/resources/ref/I-ENDIANESS-01.reference_output new file mode 100644 index 0000000..d90aef6 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-ENDIANESS-01.reference_output @@ -0,0 +1,2 @@ +00000089000001230000456701234567 +00000001000000230000004500000067 diff --git a/VexRiscv/src/test/resources/ref/I-FENCE.I-01.reference_output b/VexRiscv/src/test/resources/ref/I-FENCE.I-01.reference_output new file mode 100644 index 0000000..b466c97 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-FENCE.I-01.reference_output @@ -0,0 +1 @@ +001101b3000000420000001200000030 diff --git a/VexRiscv/src/test/resources/ref/I-IO.reference_output b/VexRiscv/src/test/resources/ref/I-IO.reference_output new file mode 100644 index 0000000..a84f4ab --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-IO.reference_output @@ -0,0 +1,11 @@ +ffffffff000000010000000000000000 +0000000100000001800000007fffffff +80000001800000000000000000000002 +fffffffe00000000ffffffffffffffff +7fffffff7fffffff7fffffff7ffffffe +fffffffffffffffe7ffffffe80000000 +7fffffff800000018000000080000000 +0000abcd0000000100000000ffffffff +0000abd10000abd00000abcf0000abce +00000000000000000000abd30000abd2 +36925814369258143692581400000000 diff --git a/VexRiscv/src/test/resources/ref/I-JAL-01.reference_output b/VexRiscv/src/test/resources/ref/I-JAL-01.reference_output new file mode 100644 index 0000000..515dd48 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-JAL-01.reference_output @@ -0,0 +1,5 @@ +9abcdef0000000001234567800000000 +22222222111111110fedcba987654321 +00000000555555554444444433333333 +88888888777777776666666600000000 +0000000000000000aaaaaaaa99999999 diff --git a/VexRiscv/src/test/resources/ref/I-JALR-01.reference_output b/VexRiscv/src/test/resources/ref/I-JALR-01.reference_output new file mode 100644 index 0000000..1ef4f16 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-JALR-01.reference_output @@ -0,0 +1,6 @@ +9abcdef0000000001234567800000000 +22222222111111110fedcba987654321 +00000000555555554444444433333333 +88888888777777776666666600000000 +0000000000000000aaaaaaaa99999999 +44444444333333332222222211111111 diff --git a/VexRiscv/src/test/resources/ref/I-LB-01.reference_output b/VexRiscv/src/test/resources/ref/I-LB-01.reference_output new file mode 100644 index 0000000..8c8c14b --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-LB-01.reference_output @@ -0,0 +1,9 @@ +00000011fffffff1fffffff200000022 +fffffff30000003300000044fffffff4 +00000055fffffff5fffffff600000066 +fffffff700000077ffffff88fffffff8 +ffffff99000000090000000affffffaa +0000000bffffffbbffffffcc0000000c +ffffffdd0000000d0000000effffffee +0000000fffffffff00000000fffffff0 +ffffff9800000010fffffff000000000 diff --git a/VexRiscv/src/test/resources/ref/I-LBU-01.reference_output b/VexRiscv/src/test/resources/ref/I-LBU-01.reference_output new file mode 100644 index 0000000..bc3fd6b --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-LBU-01.reference_output @@ -0,0 +1,9 @@ +00000011000000f1000000f200000022 +000000f30000003300000044000000f4 +00000055000000f5000000f600000066 +000000f70000007700000088000000f8 +00000099000000090000000a000000aa +0000000b000000bb000000cc0000000c +000000dd0000000d0000000e000000ee +0000000f000000ff00000000000000f0 +0000009800000010000000f000000000 diff --git a/VexRiscv/src/test/resources/ref/I-LH-01.reference_output b/VexRiscv/src/test/resources/ref/I-LH-01.reference_output new file mode 100644 index 0000000..e5749c2 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-LH-01.reference_output @@ -0,0 +1,5 @@ +fffff333000044f4000011f1fffff222 +fffff777ffff88f8000055f5fffff666 +00000bbbffffcc0cffff990900000aaa +00000fff000000f0ffffdd0d00000eee +ffffba9800003210ffffdef000000000 diff --git a/VexRiscv/src/test/resources/ref/I-LHU-01.reference_output b/VexRiscv/src/test/resources/ref/I-LHU-01.reference_output new file mode 100644 index 0000000..f299a39 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-LHU-01.reference_output @@ -0,0 +1,5 @@ +0000f333000044f4000011f10000f222 +0000f777000088f8000055f50000f666 +00000bbb0000cc0c0000990900000aaa +00000fff000000f00000dd0d00000eee +0000ba98000032100000def000000000 diff --git a/VexRiscv/src/test/resources/ref/I-LUI-01.reference_output b/VexRiscv/src/test/resources/ref/I-LUI-01.reference_output new file mode 100644 index 0000000..c18fee2 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-LUI-01.reference_output @@ -0,0 +1,4 @@ +7ffff000fffff0000000100000000000 +000000007ffff0008000000080000000 +1234567842726e6ffffff00000001000 +9abcdef01234567842726e6f9abcdef0 diff --git a/VexRiscv/src/test/resources/ref/I-LW-01.reference_output b/VexRiscv/src/test/resources/ref/I-LW-01.reference_output new file mode 100644 index 0000000..6e339de --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-LW-01.reference_output @@ -0,0 +1,3 @@ +f77788f855f5f666f33344f411f1f222 +0fff00f0dd0d0eee0bbbcc0c99090aaa +fedcba98765432109abcdef000000000 diff --git a/VexRiscv/src/test/resources/ref/I-MISALIGN_JMP-01.reference_output b/VexRiscv/src/test/resources/ref/I-MISALIGN_JMP-01.reference_output new file mode 100644 index 0000000..288ba41 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-MISALIGN_JMP-01.reference_output @@ -0,0 +1,9 @@ +22222222111111110000000000000002 +00000000000000024444444433333333 +66666666000000000000000255555555 +00000002777777770000000000000002 +00000000000000028888888800000000 +aaaaaaaa000000000000000299999999 +00000002bbbbbbbb0000000000000002 +0000000000000002cccccccc00000000 +eeeeeeee0000000000000002dddddddd diff --git a/VexRiscv/src/test/resources/ref/I-MISALIGN_LDST-01.reference_output b/VexRiscv/src/test/resources/ref/I-MISALIGN_LDST-01.reference_output new file mode 100644 index 0000000..5e93326 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-MISALIGN_LDST-01.reference_output @@ -0,0 +1,11 @@ +91a1b1c191a1b1c191a1b1c191a1b1c1 +00000004000000020000000400000001 +fffff202fffff2020000000400000003 +0000f2020000f202ffffd2e2ffffd2e2 +00000004000000010000d2e20000d2e2 +00000004000000010000000400000003 +99999999000000000000000400000003 +00000006000000019999999999999999 +00000006000000030000000600000002 +99999999000099999999999999990000 +00000006000000030000000600000001 diff --git a/VexRiscv/src/test/resources/ref/I-NOP-01.reference_output b/VexRiscv/src/test/resources/ref/I-NOP-01.reference_output new file mode 100644 index 0000000..77c8c2a --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-NOP-01.reference_output @@ -0,0 +1,8 @@ +00000004000000030000000200000000 +00000008000000070000000600000005 +0000000c0000000b0000000a00000009 +000000100000000f0000000e0000000d +00000014000000130000001200000011 +00000018000000170000001600000015 +0000001c0000001b0000001a00000019 +000000180000001f0000001e0000001d diff --git a/VexRiscv/src/test/resources/ref/I-OR-01.reference_output b/VexRiscv/src/test/resources/ref/I-OR-01.reference_output new file mode 100644 index 0000000..0777be2 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-OR-01.reference_output @@ -0,0 +1,11 @@ +ffffffff000000010000000000000000 +0000000100000001800000007fffffff +800000017fffffffffffffff00000001 +ffffffffffffffffffffffffffffffff +7fffffff7fffffffffffffffffffffff +ffffffff7fffffffffffffff7fffffff +ffffffff800000018000000080000000 +0000000d0000001080000000ffffffff +000000fd0000007d0000003d0000001d +0000000000000000fffff9fd000001fd +36925814369258143692581400000000 diff --git a/VexRiscv/src/test/resources/ref/I-ORI-01.reference_output b/VexRiscv/src/test/resources/ref/I-ORI-01.reference_output new file mode 100644 index 0000000..040a7fd --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-ORI-01.reference_output @@ -0,0 +1,11 @@ +ffffffff000007ff0000000100000000 +0000000100000001fffff80000000000 +fffff80100000001ffffffff000007ff +ffffffffffffffffffffffffffffffff +7fffffff7fffffffffffffffffffffff +ffffffff7fffffffffffffff7fffffff +ffffffff800007ff8000000180000000 +0000001d0000000dfffff80080000000 +000001fd000000fd0000007d0000003d +000000010000000000000000fffff9fd +36925814369258143692581436925814 diff --git a/VexRiscv/src/test/resources/ref/I-RF_size-01.reference_output b/VexRiscv/src/test/resources/ref/I-RF_size-01.reference_output new file mode 100644 index 0000000..73045e2 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-RF_size-01.reference_output @@ -0,0 +1,9 @@ +526973632d5620525633324900000000 +4d696c616e204e6f73746572736b7920 +286e6f73746572736b7940636f646173 +69702e636f6d292c20526164656b2048 +616a656b202868616a656b40636f6461 +7369702e636f6d292e204c6561766520 +7573206d65737361676520696620796f +7520726561642074686973203a290d0a +526973632d5620525633324900000000 diff --git a/VexRiscv/src/test/resources/ref/I-RF_width-01.reference_output b/VexRiscv/src/test/resources/ref/I-RF_width-01.reference_output new file mode 100644 index 0000000..7d26805 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-RF_width-01.reference_output @@ -0,0 +1,8 @@ +80000000800000008000000000000000 +80000000800000008000000080000000 +80000000800000008000000080000000 +80000000800000008000000080000000 +80000000800000008000000080000000 +80000000800000008000000080000000 +80000000800000008000000080000000 +80000000800000008000000080000000 diff --git a/VexRiscv/src/test/resources/ref/I-RF_x0-01.reference_output b/VexRiscv/src/test/resources/ref/I-RF_x0-01.reference_output new file mode 100644 index 0000000..58b994f --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-RF_x0-01.reference_output @@ -0,0 +1,3 @@ +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 diff --git a/VexRiscv/src/test/resources/ref/I-SB-01.reference_output b/VexRiscv/src/test/resources/ref/I-SB-01.reference_output new file mode 100644 index 0000000..eddb198 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-SB-01.reference_output @@ -0,0 +1,4 @@ +000000f800000066000000f4aaaabb22 +0fff00f0dd0d0eee0bbbcc0c000000aa +00000010000000f00000004487654300 +000000630000003600000036000000ef diff --git a/VexRiscv/src/test/resources/ref/I-SH-01.reference_output b/VexRiscv/src/test/resources/ref/I-SH-01.reference_output new file mode 100644 index 0000000..48858ea --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-SH-01.reference_output @@ -0,0 +1,4 @@ +000088f80000f666000044f4aaaaf222 +0fff00f0dd0d0eee0bbbcc0c00000aaa +000032100000def00000334487650000 +0000496300005836000058360000cdef diff --git a/VexRiscv/src/test/resources/ref/I-SLL-01.reference_output b/VexRiscv/src/test/resources/ref/I-SLL-01.reference_output new file mode 100644 index 0000000..482833b --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-SLL-01.reference_output @@ -0,0 +1,11 @@ +00000000000000000000000000000000 +00000002000000010000000000000000 +00010000000000018000000000008000 +80000000ffff8000fffffffeffffffff +fffffffe7fffffffffff0000ffffffff +ffff00007fffffff80000000ffff8000 +00000000000000000000000080000000 +579bde20abcdef100000000080000000 +79bde200bcdef1005e6f7880af37bc40 +000000000000000000000000f37bc400 +80000000a19080000eca864287654321 diff --git a/VexRiscv/src/test/resources/ref/I-SLLI-01.reference_output b/VexRiscv/src/test/resources/ref/I-SLLI-01.reference_output new file mode 100644 index 0000000..fb8a71f --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-SLLI-01.reference_output @@ -0,0 +1,10 @@ +00000000000000000000000000000000 +00000002000000010000000000000000 +00010000000000018000000000008000 +80000000ffff8000fffffffeffffffff +fffffffe7fffffffffff0000ffffffff +ffff00007fffffff80000000ffff8000 +00000000000000000000000080000000 +579bde20abcdef100000000080000000 +79bde200bcdef1005e6f7880af37bc40 +000000000000000000000000f37bc400 diff --git a/VexRiscv/src/test/resources/ref/I-SLT-01.reference_output b/VexRiscv/src/test/resources/ref/I-SLT-01.reference_output new file mode 100644 index 0000000..14f046e --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-SLT-01.reference_output @@ -0,0 +1,12 @@ +00000000000000010000000100000000 +00000000000000010000000000000000 +00000000000000000000000000000001 +000000000000000100000001ffffffff +000000007fffffff0000000000000001 +00000000000000000000000000000000 +00000001000000010000000180000000 +ffffffff000000000000000000000001 +00000000000000010000000100000001 +00000000000000000000000000000000 +00000001000000010000000000000001 +00000001000000000000000100000000 diff --git a/VexRiscv/src/test/resources/ref/I-SLTI-01.reference_output b/VexRiscv/src/test/resources/ref/I-SLTI-01.reference_output new file mode 100644 index 0000000..7ca4e6e --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-SLTI-01.reference_output @@ -0,0 +1,11 @@ +00000000000000010000000100000000 +00000000000000010000000000000000 +00000000000000000000000000000001 +000000000000000100000001ffffffff +000000007fffffff0000000000000001 +00000000000000000000000000000000 +00000001000000010000000180000000 +00000000ffffffff0000000100000001 +00000000000000010000000100000000 +00000001000000000000000000000000 +00000001000000000000000100000000 diff --git a/VexRiscv/src/test/resources/ref/I-SLTIU-01.reference_output b/VexRiscv/src/test/resources/ref/I-SLTIU-01.reference_output new file mode 100644 index 0000000..26b9733 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-SLTIU-01.reference_output @@ -0,0 +1,11 @@ +00000001000000010000000100000000 +00000000000000010000000100000000 +00000001000000000000000100000001 +000000000000000000000000ffffffff +000000007fffffff0000000000000000 +00000001000000000000000100000000 +00000001000000000000000080000000 +00000000000000010000000100000000 +00000001000000010000000100000000 +00000001000000000000000100000000 +00000001000000000000000100000000 diff --git a/VexRiscv/src/test/resources/ref/I-SLTU-01.reference_output b/VexRiscv/src/test/resources/ref/I-SLTU-01.reference_output new file mode 100644 index 0000000..d72f1c5 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-SLTU-01.reference_output @@ -0,0 +1,12 @@ +00000001000000010000000100000000 +00000000000000010000000100000000 +00000001000000000000000100000001 +000000000000000000000000ffffffff +000000007fffffff0000000000000000 +00000001000000000000000100000000 +00000001000000000000000080000000 +00000001000000000000000000000000 +000000010000000100000001ffffffff +00000000000000000000000100000000 +00000001000000000000000000000000 +00000001000000000000000100000000 diff --git a/VexRiscv/src/test/resources/ref/I-SRA-01.reference_output b/VexRiscv/src/test/resources/ref/I-SRA-01.reference_output new file mode 100644 index 0000000..6847b09 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-SRA-01.reference_output @@ -0,0 +1,11 @@ +00000000000000000000000000000000 +00000000000000010000000000000000 +00000000000000010000000000000000 +ffffffffffffffffffffffffffffffff +3fffffff7fffffffffffffffffffffff +00007fff7fffffff000000000000ffff +ffffffffffff0000c000000080000000 +d5e6f788abcdef10ffff800080000000 +fd5e6f78fabcdef1f579bde2eaf37bc4 +000000000000000000000000feaf37bc +ffffffffffff0ecac3b2a19087654321 diff --git a/VexRiscv/src/test/resources/ref/I-SRAI-01.reference_output b/VexRiscv/src/test/resources/ref/I-SRAI-01.reference_output new file mode 100644 index 0000000..76b4c6a --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-SRAI-01.reference_output @@ -0,0 +1,10 @@ +00000000000000000000000000000000 +00000000000000010000000000000000 +00000000000000010000000000000000 +ffffffffffffffffffffffffffffffff +3fffffff7fffffffffffffffffffffff +00007fff7fffffff000000000000ffff +ffffffffffff0000c000000080000000 +d5e6f788abcdef10ffff800080000000 +fd5e6f78fabcdef1f579bde2eaf37bc4 +000000000000000000000000feaf37bc diff --git a/VexRiscv/src/test/resources/ref/I-SRL-01.reference_output b/VexRiscv/src/test/resources/ref/I-SRL-01.reference_output new file mode 100644 index 0000000..eff39a5 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-SRL-01.reference_output @@ -0,0 +1,11 @@ +00000000000000000000000000000000 +00000000000000010000000000000000 +00000000000000010000000000000000 +000000010001ffff7fffffffffffffff +3fffffff7fffffff0000ffffffffffff +00007fff7fffffff000000000000ffff +00000001000100004000000080000000 +55e6f788abcdef100000800080000000 +055e6f780abcdef11579bde22af37bc4 +00000000000000000000000002af37bc +0000000100010eca43b2a19087654321 diff --git a/VexRiscv/src/test/resources/ref/I-SRLI-01.reference_output b/VexRiscv/src/test/resources/ref/I-SRLI-01.reference_output new file mode 100644 index 0000000..85118f8 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-SRLI-01.reference_output @@ -0,0 +1,10 @@ +00000000000000000000000000000000 +00000000000000010000000000000000 +00000000000000010000000000000000 +000000010001ffff7fffffffffffffff +3fffffff7fffffff0000ffffffffffff +00007fff7fffffff000000000000ffff +00000001000100004000000080000000 +55e6f788abcdef100000800080000000 +055e6f780abcdef11579bde22af37bc4 +00000000000000000000000002af37bc diff --git a/VexRiscv/src/test/resources/ref/I-SUB-01.reference_output b/VexRiscv/src/test/resources/ref/I-SUB-01.reference_output new file mode 100644 index 0000000..060a177 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-SUB-01.reference_output @@ -0,0 +1,11 @@ +00000001ffffffff0000000000000000 +00000001000000018000000080000001 +80000001800000020000000200000000 +00000000fffffffeffffffffffffffff +7fffffff7fffffff7fffffff80000000 +ffffffff00000000800000007ffffffe +800000017fffffff8000000080000000 +0000abcd000000010000000000000001 +0000abc90000abca0000abcb0000abcc +00000000000000000000abc70000abc8 +c96da7ecc96da7ec3692581400000000 diff --git a/VexRiscv/src/test/resources/ref/I-SW-01.reference_output b/VexRiscv/src/test/resources/ref/I-SW-01.reference_output new file mode 100644 index 0000000..a2c030c --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-SW-01.reference_output @@ -0,0 +1,4 @@ +f77788f855f5f666f33344f411f1f222 +0fff00f0dd0d0eee0bbbcc0c99090aaa +765432109abcdef01122334400000000 +25814963147258361472583689abcdef diff --git a/VexRiscv/src/test/resources/ref/I-XOR-01.reference_output b/VexRiscv/src/test/resources/ref/I-XOR-01.reference_output new file mode 100644 index 0000000..2f48d7e --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-XOR-01.reference_output @@ -0,0 +1,11 @@ +ffffffff000000010000000000000000 +0000000100000001800000007fffffff +800000017ffffffefffffffe00000000 +00000000fffffffeffffffffffffffff +7fffffff7fffffff7fffffff80000000 +ffffffff00000000800000007ffffffe +7fffffff800000018000000080000000 +abcdffff0000007f00000000ffffffff +abcdffafabcdffa0abcdffbfabcdff80 +0000000000000000abcdffababcdffa8 +36925814369258143692581400000000 diff --git a/VexRiscv/src/test/resources/ref/I-XORI-01.reference_output b/VexRiscv/src/test/resources/ref/I-XORI-01.reference_output new file mode 100644 index 0000000..6afaafb --- /dev/null +++ b/VexRiscv/src/test/resources/ref/I-XORI-01.reference_output @@ -0,0 +1,11 @@ +ffffffff000007ff0000000100000000 +0000000000000001fffff80000000000 +fffff80100000001fffffffe000007fe +00000000fffff800fffffffeffffffff +7ffffffe7fffffff000007ffffffffff +800007ff7fffffff800000007ffff800 +7fffffff800007ff8000000180000000 +abcdff80abcdffff7ffff80080000000 +abcdffa8abcdffafabcdffa0abcdffbf +000000010000000000000000abcdffab +36925814369258143692581436925814 diff --git a/VexRiscv/src/test/resources/ref/MUL.reference_output b/VexRiscv/src/test/resources/ref/MUL.reference_output new file mode 100644 index 0000000..8cdec59 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/MUL.reference_output @@ -0,0 +1,13 @@ +00000000000000000000000000000000 +ffffffff000000010000000000000000 +ffffffff00000000800000007fffffff +00000000800000008000000100000001 +8000000000000001800000017fffffff +80000000800000008000000000000000 +ffffffffffffffffffffffff00000000 +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +0000000000000000ffffffffffffffff diff --git a/VexRiscv/src/test/resources/ref/MULH.reference_output b/VexRiscv/src/test/resources/ref/MULH.reference_output new file mode 100644 index 0000000..5934644 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/MULH.reference_output @@ -0,0 +1,13 @@ +00000000000000000000000000000000 +ffffffff000000000000000000000000 +ffffffff00000000ffffffff00000000 +0000000000000000ffffffff00000000 +c00000003fffffffffffffff00000000 +c000000000000000ffffffff00000000 +ffffffffffffffffffffffff40000000 +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +0000000000000000ffffffffffffffff diff --git a/VexRiscv/src/test/resources/ref/MULHSU.reference_output b/VexRiscv/src/test/resources/ref/MULHSU.reference_output new file mode 100644 index 0000000..f6f8ef3 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/MULHSU.reference_output @@ -0,0 +1,13 @@ +00000000000000000000000000000000 +00000000000000000000000000000000 +ffffffff000000000000000000000000 +00000000ffffffffffffffffffffffff +3fffffff3fffffff7ffffffe00000000 +c000000080000000ffffffff00000000 +ffffffffffffffffffffffffc0000000 +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +0000000000000000ffffffffffffffff diff --git a/VexRiscv/src/test/resources/ref/MULHU.reference_output b/VexRiscv/src/test/resources/ref/MULHU.reference_output new file mode 100644 index 0000000..599e053 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/MULHU.reference_output @@ -0,0 +1,13 @@ +00000000000000000000000000000000 +00000000000000000000000000000000 +00000000000000000000000000000000 +000000007fffffff7ffffffefffffffe +3fffffff3fffffff7ffffffe00000000 +3fffffff7fffffff0000000000000000 +ffffffffffffffffffffffff40000000 +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +0000000000000000ffffffffffffffff diff --git a/VexRiscv/src/test/resources/ref/REM.reference_output b/VexRiscv/src/test/resources/ref/REM.reference_output new file mode 100644 index 0000000..1705fd4 --- /dev/null +++ b/VexRiscv/src/test/resources/ref/REM.reference_output @@ -0,0 +1,13 @@ +00000000000000000000000000000000 +00000000000000000000000100000000 +00000000ffffffff0000000100000001 +7fffffffffffffffffffffff00000000 +7fffffff000000000000000000000000 +ffffffff000000000000000080000000 +ffffffffffffffffffffffff00000000 +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +0000000000000000ffffffffffffffff diff --git a/VexRiscv/src/test/resources/ref/REMU.reference_output b/VexRiscv/src/test/resources/ref/REMU.reference_output new file mode 100644 index 0000000..719349f --- /dev/null +++ b/VexRiscv/src/test/resources/ref/REMU.reference_output @@ -0,0 +1,13 @@ +00000000000000000000000000000000 +00000001000000000000000100000000 +00000000ffffffff0000000100000001 +7fffffff7fffffff0000000100000000 +7fffffff000000007fffffff00000000 +00000001800000000000000080000000 +ffffffffffffffffffffffff00000000 +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +ffffffffffffffffffffffffffffffff +0000000000000000ffffffffffffffff diff --git a/VexRiscv/src/test/scala/vexriscv/DhrystoneBench.scala b/VexRiscv/src/test/scala/vexriscv/DhrystoneBench.scala new file mode 100644 index 0000000..48d1b67 --- /dev/null +++ b/VexRiscv/src/test/scala/vexriscv/DhrystoneBench.scala @@ -0,0 +1,164 @@ +package vexriscv + +import java.io.File + +import org.scalatest.funsuite.AnyFunSuite +import spinal.core.SpinalVerilog +import vexriscv.demo._ + +import scala.sys.process._ + +class DhrystoneBench extends AnyFunSuite { + def doCmd(cmd: String): String = { + val stdOut = new StringBuilder() + class Logger extends ProcessLogger { + override def err(s: => String): Unit = { + if (!s.startsWith("ar: creating ")) println(s) + } + + override def out(s: => String): Unit = { + println(s) + stdOut ++= s + } + + override def buffer[T](f: => T) = f + } + Process(cmd, new File("src/test/cpp/regression")).!(new Logger) + stdOut.toString() + } + + val report = new StringBuilder() + + def getDmips(name: String, gen: => Unit, testCmd: String): Unit = { + var genPassed = false + test(name + "_gen") { + gen + genPassed = true + } + test(name + "_test") { + assert(genPassed) + val str = doCmd(testCmd) + assert(!str.contains("FAIL")) + val intFind = "(\\d+\\.?)+".r + val dmips = intFind.findFirstIn("DMIPS per Mhz\\: (\\d+.?)+".r.findAllIn(str).toList.last).get.toDouble + val coremarkTicks = intFind.findFirstIn("Total ticks \\: (\\d+.?)+".r.findAllIn(str).toList.last).get.toDouble + val coremarkIterations = intFind.findFirstIn("Iterations \\: (\\d+.?)+".r.findAllIn(str).toList.last).get.toDouble + val coremarkHzs = intFind.findFirstIn("DCLOCKS_PER_SEC=(\\d+.?)+".r.findAllIn(str).toList.last).get.toDouble + val coremarkPerMhz = 1e6 * coremarkIterations / coremarkTicks + report ++= s"$name -> $dmips DMIPS/Mhz $coremarkPerMhz Coremark/Mhz\n" + } + + } + + for(withMemoryStage <- List(false, true)){ + val stages = if(withMemoryStage) "Three" else "Two" + getDmips( + name = s"Gen${stages}StageArty", + gen = SpinalVerilog(GenTwoThreeStage.cpu( + withMulDiv = false, + bypass = false, + barrielShifter = false, + withMemoryStage = withMemoryStage + )), + testCmd = "make clean run REDO=10 IBUS=SIMPLE DBUS=SIMPLE CSR=no MMU=no DEBUG_PLUGIN=no MUL=no DIV=no COREMARK=yes" + ) + getDmips( + name = s"Gen${stages}StageBarrielArty", + gen = SpinalVerilog(GenTwoThreeStage.cpu( + withMulDiv = false, + bypass = true, + barrielShifter = true, + withMemoryStage = withMemoryStage + )), + testCmd = "make clean run REDO=10 IBUS=SIMPLE DBUS=SIMPLE CSR=no MMU=no DEBUG_PLUGIN=no MUL=no DIV=no COREMARK=yes" + ) + getDmips( + name = s"Gen${stages}StageMDArty", + gen = SpinalVerilog(GenTwoThreeStage.cpu( + withMulDiv = true, + bypass = false, + barrielShifter = false, + withMemoryStage = withMemoryStage + )), + testCmd = "make clean run REDO=10 IBUS=SIMPLE DBUS=SIMPLE CSR=no MMU=no DEBUG_PLUGIN=no MUL=yes DIV=yes COREMARK=yes" + ) + getDmips( + name = s"Gen${stages}StageMDBarrielArty", + gen = SpinalVerilog(GenTwoThreeStage.cpu( + withMulDiv = true, + bypass = true, + barrielShifter = true, + withMemoryStage = withMemoryStage + )), + testCmd = "make clean run REDO=10 IBUS=SIMPLE DBUS=SIMPLE CSR=no MMU=no DEBUG_PLUGIN=no MUL=yes DIV=yes COREMARK=yes" + ) + } + + getDmips( + name = "GenSmallestNoCsr", + gen = GenSmallestNoCsr.main(null), + testCmd = "make clean run REDO=10 IBUS=SIMPLE DBUS=SIMPLE CSR=no MMU=no DEBUG_PLUGIN=no MUL=no DIV=no COREMARK=yes" + ) + + + getDmips( + name = "GenSmallest", + gen = GenSmallest.main(null), + testCmd = "make clean run REDO=10 IBUS=SIMPLE DBUS=SIMPLE CSR=no MMU=no DEBUG_PLUGIN=no MUL=no DIV=no COREMARK=yes" + ) + + + getDmips( + name = "GenSmallAndProductive", + gen = GenSmallAndProductive.main(null), + testCmd = "make clean run REDO=10 IBUS=SIMPLE DBUS=SIMPLE CSR=no MMU=no DEBUG_PLUGIN=no MUL=no DIV=no COREMARK=yes" + ) + + getDmips( + name = "GenSmallAndProductiveWithICache", + gen = GenSmallAndProductiveICache.main(null), + testCmd = "make clean run REDO=10 IBUS=CACHED DBUS=SIMPLE CSR=no MMU=no DEBUG_PLUGIN=no MUL=no DIV=no COREMARK=yes" + ) + + + getDmips( + name = "GenFullNoMmuNoCache", + gen = GenFullNoMmuNoCache.main(null), + testCmd = "make clean run REDO=10 IBUS=SIMPLE DBUS=SIMPLE CSR=no MMU=no COREMARK=yes" + ) + + getDmips( + name = "GenNoCacheNoMmuMaxPerf", + gen = GenNoCacheNoMmuMaxPerf.main(null), + testCmd = "make clean run REDO=10 MMU=no CSR=no DBUS=SIMPLE IBUS=SIMPLE COREMARK=yes" + ) + + + getDmips( + name = "GenFullNoMmuMaxPerf", + gen = GenFullNoMmuMaxPerf.main(null), + testCmd = "make clean run REDO=10 MMU=no CSR=no COREMARK=yes" + ) + getDmips( + name = "GenFullNoMmu", + gen = GenFullNoMmu.main(null), + testCmd = "make clean run REDO=10 MMU=no CSR=no COREMARK=yes" + ) + + getDmips( + name = "GenFull", + gen = GenFull.main(null), + testCmd = "make clean run REDO=10 CSR=no MMU=no COREMARK=yes" + ) + + getDmips( + name = "GenLinuxBalenced", + gen = LinuxGen.main(Array.fill[String](0)("")), + testCmd = "make clean run IBUS=CACHED DBUS=CACHED DEBUG_PLUGIN=STD DHRYSTONE=yes SUPERVISOR=yes MMU=no CSR=yes CSR_SKIP_TEST=yes COMPRESSED=no MUL=yes DIV=yes LRSC=yes AMO=yes REDO=10 TRACE=no COREMARK=yes LINUX_REGRESSION=no" + ) + + + test("final_report") { + println(report) + } +} diff --git a/VexRiscv/src/test/scala/vexriscv/MuraxSim.scala b/VexRiscv/src/test/scala/vexriscv/MuraxSim.scala new file mode 100644 index 0000000..6a6a19c --- /dev/null +++ b/VexRiscv/src/test/scala/vexriscv/MuraxSim.scala @@ -0,0 +1,110 @@ +package vexriscv + +import java.awt +import java.awt.event.{ActionEvent, ActionListener, MouseEvent, MouseListener} + +import spinal.sim._ +import spinal.core._ +import spinal.core.sim._ +import vexriscv.demo.{Murax, MuraxConfig} +import javax.swing._ + +import spinal.lib.com.jtag.sim.JtagTcp +import spinal.lib.com.uart.sim.{UartDecoder, UartEncoder} +import vexriscv.test.{JLedArray, JSwitchArray} + +import scala.collection.mutable + + + +object MuraxSim { + def main(args: Array[String]): Unit = { +// def config = MuraxConfig.default.copy(onChipRamSize = 256 kB) + def config = MuraxConfig.default(withXip = false).copy(onChipRamSize = 4 kB, onChipRamHexFile = "src/main/ressource/hex/muraxDemo.hex") + val simSlowDown = false + SimConfig.allOptimisation.compile(new Murax(config)).doSimUntilVoid{dut => + val mainClkPeriod = (1e12/dut.config.coreFrequency.toDouble).toLong + val jtagClkPeriod = mainClkPeriod*4 + val uartBaudRate = 115200 + val uartBaudPeriod = (1e12/uartBaudRate).toLong + + val clockDomain = ClockDomain(dut.io.mainClk, dut.io.asyncReset) + clockDomain.forkStimulus(mainClkPeriod) +// clockDomain.forkSimSpeedPrinter(2) + + val tcpJtag = JtagTcp( + jtag = dut.io.jtag, + jtagClkPeriod = jtagClkPeriod + ) + + val uartTx = UartDecoder( + uartPin = dut.io.uart.txd, + baudPeriod = uartBaudPeriod + ) + + val uartRx = UartEncoder( + uartPin = dut.io.uart.rxd, + baudPeriod = uartBaudPeriod + ) + + if(config.xipConfig != null)dut.io.xip.data(1).read #= 0 + + val guiThread = fork{ + val guiToSim = mutable.Queue[Any]() + + var ledsValue = 0l + var switchValue : () => BigInt = null + val ledsFrame = new JFrame{ + setLayout(new BoxLayout(getContentPane, BoxLayout.Y_AXIS)) + + add(new JLedArray(8){ + override def getValue = ledsValue + }) + add{ + val switches = new JSwitchArray(8) + switchValue = switches.getValue + switches + } + + add(new JButton("Reset"){ + addActionListener(new ActionListener { + override def actionPerformed(actionEvent: ActionEvent): Unit = { + println("ASYNC RESET") + guiToSim.enqueue("asyncReset") + } + }) + setAlignmentX(awt.Component.CENTER_ALIGNMENT) + }) + setDefaultCloseOperation(WindowConstants.EXIT_ON_CLOSE) + pack() + setVisible(true) + + } + + //Fast refresh +// clockDomain.onSampling{ +// dut.io.gpioA.read #= (dut.io.gpioA.write.toLong & dut.io.gpioA.writeEnable.toLong) | (switchValue() << 8) +// } + + //Slow refresh + while(true){ + sleep(mainClkPeriod*50000) + + val dummy = if(guiToSim.nonEmpty){ + val request = guiToSim.dequeue() + if(request == "asyncReset"){ + dut.io.asyncReset #= true + sleep(mainClkPeriod*32) + dut.io.asyncReset #= false + } + } + + dut.io.gpioA.read #= (dut.io.gpioA.write.toLong & dut.io.gpioA.writeEnable.toLong) | (switchValue() << 8) + ledsValue = dut.io.gpioA.write.toLong + ledsFrame.repaint() + if(simSlowDown) Thread.sleep(400) + } + } + } + } +} diff --git a/VexRiscv/src/test/scala/vexriscv/TestIndividualFeatures.scala b/VexRiscv/src/test/scala/vexriscv/TestIndividualFeatures.scala new file mode 100644 index 0000000..bd5acb0 --- /dev/null +++ b/VexRiscv/src/test/scala/vexriscv/TestIndividualFeatures.scala @@ -0,0 +1,875 @@ +package vexriscv + +import java.io.{File, OutputStream} +import java.util.concurrent.{ForkJoinPool, TimeUnit} +import org.apache.commons.io.FileUtils +import org.scalatest.{BeforeAndAfterAll, ParallelTestExecution, Tag, Transformer} +import org.scalatest.funsuite.AnyFunSuite + +import spinal.core._ +import spinal.lib.DoCmd +import vexriscv.demo._ +import vexriscv.ip.{DataCacheConfig, InstructionCacheConfig} +import vexriscv.plugin._ + +import scala.collection.mutable +import scala.collection.mutable.ArrayBuffer +import scala.concurrent.duration.Duration +import scala.concurrent.{Await, ExecutionContext, Future} +import scala.sys.process._ +import scala.util.Random + + +abstract class ConfigUniverse + +abstract class ConfigDimension[T <: ConfigPosition[_]](val name: String) { + def randomPosition(universes : Seq[ConfigUniverse], r : Random) : T = { + val ret = randomPositionImpl(universes, r) + ret.dimension = this + ret + } + + protected def randomPositionImpl(universes : Seq[ConfigUniverse], r : Random) : T + protected def random[X](r : Random, positions : List[X]) : X = positions(r.nextInt(positions.length)) +} + +abstract class VexRiscvDimension(name: String) extends ConfigDimension[VexRiscvPosition](name) + +abstract class ConfigPosition[T](val name: String) { + def applyOn(config: T): Unit + var dimension : ConfigDimension[_] = null + def isCompatibleWith(positions : Seq[ConfigPosition[T]]) : Boolean = true +} + +abstract class VexRiscvPosition(name: String) extends ConfigPosition[VexRiscvConfig](name){ + def testParam : String = "" +} + +class VexRiscvUniverse extends ConfigUniverse + +object VexRiscvUniverse{ + val CACHE_ALL = new VexRiscvUniverse + val CATCH_ALL = new VexRiscvUniverse + val MMU = new VexRiscvUniverse + val PMP = new VexRiscvUniverse + val FORCE_MULDIV = new VexRiscvUniverse + val SUPERVISOR = new VexRiscvUniverse + val NO_WRITEBACK = new VexRiscvUniverse + val NO_MEMORY = new VexRiscvUniverse + val EXECUTE_RF = new VexRiscvUniverse +} + + +object Hack{ + var dCounter = 0 +} + +class ShiftDimension extends VexRiscvDimension("Shift") { + override def randomPositionImpl(universes: Seq[ConfigUniverse], r: Random) = { + var l = List( + new VexRiscvPosition("FullEarly") { + override def applyOn(config: VexRiscvConfig): Unit = config.plugins += new FullBarrelShifterPlugin(earlyInjection = true) + }, + new VexRiscvPosition("Light") { + override def applyOn(config: VexRiscvConfig): Unit = config.plugins += new LightShifterPlugin + } + ) + + if(!universes.contains(VexRiscvUniverse.NO_MEMORY)) l = new VexRiscvPosition("FullLate") { + override def applyOn(config: VexRiscvConfig): Unit = config.plugins += new FullBarrelShifterPlugin(earlyInjection = false) + } :: l + + random(r, l) + } +} + +class BranchDimension extends VexRiscvDimension("Branch") { + + override def randomPositionImpl(universes: Seq[ConfigUniverse], r: Random) = { + val catchAll = universes.contains(VexRiscvUniverse.CATCH_ALL) + val early = r.nextBoolean() || universes.contains(VexRiscvUniverse.NO_MEMORY) + new VexRiscvPosition(if(early) "Early" else "Late") { + override def applyOn(config: VexRiscvConfig): Unit = config.plugins += new BranchPlugin( + earlyBranch = early, + catchAddressMisaligned = catchAll + ) + } + } +} + + + +class MulDivDimension extends VexRiscvDimension("MulDiv") { + + override def randomPositionImpl(universes: Seq[ConfigUniverse], r: Random) = { + val noMemory = universes.contains(VexRiscvUniverse.NO_MEMORY) + val noWriteBack = universes.contains(VexRiscvUniverse.NO_WRITEBACK) + + var l = List[VexRiscvPosition]() + + + + l = new VexRiscvPosition("MulDivFpgaSimple") { + override def testParam = "MUL=yes DIV=yes" + override def applyOn(config: VexRiscvConfig): Unit = { + config.plugins += new MulSimplePlugin + config.plugins += new MulDivIterativePlugin( + genMul = false, + genDiv = true, + mulUnrollFactor = 32, + divUnrollFactor = 1 + ) + } + } :: l + + if(!noMemory && !noWriteBack) l = new VexRiscvPosition("MulDivFpga16BitsDsp") { + override def testParam = "MUL=yes DIV=yes" + override def applyOn(config: VexRiscvConfig): Unit = { + config.plugins += new Mul16Plugin + config.plugins += new MulDivIterativePlugin( + genMul = false, + genDiv = true, + mulUnrollFactor = 32, + divUnrollFactor = 1 + ) + } + } :: l + + if(!noMemory) { + l = new VexRiscvPosition("MulDivAsic") { + override def testParam = "MUL=yes DIV=yes" + override def applyOn(config: VexRiscvConfig): Unit = { + config.plugins += new MulDivIterativePlugin( + genMul = true, + genDiv = true, + mulUnrollFactor = 32, + divUnrollFactor = 4 + ) + } + } :: new VexRiscvPosition("MulDivFpgaNoDsp") { + override def testParam = "MUL=yes DIV=yes" + override def applyOn(config: VexRiscvConfig): Unit = { + config.plugins += new MulDivIterativePlugin( + genMul = true, + genDiv = true, + mulUnrollFactor = 1, + divUnrollFactor = 1 + ) + } + } :: new VexRiscvPosition("MulDivFpgaNoDspFastMul") { + override def testParam = "MUL=yes DIV=yes" + override def applyOn(config: VexRiscvConfig): Unit = { + config.plugins += new MulDivIterativePlugin( + genMul = true, + genDiv = true, + mulUnrollFactor = 8, + divUnrollFactor = 1 + ) + } + } :: l + } + + if(!universes.contains(VexRiscvUniverse.FORCE_MULDIV)) l = new VexRiscvPosition("NoMulDiv") { + override def applyOn(config: VexRiscvConfig): Unit = {} + override def testParam = "MUL=no DIV=no" + } :: l + + + if(!noMemory && !noWriteBack) { + val inputBuffer = r.nextBoolean() + val outputBuffer = r.nextBoolean() + l = new VexRiscvPosition(s"MulDivFpga$inputBuffer$outputBuffer") { + override def testParam = "MUL=yes DIV=yes" + + override def applyOn(config: VexRiscvConfig): Unit = { + config.plugins += new MulPlugin( + inputBuffer = inputBuffer, + outputBuffer = outputBuffer + ) + config.plugins += new MulDivIterativePlugin( + genMul = false, + genDiv = true, + mulUnrollFactor = 32, + divUnrollFactor = 1 + ) + } + } :: l + } + + random(r, l) + } +} + +trait InstructionAnticipatedPosition{ + def instructionAnticipatedOk() : Boolean +} + +class RegFileDimension extends VexRiscvDimension("RegFile") { + override def randomPositionImpl(universes: Seq[ConfigUniverse], r: Random) = { + val executeRf = universes.contains(VexRiscvUniverse.EXECUTE_RF) + random(r, List( + new VexRiscvPosition("Async" + (if(executeRf) "ER" else "DR")) { + override def applyOn(config: VexRiscvConfig): Unit = config.plugins += new RegFilePlugin( + regFileReadyKind = plugin.ASYNC, + zeroBoot = true, + readInExecute = executeRf + ) + }, + new VexRiscvPosition("Sync" + (if(executeRf) "ER" else "DR")) { + override def applyOn(config: VexRiscvConfig): Unit = config.plugins += new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = true, + readInExecute = executeRf + ) + + override def isCompatibleWith(positions: Seq[ConfigPosition[VexRiscvConfig]]) = executeRf || positions.exists{ + case p : InstructionAnticipatedPosition => p.instructionAnticipatedOk() + case _ => false + } + } + )) + } +} + + + +class HazardDimension extends VexRiscvDimension("Hazard") { + + override def randomPositionImpl(universes: Seq[ConfigUniverse], r: Random) : VexRiscvPosition = { + if(r.nextDouble() < 0.8){ + random(r, List( + new VexRiscvPosition("Interlock") { + override def applyOn(config: VexRiscvConfig): Unit = config.plugins += new HazardSimplePlugin( + bypassExecute = false, + bypassMemory = false, + bypassWriteBack = false, + bypassWriteBackBuffer = false, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ) + }, + new VexRiscvPosition("BypassAll") { + override def applyOn(config: VexRiscvConfig): Unit = config.plugins += new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = true, + bypassWriteBack = true, + bypassWriteBackBuffer = true, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ) + } + )) + }else { + random(r, List( + new VexRiscvPosition("BypassExecute") { + override def applyOn(config: VexRiscvConfig): Unit = config.plugins += new HazardSimplePlugin( + bypassExecute = true, + bypassMemory = false, + bypassWriteBack = false, + bypassWriteBackBuffer = false, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ) + }, + new VexRiscvPosition("BypassMemory") { + override def applyOn(config: VexRiscvConfig): Unit = config.plugins += new HazardSimplePlugin( + bypassExecute = false, + bypassMemory = true, + bypassWriteBack = false, + bypassWriteBackBuffer = false, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ) + }, + new VexRiscvPosition("BypassWriteBack") { + override def applyOn(config: VexRiscvConfig): Unit = config.plugins += new HazardSimplePlugin( + bypassExecute = false, + bypassMemory = false, + bypassWriteBack = true, + bypassWriteBackBuffer = false, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ) + }, + new VexRiscvPosition("BypassWriteBackBuffer") { + override def applyOn(config: VexRiscvConfig): Unit = config.plugins += new HazardSimplePlugin( + bypassExecute = false, + bypassMemory = false, + bypassWriteBack = false, + bypassWriteBackBuffer = true, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ) + } + )) + } + }} + + +class SrcDimension extends VexRiscvDimension("Src") { + + override def randomPositionImpl(universes: Seq[ConfigUniverse], r: Random) = { + val separatedAddSub = r.nextBoolean() + val executeInsertion = universes.contains(VexRiscvUniverse.EXECUTE_RF) || r.nextBoolean() + new VexRiscvPosition((if (separatedAddSub) "AddSub" else "") + (if (executeInsertion) "Execute" else "")) { + override def applyOn(config: VexRiscvConfig): Unit = config.plugins += new SrcPlugin( + separatedAddSub = separatedAddSub, + executeInsertion = executeInsertion + ) + } + } +} + + +class IBusDimension(rvcRate : Double) extends VexRiscvDimension("IBus") { + + + override def randomPositionImpl(universes: Seq[ConfigUniverse], r: Random) = { + val catchAll = universes.contains(VexRiscvUniverse.CATCH_ALL) + val cacheAll = universes.contains(VexRiscvUniverse.CACHE_ALL) + + if(r.nextDouble() < 0.5 && !cacheAll){ + val mmuConfig = if(universes.contains(VexRiscvUniverse.MMU)) MmuPortConfig( portTlbSize = 4) else null + + val latency = r.nextInt(5) + 1 + val compressed = r.nextDouble() < rvcRate + val injectorStage = r.nextBoolean() || latency == 1 + val prediction = random(r, List(NONE, STATIC, DYNAMIC, DYNAMIC_TARGET)) + val catchAll = universes.contains(VexRiscvUniverse.CATCH_ALL) + val cmdForkOnSecondStage = r.nextBoolean() + val cmdForkPersistence = r.nextBoolean() + new VexRiscvPosition("Simple" + latency + (if(cmdForkOnSecondStage) "S2" else "") + (if(cmdForkPersistence) "P" else "") + (if(injectorStage) "InjStage" else "") + (if(compressed) "Rvc" else "") + prediction.getClass.getTypeName().replace("$","")) with InstructionAnticipatedPosition{ + override def testParam = "IBUS=SIMPLE" + (if(compressed) " COMPRESSED=yes" else "") + override def applyOn(config: VexRiscvConfig): Unit = config.plugins += new IBusSimplePlugin( + resetVector = 0x80000000l, + cmdForkOnSecondStage = cmdForkOnSecondStage, + cmdForkPersistence = cmdForkPersistence, + prediction = prediction, + catchAccessFault = catchAll, + compressedGen = compressed, + busLatencyMin = latency, + injectorStage = injectorStage, + memoryTranslatorPortConfig = mmuConfig + ) + override def instructionAnticipatedOk() = injectorStage + } + } else { + val twoStageMmu = r.nextBoolean() + val asyncTagMemory = r.nextBoolean() + val mmuConfig = if(universes.contains(VexRiscvUniverse.MMU)) MmuPortConfig(portTlbSize = 4, latency = if(twoStageMmu) 1 else 0, earlyRequireMmuLockup = Random.nextBoolean() && twoStageMmu, earlyCacheHits = Random.nextBoolean() && twoStageMmu) else null + + val catchAll = universes.contains(VexRiscvUniverse.CATCH_ALL) + val compressed = r.nextDouble() < rvcRate + val tighlyCoupled = r.nextBoolean() && !catchAll + val reducedBankWidth = r.nextBoolean() +// val tighlyCoupled = false + val prediction = random(r, List(NONE, STATIC, DYNAMIC, DYNAMIC_TARGET)) + val relaxedPcCalculation, twoCycleCache, injectorStage = r.nextBoolean() + val twoCycleRam = r.nextBoolean() && twoCycleCache + val twoCycleRamInnerMux = r.nextBoolean() && twoCycleRam + val memDataWidth = List(32,64,128)(r.nextInt(3)) + val bytePerLine = Math.max(memDataWidth/8, List(8,16,32,64)(r.nextInt(4))) + var cacheSize = 0 + var wayCount = 0 + do{ + cacheSize = 512 << r.nextInt(5) + wayCount = 1 << r.nextInt(3) + }while(cacheSize/wayCount < 512 || (catchAll && cacheSize/wayCount > 4096)) + + new VexRiscvPosition(s"Cached${memDataWidth}d" + (if(twoCycleCache) "2cc" else "") + (if(injectorStage) "Injstage" else "") + (if(twoCycleRam) "2cr" else "") + "S" + cacheSize + "W" + wayCount + "BPL" + bytePerLine + (if(relaxedPcCalculation) "Relax" else "") + (if(compressed) "Rvc" else "") + prediction.getClass.getTypeName().replace("$","")+ (if(tighlyCoupled)"Tc" else "") + (if(asyncTagMemory) "Atm" else "")) with InstructionAnticipatedPosition{ + override def testParam = s"IBUS=CACHED IBUS_DATA_WIDTH=$memDataWidth" + (if(compressed) " COMPRESSED=yes" else "") + (if(tighlyCoupled)" IBUS_TC=yes" else "") + override def applyOn(config: VexRiscvConfig): Unit = { + val p = new IBusCachedPlugin( + resetVector = 0x80000000l, + compressedGen = compressed, + prediction = prediction, + relaxedPcCalculation = relaxedPcCalculation, + injectorStage = injectorStage, + memoryTranslatorPortConfig = mmuConfig, + config = InstructionCacheConfig( + cacheSize = cacheSize, + bytePerLine = bytePerLine, + wayCount = wayCount, + addressWidth = 32, + cpuDataWidth = 32, + memDataWidth = memDataWidth, + catchIllegalAccess = catchAll, + catchAccessFault = catchAll, + asyncTagMemory = asyncTagMemory, + twoCycleRam = twoCycleRam, + twoCycleCache = twoCycleCache, + twoCycleRamInnerMux = twoCycleRamInnerMux, + reducedBankWidth = reducedBankWidth + ) + ) + if(tighlyCoupled) p.newTightlyCoupledPort(TightlyCoupledPortParameter("iBusTc", a => a(30 downto 28) === 0x0)) + config.plugins += p + } + override def instructionAnticipatedOk() = !twoCycleCache || ((!twoCycleRam || wayCount == 1) && !compressed) + } + } + } +} + + + + +class DBusDimension extends VexRiscvDimension("DBus") { + + override def randomPositionImpl(universes: Seq[ConfigUniverse], r: Random) = { + val catchAll = universes.contains(VexRiscvUniverse.CATCH_ALL) + val cacheAll = universes.contains(VexRiscvUniverse.CACHE_ALL) + val noMemory = universes.contains(VexRiscvUniverse.NO_MEMORY) + val noWriteBack = universes.contains(VexRiscvUniverse.NO_WRITEBACK) + + if((r.nextDouble() < 0.4 || noMemory) && !cacheAll){ + val mmuConfig = if(universes.contains(VexRiscvUniverse.MMU)) MmuPortConfig( portTlbSize = 4, latency = 0) else null + val withLrSc = catchAll + val earlyInjection = r.nextBoolean() && !universes.contains(VexRiscvUniverse.NO_WRITEBACK) + new VexRiscvPosition("Simple" + (if(earlyInjection) "Early" else "Late")) { + override def testParam = "DBUS=SIMPLE " + (if(withLrSc) "LRSC=yes " else "") + override def applyOn(config: VexRiscvConfig): Unit = config.plugins += new DBusSimplePlugin( + catchAddressMisaligned = catchAll, + catchAccessFault = catchAll, + earlyInjection = earlyInjection, + memoryTranslatorPortConfig = mmuConfig, + withLrSc = withLrSc + ) +// override def isCompatibleWith(positions: Seq[ConfigPosition[VexRiscvConfig]]) = catchAll == positions.exists(_.isInstanceOf[CatchAllPosition]) + } + } else { + val twoStageMmu = r.nextBoolean() && !noMemory && !noWriteBack + val mmuConfig = if(universes.contains(VexRiscvUniverse.MMU)) MmuPortConfig(portTlbSize = 4, latency = if(twoStageMmu) 1 else 0, earlyRequireMmuLockup = Random.nextBoolean() && twoStageMmu, earlyCacheHits = Random.nextBoolean() && twoStageMmu) else null + val memDataWidth = List(32,64,128)(r.nextInt(3)) + val cpuDataWidthChoices = List(32,64,128).filter(_ <= memDataWidth) + val cpuDataWidth = cpuDataWidthChoices(r.nextInt(cpuDataWidthChoices.size)) + val bytePerLine = Math.max(memDataWidth/8, List(8,16,32,64)(r.nextInt(4))) + var cacheSize = 0 + var wayCount = 0 + val withLrSc = catchAll + val withSmp = withLrSc && r.nextBoolean() + val withAmo = catchAll && r.nextBoolean() || withSmp + val dBusRspSlavePipe = r.nextBoolean() || withSmp + val relaxedMemoryTranslationRegister = r.nextBoolean() + val earlyWaysHits = r.nextBoolean() && !noWriteBack + val directTlbHit = r.nextBoolean() && mmuConfig.isInstanceOf[MmuPortConfig] + val dBusCmdMasterPipe, dBusCmdSlavePipe = false //As it create test bench issues + val asyncTagMemory = r.nextBoolean() + + do{ + cacheSize = 512 << r.nextInt(5) + wayCount = 1 << r.nextInt(3) + }while(cacheSize/wayCount < 512 || (catchAll && cacheSize/wayCount > 4096)) + new VexRiscvPosition(s"Cached${memDataWidth}d${cpuDataWidth}c" + "S" + cacheSize + "W" + wayCount + "BPL" + bytePerLine + (if(dBusCmdMasterPipe) "Cmp " else "") + (if(dBusCmdSlavePipe) "Csp " else "") + (if(dBusRspSlavePipe) "Rsp " else "") + (if(relaxedMemoryTranslationRegister) "Rmtr " else "") + (if(earlyWaysHits) "Ewh " else "") + (if(withAmo) "Amo " else "") + (if(withSmp) "Smp " else "") + (if(directTlbHit) "Dtlb " else "") + (if(twoStageMmu) "Tsmmu " else "") + (if(asyncTagMemory) "Atm" else "")) { + override def testParam = s"DBUS=CACHED DBUS_LOAD_DATA_WIDTH=$memDataWidth DBUS_STORE_DATA_WIDTH=$cpuDataWidth " + (if(withLrSc) "LRSC=yes " else "") + (if(withAmo) "AMO=yes " else "") + (if(withSmp) "DBUS_EXCLUSIVE=yes DBUS_INVALIDATE=yes " else "") + + override def applyOn(config: VexRiscvConfig): Unit = { + config.plugins += new DBusCachedPlugin( + config = new DataCacheConfig( + cacheSize = cacheSize, + bytePerLine = bytePerLine, + wayCount = wayCount, + addressWidth = 32, + cpuDataWidth = cpuDataWidth, //Not tested + memDataWidth = memDataWidth, + catchAccessError = catchAll, + catchIllegal = catchAll, + catchUnaligned = catchAll, + withLrSc = withLrSc, + withAmo = withAmo, + earlyWaysHits = earlyWaysHits, + withExclusive = withSmp, + withInvalidate = withSmp, + directTlbHit = directTlbHit, + asyncTagMemory = asyncTagMemory + ), + dBusCmdMasterPipe = dBusCmdMasterPipe, + dBusCmdSlavePipe = dBusCmdSlavePipe, + dBusRspSlavePipe = dBusRspSlavePipe, + relaxedMemoryTranslationRegister = relaxedMemoryTranslationRegister, + memoryTranslatorPortConfig = mmuConfig + ) + } + } + } + } +} + + +class MmuPmpDimension extends VexRiscvDimension("DBus") { + + override def randomPositionImpl(universes: Seq[ConfigUniverse], r: Random) = { + if(universes.contains(VexRiscvUniverse.MMU)) { + new VexRiscvPosition("WithMmu") { + override def testParam = "MMU=yes PMP=no" + + override def applyOn(config: VexRiscvConfig): Unit = { + config.plugins += new MmuPlugin( + ioRange = (x => x(31 downto 28) === 0xF) + ) + } + } + } else if (universes.contains(VexRiscvUniverse.PMP)) { + new VexRiscvPosition("WithPmp") { + override def testParam = "MMU=no PMP=yes" + + override def applyOn(config: VexRiscvConfig): Unit = { + config.plugins += new PmpPlugin( + regions = 16, + granularity = 32, + ioRange = _ (31 downto 28) === 0xF + ) + } + } + } else { + new VexRiscvPosition("NoMemProtect") { + override def testParam = "MMU=no PMP=no" + + override def applyOn(config: VexRiscvConfig): Unit = { + config.plugins += new StaticMemoryTranslatorPlugin( + ioRange = _ (31 downto 28) === 0xF + ) + } + } + } + } +} + + + +trait CatchAllPosition + + +class CsrDimension(freertos : String, zephyr : String, linux : String) extends VexRiscvDimension("Csr") { + override def randomPositionImpl(universes: Seq[ConfigUniverse], r: Random) = { + val pmp = universes.contains(VexRiscvUniverse.PMP) + val catchAll = universes.contains(VexRiscvUniverse.CATCH_ALL) + val supervisor = universes.contains(VexRiscvUniverse.SUPERVISOR) + if(supervisor){ + new VexRiscvPosition("Supervisor") with CatchAllPosition{ + override def applyOn(config: VexRiscvConfig): Unit = config.plugins += new CsrPlugin(CsrPluginConfig.linuxFull(0x80000020l)) + override def testParam = s"FREERTOS=$freertos ZEPHYR=$zephyr LINUX_REGRESSION=$linux SUPERVISOR=yes" + } + } else if(pmp){ + new VexRiscvPosition("Secure") with CatchAllPosition{ + override def applyOn(config: VexRiscvConfig): Unit = config.plugins += new CsrPlugin(CsrPluginConfig.secure(0x80000020l)) + override def testParam = s"CSR=yes CSR_SKIP_TEST=yes FREERTOS=$freertos ZEPHYR=$zephyr" + } + } else if(catchAll){ + new VexRiscvPosition("MachineOs") with CatchAllPosition{ + override def applyOn(config: VexRiscvConfig): Unit = config.plugins += new CsrPlugin(CsrPluginConfig.all(0x80000020l)) + override def testParam = s"CSR=yes CSR_SKIP_TEST=yes FREERTOS=$freertos ZEPHYR=$zephyr" + } + } else if(r.nextDouble() < 0.3){ + new VexRiscvPosition("AllNoException") with CatchAllPosition{ + override def applyOn(config: VexRiscvConfig): Unit = config.plugins += new CsrPlugin(CsrPluginConfig.all(0x80000020l).noExceptionButEcall) + override def testParam = s"CSR=yes CSR_SKIP_TEST=yes FREERTOS=$freertos ZEPHYR=$zephyr" + } + } else { + new VexRiscvPosition("None") { + override def applyOn(config: VexRiscvConfig): Unit = {} + override def testParam = "CSR=no" + } + } + } +} + +class DebugDimension extends VexRiscvDimension("Debug") { + + override def randomPositionImpl(universes: Seq[ConfigUniverse], r: Random) = random(r, List( + new VexRiscvPosition("None") { + override def applyOn(config: VexRiscvConfig): Unit = {} + override def testParam = "DEBUG_PLUGIN=no" + }, + new VexRiscvPosition("Enable") { + override def applyOn(config: VexRiscvConfig): Unit = config.plugins += new DebugPlugin(ClockDomain.current.clone(reset = Bool().setName("debugReset"))) + override def testParam = "CONCURRENT_OS_EXECUTIONS=yes" + } + )) +} + +class DecoderDimension extends VexRiscvDimension("Decoder") { + + override def randomPositionImpl(universes: Seq[ConfigUniverse], r: Random) = { + val catchAll = universes.contains(VexRiscvUniverse.CATCH_ALL) + new VexRiscvPosition("") { + override def applyOn(config: VexRiscvConfig): Unit = config.plugins += new DecoderSimplePlugin( + catchIllegalInstruction = catchAll, + throwIllegalInstruction = false + ) + +// override def isCompatibleWith(positions: Seq[ConfigPosition[VexRiscvConfig]]) = catchAll == positions.exists(_.isInstanceOf[CatchAllPosition]) + } + } +} + +object PlayFuture extends App{ + implicit val ec = ExecutionContext.global + val x = for(i <- 0 until 160) yield Future { + print(s"$i ") + Thread.sleep(1000) + } + + Thread.sleep(8000) +} + +class MultithreadedFunSuite(threadCount : Int) extends AnyFunSuite { + val finalThreadCount = if(threadCount > 0) threadCount else { + new oshi.SystemInfo().getHardware.getProcessor.getLogicalProcessorCount + } + implicit val ec = ExecutionContext.fromExecutorService( + new ForkJoinPool(finalThreadCount, ForkJoinPool.defaultForkJoinWorkerThreadFactory, null, true) + ) + class Job(body : => Unit){ + val originalOutput = Console.out + val buffer = mutable.Queue[Char]() + var bufferEnabled = true + def redirector() = new OutputStream{ + override def write(i: Int): Unit = synchronized { + if(bufferEnabled) buffer += i.toChar + else originalOutput.print(i.toChar) + } + } + val future = Future{ + Console.withOut(redirector()){ + Console.withErr(redirector())(body) + } + } + + def join(): Unit = { + Thread.sleep(50) + synchronized{ + bufferEnabled = false + buffer.foreach(originalOutput.print) + } + Await.result(future, Duration.Inf) + } + } + + def testMp(testName: String, testTags: Tag*)(testFun: => Unit) { + val job = new Job(testFun) + super.test(testName, testTags :_*)(job.join()) + } + protected def testSingleThread(testName: String, testTags: Tag*)(testFun: => Unit) { + super.test(testName, testTags :_*)(testFun) + } +} + + +class FunTestPara extends MultithreadedFunSuite(3){ + def createTest(name : String): Unit ={ + testMp(name){ + for(i <- 0 to 4) { + println(s"$name $i") + Thread.sleep(500) + } + } + } + (0 to 80).map(_.toString).foreach(createTest) +} + +//class FunTestPlay extends FunSuite { +// def createTest(name : String): Unit ={ +// test(name){ +// Thread.sleep(500) +// for(i <- 0 to 4) { +// println(s"$name $i") +// Thread.sleep(500) +// } +// } +// } +// (0 to 80).map(_.toString).foreach(createTest) +//} + +class TestIndividualFeatures extends MultithreadedFunSuite(sys.env.getOrElse("VEXRISCV_REGRESSION_THREAD_COUNT", "0").toInt) { + val testCount = sys.env.getOrElse("VEXRISCV_REGRESSION_CONFIG_COUNT", "100").toInt + val seed = sys.env.getOrElse("VEXRISCV_REGRESSION_SEED", Random.nextLong().toString).toLong + val testId : Set[Int] = sys.env.get("VEXRISCV_REGRESSION_TEST_ID") match { + case Some(x) if x != "" => x.split(',').map(_.toInt).toSet + case _ => (0 until testCount).toSet + } + val rvcRate = sys.env.getOrElse("VEXRISCV_REGRESSION_CONFIG_RVC_RATE", "0.5").toDouble + val linuxRate = sys.env.getOrElse("VEXRISCV_REGRESSION_CONFIG_LINUX_RATE", "0.3").toDouble + val machineOsRate = sys.env.getOrElse("VEXRISCV_REGRESSION_CONFIG_MACHINE_OS_RATE", "0.5").toDouble + val secureRate = sys.env.getOrElse("VEXRISCV_REGRESSION_CONFIG_SECURE_RATE", "0.2").toDouble + val linuxRegression = sys.env.getOrElse("VEXRISCV_REGRESSION_LINUX_REGRESSION", "yes") + val coremarkRegression = sys.env.getOrElse("VEXRISCV_REGRESSION_COREMARK", "yes") + val zephyrCount = sys.env.getOrElse("VEXRISCV_REGRESSION_ZEPHYR_COUNT", "4") + val demwRate = sys.env.getOrElse("VEXRISCV_REGRESSION_CONFIG_DEMW_RATE", "0.6").toDouble + val demRate = sys.env.getOrElse("VEXRISCV_REGRESSION_CONFIG_DEM_RATE", "0.5").toDouble + val stopOnError = sys.env.getOrElse("VEXRISCV_REGRESSION_STOP_ON_ERROR", "no") + val lock = new{} + + + + val dimensions = List( + new IBusDimension(rvcRate), + new DBusDimension, + new MulDivDimension, + new ShiftDimension, + new BranchDimension, + new HazardDimension, + new RegFileDimension, + new SrcDimension, + new CsrDimension(/*sys.env.getOrElse("VEXRISCV_REGRESSION_FREERTOS_COUNT", "1")*/ "0", zephyrCount, linuxRegression), //Freertos old port software is broken + new DecoderDimension, + new DebugDimension, + new MmuPmpDimension + ) + + var clockCounter = 0l + var startAt = System.currentTimeMillis() + def doTest(positionsToApply : List[VexRiscvPosition], prefix : String = "", testSeed : Int, universes : mutable.HashSet[VexRiscvUniverse]): Unit ={ + val noMemory = universes.contains(VexRiscvUniverse.NO_MEMORY) + val noWriteback = universes.contains(VexRiscvUniverse.NO_WRITEBACK) + val name = (if(noMemory) "noMemoryStage_" else "") + (if(noWriteback) "noWritebackStage_" else "") + positionsToApply.map(d => d.dimension.name + "_" + d.name).mkString("_") + val workspace = "simWorkspace" + val project = s"$workspace/$prefix" + def doCmd(cmd: String): String = { + val stdOut = new StringBuilder() + class Logger extends ProcessLogger { + override def err(s: => String): Unit = { + if (!s.startsWith("ar: creating ")) println(s) + } + override def out(s: => String): Unit = { + println(s) + stdOut ++= s + } + override def buffer[T](f: => T) = f + } + Process(cmd, new File(project)).!(new Logger) + stdOut.toString() + } + + testMp(prefix + name) { + println("START TEST " + prefix + name) + + //Cleanup + FileUtils.deleteDirectory(new File(project)) + FileUtils.forceMkdir(new File(project)) + + //Generate RTL + FileUtils.deleteQuietly(new File("VexRiscv.v")) + SpinalConfig(targetDirectory = project).generateVerilog{ + val config = VexRiscvConfig( + withMemoryStage = !noMemory, + withWriteBackStage = !noWriteback, + plugins = List( + new IntAluPlugin, + new YamlPlugin("cpu0.yaml") + ) + ) + for (positionToApply <- positionsToApply) positionToApply.applyOn(config) + new VexRiscv(config) + } + + //Setup test + val files = List("main.cpp", "encoding.h" ,"makefile", "dhrystoneO3.logRef", "dhrystoneO3C.logRef","dhrystoneO3MC.logRef","dhrystoneO3M.logRef") + files.foreach(f => FileUtils.copyFileToDirectory(new File(s"src/test/cpp/regression/$f"), new File(project))) + + //Test RTL + val debug = true + val stdCmd = (s"make run REGRESSION_PATH=../../src/test/cpp/regression VEXRISCV_FILE=VexRiscv.v WITH_USER_IO=no REDO=10 TRACE=${if(debug) "yes" else "no"} TRACE_START=100000000000ll FLOW_INFO=no STOP_ON_ERROR=$stopOnError DHRYSTONE=yes COREMARK=${coremarkRegression} THREAD_COUNT=1 ") + s" SEED=${testSeed} " + val testCmd = stdCmd + (positionsToApply).map(_.testParam).mkString(" ") + println(testCmd) + val str = doCmd(testCmd) + assert(str.contains("REGRESSION SUCCESS") && !str.contains("Broken pipe")) + val pattern = "Had simulate ([0-9]+)".r + val hit = pattern.findFirstMatchIn(str) + + lock.synchronized(clockCounter += hit.get.group(1).toLong) + } + } + + val rand = new Random(seed) + + testMp("Info"){ + println(s"MAIN_SEED=$seed") + } + println(s"Seed=$seed") + for(i <- 0 until testCount){ + var positions : List[VexRiscvPosition] = null + var universe = mutable.HashSet[VexRiscvUniverse]() + if(rand.nextDouble() < 0.5) universe += VexRiscvUniverse.EXECUTE_RF + if(linuxRate > rand.nextDouble()) { + universe += VexRiscvUniverse.CATCH_ALL + universe += VexRiscvUniverse.MMU + universe += VexRiscvUniverse.FORCE_MULDIV + universe += VexRiscvUniverse.SUPERVISOR + if(demwRate < rand.nextDouble()){ + universe += VexRiscvUniverse.NO_WRITEBACK + } + } else if (secureRate > rand.nextDouble()) { + universe += VexRiscvUniverse.CACHE_ALL + universe += VexRiscvUniverse.CATCH_ALL + universe += VexRiscvUniverse.PMP + if(demwRate < rand.nextDouble()){ + universe += VexRiscvUniverse.NO_WRITEBACK + } + } else { + if(machineOsRate > rand.nextDouble()) { + universe += VexRiscvUniverse.CATCH_ALL + if(demwRate < rand.nextDouble()){ + universe += VexRiscvUniverse.NO_WRITEBACK + } + } + if(demwRate > rand.nextDouble()){ + }else if(demRate > rand.nextDouble()){ + universe += VexRiscvUniverse.NO_WRITEBACK + } else { + universe += VexRiscvUniverse.NO_WRITEBACK + universe += VexRiscvUniverse.NO_MEMORY + } + } + + do{ + positions = dimensions.map(d => d.randomPosition(universe.toList, rand)) + }while(!positions.forall(_.isCompatibleWith(positions))) + + val testSeed = rand.nextInt() + if(testId.contains(i)) + doTest(positions,"test_id_" + i + "_", testSeed, universe) + Hack.dCounter += 1 + } + testSingleThread("report"){ + val time = (System.currentTimeMillis() - startAt)*1e-3 + val clockPerSecond = (clockCounter/time*1e-3).toLong + println(s"Duration=${(time/60).toInt}mn clocks=${(clockCounter*1e-6).toLong}M clockPerSecond=${clockPerSecond}K") + } +} + + +object TestIndividualExplore extends App{ + val seeds = mutable.HashSet[Int]() + val futures = mutable.ArrayBuffer[Future[Unit]]() + implicit val ec = ExecutionContext.fromExecutorService( + new ForkJoinPool(24, ForkJoinPool.defaultForkJoinWorkerThreadFactory, null, true) + ) + for(i <- 0 until 1000){ + val seed = Random.nextInt(1000000) + 1 + futures += Future { + if (!seeds.contains(seed)) { +// val cmd = s"make run REGRESSION_PATH=../../src/test/cpp/regression VEXRISCV_FILE=VexRiscv.v WITH_USER_IO=no REDO=1 TRACE=yes TRACE_START=100000000000ll FLOW_INFO=no STOP_ON_ERROR=no DHRYSTONE=yes COREMARK=mo THREAD_COUNT=1 IBUS=CACHED IBUS_DATA_WIDTH=128 COMPRESSED=yes DBUS=SIMPLE LRSC=yes MUL=yes DIV=yes FREERTOS=0 ZEPHYR=0 LINUX_REGRESSION=no SUPERVISOR=yes CONCURRENT_OS_EXECUTIONS=yes MMU=yes PMP=no SEED=$seed" + val cmd = s"make run REGRESSION_PATH=../../src/test/cpp/regression VEXRISCV_FILE=VexRiscv.v WITH_USER_IO=no REDO=10 TRACE=yes TRACE_START=100000000000ll FLOW_INFO=no STOP_ON_ERROR=no DHRYSTONE=yes COREMARK=yes THREAD_COUNT=1 IBUS=CACHED IBUS_DATA_WIDTH=128 COMPRESSED=yes DBUS=SIMPLE LRSC=yes MUL=yes DIV=yes FREERTOS=0 ZEPHYR=2 LINUX_REGRESSION=yes SUPERVISOR=yes CONCURRENT_OS_EXECUTIONS=yes MMU=yes PMP=no SEED=$seed" + val workspace = s"explor/seed_$seed" + FileUtils.copyDirectory(new File("simWorkspace/ref"), new File(workspace)) + val str = DoCmd.doCmdWithLog(cmd, workspace) + if(!str.contains("REGRESSION SUCCESS")){ + println(s"seed $seed FAILED with\n\n$str") + sys.exit(1) + } + FileUtils.deleteDirectory(new File(workspace)) + println(s"seed $seed PASSED") + } + } + } + + futures.foreach(Await.result(_, Duration.Inf)) + +} \ No newline at end of file diff --git a/VexRiscv/src/test/scala/vexriscv/experimental/Experiments.scala b/VexRiscv/src/test/scala/vexriscv/experimental/Experiments.scala new file mode 100644 index 0000000..1fead21 --- /dev/null +++ b/VexRiscv/src/test/scala/vexriscv/experimental/Experiments.scala @@ -0,0 +1,34 @@ +package vexriscv.experimental + +import spinal.core._ + +class Stageable[T <: Data](val dataType : T) extends HardType[T](dataType) with Nameable{ + setWeakName(this.getClass.getSimpleName.replace("$","")) +} + +trait Stage{ + def read[T <: Data](stageable : Stageable[T]) : T + def write[T <: Data](stageable : Stageable[T], value : T, cond : Bool = null) : Unit + + def haltBySelf : Bool //user settable, stuck the instruction, should only be set by the instruction itself + def haltByOthers : Bool //When settable, stuck the instruction, should only be set by something else than the stucked instruction + def removeIt : Bool //When settable, unschedule the instruction as if it was never executed (no side effect) + def flushAll : Bool //When settable, unschedule instructions in the current stage and all prior ones + + def isValid : Bool //Inform if a instruction is in the current stage + def isStuck : Bool //Inform if the instruction is stuck (haltItself || haltByOther) + def isStuckByOthers: Bool //Inform if the instruction is stuck by sombody else + def isRemoved : Bool //Inform if the instruction is going to be unschedule the current cycle + def isFlushed : Bool //Inform if the instruction is flushed (flushAll set in the current or subsequents stages) + def isFiring : Bool //Inform if the current instruction will go to the next stage the next cycle (isValid && !isStuck && !removeIt) +} + +abstract class UnusedStage extends Stage +abstract class AsyncStage extends Stage +abstract class CycleStage extends Stage +abstract class SyncStage extends Stage +abstract class CutStage extends Stage + +abstract class PipelineStd{ + val prefetch, fetch, decode, execute, memory, writeback = 0 +} \ No newline at end of file diff --git a/VexRiscv/src/test/scala/vexriscv/experimental/GenMicro.scala b/VexRiscv/src/test/scala/vexriscv/experimental/GenMicro.scala new file mode 100644 index 0000000..90666a7 --- /dev/null +++ b/VexRiscv/src/test/scala/vexriscv/experimental/GenMicro.scala @@ -0,0 +1,162 @@ +package vexriscv.experimental + +import spinal.core._ +import spinal.lib.eda.bench.{AlteraStdTargets, Bench, Rtl, XilinxStdTargets} +import spinal.lib.eda.icestorm.IcestormStdTargets +import vexriscv.demo.{GenSmallestNoCsr, Murax, MuraxConfig} +import vexriscv.plugin._ +import vexriscv.{VexRiscv, VexRiscvConfig, plugin} + +/** + * Created by spinalvm on 15.06.17. + */ +object GenMicro extends App{ + def cpu() = { + val removeOneFetchStage = true + val pessimisticHazard = true + val writeBackOpt = true + val rspHoldValue = true + val withCompliantCsr = true + val withCompliantCsrPlusEmulation = true + val earlyBranch = false + val noShifter = false + val onlyLoadWords = false + new VexRiscv( + config = VexRiscvConfig( + plugins = List( + // new PcManagerSimplePlugin( + // resetVector = 0x00000000l, + // relaxedPcCalculation = false + // ), + + new IBusSimplePlugin( + resetVector = 0x80000000l, + cmdForkOnSecondStage = false, + cmdForkPersistence = false, + prediction = NONE, + catchAccessFault = false, + compressedGen = false, + injectorStage = !removeOneFetchStage, + rspHoldValue = rspHoldValue + ), + new DBusSimplePlugin( + catchAddressMisaligned = withCompliantCsr, + catchAccessFault = false, + earlyInjection = writeBackOpt, + onlyLoadWords = onlyLoadWords + ), + new DecoderSimplePlugin( + catchIllegalInstruction = withCompliantCsrPlusEmulation + ), + new RegFilePlugin( + regFileReadyKind = plugin.SYNC, + zeroBoot = false, + readInExecute = removeOneFetchStage, + writeRfInMemoryStage = writeBackOpt + ), + new IntAluPlugin, + new SrcPlugin( + separatedAddSub = false, + executeInsertion = removeOneFetchStage + ), + if(!pessimisticHazard) + new HazardSimplePlugin( + bypassExecute = false, + bypassMemory = false, + bypassWriteBack = false, + bypassWriteBackBuffer = false, + pessimisticUseSrc = false, + pessimisticWriteRegFile = false, + pessimisticAddressMatch = false + ) + else + new HazardPessimisticPlugin(), + new BranchPlugin( + earlyBranch = earlyBranch, + catchAddressMisaligned = withCompliantCsr, + fenceiGenAsAJump = withCompliantCsr + ), + new YamlPlugin("cpu0.yaml") + ) ++ (if(noShifter) Nil else List(new LightShifterPlugin)) + ++ (if(!withCompliantCsr) Nil else List(new CsrPlugin( + config = if(withCompliantCsrPlusEmulation)CsrPluginConfig( + catchIllegalAccess = true, + mvendorid = null, + marchid = null, + mimpid = null, + mhartid = null, + misaExtensionsInit = 0, + misaAccess = CsrAccess.NONE, + mtvecAccess = CsrAccess.NONE, + mtvecInit = 0x80000020l, + mepcAccess = CsrAccess.NONE, + mscratchGen = false, + mcauseAccess = CsrAccess.READ_ONLY, + mbadaddrAccess = CsrAccess.NONE, + mcycleAccess = CsrAccess.NONE, + minstretAccess = CsrAccess.NONE, + ecallGen = false, + ebreakGen = false, + wfiGenAsWait = false, + wfiGenAsNop = false, + ucycleAccess = CsrAccess.NONE, + noCsrAlu = true + ) else CsrPluginConfig( + catchIllegalAccess = false, + mvendorid = null, + marchid = null, + mimpid = null, + mhartid = null, + misaExtensionsInit = 0, + misaAccess = CsrAccess.READ_ONLY, + mtvecAccess = CsrAccess.WRITE_ONLY, + mtvecInit = 0x80000020l, + mepcAccess = CsrAccess.READ_WRITE, + mscratchGen = true, + mcauseAccess = CsrAccess.READ_ONLY, + mbadaddrAccess = CsrAccess.READ_ONLY, + mcycleAccess = CsrAccess.NONE, + minstretAccess = CsrAccess.NONE, + ecallGen = true, + ebreakGen = true, + wfiGenAsWait = false, + wfiGenAsNop = true, + ucycleAccess = CsrAccess.NONE + ) + ))) + ) + ) + } + SpinalConfig(mergeAsyncProcess = false).generateVerilog(cpu()) +} + + + +object GenMicroSynthesis { + def main(args: Array[String]) { + val microNoCsr = new Rtl { + override def getName(): String = "MicroNoCsr" + override def getRtlPath(): String = "MicroNoCsr.v" + SpinalVerilog(GenMicro.cpu().setDefinitionName(getRtlPath().split("\\.").head)) + } + + val smallestNoCsr = new Rtl { + override def getName(): String = "SmallestNoCsr" + override def getRtlPath(): String = "SmallestNoCsr.v" + SpinalVerilog(GenSmallestNoCsr.cpu().setDefinitionName(getRtlPath().split("\\.").head)) + } + + val rtls = List(microNoCsr) +// val rtls = List(smallestNoCsr) + + val targets = IcestormStdTargets().take(1) ++ XilinxStdTargets( + vivadoArtix7Path = "/eda/Xilinx/Vivado/2017.2/bin" + ) ++ AlteraStdTargets( + quartusCycloneIVPath = "/eda/intelFPGA_lite/17.0/quartus/bin/", + quartusCycloneVPath = "/eda/intelFPGA_lite/17.0/quartus/bin/" + ) + + + Bench(rtls, targets, "/eda/tmp/") + } +} \ No newline at end of file diff --git a/VexRiscv/src/test/scala/vexriscv/experimental/PlicCost.scala b/VexRiscv/src/test/scala/vexriscv/experimental/PlicCost.scala new file mode 100644 index 0000000..79d5c66 --- /dev/null +++ b/VexRiscv/src/test/scala/vexriscv/experimental/PlicCost.scala @@ -0,0 +1,76 @@ +package vexriscv.experimental + +import spinal.core._ +import spinal.lib._ +import spinal.lib.bus.amba3.apb._ +import spinal.lib.eda.bench.{Bench, Rtl, XilinxStdTargets} +import spinal.lib.eda.icestorm.IcestormStdTargets +import spinal.lib.misc.plic._ +import vexriscv.VexRiscv +import vexriscv.demo.LinuxGen + +import scala.collection.mutable.ArrayBuffer + +class PlicBench(inputCount : Int) extends Component{ + val io = new Bundle { + val apb = slave(Apb3(addressWidth = 16, dataWidth = 32)) + val interrupts = in Bits(inputCount bits) + val cpuInterrupt = out Bool() + } + + + val priorityWidth = 1 + val gateways = ArrayBuffer[PlicGateway]() + + for(i <- 0 until inputCount) { + gateways += PlicGatewayActiveHigh( + source = io.interrupts(i), + id = 1 + i, + priorityWidth = priorityWidth + ) + } + + + val targets = Seq( + PlicTarget( + gateways = gateways, + priorityWidth = priorityWidth + ) + ) + io.cpuInterrupt := targets(0).iep + + val plicMapping = PlicMapping.light.copy( +// gatewayPriorityReadGen = true, +// gatewayPendingReadGen = true, +// targetThresholdReadGen = true + ) + + gateways.foreach(_.priority := 1) + targets.foreach(_.threshold := 0) + // targets.foreach(_.ie.foreach(_ := True)) + + val bus = Apb3SlaveFactory(io.apb) + val mapping = PlicMapper(bus, plicMapping)( + gateways = gateways, + targets = targets + ) +} + + +object PlicCost extends App{ + def rtlGen(inputCount : Int) = new Rtl { + override def getName(): String = s"PlicBench$inputCount" + override def getRtlPath(): String = s"PlicBench$inputCount.v" + SpinalVerilog(new PlicBench(inputCount).setDefinitionName(getRtlPath().split("\\.").head)) + } + + val rtls = List(8, 12, 16, 32).map(rtlGen) + // val rtls = List(smallestNoCsr, smallest, smallAndProductive, smallAndProductiveWithICache) + // val rtls = List(smallAndProductive, smallAndProductiveWithICache, fullNoMmuMaxPerf, fullNoMmu, full) + // val rtls = List(fullNoMmu) + + val targets = IcestormStdTargets().take(1) + + + Bench(rtls, targets, "/eda/tmp") +} diff --git a/VexRiscv/src/test/scala/vexriscv/experimental/config.scala b/VexRiscv/src/test/scala/vexriscv/experimental/config.scala new file mode 100644 index 0000000..d6eca55 --- /dev/null +++ b/VexRiscv/src/test/scala/vexriscv/experimental/config.scala @@ -0,0 +1,36 @@ +package vexriscv.experimental + +import spinal.core.SpinalVerilog +import vexriscv.ip.InstructionCacheConfig +import vexriscv.{VexRiscv, VexRiscvConfig, plugin} +import vexriscv.plugin._ + +import scala.collection.mutable.ArrayBuffer + +object Presentation extends App{ + + val config = VexRiscvConfig() + + config.plugins ++= List( +// new IBusSimplePlugin(resetVector = 0x80000000l), + new DBusSimplePlugin, + new CsrPlugin(CsrPluginConfig.smallest), + new DecoderSimplePlugin, + new RegFilePlugin(regFileReadyKind = plugin.SYNC), + new IntAluPlugin, + new SrcPlugin, + new MulDivIterativePlugin( + mulUnrollFactor = 4, + divUnrollFactor = 1 + ), + new FullBarrelShifterPlugin, + new HazardSimplePlugin, + new BranchPlugin( + earlyBranch = false + ), + new YamlPlugin("cpu0.yaml") + ) + + new VexRiscv(config) +} + diff --git a/VexRiscv/src/test/scala/vexriscv/ip/fpu/FpuTest.scala b/VexRiscv/src/test/scala/vexriscv/ip/fpu/FpuTest.scala new file mode 100644 index 0000000..11182ac --- /dev/null +++ b/VexRiscv/src/test/scala/vexriscv/ip/fpu/FpuTest.scala @@ -0,0 +1,1663 @@ +package vexriscv.ip.fpu + +import java.io.File +import java.lang +import java.util.Scanner + +import org.apache.commons.io.FileUtils +import org.scalatest.funsuite.AnyFunSuite +import spinal.core.SpinalEnumElement +import spinal.core.sim._ +import spinal.core._ +import spinal.lib.DoCmd +import spinal.lib.experimental.math.Floating +import spinal.lib.sim._ +import spinal.sim.Backend.{isMac, isWindows} + +import scala.collection.mutable +import scala.collection.mutable.ArrayBuffer +import scala.sys.process.ProcessLogger +import scala.util.Random +import org.scalatest.funsuite.AnyFunSuite + +//TODO Warning DataCache write aggregation will disable itself +class FpuTest extends AnyFunSuite{ + + val b2f = lang.Float.intBitsToFloat(_) + val b2d = lang.Double.longBitsToDouble(_) + val f2b = lang.Float.floatToRawIntBits(_) + val d2bOffset = BigInt("10000000000000000",16) + def d2b(that : Double) = { + val l = lang.Double.doubleToRawLongBits(that) + var a = BigInt(l) + if(l < 0) { + a = d2bOffset + a + } + a + } + + + test("f32f64") { + val p = FpuParameter( + withDouble = true, +// withAdd = false, +// withMul = false, +// withDivSqrt = false, + sim = true + ) + testP(p) + } + test("f32") { + val p = FpuParameter( + withDouble = false, + sim = true + ) + testP(p) + } + + def testP(p : FpuParameter){ + val portCount = 4 + + val config = SimConfig + config.allOptimisation +// config.withFstWave + config.compile(new FpuCore(portCount, p){ + for(i <- 0 until portCount) out(Bits(5 bits)).setName(s"flagAcc$i") := io.port(i).completion.flags.asBits + setDefinitionName("FpuCore"+ (if(p.withDouble) "Double" else "")) + }).doSim(seed = 42){ dut => + dut.clockDomain.forkStimulus(10) + dut.clockDomain.forkSimSpeedPrinter(5.0) + + + class TestCase(op : String){ + def build(arg : String) = new ProcessStream(s"testfloat_gen $arg -tininessafter -forever -$op"){ + def f32_f32_f32 ={ + val s = new Scanner(next) + val a,b,c = (s.nextLong(16).toInt) + (b2f(a), b2f(b), b2f(c), s.nextInt(16)) + } + + def i32_f32 ={ + val s = new Scanner(next) + (s.nextLong(16).toInt, b2f(s.nextLong(16).toInt), s.nextInt(16)) + } + + def f32_i32 = { + val s = new Scanner(next) + (b2f(s.nextLong(16).toInt), s.nextLong(16).toInt, s.nextInt(16)) + } + + def f32_f32_i32 = { + val s = new Scanner(next) + val a,b,c = (s.nextLong(16).toInt) + (b2f(a), b2f(b), c, s.nextInt(16)) + } + + def f32_f32 = { + val s = new Scanner(next) + val a,b = (s.nextLong(16).toInt) + (b2f(a), b2f(b), s.nextInt(16)) + } + + + def nextLong(s : Scanner) : Long = java.lang.Long.parseUnsignedLong( s.next(),16) + + def f64_f64_f64 ={ + val s = new Scanner(next) + val a,b,c = nextLong(s) + (b2d(a), b2d(b), b2d(c), s.nextInt(16)) + } + + def i32_f64 ={ + val s = new Scanner(next) + (s.nextLong(16).toInt, b2d(nextLong(s)), s.nextInt(16)) + } + + def f64_i32 = { + val s = new Scanner(next) + (b2d(nextLong(s)), s.nextLong(16).toInt, s.nextInt(16)) + } + + def f64_f64_i32 = { + val str = next + val s = new Scanner(str) + val a,b = (nextLong(s)) + (b2d(a), b2d(b), s.nextInt(16), s.nextInt(16)) + } + + def f64_f64 = { + val s = new Scanner(next) + val a,b = nextLong(s) + (b2d(a), b2d(b), s.nextInt(16)) + } + + + def f32_f64_i32 = { + val s = new Scanner(next) + val a,b = nextLong(s) + (b2f(a.toInt), b2d(b), s.nextInt(16)) + } + def f64_f32_i32 = { + val s = new Scanner(next) + val a,b = nextLong(s) + (b2d(a), b2f(b.toInt), s.nextInt(16)) + } + } + lazy val RAW = build("") + lazy val RNE = build("-rnear_even") + lazy val RTZ = build("-rminMag") + lazy val RDN = build("-rmin") + lazy val RUP = build("-rmax") + lazy val RMM = build("-rnear_maxMag") + lazy val all = List(RNE, RTZ, RDN, RUP, RMM, RAW) + def kill = all.foreach(_.kill) + def apply(rounding : FpuRoundMode.E) = rounding match { + case FpuRoundMode.RNE => RNE + case FpuRoundMode.RTZ => RTZ + case FpuRoundMode.RDN => RDN + case FpuRoundMode.RUP => RUP + case FpuRoundMode.RMM => RMM + } + } + + class TestVector(f : String) { + val add = new TestCase(s"${f}_add") + val sub = new TestCase(s"${f}_sub") + val mul = new TestCase(s"${f}_mul") + val ui2f = new TestCase(s"ui32_to_${f}") + val i2f = new TestCase(s"i32_to_${f}") + val f2ui = new TestCase(s"${f}_to_ui32 -exact") + val f2i = new TestCase(s"${f}_to_i32 -exact") + val eq = new TestCase(s"${f}_eq") + val lt = new TestCase(s"${f}_lt") + val le = new TestCase(s"${f}_le") + val min = new TestCase(s"${f}_le") + val max = new TestCase(s"${f}_lt") + val transfer = new TestCase(s"${f}_eq") + val fclass = new TestCase(s"${f}_eq") + val sgnj = new TestCase(s"${f}_eq") + val sgnjn = new TestCase(s"${f}_eq") + val sgnjx = new TestCase(s"${f}_eq") + val sqrt = new TestCase(s"${f}_sqrt") + val div = new TestCase(s"${f}_div") + } + + val f32 = new TestVector("f32"){ + val f64 = new TestCase(s"f32_eq") + val cvt64 = new TestCase(s"f32_to_f64") + } + val f64 = new TestVector("f64"){ + val f32 = new TestCase(s"f64_eq") + val cvt32 = new TestCase(s"f64_to_f32") + } + + val cpus = for(id <- 0 until portCount) yield new { + val cmdQueue = mutable.Queue[FpuCmd => Unit]() + val commitQueue = mutable.Queue[FpuCommit => Unit]() + val rspQueue = mutable.Queue[FpuRsp => Unit]() + + var pendingMiaou = 0 + var flagAccumulator = 0 + + def cmdAdd(body : FpuCmd => Unit): Unit ={ + pendingMiaou += 1 + cmdQueue += body + } + + def softAssert(cond : Boolean, msg : String) = if(!cond)println(msg) + def flagMatch(ref : Int, value : Float, report : String): Unit ={ + val patch = if(value.abs == 1.17549435E-38f && false) 0x1f & ~2 else 0x1f + flagMatch(ref, report, patch) + } + + def flagMatch(ref : Int, value : Double, report : String): Unit ={ + val patch = if(value.abs == b2d(1 << 52) && false) 0x1f & ~2 else 0x1f + flagMatch(ref, report, patch) + } + + def flagMatch(ref : Int, report : String, mask : Int = 0x1f): Unit ={ + waitUntil(pendingMiaou == 0) + assert((flagAccumulator & mask) == (ref & mask), s"Flag missmatch dut=$flagAccumulator ref=$ref $report") + flagAccumulator = 0 + } + def flagClear(): Unit ={ + waitUntil(pendingMiaou == 0) + flagAccumulator = 0 + } + + val flagAggregated = dut.reflectBaseType(s"flagAcc$id").asInstanceOf[Bits] + dut.clockDomain.onSamplings{ + val c = dut.io.port(id).completion + if(c.valid.toBoolean) { + pendingMiaou -= 1 + flagAccumulator |= flagAggregated.toInt + } + dut.writeback.randomSim.randomize() + } + + StreamDriver(dut.io.port(id).cmd ,dut.clockDomain){payload => + if(cmdQueue.isEmpty) false else { + cmdQueue.dequeue().apply(payload) + true + } + } + + + StreamMonitor(dut.io.port(id)rsp, dut.clockDomain){payload => + pendingMiaou -= 1 + if(payload.NV.toBoolean) flagAccumulator |= 1 << 4 + if(payload.NX.toBoolean) flagAccumulator |= 1 << 0 + rspQueue.dequeue().apply(payload) + } + + StreamReadyRandomizer(dut.io.port(id).rsp, dut.clockDomain) + + + StreamDriver(dut.io.port(id).commit ,dut.clockDomain){payload => + if(commitQueue.isEmpty) false else { + commitQueue.dequeue().apply(payload) + true + } + } + + + + + def loadRaw(rd : Int, value : BigInt, format : FpuFormat.E): Unit ={ + cmdAdd {cmd => + cmd.opcode #= cmd.opcode.spinalEnum.LOAD + cmd.rs1.randomize() + cmd.rs2.randomize() + cmd.rs3.randomize() + cmd.rd #= rd + cmd.arg.randomize() + cmd.roundMode.randomize() + cmd.format #= format + } + commitQueue += {cmd => + cmd.write #= true + cmd.rd #= rd + cmd.value #= value + cmd.opcode #= cmd.opcode.spinalEnum.LOAD + } + } + + + def load(rd : Int, value : Float): Unit ={ + loadRaw(rd, f2b(value).toLong & 0xFFFFFFFFl, FpuFormat.FLOAT) + } + + def load(rd : Int, value : Double): Unit ={ + loadRaw(rd, d2b(value), FpuFormat.DOUBLE) + } + + def storeRaw(rs : Int, format : FpuFormat.E)(body : FpuRsp => Unit): Unit ={ + cmdAdd {cmd => + cmd.opcode #= cmd.opcode.spinalEnum.STORE + cmd.rs1.randomize() + cmd.rs2 #= rs + cmd.rs3.randomize() + cmd.rd.randomize() + cmd.arg.randomize() + cmd.roundMode.randomize() + cmd.format #= format + } + + rspQueue += body +// waitUntil(rspQueue.isEmpty) + } + + def storeFloat(rs : Int)(body : Float => Unit): Unit ={ + storeRaw(rs, FpuFormat.FLOAT){rsp => body(b2f(rsp.value.toBigInt.toInt))} + } + def store(rs : Int)(body : Double => Unit): Unit ={ + storeRaw(rs, FpuFormat.DOUBLE){rsp => body(b2d(rsp.value.toBigInt.toLong))} + } + + def fpuF2f(rd : Int, rs1 : Int, rs2 : Int, rs3 : Int, opcode : FpuOpcode.E, arg : Int, rounding : FpuRoundMode.E, format : FpuFormat.E): Unit ={ + cmdAdd {cmd => + cmd.opcode #= opcode + cmd.rs1 #= rs1 + cmd.rs2 #= rs2 + cmd.rs3 #= rs3 + cmd.rd #= rd + cmd.arg #= arg + cmd.roundMode #= rounding + cmd.format #= format + } + commitQueue += {cmd => + cmd.write #= true + cmd.rd #= rd + cmd.opcode #= opcode + } + } + + def fpuF2i(rs1 : Int, rs2 : Int, opcode : FpuOpcode.E, arg : Int, rounding : FpuRoundMode.E, format : FpuFormat.E)(body : FpuRsp => Unit): Unit ={ + cmdAdd {cmd => + cmd.opcode #= opcode + cmd.rs1 #= rs1 + cmd.rs2 #= rs2 + cmd.rs3.randomize() + cmd.rd.randomize() + cmd.arg #= arg + cmd.roundMode #= rounding + cmd.format #= format + } + rspQueue += body + } + + + def mul(rd : Int, rs1 : Int, rs2 : Int, rounding : FpuRoundMode.E, format : FpuFormat.E): Unit ={ + fpuF2f(rd, rs1, rs2, Random.nextInt(32), FpuOpcode.MUL, 0, rounding, format) + } + + def add(rd : Int, rs1 : Int, rs2 : Int, rounding : FpuRoundMode.E = FpuRoundMode.RNE, format : FpuFormat.E): Unit ={ + fpuF2f(rd, rs1, rs2, Random.nextInt(32), FpuOpcode.ADD, 0, rounding, format) + } + + def sub(rd : Int, rs1 : Int, rs2 : Int, rounding : FpuRoundMode.E = FpuRoundMode.RNE, format : FpuFormat.E): Unit ={ + fpuF2f(rd, rs1, rs2, Random.nextInt(32), FpuOpcode.ADD, 1, rounding, format) + } + + def div(rd : Int, rs1 : Int, rs2 : Int, rounding : FpuRoundMode.E = FpuRoundMode.RNE, format : FpuFormat.E): Unit ={ + fpuF2f(rd, rs1, rs2, Random.nextInt(32), FpuOpcode.DIV, Random.nextInt(4), rounding, format) + } + + def sqrt(rd : Int, rs1 : Int, rounding : FpuRoundMode.E = FpuRoundMode.RNE, format : FpuFormat.E): Unit ={ + fpuF2f(rd, rs1, Random.nextInt(32), Random.nextInt(32), FpuOpcode.SQRT, Random.nextInt(4), rounding, format) + } + + def fma(rd : Int, rs1 : Int, rs2 : Int, rs3 : Int, rounding : FpuRoundMode.E, format : FpuFormat.E): Unit ={ + fpuF2f(rd, rs1, rs2, rs3, FpuOpcode.FMA, 0, rounding, format) + } + + def sgnjRaw(rd : Int, rs1 : Int, rs2 : Int, arg : Int, format : FpuFormat.E): Unit ={ + fpuF2f(rd, rs1, rs2, Random.nextInt(32), FpuOpcode.SGNJ, arg, FpuRoundMode.elements.randomPick(), format) + } + + def sgnj(rd : Int, rs1 : Int, rs2 : Int, rounding : FpuRoundMode.E = null, format : FpuFormat.E): Unit ={ + sgnjRaw(rd, rs1, rs2, 0, format) + } + def sgnjn(rd : Int, rs1 : Int, rs2 : Int, rounding : FpuRoundMode.E = null, format : FpuFormat.E): Unit ={ + sgnjRaw(rd, rs1, rs2, 1, format) + } + def sgnjx(rd : Int, rs1 : Int, rs2 : Int, rounding : FpuRoundMode.E = null, format : FpuFormat.E): Unit ={ + sgnjRaw(rd, rs1, rs2, 2, format) + } + + def cmp(rs1 : Int, rs2 : Int, arg : Int, format : FpuFormat.E)(body : FpuRsp => Unit): Unit ={ + fpuF2i(rs1, rs2, FpuOpcode.CMP, arg, FpuRoundMode.elements.randomPick(), format)(body) + } + + def f2i(rs1 : Int, signed : Boolean, rounding : FpuRoundMode.E, format : FpuFormat.E)(body : FpuRsp => Unit): Unit ={ + fpuF2i(rs1, Random.nextInt(32), FpuOpcode.F2I, if(signed) 1 else 0, rounding, format)(body) + } + + def i2f(rd : Int, value : Int, signed : Boolean, rounding : FpuRoundMode.E, format : FpuFormat.E): Unit ={ + cmdAdd {cmd => + cmd.opcode #= cmd.opcode.spinalEnum.I2F + cmd.rs1.randomize() + cmd.rs2.randomize() + cmd.rs3.randomize() + cmd.rd #= rd + cmd.arg #= (if(signed) 1 else 0) + cmd.roundMode #= rounding + cmd.format #= format + } + commitQueue += {cmd => + cmd.write #= true + cmd.rd #= rd + cmd.opcode #= FpuOpcode.I2F + cmd.value #= value.toLong & 0xFFFFFFFFl + } + } + + def fmv_x_w(rs1 : Int)(body : Float => Unit): Unit ={ + cmdAdd {cmd => + cmd.opcode #= cmd.opcode.spinalEnum.FMV_X_W + cmd.rs1 #= rs1 + cmd.rs2.randomize() + cmd.rs3.randomize() + cmd.rd.randomize() + cmd.arg #= 0 + cmd.roundMode.randomize() + cmd.format #= FpuFormat.FLOAT + } + rspQueue += {rsp => body(b2f(rsp.value.toBigInt.toInt))} + } + + def fmv_w_x(rd : Int, value : Int): Unit ={ + cmdAdd {cmd => + cmd.opcode #= cmd.opcode.spinalEnum.FMV_W_X + cmd.rs1.randomize() + cmd.rs2.randomize() + cmd.rs3.randomize() + cmd.rd #= rd + cmd.arg #= 0 + cmd.roundMode.randomize() + cmd.format #= FpuFormat.FLOAT + } + commitQueue += {cmd => + cmd.write #= true + cmd.rd #= rd + cmd.opcode #= FpuOpcode.FMV_W_X + cmd.value #= value.toLong & 0xFFFFFFFFl + } + } + + def minMax(rd : Int, rs1 : Int, rs2 : Int, arg : Int, format : FpuFormat.E): Unit ={ + cmdAdd {cmd => + cmd.opcode #= cmd.opcode.spinalEnum.MIN_MAX + cmd.rs1 #= rs1 + cmd.rs2 #= rs2 + cmd.rs3.randomize() + cmd.rd #= rd + cmd.arg #= arg + cmd.roundMode.randomize() + cmd.format #= format + } + commitQueue += {cmd => + cmd.write #= true + cmd.rd #= rd + cmd.opcode #= FpuOpcode.MIN_MAX + } + } + + + + def fclass(rs1 : Int, format : FpuFormat.E)(body : Int => Unit) : Unit = { + cmdAdd {cmd => + cmd.opcode #= FpuOpcode.FCLASS + cmd.rs1 #= rs1 + cmd.rs2.randomize() + cmd.rs3.randomize() + cmd.rd.randomize() + cmd.arg.randomize() + cmd.roundMode.randomize() + cmd.format #= format + } + rspQueue += {rsp => body(rsp.value.toBigInt.toInt)} + } + } + + + + + + val stim = for(cpu <- cpus) yield fork { + import cpu._ + + class RegAllocator(){ + var value = 0 + + def allocate(): Int ={ + while(true){ + val rand = Random.nextInt(32) + val mask = 1 << rand + if((value & mask) == 0) { + value |= mask + return rand + } + } + 0 + } + } + def checkFloat(ref : Float, dut : Float): Boolean ={ + if((f2b(ref) & 0x80000000) != (f2b(dut) & 0x80000000)) return false + if(ref == 0.0 && dut == 0.0 && f2b(ref) != f2b(dut)) return false + if(ref.isNaN && dut.isNaN) return true + if(ref == dut) return true + if(ref.abs * 1.0001f + Float.MinPositiveValue >= dut.abs*0.9999f && ref.abs * 0.9999f - Float.MinPositiveValue <= dut.abs*1.0001f) return true +// if(ref + Float.MinPositiveValue*2.0f === dut || dut + Float.MinPositiveValue*2.0f === ref) + false + } + + def checkDouble(ref : Double, dut : Double): Boolean ={ + if((d2b(ref) & Long.MinValue) != (d2b(dut) & Long.MinValue)) return false + if(ref == 0.0 && dut == 0.0 && d2b(ref) != d2b(dut)) return false + if(ref.isNaN && dut.isNaN) return true + if(ref == dut) return true + if(ref.abs * 1.0001 + Float.MinPositiveValue >= dut.abs*0.9999 && ref.abs * 0.9999 - Double.MinPositiveValue <= dut.abs*1.0001) return true + // if(ref + Float.MinPositiveValue*2.0f === dut || dut + Float.MinPositiveValue*2.0f === ref) + false + } + def checkFloatExact(ref : Float, dut : Float): Boolean ={ + if(ref.signum != dut.signum === dut) return false + if(ref.isNaN && dut.isNaN) return true + if(ref == dut) return true + false + } + + + def randomFloat(): Float ={ + val exp = Random.nextInt(10)-5 + (Random.nextDouble() * (Math.pow(2.0, exp)) * (if(Random.nextBoolean()) -1.0 else 1.0)).toFloat + } + + def randomDouble(): Double ={ + val exp = Random.nextInt(10)-5 + (Random.nextDouble() * (Math.pow(2.0, exp)) * (if(Random.nextBoolean()) -1.0 else 1.0)) + } + + + def testBinaryOp(op : (Int,Int,Int,FpuRoundMode.E, FpuFormat.E) => Unit, a : Float, b : Float, ref : Float, flag : Int, rounding : FpuRoundMode.E, opName : String): Unit ={ + val rs = new RegAllocator() + val rs1, rs2, rs3 = rs.allocate() + val rd = Random.nextInt(32) + load(rs1, a) + load(rs2, b) + op(rd,rs1,rs2, rounding, FpuFormat.FLOAT) + storeFloat(rd){v => + assert(f2b(v) == f2b(ref), f"## ${a} ${opName} $b = $v, $ref $rounding") + } + + flagMatch(flag, ref, f"## ${opName} ${a} $b $ref $rounding") + } + + + def testBinaryOpF64(op : (Int,Int,Int,FpuRoundMode.E, FpuFormat.E) => Unit, a : Double, b : Double, ref : Double, flag : Int, rounding : FpuRoundMode.E, opName : String): Unit ={ + val rs = new RegAllocator() + val rs1, rs2, rs3 = rs.allocate() + val rd = Random.nextInt(32) + load(rs1, a) + load(rs2, b) + op(rd,rs1,rs2, rounding, FpuFormat.DOUBLE) + store(rd){v => + assert(d2b(v) == d2b(ref), f"## ${a} ${opName} $b = $v, $ref $rounding, ${d2b(a).toString(16)} ${d2b(b).toString(16)} ${d2b(ref).toString(16)}") + } + + flagMatch(flag, ref, f"## ${opName} ${a} $b $ref $rounding") + } + + + def testTransferF32Raw(a : Float, iSrc : Boolean, iDst : Boolean): Unit ={ + val rd = Random.nextInt(32) + + def handle(v : Float): Unit ={ + val ref = a + assert(f2b(v) == f2b(ref), f"$a = $v, $ref") + } + + if(iSrc) fmv_w_x(rd, f2b(a)) else load(rd, a) + if(iDst) fmv_x_w(rd)(handle) else storeFloat(rd)(handle) + + flagMatch(0, f"$a") + } + + + def testTransferF64Raw(a : Double): Unit ={ + val rd = Random.nextInt(32) + + def handle(v : Double): Unit ={ + val ref = a + assert(d2b(v) == d2b(ref), f"$a = $v, $ref") + } + + load(rd, a) + store(rd)(handle) + + flagMatch(0, f"$a") + } + + def testTransferF32F64Raw(a : Float, iSrc : Boolean): Unit ={ + val rd = Random.nextInt(32) + if(iSrc) fmv_w_x(rd, f2b(a)) else load(rd, a) + storeRaw(rd, FpuFormat.DOUBLE){rsp => + val v = rsp.value.toBigInt.toLong + val ref = (0xFFFFFFFFl << 32) | f2b(a) + assert(v == ref, f"$a = $v, $ref") + } + flagMatch(0, f"$a") + } + + def testTransferF64F32Raw(a : Double, iDst : Boolean): Unit ={ + val rd = Random.nextInt(32) + load(rd, a) + if(iDst)fmv_x_w(rd){v_ => + val v = f2b(v_).toLong & 0xFFFFFFFFl + val ref = d2b(a) & 0xFFFFFFFFl + assert(v == ref, f"$a = $v, $ref") + } + else storeRaw(rd, FpuFormat.FLOAT){rsp => + val v = rsp.value.toBigInt.toLong & 0xFFFFFFFFl + val ref = d2b(a) & 0xFFFFFFFFl + assert(v == ref, f"$a = $v, $ref") + } + flagMatch(0, f"$a") + } + + + def testCvtF32F64Raw(a : Float, ref : Double, flag : Int, rounding : FpuRoundMode.E): Unit ={ + val rs, rd = Random.nextInt(32) + load(rs, a) + fpuF2f(rd, rs, Random.nextInt(32), Random.nextInt(32), FpuOpcode.FCVT_X_X, Random.nextInt(3), rounding, FpuFormat.FLOAT) + store(rd){v => + assert(d2b(v) == d2b(ref), f"testCvtF32F64Raw $a $ref $rounding") + } + flagMatch(flag,ref, f"testCvtF32F64Raw $a $ref $rounding") + } + + def testCvtF64F32Raw(a : Double, ref : Float, flag : Int, rounding : FpuRoundMode.E): Unit ={ + val rs, rd = Random.nextInt(32) + load(rs, a) + fpuF2f(rd, rs, Random.nextInt(32), Random.nextInt(32), FpuOpcode.FCVT_X_X, Random.nextInt(3), rounding, FpuFormat.DOUBLE) + storeFloat(rd){v => + assert(d2b(v) == d2b(ref), f"testCvtF64F32Raw $a $ref $rounding") + } + flagMatch(flag, ref, f"testCvtF64F32Raw $a $ref $rounding") + } + + + def testClassRaw(a : Float) : Unit = { + val rd = Random.nextInt(32) + + + load(rd, a) + fclass(rd, FpuFormat.FLOAT){v => + val mantissa = f2b(a) & 0x7FFFFF + val exp = (f2b(a) >> 23) & 0xFF + val sign = (f2b(a) >> 31) & 0x1 + + val refBit = if(a.isInfinite) (if(sign == 0) 7 else 0) + else if(a.isNaN) (if((mantissa >> 22) != 0) 9 else 8) + else if(exp == 0 && mantissa != 0) (if(sign == 0) 5 else 2) + else if(exp == 0 && mantissa == 0) (if(sign == 0) 4 else 3) + else if(sign == 0) 6 else 1 + + val ref = 1 << refBit + + assert(v == ref, f"fclass $a") + } + } + + + def testClassF64Raw(a : Double) : Unit = { + val rd = Random.nextInt(32) + + + load(rd, a) + fclass(rd, FpuFormat.DOUBLE){v => + val mantissa = d2b(a) & 0x000FFFFFFFFFFFFFl + val exp = (d2b(a) >> 52) & 0x7FF + val sign = (d2b(a) >> 63) & 0x1 + + val refBit = if(a.isInfinite) (if(sign == 0) 7 else 0) + else if(a.isNaN) (if((mantissa >> 51) != 0) 9 else 8) + else if(exp == 0 && mantissa != 0) (if(sign == 0) 5 else 2) + else if(exp == 0 && mantissa == 0) (if(sign == 0) 4 else 3) + else if(sign == 0) 6 else 1 + + val ref = 1 << refBit + + assert(v == ref, f"fclass $a") + } + } + + + + def testFmaRaw(a : Float, b : Float, c : Float): Unit ={ + val rs = new RegAllocator() + val rs1, rs2, rs3 = rs.allocate() + val rd = Random.nextInt(32) + load(rs1, a) + load(rs2, b) + load(rs3, c) + + fma(rd,rs1,rs2,rs3, FpuRoundMode.RNE, FpuFormat.FLOAT) + storeFloat(rd){v => + val ref = a.toDouble * b.toDouble + c.toDouble + val mul = a.toDouble * b.toDouble + if((mul.abs-c.abs)/mul.abs > 0.1) assert(checkFloat(ref.toFloat, v), f"$a%.20f * $b%.20f + $c%.20f = $v%.20f, $ref%.20f") + } + } + + + + def testFmaF64Raw(a : Double, b : Double, c : Double): Unit ={ + val rs = new RegAllocator() + val rs1, rs2, rs3 = rs.allocate() + val rd = Random.nextInt(32) + load(rs1, a) + load(rs2, b) + load(rs3, c) + + fma(rd,rs1,rs2,rs3, FpuRoundMode.RNE, FpuFormat.DOUBLE) + store(rd){v => + val ref = a.toDouble * b.toDouble + c.toDouble + val mul = a.toDouble * b.toDouble + if((mul.abs-c.abs)/mul.abs > 0.1) assert(checkDouble(ref, v), f"$a%.20f * $b%.20f + $c%.20f = $v%.20f, $ref%.20f") + } + } + + def testSqrtF64Exact(a : Double, ref : Double, flag : Int, rounding : FpuRoundMode.E): Unit ={ + val rs = new RegAllocator() + val rs1, rs2, rs3 = rs.allocate() + val rd = Random.nextInt(32) + load(rs1, a) + + sqrt(rd,rs1, rounding, FpuFormat.DOUBLE) + + store(rd){v => + assert(d2b(v) == d2b(ref), f"## sqrt${a} = $v, $ref $rounding, ${d2b(a).toString(16)} ${d2b(ref).toString(16)}") + } + + flagMatch(flag, ref, f"## sqrt${a} $ref $rounding") + } + + def testSqrtExact(a : Float, ref : Float, flag : Int, rounding : FpuRoundMode.E): Unit ={ + val rs = new RegAllocator() + val rs1, rs2, rs3 = rs.allocate() + val rd = Random.nextInt(32) + load(rs1, a) + + sqrt(rd,rs1, rounding, FpuFormat.FLOAT) + + storeFloat(rd){v => + assert(d2b(v) == d2b(ref), f"## sqrt${a} = $v, $ref $rounding, ${f2b(a).toString()} ${f2b(ref).toString()}") + } + + flagMatch(flag, ref, f"## sqrt${a} $ref $rounding") + } + + + def testF2iExact(a : Float, ref : Int, flag : Int, signed : Boolean, rounding : FpuRoundMode.E): Unit ={ + val rs = new RegAllocator() + val rs1 = rs.allocate() + val rd = Random.nextInt(32) + load(rs1, a) + f2i(rs1, signed, rounding, FpuFormat.FLOAT){rsp => + if(signed) { + val v = rsp.value.toBigInt.toInt + var ref2 = ref + if(a >= Int.MaxValue) ref2 = Int.MaxValue + if(a <= Int.MinValue) ref2 = Int.MinValue + if(a.isNaN) ref2 = Int.MaxValue + assert(v == (ref2), f" <= f2i($a) = $v, $ref2, $rounding, $flag") + } else { + val v = rsp.value.toBigInt.toLong & 0xFFFFFFFFl + var ref2 = ref.toLong & 0xFFFFFFFFl + if(a < 0) ref2 = 0 + if(a >= 0xFFFFFFFFl) ref2 = 0xFFFFFFFFl + if(a.isNaN) ref2 = 0xFFFFFFFFl + assert(v == ref2, f" <= f2ui($a) = $v, $ref2, $rounding $flag") + } + } + + flagMatch(flag, ref, f" f2${if(signed) "" else "u"}i($a) $ref $flag $rounding") + } + + + + def testF642iExact(a : Double, ref : Int, flag : Int, signed : Boolean, rounding : FpuRoundMode.E): Unit ={ + val rs = new RegAllocator() + val rs1 = rs.allocate() + val rd = Random.nextInt(32) + load(rs1, a) + f2i(rs1, signed, rounding, FpuFormat.DOUBLE){rsp => + if(signed) { + val v = rsp.value.toBigInt.toInt + var ref2 = ref + if(a >= Int.MaxValue) ref2 = Int.MaxValue + if(a <= Int.MinValue) ref2 = Int.MinValue + if(a.isNaN) ref2 = Int.MaxValue + assert(v == (ref2), f" <= f2i($a) = $v, $ref2, $rounding, $flag") + } else { + val v = rsp.value.toBigInt.toLong & 0xFFFFFFFFl + var ref2 = ref.toLong & 0xFFFFFFFFl + if(a < 0) ref2 = 0 + if(a >= 0xFFFFFFFFl) ref2 = 0xFFFFFFFFl + if(a.isNaN) ref2 = 0xFFFFFFFFl + assert(v == ref2, f" <= f2ui($a) = $v, $ref2, $rounding $flag") + } + } + + flagMatch(flag, ref, f" f2${if(signed) "" else "u"}i($a) $ref $flag $rounding") + } + + + + def testI2fExact(a : Int, ref : Float, f : Int, signed : Boolean, rounding : FpuRoundMode.E): Unit ={ + val rs = new RegAllocator() + val rd = Random.nextInt(32) + i2f(rd, a, signed, rounding, FpuFormat.FLOAT) + storeFloat(rd){v => + val aLong = if(signed) a.toLong else a.toLong & 0xFFFFFFFFl + assert(f2b(v) == f2b(ref), f"i2f($aLong) = $v, $ref $rounding") + } + + + flagMatch(f, ref, f"i2f($a) = $ref") + } + + + + def testI2f64Exact(a : Int, ref : Double, f : Int, signed : Boolean, rounding : FpuRoundMode.E): Unit ={ + val rs = new RegAllocator() + val rd = Random.nextInt(32) + i2f(rd, a, signed, rounding, FpuFormat.DOUBLE) + store(rd){v => + val aLong = if(signed) a.toLong else a.toLong & 0xFFFFFFFFl + assert(d2b(v) == d2b(ref), f"i2f($aLong) = $v, $ref $rounding") + } + + + flagMatch(f, ref, f"i2f($a) = $ref") + } + + + def testCmpExact(a : Float, b : Float, ref : Int, flag : Int, arg : Int): Unit ={ + val rs = new RegAllocator() + val rs1, rs2, rs3 = rs.allocate() + val rd = Random.nextInt(32) + load(rs1, a) + load(rs2, b) + cmp(rs1, rs2, arg, FpuFormat.FLOAT){rsp => + val v = rsp.value.toBigInt.toInt + assert(v === ref, f"cmp($a, $b, $arg) = $v, $ref") + } + flagMatch(flag,f"$a < $b $ref $flag ${f2b(a).toHexString} ${f2b(b).toHexString}") + } + def testLeRaw(a : Float, b : Float, ref : Int, flag : Int) = testCmpExact(a,b,ref,flag, 0) + def testEqRaw(a : Float, b : Float, ref : Int, flag : Int) = testCmpExact(a,b,ref,flag, 2) + def testLtRaw(a : Float, b : Float, ref : Int, flag : Int) = testCmpExact(a,b,ref,flag, 1) + + + def testCmpF64Exact(a : Double, b : Double, ref : Int, flag : Int, arg : Int): Unit ={ + val rs = new RegAllocator() + val rs1, rs2, rs3 = rs.allocate() + val rd = Random.nextInt(32) + load(rs1, a) + load(rs2, b) + cmp(rs1, rs2, arg, FpuFormat.DOUBLE){rsp => + val v = rsp.value.toBigInt.toInt + assert(v === ref, f"cmp($a, $b, $arg) = $v, $ref") + } + flagMatch(flag,f"$a < $b $ref $flag ${d2b(a)} ${d2b(b)}") + } + def testLeF64Raw(a : Double, b : Double, ref : Int, flag : Int) = testCmpF64Exact(a,b,ref,flag, 0) + def testEqF64Raw(a : Double, b : Double, ref : Int, flag : Int) = testCmpF64Exact(a,b,ref,flag, 2) + def testLtF64Raw(a : Double, b : Double, ref : Int, flag : Int) = testCmpF64Exact(a,b,ref,flag, 1) + +// def testFmv_x_w(a : Float): Unit ={ +// val rs = new RegAllocator() +// val rs1, rs2, rs3 = rs.allocate() +// val rd = Random.nextInt(32) +// load(rs1, a)tes +// fmv_x_w(rs1){rsp => +// val ref = f2b(a).toLong & 0xFFFFFFFFl +// val v = rsp.value.toBigInt +// println(f"fmv_x_w $a = $v, $ref") +// assert(v === ref) +// } +// } + +// def testFmv_w_x(a : Int): Unit ={ +// val rs = new RegAllocator() +// val rs1, rs2, rs3 = rs.allocate() +// val rd = Random.nextInt(32) +// fmv_w_x(rd, a) +// storeFloat(rd){v => +// val ref = b2f(a) +// println(f"fmv_w_x $a = $v, $ref") +// assert(v === ref) +// } +// } + + + + def testMinMaxExact(a : Float, b : Float, arg : Int): Unit ={ + val rs = new RegAllocator() + val rs1, rs2 = rs.allocate() + val rd = Random.nextInt(32) + val ref = (a,b) match { + case _ if a.isNaN && b.isNaN => b2f(0x7FC00000) + case _ if a.isNaN => b + case _ if b.isNaN => a + case _ => if(arg == 0) Math.min(a,b) else Math.max(a,b) + } + val flag = (a,b) match { + case _ if a.isNaN && ((f2b(a) >> 22 ) & 1) == 0 => 16 + case _ if b.isNaN && ((f2b(b) >> 22 ) & 1) == 0 => 16 + case _ => 0 + } + load(rs1, a) + load(rs2, b) + + minMax(rd,rs1,rs2, arg, FpuFormat.FLOAT) + storeFloat(rd){v => + assert(f2b(ref) == f2b(v), f"minMax($a $b $arg) = $v, $ref") + } + flagMatch(flag, f"minmax($a $b $arg)") + } + + def testMinExact(a : Float, b : Float) : Unit = testMinMaxExact(a,b,0) + def testMaxExact(a : Float, b : Float) : Unit = testMinMaxExact(a,b,1) + + + def testMinMaxF64Exact(a : Double, b : Double, arg : Int): Unit ={ + val rs = new RegAllocator() + val rs1, rs2 = rs.allocate() + val rd = Random.nextInt(32) + val ref = (a,b) match { + case _ if a.isNaN && b.isNaN => b2d(0x7ff8000000000000l) + case _ if a.isNaN => b + case _ if b.isNaN => a + case _ => if(arg == 0) Math.min(a,b) else Math.max(a,b) + } + val flag = (a,b) match { + case _ if a.isNaN && ((d2b(a) >> 51 ) & 1) == 0 => 16 + case _ if b.isNaN && ((d2b(b) >> 51 ) & 1) == 0 => 16 + case _ => 0 + } + load(rs1, a) + load(rs2, b) + + minMax(rd,rs1,rs2, arg, FpuFormat.DOUBLE) + store(rd){v => + assert(d2b(ref) == d2b(v), f"minMax($a $b $arg) = $v, $ref") + } + flagMatch(flag, f"minmax($a $b $arg)") + } + + def testMinF64Exact(a : Double, b : Double) : Unit = testMinMaxF64Exact(a,b,0) + def testMaxF64Exact(a : Double, b : Double) : Unit = testMinMaxF64Exact(a,b,1) + + + def testSgnjRaw(a : Float, b : Float): Unit ={ + var ref = b2f((f2b(a) & ~0x80000000) | f2b(b) & 0x80000000) + if(a.isNaN) ref = a + testBinaryOp(sgnj,a,b,ref,0, null,"sgnj") + } + def testSgnjnRaw(a : Float, b : Float): Unit ={ + var ref = b2f((f2b(a) & ~0x80000000) | ((f2b(b) & 0x80000000) ^ 0x80000000)) + if(a.isNaN) ref = a + testBinaryOp(sgnjn,a,b,ref,0, null,"sgnjn") + } + def testSgnjxRaw(a : Float, b : Float): Unit ={ + var ref = b2f(f2b(a) ^ (f2b(b) & 0x80000000)) + if(a.isNaN) ref = a + testBinaryOp(sgnjx,a,b,ref,0, null,"sgnjx") + } + + val f64SignMask = 1l << 63 + def testSgnjF64Raw(a : Double, b : Double): Unit ={ + var ref = b2d((d2b(a).toLong & ~f64SignMask) | d2b(b).toLong & f64SignMask) + if(a.isNaN) ref = a + testBinaryOpF64(sgnj,a,b,ref,0, null,"sgnj") + } + def testSgnjnF64Raw(a : Double, b : Double): Unit ={ + var ref = b2d((d2b(a).toLong & ~f64SignMask) | ((d2b(b).toLong & f64SignMask) ^ f64SignMask)) + if(a.isNaN) ref = a + testBinaryOpF64(sgnjn,a,b,ref,0, null,"sgnjn") + } + def testSgnjxF64Raw(a : Double, b : Double): Unit ={ + var ref = b2d(d2b(a).toLong ^ (d2b(b).toLong & f64SignMask)) + if(a.isNaN) ref = a + testBinaryOpF64(sgnjx,a,b,ref,0, null,"sgnjx") + } + + + def withMinus(that : Seq[Float]) = that.flatMap(f => List(f, -f)) + val fZeros = withMinus(List(0.0f)) + val fSubnormals = withMinus(List(b2f(0x00000000+1), b2f(0x00000000+2), b2f(0x00006800), b2f(0x00800000-2), b2f(0x00800000-1))) + val fExpSmall = withMinus(List(b2f(0x00800000), b2f(0x00800000+1), b2f(0x00800000 + 2))) + val fExpNormal = withMinus(List(b2f(0x3f800000-2), b2f(0x3f800000-1), b2f(0x3f800000), b2f(0x3f800000+1), b2f(0x3f800000+2))) + val fExpBig = withMinus(List(b2f(0x7f7fffff-2), b2f(0x7f7fffff-1), b2f(0x7f7fffff))) + val fInfinity = withMinus(List(Float.PositiveInfinity)) + val fNan = List(Float.NaN, b2f(0x7f820000), b2f(0x7fc00000)) + val fAll = fZeros ++ fSubnormals ++ fExpSmall ++ fExpNormal ++ fExpBig ++ fInfinity ++ fNan + + val iSmall = (0 to 20) + val iBigUnsigned = (0 to 20).map(e => 0xFFFFFFFF - e) + val iBigSigned = (0 to 20).map(e => 0x7FFFFFFF - e) ++ (0 to 20).map(e => 0x80000000 + e) + val iUnsigned = iSmall ++ iBigUnsigned + val iSigned = iSmall ++ iSmall.map(-_) ++ iBigSigned + + + val roundingModes = FpuRoundMode.elements + def foreachRounding(body : FpuRoundMode.E => Unit): Unit ={ + for(rounding <- roundingModes){ + body(rounding) + } + } + + def testFmaF32() : Unit = { + testFmaRaw(randomFloat(), randomFloat(), randomFloat()) + flagClear() + } + + + def testFmaF64() : Unit = { + testFmaF64Raw(randomDouble(), randomDouble(), randomDouble()) + flagClear() + } + + def testLeF32() : Unit = { + val (a,b,i,f) = f32.le.RAW.f32_f32_i32 + testLeRaw(a,b,i, f) + } + def testLtF32() : Unit = { + val (a,b,i,f) = f32.lt.RAW.f32_f32_i32 + testLtRaw(a,b,i, f) + } + + def testEqF32() : Unit = { + val (a,b,i,f) = f32.eq.RAW.f32_f32_i32 + testEqRaw(a,b,i, f) + } + + def testLeF64() : Unit = { + val (a,b,i,f) = f64.le.RAW.f64_f64_i32 + testLeF64Raw(a,b,i, f) + } + def testLtF64() : Unit = { + val (a,b,i,f) = f64.lt.RAW.f64_f64_i32 + testLtF64Raw(a,b,i, f) + } + + def testEqF64() : Unit = { + val (a,b,i,f) = f64.eq.RAW.f64_f64_i32 + testEqF64Raw(a,b,i, f) + } + + + def testF2uiF32() : Unit = { + val rounding = FpuRoundMode.elements.randomPick() + val (a,b,f) = f32.f2ui(rounding).f32_i32 + testF2iExact(a,b, f, false, rounding) + } + + def testF2iF32() : Unit = { + val rounding = FpuRoundMode.elements.randomPick() + val (a,b,f) = f32.f2i(rounding).f32_i32 + testF2iExact(a,b, f, true, rounding) + } + + def testF2uiF64() : Unit = { + val rounding = FpuRoundMode.elements.randomPick() + val (a,b,f) = f64.f2ui(rounding).f64_i32 + testF642iExact(a,b, f, false, rounding) + } + + def testF2iF64() : Unit = { + val rounding = FpuRoundMode.elements.randomPick() + val (a,b,f) = f64.f2i(rounding).f64_i32 + testF642iExact(a,b, f, true, rounding) + } + + + def testDiv() : Unit = { + val rounding = FpuRoundMode.elements.randomPick() + val (a,b,r,f) = f32.div(rounding).f32_f32_f32 + testBinaryOp(div, a, b, r, f, rounding, "div") + } + + def testSqrt() : Unit = { + val rounding = FpuRoundMode.elements.randomPick() + val (a,r,f) = f32.sqrt(rounding).f32_f32 + testSqrtExact(a, r, f, rounding) + flagClear() + } + + def testSgnjF32() : Unit = { + testSgnjRaw(b2f(Random.nextInt()), b2f(Random.nextInt())) + testSgnjnRaw(b2f(Random.nextInt()), b2f(Random.nextInt())) + testSgnjxRaw(b2f(Random.nextInt()), b2f(Random.nextInt())) + val (a,b,r,f) = f32.sgnj.RAW.f32_f32_i32 + testSgnjRaw(a, b) + testSgnjnRaw(a, b) + testSgnjxRaw(a, b) + } + + def testDivF64() : Unit = { + val rounding = FpuRoundMode.elements.randomPick() + val (a,b,r,f) = f64.div(rounding).f64_f64_f64 + // testDivF64Exact(a, b, r, f, rounding) + testBinaryOpF64(div, a, b, r, f,rounding, "div") + flagClear() + } + + def testSqrtF64() : Unit = { + val rounding = FpuRoundMode.elements.randomPick() + val (a,r,f) = f64.sqrt(rounding).f64_f64 + testSqrtF64Exact(a, r, f, rounding) + flagClear() + } + + def testSgnjF64() : Unit = { + testSgnjF64Raw(b2d(Random.nextLong()), b2d(Random.nextLong())) + testSgnjnF64Raw(b2d(Random.nextLong()), b2d(Random.nextLong())) + testSgnjxF64Raw(b2d(Random.nextLong()), b2d(Random.nextLong())) + val (a,b,r,f) = f64.sgnj.RAW.f64_f64_i32 + testSgnjF64Raw(a, b) + testSgnjnF64Raw(a, b) + testSgnjxF64Raw(a, b) + } + + + def testTransferF32() : Unit = { + val (a,b,r,f) = f32.transfer.RAW.f32_f32_i32 + testTransferF32Raw(a, Random.nextBoolean(), Random.nextBoolean()) + } + + def testTransferF64() : Unit = { + val (a,b,r,f) = f64.transfer.RAW.f64_f64_i32 + testTransferF64Raw(a) + } + + def testTransferF64F32() : Unit = { + val (a,b,r,f) = f64.f32.RAW.f64_f64_i32 + testTransferF64F32Raw(a, Random.nextBoolean()) + } + def testTransferF32F64() : Unit = { + val (a,b,r,f) = f32.f64.RAW.f32_f32_i32 + testTransferF32F64Raw(a, Random.nextBoolean()) + } + + def testCvtF32F64() : Unit = { + val rounding = FpuRoundMode.elements.randomPick() + val (a,r,f) = f32.cvt64(rounding).f32_f64_i32 + testCvtF32F64Raw(a, r, f, rounding) + } + def testCvtF64F32() : Unit = { + val rounding = FpuRoundMode.elements.randomPick() + val (a,r,f) = f64.cvt32(rounding).f64_f32_i32 + testCvtF64F32Raw(a, r, f, rounding) + } + + def testClassF32() : Unit = { + val (a,b,r,f) = f32.fclass.RAW.f32_f32_i32 + testClassRaw(a) + } + + def testMinF32() : Unit = { + val (a,b,r,f) = f32.min.RAW.f32_f32_f32 + testMinExact(a,b) + } + def testMaxF32() : Unit = { + val (a,b,r,f) = f32.max.RAW.f32_f32_f32 + testMaxExact(a,b) + } + + def testClassF64() : Unit = { + val (a,b,r,f) = f64.fclass.RAW.f64_f64_i32 + testClassF64Raw(a) + } + + def testMinF64() : Unit = { + val (a,b,r,f) = f64.min.RAW.f64_f64_f64 + testMinF64Exact(a,b) + } + def testMaxF64() : Unit = { + val (a,b,r,f) = f64.max.RAW.f64_f64_f64 + testMaxF64Exact(a,b) + } + + + def testUI2f32() : Unit = { + val rounding = FpuRoundMode.elements.randomPick() + val (a,b,f) = f32.i2f(rounding).i32_f32 + testI2fExact(a,b,f, true, rounding) + } + + def testI2f32() : Unit = { + val rounding = FpuRoundMode.elements.randomPick() + val (a,b,f) = f32.ui2f(rounding).i32_f32 + testI2fExact(a,b,f, false, rounding) + } + + def testUI2f64() : Unit = { + val rounding = FpuRoundMode.elements.randomPick() + val (a,b,f) = f64.i2f(rounding).i32_f64 + testI2f64Exact(a,b,f, true, rounding) + } + + def testI2f64() : Unit = { + val rounding = FpuRoundMode.elements.randomPick() + val (a,b,f) = f64.ui2f(rounding).i32_f64 + testI2f64Exact(a,b,f, false, rounding) + } + + def testMulF32() : Unit = { + val rounding = FpuRoundMode.elements.randomPick() + val (a,b,c,f) = f32.mul(rounding).f32_f32_f32 + testBinaryOp(mul,a,b,c,f, rounding,"mul") + } + + def testAddF32() : Unit = { + val rounding = FpuRoundMode.elements.randomPick() + val (a,b,c,f) = f32.add(rounding).f32_f32_f32 + testBinaryOp(add,a,b,c,f, rounding,"add") + } + + def testSubF32() : Unit = { + val rounding = FpuRoundMode.elements.randomPick() + val (a,b,c,f) = f32.sub(rounding).f32_f32_f32 + testBinaryOp(sub,a,b,c,f, rounding,"sub") + } + + + def testMulF64() : Unit = { + val rounding = FpuRoundMode.elements.randomPick() + val (a,b,c,f) = f64.mul(rounding).f64_f64_f64 + testBinaryOpF64(mul,a,b,c,f, rounding,"mul") + } + + def testAddF64() : Unit = { + val rounding = FpuRoundMode.elements.randomPick() + val (a,b,c,f) = f64.add(rounding).f64_f64_f64 + testBinaryOpF64(add,a,b,c,f, rounding,"add") + } + + def testSubF64() : Unit = { + val rounding = FpuRoundMode.elements.randomPick() + val (a,b,c,f) = f64.sub(rounding).f64_f64_f64 + testBinaryOpF64(sub,a,b,c,f, rounding,"sub") + } + + + val f32Tests = List[() => Unit](testSubF32, testAddF32, testMulF32, testI2f32, testUI2f32, testMinF32, testMaxF32, testSgnjF32, testTransferF32, testDiv, testSqrt, testF2iF32, testF2uiF32, testLeF32, testEqF32, testLtF32, testClassF32, testFmaF32) + val f64Tests = List[() => Unit](testSubF64, testAddF64, testMulF64, testI2f64, testUI2f64, testMinF64, testMaxF64, testSgnjF64, testTransferF64, testDiv, testSqrt, testF2iF64, testF2uiF64, testLeF64, testEqF64, testLtF64, testClassF64, testFmaF64, testCvtF32F64, testCvtF64F32) + + var fxxTests = f32Tests + if(p.withDouble) fxxTests ++= f64Tests + +//5071920 5225560 +// for(v <- List(-1.17549435082e-38f, 1.17549435082e-38f); +// rounding <- FpuRoundMode.elements) { +// for (i <- 0 until 2048) { +// val b = d2b(v)// 0x0010000000000000l //d2b(1.17549435082e-38) +// val s = (b - (i.toLong << 21)).toLong +// val d = b2d(s) +//// val rounding = FpuRoundMode.RNE +// testCvtF64F32Raw(d, Clib.math.d2f(d, rounding.position), Clib.math.d2fFlag(d, rounding.position), rounding) +// } +// } +// +// +// testCvtF64F32Raw(-1.1754943508051483E-38, -1.17549435E-38f, 1, FpuRoundMode.RNE) +// testCvtF64F32Raw( 1.1754943157898258E-38, 1.17549435E-38f , 3, FpuRoundMode.RMM) +// testCvtF64F32Raw( 1.1754942807573643E-38, 1.17549435E-38f , 3, FpuRoundMode.RMM) +// testCvtF64F32Raw(-1.1754943508051483E-38, -1.17549435E-38f, 1, FpuRoundMode.RMM) + + //-1.1754943508051483E-38 -1.17549435E-38 1 RNE @ 592770 + // 1.1754943157898258E-38 1.17549435E-38 3 RMM @ 2697440 + // 1.1754942807573643E-38 1.17549435E-38 3 RMM +// for(_ <- 0 until 1000000) testCvtF64F32() // 1 did not equal 3 Flag missmatch dut=1 ref=3 testCvtF64F32Raw 1.1754942807573643E-38 1.17549435E-38 RMM +// println("FCVT_D_S done") + +// testBinaryOpF64(div, -2.2250738564511294E-308, 4.294967296003891E9, -5.180654E-318, 1, FpuRoundMode.RDN,"div") // ??? wtf + +// testBinaryOp(add,b2f(0x7F800000),b2f(0x1FD << 23),b2f(0x7F800000),0, FpuRoundMode.RNE,"add") + + + +// testBinaryOp(mul,1.1753509E-38f, 1.0001221f ,1.17549435E-38f,1, FpuRoundMode.RNE,"mul") +// +// for(i <- 0 until 10000000){ +// val rounding = FpuRoundMode.elements.randomPick() +// val (a,b,c,f) = f32.mul(rounding).f32_f32_f32 +// testBinaryOp(mul,a,b,c,f, rounding,"mul") +// } +// +// testBinaryOpF64(mul,2.781342323134002E-309, 7.999999999999999, 2.2250738585072014E-308, 3, FpuRoundMode.RNE,"mul") +//// for(i <- 0 until 10000000){ +//// val rounding = FpuRoundMode.RNE +//// val (a,b,c,f) = f64.mul(rounding).f64_f64_f64 +//// testBinaryOpF64(mul,a,b,c,f, rounding,"mul") +//// } +// for(_ <- 0 until 100000000) testMulF64() +// println("f64 Mul done") +// +// for(_ <- 0 until 10000) testDivF64() +// println("f64 div done") +// +// +// for(_ <- 0 until 10000) testDiv() +// println("f32 div done") +// +// for(_ <- 0 until 10000) testAddF32() +// for(_ <- 0 until 10000) testSubF32() +// +// println("Add done") +// +// +// for(_ <- 0 until 10000) testSqrt() +// println("f32 sqrt done") + + + + + + + //TODO test boxing + //TODO double <-> simple convertions + if(p.withDouble) { + + testSqrtF64Exact(1.25*1.25, 1.25, 0, FpuRoundMode.RNE) + testSqrtF64Exact(1.5*1.5, 1.5, 0, FpuRoundMode.RNE) + + for(_ <- 0 until 10000) testSqrtF64() + println("f64 sqrt done") + +// testDivF64Exact(1.0, 8.0, 0.125, 0, FpuRoundMode.RNE) +// testDivF64Exact(4.0, 8.0, 0.5, 0, FpuRoundMode.RNE) +// testDivF64Exact(8.0, 8.0, 1.0, 0, FpuRoundMode.RNE) +// testDivF64Exact(1.5, 2.0, 0.75, 0, FpuRoundMode.RNE) +// testDivF64Exact(1.875, 1.5, 1.25, 0, FpuRoundMode.RNE) + + for(_ <- 0 until 10000) testDivF64() + println("f64 div done") + + for(_ <- 0 until 10000) testSgnjF64() + println("f64 sgnj done") + + for(_ <- 0 until 10000) testSgnjF32() + println("f32 sgnj done") + + //380000000001ffef 5fffffffffff9ff 8000000000100000 +// testBinaryOpF64(mul,-5.877471754282472E-39, 8.814425663400984E-280, -5.180654E-318 ,1, FpuRoundMode.RMM,"mul") +// 5.877471754282472E-39 8.814425663400984E-280 -5.180654E-318 RMM + + for(_ <- 0 until 10000) testCvtF64F32() // 1 did not equal 3 Flag missmatch dut=1 ref=3 testCvtF64F32Raw 1.1754942807573643E-38 1.17549435E-38 RMM + println("FCVT_D_S done") + for(_ <- 0 until 10000) testCvtF32F64() + println("FCVT_S_D done") + + for(_ <- 0 until 10000) testF2iF64() + println("f64 f2i done") + for(_ <- 0 until 10000) testF2uiF64() + println("f64 f2ui done") + + + + + + for(_ <- 0 until 10000) testMinF64() + for(_ <- 0 until 10000) testMaxF64() + println("f64 minMax done") + + + + for(i <- 0 until 1000) testFmaF64() + flagClear() + println("f64 fma done") //TODO + + + for(_ <- 0 until 10000) testLeF64() + for(_ <- 0 until 10000) testLtF64() + for(_ <- 0 until 10000) testEqF64() + println("f64 Cmp done") + + + for(_ <- 0 until 10000) testClassF64() + println("f64 class done") +// + + + + + + + + + for(_ <- 0 until 10000) testAddF64() + for(_ <- 0 until 10000) testSubF64() + println("f64 Add done") + + + // testI2f64Exact(0x7FFFFFF5, 0x7FFFFFF5, 0, true, FpuRoundMode.RNE) + for(_ <- 0 until 10000) testUI2f64() + for(_ <- 0 until 10000) testI2f64() + println("f64 i2f done") + + + +// testF2iExact(1.0f,1, 0, false, FpuRoundMode.RTZ) +// testF2iExact(2.0f,2, 0, false, FpuRoundMode.RTZ) +// testF2iExact(2.5f,2, 1, false, FpuRoundMode.RTZ) + + + + + testBinaryOpF64(mul,1.0, 1.0, 1.0,0 , FpuRoundMode.RNE,"mul") + testBinaryOpF64(mul,1.0, 2.0, 2.0,0 , FpuRoundMode.RNE,"mul") + testBinaryOpF64(mul,2.5, 2.0, 5.0,0 , FpuRoundMode.RNE,"mul") + + for(_ <- 0 until 10000) testMulF64() + println("f64 Mul done") + + testTransferF64Raw(1.0) + testTransferF64Raw(2.0) + testTransferF64Raw(2.5) + testTransferF64Raw(6.97949770801e-39) + testTransferF64Raw(8.72437213501e-40) + testTransferF64Raw(5.6E-45) + + testTransferF32F64Raw(b2f(0xFFFF1234), false) + testTransferF64F32Raw(b2d(0xFFF123498765463l << 4), false) + testTransferF32F64Raw(b2f(0xFFFF1234), true) + testTransferF64F32Raw(b2d(0xFFF123498765463l << 4), true) + + for (_ <- 0 until 10000) testTransferF64() + println("f64 load/store/rf transfer done") + + for (_ <- 0 until 10000) testTransferF64F32() + println("f64 -> f32 load/store/rf transfer done") + + for (_ <- 0 until 10000) testTransferF32F64() + println("f32 -> f64 load/store/rf transfer done") + + } + + for(_ <- 0 until 10000) testTransferF32() + println("f32 load/store/rf transfer done") + + for(_ <- 0 until 10000) testMulF32() + println("Mul done") + + + for(_ <- 0 until 10000) testUI2f32() + for(_ <- 0 until 10000) testI2f32() + println("i2f done") + + + testF2iExact(1.0f,1, 0, false, FpuRoundMode.RTZ) + testF2iExact(2.0f,2, 0, false, FpuRoundMode.RTZ) + testF2iExact(2.5f,2, 1, false, FpuRoundMode.RTZ) + + + + + + for(_ <- 0 until 10000) testF2uiF32() + for(_ <- 0 until 10000) testF2iF32() + println("f2i done") + + + +// waitUntil(cmdQueue.isEmpty) +// dut.clockDomain.waitSampling(1000) +// simSuccess() + + + + for(i <- 0 until 1000) testFmaF32() + flagClear() + println("fma done") //TODO + + + testF2iExact(-2.14748365E9f, -2147483648, 0, true, FpuRoundMode.RDN) + + testEqRaw(Float.PositiveInfinity,Float.PositiveInfinity,1, 0) + testEqRaw(0f, 0f,1, 0) + + for(_ <- 0 until 10000) testLeF32() + for(_ <- 0 until 10000) testLtF32() + for(_ <- 0 until 10000) testEqF32() + println("Cmp done") + + + for(_ <- 0 until 10000) testDiv() + println("f32 div done") + + for(_ <- 0 until 10000) testSqrt() + println("f32 sqrt done") + + for(_ <- 0 until 10000) testSgnjF32() + println("f32 sgnj done") + + + for(_ <- 0 until 10000) testClassF32() + println("f32 class done") + + + for(_ <- 0 until 10000) testMinF32() + for(_ <- 0 until 10000) testMaxF32() + println("minMax done") + + + + testBinaryOp(mul,1.469368E-39f, 7.9999995f, 1.17549435E-38f,3, FpuRoundMode.RUP,"mul") + testBinaryOp(mul,1.1753509E-38f, 1.0001221f, 1.17549435E-38f ,1, FpuRoundMode.RUP,"mul") + testBinaryOp(mul, 1.1754942E-38f, -1.0000001f, -1.17549435E-38f,1, FpuRoundMode.RNE,"mul") + testBinaryOp(mul, 1.1754942E-38f, -1.0000001f, -1.17549435E-38f,1, FpuRoundMode.RDN,"mul") + testBinaryOp(mul, 1.1754942E-38f, -1.0000001f, -1.17549435E-38f,1, FpuRoundMode.RMM,"mul") + + testBinaryOp(mul, 1.1754945E-38f, 0.9999998f, 1.17549435E-38f, 3, FpuRoundMode.RUP, "mul") + testBinaryOp(mul, 1.1754945E-38f, -0.9999998f, -1.17549435E-38f, 3, FpuRoundMode.RDN, "mul") + testBinaryOp(mul, 1.1754946E-38f, 0.9999997f, 1.17549435E-38f, 3, FpuRoundMode.RUP, "mul") + testBinaryOp(mul, 1.1754946E-38f, -0.9999997f, -1.17549435E-38f, 3, FpuRoundMode.RDN, "mul") + testBinaryOp(mul, 1.1754949E-38f, 0.99999946f, 1.17549435E-38f, 3, FpuRoundMode.RUP, "mul") + testBinaryOp(mul, 1.1754949E-38f, -0.99999946f, -1.17549435E-38f, 3, FpuRoundMode.RDN, "mul") + testBinaryOp(mul, 1.1754955E-38f, 0.999999f, 1.17549435E-38f, 3, FpuRoundMode.RUP, "mul") + + + + + + + for(_ <- 0 until 10000) testAddF32() + for(_ <- 0 until 10000) testSubF32() + + println("Add done") + + + + + + + + + + +// waitUntil(cmdQueue.isEmpty) +// dut.clockDomain.waitSampling(1000) +// simSuccess() + + for(i <- 0 until 100000) fxxTests.randomPick()() + waitUntil(cpu.rspQueue.isEmpty) + } + + + + + stim.foreach(_.join()) + dut.clockDomain.waitSampling(100) + } + } +} + + +//object Clib { +// val java_home = System.getProperty("java.home") +// assert(java_home != "" && java_home != null, "JAVA_HOME need to be set") +// val jdk = java_home.replace("/jre","").replace("\\jre","") +// val jdkIncludes = jdk + "/include" +// val flags = List("-fPIC", "-m64", "-shared", "-Wno-attributes") //-Wl,--whole-archive +// val os = new File("/media/data/open/SaxonSoc/berkeley-softfloat-3/build/Linux-x86_64-GCC").listFiles().map(_.getAbsolutePath).filter(_.toString.endsWith(".o")) +// val cmd = s"gcc -I/media/data/open/SaxonSoc/berkeley-softfloat-3/source/include -I$jdkIncludes -I$jdkIncludes/linux ${flags.mkString(" ")} -o src/test/cpp/fpu/math/fpu_math.so src/test/cpp/fpu/math/fpu_math.c src/test/cpp/fpu/math/softfloat.a" // src/test/cpp/fpu/math/softfloat.a +// DoCmd.doCmd(cmd) +// val math = new FpuMath +//} +// cd /media/data/open/SaxonSoc/testFloatBuild/berkeley-softfloat-3/build/Linux-x86_64-GCC +// make clean && SPECIALIZE_TYPE=RISCV make -j$(nproc) && cp softfloat.a /media/data/open/SaxonSoc/artyA7SmpUpdate/SaxonSoc/ext/VexRiscv/src/test/cpp/fpu/math +//object FpuCompileSo extends App{ +// +//// val b2f = lang.Float.intBitsToFloat(_) +//// for(e <- FpuRoundMode.elements) { +//// println(e) +//// for (i <- -2 until 50) println(i + " => " + Clib.math.addF32(b2f(0x7f000000), b2f(0x7f000000 + i), e.position)) +//// println("") +//// } +// //1 did not equal 3 Flag missmatch dut=1 ref=3 ## mul 0.9994812 -1.1754988E-38 -1.174889E-38 RMM +// // println(Clib.math.mulF32(0.9994812f, -1.1754988E-38f, FpuRoundMode.RMM.position)) +//// miaou ffffffff 7fffffe0 7f +//// miaou 0 3ffffff0 70 = 0 +// +// val b2f = lang.Float.intBitsToFloat(_) +// val b2d = lang.Double.longBitsToDouble(_) +// val f2b = lang.Float.floatToRawIntBits(_) +// val d2bOffset = BigInt("10000000000000000",16) +// def d2b(that : Double) = { +// val l = lang.Double.doubleToRawLongBits(that) +// var a = BigInt(l) +// if(l < 0) { +// a = d2bOffset + a +// } +// a +// } +// val builder =new StringBuilder() +// for(i <- 0 until 256){ +//// builder ++= (Clib.math.mulF32(1.17548538251e-38f, b2f(f2b(1.0f)+i),0)).toString + "\n" +// val b = d2b(1.17549435082e-38) +// val s = (b-(i.toLong << 25)).toLong +// val d = b2d(s) +// builder ++= f"$b $s $d => " +// builder ++= f"${d2b(d)}%x " + (Clib.math.d2fFlag(d,0)).toString + " " + d + " => " + (Clib.math.d2f(d,FpuRoundMode.RMM.position)).toString + "\n" +// } +// +// Thread.sleep(400) +// println(builder.toString) +// println(Clib.math.mulF32( 1.1753509E-38f, 1.0001221f, FpuRoundMode.RUP.position)) +// println(Clib.math.mulF32( 1.1754945E-38f, 0.9999998f, FpuRoundMode.RUP.position)) +// testBinaryOp(mul, 1.1753509E-38f, 1.0001221f, 1.17549435E-38f ,1, FpuRoundMode.RUP,"mul") +// testBinaryOp(mul, 1.1754945E-38f, 0.9999998f, 1.17549435E-38f, 3, FpuRoundMode.RUP, "mul") +// miaou ffffffff 7fffffe0 7f +// miaou 0 3ffffff0 70 = 0 +// miaou ffffffff 7fffff7e 7f +// miaou 1 3fffffbf 3f = 1 + +// println(Clib.math.mulF32( 1.1753509E-38f, 1.0001221f, FpuRoundMode.RUP.position)) +// println(Clib.math.mulF32( 1.469368E-39f, 7.9999995f, FpuRoundMode.RUP.position)) +// println(Clib.math.mulF32( 1.40129846432e-45f, 7.9999995f, FpuRoundMode.RUP.position)) +// println(Clib.math.mulF32( 2.93873587706e-39f, 7.9999995f, FpuRoundMode.RUP.position)) +// println(Clib.math.mulF32( 1f, 7.9999995f, FpuRoundMode.RUP.position)) + + +// println(Clib.math.addF32(1.00000011921f, 4.0f, FpuRoundMode.RNE.position)) +// println(Clib.math.addF32(1.00000011921f, 4.0f, FpuRoundMode.RTZ.position)) +// println(Clib.math.addF32(1.00000011921f, 4.0f, FpuRoundMode.RDN.position)) +// println(Clib.math.addF32(1.00000011921f, 4.0f, FpuRoundMode.RUP.position)) +//} + +class ProcessStream(cmd : String){ + import sys.process._ + + val buf = mutable.Queue[() => String]() + val p = Process(cmd).run(new ProcessLogger { + override def out(s: => String): Unit = { + while(buf.size > 10000) Thread.sleep(10) + buf.enqueue(() => s) + } + override def err(s: => String): Unit = {} + override def buffer[T](f: => T): T = f + }) + + def kill = p.destroy() + def next = { + while(buf.isEmpty) { Thread.sleep(10) } + buf.dequeue()() + } +} + diff --git a/VexRiscv/src/test/scala/vexriscv/ip/fpu/Playground.scala b/VexRiscv/src/test/scala/vexriscv/ip/fpu/Playground.scala new file mode 100644 index 0000000..a155210 --- /dev/null +++ b/VexRiscv/src/test/scala/vexriscv/ip/fpu/Playground.scala @@ -0,0 +1,47 @@ +package vexriscv.ip.fpu + +object MiaouDiv extends App{ + val input = 2.5 + var output = 1/(input*0.95) + + def y = output + def x = input + + for(i <- 0 until 10) { + output = 2 * y - x * y * y + println(output) + } + + + //output = x*output + println(1/input) +} + +object MiaouSqrt extends App{ + val input = 2.0 + var output = 1/Math.sqrt(input*0.95) + // def x = output + // def y = input + + def y = output + def x = input + + for(i <- 0 until 10) { + output = y * (1.5 - x * y * y / 2) + println(output) + } + + output = x*output + println(output) + println(s"ref ${Math.sqrt(input)}") +} + + +object MiaouNan extends App{ + println(Float.NaN + 3.0f) + println(3.0f + Float.NaN ) + println(0.0f*Float.PositiveInfinity ) + println(1.0f/0.0f ) + println(Float.MaxValue -1 ) + println(Float.PositiveInfinity - Float.PositiveInfinity) +} \ No newline at end of file diff --git a/VexRiscv/tools.sh b/VexRiscv/tools.sh new file mode 100644 index 0000000..7ee6a59 --- /dev/null +++ b/VexRiscv/tools.sh @@ -0,0 +1,62 @@ +#!/bin/sh + +install_verilator(){ + sudo apt install -y git make autoconf g++ flex libfl-dev bison # First time prerequisites + git clone http://git.veripool.org/git/verilator # Only first time + unset VERILATOR_ROOT # For bash + cd verilator + git pull # Make sure we're up-to-date + git checkout v4.216 + autoconf # Create ./configure script + ./configure --prefix ~/tools + make -j$(nproc) + make install + cd .. +} + +install_ghdl(){ + sudo apt install -y gnat-9 libgnat-9 zlib1g-dev libboost-dev + git clone https://github.com/ghdl/ghdl ghdl-build && cd ghdl-build + git reset --hard "0316f95368837dc163173e7ca52f37ecd8d3591d" + mkdir build + cd build + ../configure --prefix=~/tools + make -j$(nproc) + make install + cd .. +} + +install_iverilog(){ + sudo apt install -y gperf readline-common bison flex libfl-dev + curl -fsSL https://github.com/steveicarus/iverilog/archive/v10_3.tar.gz | tar -xvz + cd iverilog-10_3 + autoconf + ./configure --prefix ~/tools + make -j$(nproc) + make install + cd .. +} + +install_cocotb(){ + pip3 install --user cocotb + sudo apt install -y git make gcc g++ swig python3-dev +} + +purge_cocotb(){ + # Force cocotb to compile VPI to avoid race condition when tests are start in parallel + cd tester/src/test/python/spinal/Dummy + make TOPLEVEL_LANG=verilog + make TOPLEVEL_LANG=vhdl + cd ../../../../../.. +} + +install_packages(){ + sudo apt install -y gnat-9 libgnat-9 zlib1g-dev libboost-dev +} + +install_tools(){ + install_verilator + install_ghdl + install_iverilog + install_cocotb +} \ No newline at end of file diff --git a/VexRiscvSocSoftware/.gitignore b/VexRiscvSocSoftware/.gitignore new file mode 100644 index 0000000..ba2733e --- /dev/null +++ b/VexRiscvSocSoftware/.gitignore @@ -0,0 +1,13 @@ +*.o +*.elf +*.hex +*.bin +*.asm +*.map +*.v +*~ + +.cproject +.project +*.xml +*.d diff --git a/VexRiscvSocSoftware/README.md b/VexRiscvSocSoftware/README.md new file mode 100644 index 0000000..96ea608 --- /dev/null +++ b/VexRiscvSocSoftware/README.md @@ -0,0 +1,11 @@ +Need the prebuild GCC from https://www.sifive.com/products/tools/ => SiFive GNU Embedded Toolchain + +The makefiles are expecting to find this prebuild version in /opt/riscv/__contentOfThisPreBuild__ + +```sh +wget https://static.dev.sifive.com/dev-tools/riscv64-unknown-elf-gcc-20171231-x86_64-linux-centos6.tar.gz +tar -xzvf riscv64-unknown-elf-gcc-20171231-x86_64-linux-centos6.tar.gz +sudo mv riscv64-unknown-elf-gcc-20171231-x86_64-linux-centos6 /opt/riscv64-unknown-elf-gcc-20171231-x86_64-linux-centos6 +sudo mv /opt/riscv64-unknown-elf-gcc-20171231-x86_64-linux-centos6 /opt/riscv +echo 'export PATH=/opt/riscv/bin:$PATH' >> ~/.bashrc +``` diff --git a/VexRiscvSocSoftware/libs/gpio.h b/VexRiscvSocSoftware/libs/gpio.h new file mode 100755 index 0000000..34348fe --- /dev/null +++ b/VexRiscvSocSoftware/libs/gpio.h @@ -0,0 +1,15 @@ +#ifndef GPIO_H_ +#define GPIO_H_ + + +typedef struct +{ + volatile uint32_t INPUT; + volatile uint32_t OUTPUT; + volatile uint32_t OUTPUT_ENABLE; +} Gpio_Reg; + + +#endif /* GPIO_H_ */ + + diff --git a/VexRiscvSocSoftware/libs/interrupt.h b/VexRiscvSocSoftware/libs/interrupt.h new file mode 100644 index 0000000..23b7d27 --- /dev/null +++ b/VexRiscvSocSoftware/libs/interrupt.h @@ -0,0 +1,17 @@ +#ifndef INTERRUPTCTRL_H_ +#define INTERRUPTCTRL_H_ + +#include + +typedef struct +{ + volatile uint32_t PENDINGS; + volatile uint32_t MASKS; +} InterruptCtrl_Reg; + +static void interruptCtrl_init(InterruptCtrl_Reg* reg){ + reg->MASKS = 0; + reg->PENDINGS = 0xFFFFFFFF; +} + +#endif /* INTERRUPTCTRL_H_ */ diff --git a/VexRiscvSocSoftware/libs/prescaler.h b/VexRiscvSocSoftware/libs/prescaler.h new file mode 100644 index 0000000..6bd9694 --- /dev/null +++ b/VexRiscvSocSoftware/libs/prescaler.h @@ -0,0 +1,16 @@ +#ifndef PRESCALERCTRL_H_ +#define PRESCALERCTRL_H_ + +#include + + +typedef struct +{ + volatile uint32_t LIMIT; +} Prescaler_Reg; + +static void prescaler_init(Prescaler_Reg* reg){ + +} + +#endif /* PRESCALERCTRL_H_ */ diff --git a/VexRiscvSocSoftware/libs/timer.h b/VexRiscvSocSoftware/libs/timer.h new file mode 100644 index 0000000..1577535 --- /dev/null +++ b/VexRiscvSocSoftware/libs/timer.h @@ -0,0 +1,20 @@ +#ifndef TIMERCTRL_H_ +#define TIMERCTRL_H_ + +#include + + +typedef struct +{ + volatile uint32_t CLEARS_TICKS; + volatile uint32_t LIMIT; + volatile uint32_t VALUE; +} Timer_Reg; + +static void timer_init(Timer_Reg *reg){ + reg->CLEARS_TICKS = 0; + reg->VALUE = 0; +} + + +#endif /* TIMERCTRL_H_ */ diff --git a/VexRiscvSocSoftware/libs/uart.h b/VexRiscvSocSoftware/libs/uart.h new file mode 100755 index 0000000..c3a30a5 --- /dev/null +++ b/VexRiscvSocSoftware/libs/uart.h @@ -0,0 +1,42 @@ +#ifndef UART_H_ +#define UART_H_ + + +typedef struct +{ + volatile uint32_t DATA; + volatile uint32_t STATUS; + volatile uint32_t CLOCK_DIVIDER; + volatile uint32_t FRAME_CONFIG; +} Uart_Reg; + +enum UartParity {NONE = 0,EVEN = 1,ODD = 2}; +enum UartStop {ONE = 0,TWO = 1}; + +typedef struct { + uint32_t dataLength; + enum UartParity parity; + enum UartStop stop; + uint32_t clockDivider; +} Uart_Config; + +static uint32_t uart_writeAvailability(Uart_Reg *reg){ + return (reg->STATUS >> 16) & 0xFF; +} +static uint32_t uart_readOccupancy(Uart_Reg *reg){ + return reg->STATUS >> 24; +} + +static void uart_write(Uart_Reg *reg, uint32_t data){ + while(uart_writeAvailability(reg) == 0); + reg->DATA = data; +} + +static void uart_applyConfig(Uart_Reg *reg, Uart_Config *config){ + reg->CLOCK_DIVIDER = config->clockDivider; + reg->FRAME_CONFIG = ((config->dataLength-1) << 0) | (config->parity << 8) | (config->stop << 16); +} + +#endif /* UART_H_ */ + + diff --git a/VexRiscvSocSoftware/libs/vga.h b/VexRiscvSocSoftware/libs/vga.h new file mode 100644 index 0000000..182b968 --- /dev/null +++ b/VexRiscvSocSoftware/libs/vga.h @@ -0,0 +1,78 @@ +/* + * vga.h + * + * Created on: Jul 8, 2017 + * Author: spinalvm + */ + +#ifndef VGA_H_ +#define VGA_H_ +#include + +typedef struct { + uint32_t hSyncStart ,hSyncEnd; + uint32_t hColorStart,hColorEnd; + + uint32_t vSyncStart ,vSyncEnd; + uint32_t vColorStart,vColorEnd; +}Vga_Timing; + +static const Vga_Timing vga_h640_v480_r60 = { + .hSyncStart = 96, + .hSyncEnd = 800, + .hColorStart = 96 + 16, + .hColorEnd = 800 - 48, + .vSyncStart = 2, + .vSyncEnd = 525, + .vColorStart = 2 + 10, + .vColorEnd = 525 - 33 +}; + +static const Vga_Timing vga_simRes = { + .hSyncStart = 8, + .hSyncEnd = 70, + .hColorStart = 16, + .hColorEnd = 64, + .vSyncStart = 2, + .vSyncEnd = 48, + .vColorStart = 8, + .vColorEnd = 40 +}; + +static const Vga_Timing vga_simRes_h160_v120 = { + .hSyncStart = 8, + .hSyncEnd = 24+160, + .hColorStart = 16, + .hColorEnd = 16+160, + .vSyncStart = 2, + .vSyncEnd = 10+120, + .vColorStart = 6, + .vColorEnd = 6+120 +}; + +typedef struct +{ + volatile uint32_t STATUS; + volatile uint32_t FRAME_SIZE; + volatile uint32_t FRAME_BASE; + volatile uint32_t DUMMY0[13]; + volatile Vga_Timing TIMING; +} Vga_Reg; + +static uint32_t vga_isBusy(Vga_Reg *reg){ + return (reg->STATUS & 2) != 0; +} + +static void vga_run(Vga_Reg *reg){ + reg->STATUS = 1; +} + +static void vga_stop(Vga_Reg *reg){ + reg->STATUS = 0; + while(vga_isBusy(reg)); +} + + +#endif /* VGA_H_ */ + + diff --git a/VexRiscvSocSoftware/makefile b/VexRiscvSocSoftware/makefile new file mode 100644 index 0000000..6bd82a2 --- /dev/null +++ b/VexRiscvSocSoftware/makefile @@ -0,0 +1,3 @@ +include resources/recursive.mk + +SUBDIRS := projects diff --git a/VexRiscvSocSoftware/projects/briey/dhrystone/makefile b/VexRiscvSocSoftware/projects/briey/dhrystone/makefile new file mode 100644 index 0000000..b09dd74 --- /dev/null +++ b/VexRiscvSocSoftware/projects/briey/dhrystone/makefile @@ -0,0 +1,26 @@ +PROJ_NAME=dhrystone +DEBUG=no +BENCH=yes +MULDIV=yes + + + +CFLAGS += -fno-inline -fno-common +LDFLAGS += -lc + +CFLAGS += -DPREALLOCATE=1 -DHOST_DEBUG=0 -DMSC_CLOCK + + +SRCS = src/main.c src/dhry_1.c src/dhry_2.c src/crt.S src/stdlib.c +LDFLAGS += -lc #Used to get memcpy/strcmp stuff only + +INC += -I../../../libs/ +INC += -I../libs/ +LDSCRIPT = ../libs/linker.ld + + +include ../../../resources/gcc.mk +include ../../../resources/subproject.mk + + + diff --git a/VexRiscvSocSoftware/projects/briey/dhrystone/src/crt.S b/VexRiscvSocSoftware/projects/briey/dhrystone/src/crt.S new file mode 100644 index 0000000..b0a8ddb --- /dev/null +++ b/VexRiscvSocSoftware/projects/briey/dhrystone/src/crt.S @@ -0,0 +1 @@ +#include "../../../../resources/crt.S" diff --git a/VexRiscvSocSoftware/projects/briey/dhrystone/src/dhry.h b/VexRiscvSocSoftware/projects/briey/dhrystone/src/dhry.h new file mode 100644 index 0000000..f46c223 --- /dev/null +++ b/VexRiscvSocSoftware/projects/briey/dhrystone/src/dhry.h @@ -0,0 +1,432 @@ +/* + **************************************************************************** + * + * "DHRYSTONE" Benchmark Program + * ----------------------------- + * + * Version: C, Version 2.1 + * + * File: dhry.h (part 1 of 3) + * + * Date: May 25, 1988 + * + * Author: Reinhold P. Weicker + * Siemens AG, E STE 35 + * Postfach 3240 + * 8520 Erlangen + * Germany (West) + * Phone: [xxx-49]-9131-7-20330 + * (8-17 Central European Time) + * Usenet: ..!mcvax!unido!estevax!weicker + * + * Original Version (in Ada) published in + * "Communications of the ACM" vol. 27., no. 10 (Oct. 1984), + * pp. 1013 - 1030, together with the statistics + * on which the distribution of statements etc. is based. + * + * In this C version, the following C library functions are used: + * - strcpy, strcmp (inside the measurement loop) + * - printf, scanf (outside the measurement loop) + * In addition, Berkeley UNIX system calls "times ()" or "time ()" + * are used for execution time measurement. For measurements + * on other systems, these calls have to be changed. + * + * Collection of Results: + * Reinhold Weicker (address see above) and + * + * Rick Richardson + * PC Research. Inc. + * 94 Apple Orchard Drive + * Tinton Falls, NJ 07724 + * Phone: (201) 389-8963 (9-17 EST) + * Usenet: ...!uunet!pcrat!rick + * + * Please send results to Rick Richardson and/or Reinhold Weicker. + * Complete information should be given on hardware and software used. + * Hardware information includes: Machine type, CPU, type and size + * of caches; for microprocessors: clock frequency, memory speed + * (number of wait states). + * Software information includes: Compiler (and runtime library) + * manufacturer and version, compilation switches, OS version. + * The Operating System version may give an indication about the + * compiler; Dhrystone itself performs no OS calls in the measurement loop. + * + * The complete output generated by the program should be mailed + * such that at least some checks for correctness can be made. + * + *************************************************************************** + * + * History: This version C/2.1 has been made for two reasons: + * + * 1) There is an obvious need for a common C version of + * Dhrystone, since C is at present the most popular system + * programming language for the class of processors + * (microcomputers, minicomputers) where Dhrystone is used most. + * There should be, as far as possible, only one C version of + * Dhrystone such that results can be compared without + * restrictions. In the past, the C versions distributed + * by Rick Richardson (Version 1.1) and by Reinhold Weicker + * had small (though not significant) differences. + * + * 2) As far as it is possible without changes to the Dhrystone + * statistics, optimizing compilers should be prevented from + * removing significant statements. + * + * This C version has been developed in cooperation with + * Rick Richardson (Tinton Falls, NJ), it incorporates many + * ideas from the "Version 1.1" distributed previously by + * him over the UNIX network Usenet. + * I also thank Chaim Benedelac (National Semiconductor), + * David Ditzel (SUN), Earl Killian and John Mashey (MIPS), + * Alan Smith and Rafael Saavedra-Barrera (UC at Berkeley) + * for their help with comments on earlier versions of the + * benchmark. + * + * Changes: In the initialization part, this version follows mostly + * Rick Richardson's version distributed via Usenet, not the + * version distributed earlier via floppy disk by Reinhold Weicker. + * As a concession to older compilers, names have been made + * unique within the first 8 characters. + * Inside the measurement loop, this version follows the + * version previously distributed by Reinhold Weicker. + * + * At several places in the benchmark, code has been added, + * but within the measurement loop only in branches that + * are not executed. The intention is that optimizing compilers + * should be prevented from moving code out of the measurement + * loop, or from removing code altogether. Since the statements + * that are executed within the measurement loop have NOT been + * changed, the numbers defining the "Dhrystone distribution" + * (distribution of statements, operand types and locality) + * still hold. Except for sophisticated optimizing compilers, + * execution times for this version should be the same as + * for previous versions. + * + * Since it has proven difficult to subtract the time for the + * measurement loop overhead in a correct way, the loop check + * has been made a part of the benchmark. This does have + * an impact - though a very minor one - on the distribution + * statistics which have been updated for this version. + * + * All changes within the measurement loop are described + * and discussed in the companion paper "Rationale for + * Dhrystone version 2". + * + * Because of the self-imposed limitation that the order and + * distribution of the executed statements should not be + * changed, there are still cases where optimizing compilers + * may not generate code for some statements. To a certain + * degree, this is unavoidable for small synthetic benchmarks. + * Users of the benchmark are advised to check code listings + * whether code is generated for all statements of Dhrystone. + * + * Version 2.1 is identical to version 2.0 distributed via + * the UNIX network Usenet in March 1988 except that it corrects + * some minor deficiencies that were found by users of version 2.0. + * The only change within the measurement loop is that a + * non-executed "else" part was added to the "if" statement in + * Func_3, and a non-executed "else" part removed from Proc_3. + * + *************************************************************************** + * + * Defines: The following "Defines" are possible: + * -DREG=register (default: Not defined) + * As an approximation to what an average C programmer + * might do, the "register" storage class is applied + * (if enabled by -DREG=register) + * - for local variables, if they are used (dynamically) + * five or more times + * - for parameters if they are used (dynamically) + * six or more times + * Note that an optimal "register" strategy is + * compiler-dependent, and that "register" declarations + * do not necessarily lead to faster execution. + * -DNOSTRUCTASSIGN (default: Not defined) + * Define if the C compiler does not support + * assignment of structures. + * -DNOENUMS (default: Not defined) + * Define if the C compiler does not support + * enumeration types. + * -DTIMES (default) + * -DTIME + * The "times" function of UNIX (returning process times) + * or the "time" function (returning wallclock time) + * is used for measurement. + * For single user machines, "time ()" is adequate. For + * multi-user machines where you cannot get single-user + * access, use the "times ()" function. If you have + * neither, use a stopwatch in the dead of night. + * "printf"s are provided marking the points "Start Timer" + * and "Stop Timer". DO NOT use the UNIX "time(1)" + * command, as this will measure the total time to + * run this program, which will (erroneously) include + * the time to allocate storage (malloc) and to perform + * the initialization. + * -DHZ=nnn + * In Berkeley UNIX, the function "times" returns process + * time in 1/HZ seconds, with HZ = 60 for most systems. + * CHECK YOUR SYSTEM DESCRIPTION BEFORE YOU JUST APPLY + * A VALUE. + * + *************************************************************************** + * + * Compilation model and measurement (IMPORTANT): + * + * This C version of Dhrystone consists of three files: + * - dhry.h (this file, containing global definitions and comments) + * - dhry_1.c (containing the code corresponding to Ada package Pack_1) + * - dhry_2.c (containing the code corresponding to Ada package Pack_2) + * + * The following "ground rules" apply for measurements: + * - Separate compilation + * - No procedure merging + * - Otherwise, compiler optimizations are allowed but should be indicated + * - Default results are those without register declarations + * See the companion paper "Rationale for Dhrystone Version 2" for a more + * detailed discussion of these ground rules. + * + * For 16-Bit processors (e.g. 80186, 80286), times for all compilation + * models ("small", "medium", "large" etc.) should be given if possible, + * together with a definition of these models for the compiler system used. + * + ************************************************************************** + * + * Dhrystone (C version) statistics: + * + * [Comment from the first distribution, updated for version 2. + * Note that because of language differences, the numbers are slightly + * different from the Ada version.] + * + * The following program contains statements of a high level programming + * language (here: C) in a distribution considered representative: + * + * assignments 52 (51.0 %) + * control statements 33 (32.4 %) + * procedure, function calls 17 (16.7 %) + * + * 103 statements are dynamically executed. The program is balanced with + * respect to the three aspects: + * + * - statement type + * - operand type + * - operand locality + * operand global, local, parameter, or constant. + * + * The combination of these three aspects is balanced only approximately. + * + * 1. Statement Type: + * ----------------- number + * + * V1 = V2 9 + * (incl. V1 = F(..) + * V = Constant 12 + * Assignment, 7 + * with array element + * Assignment, 6 + * with record component + * -- + * 34 34 + * + * X = Y +|-|"&&"|"|" Z 5 + * X = Y +|-|"==" Constant 6 + * X = X +|- 1 3 + * X = Y *|/ Z 2 + * X = Expression, 1 + * two operators + * X = Expression, 1 + * three operators + * -- + * 18 18 + * + * if .... 14 + * with "else" 7 + * without "else" 7 + * executed 3 + * not executed 4 + * for ... 7 | counted every time + * while ... 4 | the loop condition + * do ... while 1 | is evaluated + * switch ... 1 + * break 1 + * declaration with 1 + * initialization + * -- + * 34 34 + * + * P (...) procedure call 11 + * user procedure 10 + * library procedure 1 + * X = F (...) + * function call 6 + * user function 5 + * library function 1 + * -- + * 17 17 + * --- + * 103 + * + * The average number of parameters in procedure or function calls + * is 1.82 (not counting the function values aX * + * + * 2. Operators + * ------------ + * number approximate + * percentage + * + * Arithmetic 32 50.8 + * + * + 21 33.3 + * - 7 11.1 + * * 3 4.8 + * / (int div) 1 1.6 + * + * Comparison 27 42.8 + * + * == 9 14.3 + * /= 4 6.3 + * > 1 1.6 + * < 3 4.8 + * >= 1 1.6 + * <= 9 14.3 + * + * Logic 4 6.3 + * + * && (AND-THEN) 1 1.6 + * | (OR) 1 1.6 + * ! (NOT) 2 3.2 + * + * -- ----- + * 63 100.1 + * + * + * 3. Operand Type (counted once per operand reference): + * --------------- + * number approximate + * percentage + * + * Integer 175 72.3 % + * Character 45 18.6 % + * Pointer 12 5.0 % + * String30 6 2.5 % + * Array 2 0.8 % + * Record 2 0.8 % + * --- ------- + * 242 100.0 % + * + * When there is an access path leading to the final operand (e.g. a record + * component), only the final data type on the access path is counted. + * + * + * 4. Operand Locality: + * ------------------- + * number approximate + * percentage + * + * local variable 114 47.1 % + * global variable 22 9.1 % + * parameter 45 18.6 % + * value 23 9.5 % + * reference 22 9.1 % + * function result 6 2.5 % + * constant 55 22.7 % + * --- ------- + * 242 100.0 % + * + * + * The program does not compute anything meaningful, but it is syntactically + * and semantically correct. All variables have a value assigned to them + * before they are used as a source operand. + * + * There has been no explicit effort to account for the effects of a + * cache, or to balance the use of long or short displacements for code or + * data. + * + *************************************************************************** + */ + +/* Compiler and system dependent definitions: */ + +#ifndef TIME +#undef TIMES +#define TIMES +#endif + /* Use times(2) time function unless */ + /* explicitly defined otherwise */ +#include + +#ifdef MSC_CLOCK +#undef HZ +#undef TIMES +#include +#define HZ CLK_TCK +#endif + /* Use Microsoft C hi-res clock */ + +#ifdef TIMES +#include +#include + /* for "times" */ +#endif + +#define Mic_secs_Per_Second 1000000.0 + /* Berkeley UNIX C returns process times in seconds/HZ */ + +#ifdef NOSTRUCTASSIGN +#define structassign(d, s) memcpy(&(d), &(s), sizeof(d)) +#else +#define structassign(d, s) d = s +#endif + +#ifdef NOENUM +#define Ident_1 0 +#define Ident_2 1 +#define Ident_3 2 +#define Ident_4 3 +#define Ident_5 4 + typedef int Enumeration; +#else + typedef enum {Ident_1, Ident_2, Ident_3, Ident_4, Ident_5} + Enumeration; +#endif + /* for boolean and enumeration types in Ada, Pascal */ + +/* General definitions: */ + +#include + /* for strcpy, strcmp */ + +#define Null 0 + /* Value of a Null pointer */ +#define true 1 +#define false 0 + +typedef int One_Thirty; +typedef int One_Fifty; +typedef char Capital_Letter; +typedef int Boolean; +typedef char Str_30 [31]; +typedef int Arr_1_Dim [50]; +typedef int Arr_2_Dim [50] [50]; + +typedef struct record + { + struct record *Ptr_Comp; + Enumeration Discr; + union { + struct { + Enumeration Enum_Comp; + int Int_Comp; + char Str_Comp [31]; + } var_1; + struct { + Enumeration E_Comp_2; + char Str_2_Comp [31]; + } var_2; + struct { + char Ch_1_Comp; + char Ch_2_Comp; + } var_3; + } variant; + } Rec_Type, *Rec_Pointer; + + diff --git a/VexRiscvSocSoftware/projects/briey/dhrystone/src/dhry_1.c b/VexRiscvSocSoftware/projects/briey/dhrystone/src/dhry_1.c new file mode 100644 index 0000000..95ce4d7 --- /dev/null +++ b/VexRiscvSocSoftware/projects/briey/dhrystone/src/dhry_1.c @@ -0,0 +1,402 @@ +/* + **************************************************************************** + * + * "DHRYSTONE" Benchmark Program + * ----------------------------- + * + * Version: C, Version 2.1 + * + * File: dhry_1.c (part 2 of 3) + * + * Date: May 25, 1988 + * + * Author: Reinhold P. Weicker + * + **************************************************************************** + */ +//#pragma GCC optimize ("no-inline") +#include "dhry.h" + +/* Global Variables: */ + +Rec_Pointer Ptr_Glob, + Next_Ptr_Glob; +int Int_Glob; +Boolean Bool_Glob; +char Ch_1_Glob, + Ch_2_Glob; +int Arr_1_Glob [50]; +int Arr_2_Glob [50] [50]; + +extern char *malloc (); +Enumeration Func_1 (); + /* forward declaration necessary since Enumeration may not simply be int */ + +#ifndef REG + Boolean Reg = false; +#define REG + /* REG becomes defined as empty */ + /* i.e. no register variables */ +#else + Boolean Reg = true; +#endif + +/* variables for time measurement: */ + +#ifdef TIMES +struct tms time_info; + + /* see library function "times" */ + + +#define Too_Small_Time (CORE_HZ/2000) + /* Measurements should last at least about 2 seconds */ +#endif +#ifdef TIME +extern long time(); + /* see library function "time" */ +#define Too_Small_Time 2 + /* Measurements should last at least 2 seconds */ +#endif +#ifdef MSC_CLOCK +extern clock_t clock(); +#define Too_Small_Time (HZ/2000) +#endif + +long Begin_Time, + End_Time, + User_Time; +float Microseconds, + Dhrystones_Per_Second; + +/* end of variables for time measurement */ + + +main2 () +/*****/ + + /* main program, corresponds to procedures */ + /* Main and Proc_0 in the Ada version */ +{ + One_Fifty Int_1_Loc; + REG One_Fifty Int_2_Loc; + One_Fifty Int_3_Loc; + REG char Ch_Index; + Enumeration Enum_Loc; + Str_30 Str_1_Loc; + Str_30 Str_2_Loc; + REG int Run_Index; + REG int Number_Of_Runs; + + /* Initializations */ + + Next_Ptr_Glob = (Rec_Pointer) malloc (sizeof (Rec_Type)); + Ptr_Glob = (Rec_Pointer) malloc (sizeof (Rec_Type)); + + Ptr_Glob->Ptr_Comp = Next_Ptr_Glob; + Ptr_Glob->Discr = Ident_1; + Ptr_Glob->variant.var_1.Enum_Comp = Ident_3; + Ptr_Glob->variant.var_1.Int_Comp = 40; + strcpy (Ptr_Glob->variant.var_1.Str_Comp, + "DHRYSTONE PROGRAM, SOME STRING"); + strcpy (Str_1_Loc, "DHRYSTONE PROGRAM, 1'ST STRING"); + + Arr_2_Glob [8][7] = 10; + /* Was missing in published program. Without this statement, */ + /* Arr_2_Glob [8][7] would have an undefined value. */ + /* Warning: With 16-Bit processors and Number_Of_Runs > 32000, */ + /* overflow may occur for this array element. */ + + printf ("\n"); + printf ("Dhrystone Benchmark, Version 2.1 (Language: C)\n"); + printf ("\n"); + if (Reg) + { + printf ("Program compiled with 'register' attribute\n"); + printf ("\n"); + } + else + { + printf ("Program compiled without 'register' attribute\n"); + printf ("\n"); + } + printf ("Please give the number of runs through the benchmark: "); + { + //int n; + //scanf ("%d", &n); + Number_Of_Runs = 200; + } + printf ("\n"); + + printf ("Execution starts, %d runs through Dhrystone\n", Number_Of_Runs); + + /***************/ + /* Start timer */ + /***************/ + +#ifdef TIMES + times (&time_info); + Begin_Time = (long) time_info.tms_utime; +#endif +#ifdef TIME + Begin_Time = time ( (long *) 0); +#endif +#ifdef MSC_CLOCK + Begin_Time = clock(); +#endif + + for (Run_Index = 1; Run_Index <= Number_Of_Runs; ++Run_Index) + { + + Proc_5(); + Proc_4(); + /* Ch_1_Glob == 'A', Ch_2_Glob == 'B', Bool_Glob == true */ + Int_1_Loc = 2; + Int_2_Loc = 3; + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 2'ND STRING"); + Enum_Loc = Ident_2; + Bool_Glob = ! Func_2 (Str_1_Loc, Str_2_Loc); + /* Bool_Glob == 1 */ + while (Int_1_Loc < Int_2_Loc) /* loop body executed once */ + { + Int_3_Loc = 5 * Int_1_Loc - Int_2_Loc; + /* Int_3_Loc == 7 */ + Proc_7 (Int_1_Loc, Int_2_Loc, &Int_3_Loc); + /* Int_3_Loc == 7 */ + Int_1_Loc += 1; + } /* while */ + /* Int_1_Loc == 3, Int_2_Loc == 3, Int_3_Loc == 7 */ + Proc_8 (Arr_1_Glob, Arr_2_Glob, Int_1_Loc, Int_3_Loc); + /* Int_Glob == 5 */ + Proc_1 (Ptr_Glob); + for (Ch_Index = 'A'; Ch_Index <= Ch_2_Glob; ++Ch_Index) + /* loop body executed twice */ + { + if (Enum_Loc == Func_1 (Ch_Index, 'C')) + /* then, not executed */ + { + Proc_6 (Ident_1, &Enum_Loc); + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 3'RD STRING"); + Int_2_Loc = Run_Index; + Int_Glob = Run_Index; + } + } + /* Int_1_Loc == 3, Int_2_Loc == 3, Int_3_Loc == 7 */ + Int_2_Loc = Int_2_Loc * Int_1_Loc; + Int_1_Loc = Int_2_Loc / Int_3_Loc; + Int_2_Loc = 7 * (Int_2_Loc - Int_3_Loc) - Int_1_Loc; + /* Int_1_Loc == 1, Int_2_Loc == 13, Int_3_Loc == 7 */ + Proc_2 (&Int_1_Loc); + /* Int_1_Loc == 5 */ + + } /* loop "for Run_Index" */ + + /**************/ + /* Stop timer */ + /**************/ + +#ifdef TIMES + times (&time_info); + End_Time = (long) time_info.tms_utime; +#endif +#ifdef TIME + End_Time = time ( (long *) 0); +#endif +#ifdef MSC_CLOCK + End_Time = clock(); +#endif + + printf ("Execution ends\n"); + printf ("\n"); + printf ("Final values of the variables used in the benchmark:\n"); + printf ("\n"); + printf ("Int_Glob: %d\n", Int_Glob); + printf (" should be: %d\n", 5); + printf ("Bool_Glob: %d\n", Bool_Glob); + printf (" should be: %d\n", 1); + printf ("Ch_1_Glob: %c\n", Ch_1_Glob); + printf (" should be: %c\n", 'A'); + printf ("Ch_2_Glob: %c\n", Ch_2_Glob); + printf (" should be: %c\n", 'B'); + printf ("Arr_1_Glob[8]: %d\n", Arr_1_Glob[8]); + printf (" should be: %d\n", 7); + printf ("Arr_2_Glob[8][7]: %d\n", Arr_2_Glob[8][7]); + printf (" should be: Number_Of_Runs + 10\n"); + printf ("Ptr_Glob->\n"); + printf (" Ptr_Comp: %d\n", (int) Ptr_Glob->Ptr_Comp); + printf (" should be: (implementation-dependent)\n"); + printf (" Discr: %d\n", Ptr_Glob->Discr); + printf (" should be: %d\n", 0); + printf (" Enum_Comp: %d\n", Ptr_Glob->variant.var_1.Enum_Comp); + printf (" should be: %d\n", 2); + printf (" Int_Comp: %d\n", Ptr_Glob->variant.var_1.Int_Comp); + printf (" should be: %d\n", 17); + printf (" Str_Comp: %s\n", Ptr_Glob->variant.var_1.Str_Comp); + printf (" should be: DHRYSTONE PROGRAM, SOME STRING\n"); + printf ("Next_Ptr_Glob->\n"); + printf (" Ptr_Comp: %d\n", (int) Next_Ptr_Glob->Ptr_Comp); + printf (" should be: (implementation-dependent), same as above\n"); + printf (" Discr: %d\n", Next_Ptr_Glob->Discr); + printf (" should be: %d\n", 0); + printf (" Enum_Comp: %d\n", Next_Ptr_Glob->variant.var_1.Enum_Comp); + printf (" should be: %d\n", 1); + printf (" Int_Comp: %d\n", Next_Ptr_Glob->variant.var_1.Int_Comp); + printf (" should be: %d\n", 18); + printf (" Str_Comp: %s\n", + Next_Ptr_Glob->variant.var_1.Str_Comp); + printf (" should be: DHRYSTONE PROGRAM, SOME STRING\n"); + printf ("Int_1_Loc: %d\n", Int_1_Loc); + printf (" should be: %d\n", 5); + printf ("Int_2_Loc: %d\n", Int_2_Loc); + printf (" should be: %d\n", 13); + printf ("Int_3_Loc: %d\n", Int_3_Loc); + printf (" should be: %d\n", 7); + printf ("Enum_Loc: %d\n", Enum_Loc); + printf (" should be: %d\n", 1); + printf ("Str_1_Loc: %s\n", Str_1_Loc); + printf (" should be: DHRYSTONE PROGRAM, 1'ST STRING\n"); + printf ("Str_2_Loc: %s\n", Str_2_Loc); + printf (" should be: DHRYSTONE PROGRAM, 2'ND STRING\n"); + printf ("\n"); + + User_Time = End_Time - Begin_Time; + + if (User_Time < Too_Small_Time) + { + printf ("Measured time too small to obtain meaningful results\n"); + printf ("Please increase number of runs\n"); + printf ("\n"); + } + else + { + printf ("Clock cycles=%d \n", User_Time); + +#ifdef TIME + Microseconds = (float) User_Time * Mic_secs_Per_Second + / (float) Number_Of_Runs; + Dhrystones_Per_Second = (float) Number_Of_Runs / (float) User_Time; +#else + Microseconds = (float) User_Time * Mic_secs_Per_Second + / ((float) CORE_HZ * ((float) Number_Of_Runs)); + Dhrystones_Per_Second = ((float) CORE_HZ * (float) Number_Of_Runs) + / (float) User_Time; +#endif + printf ("DMIPS per Mhz: "); + float dmips = (1e6f/1757.0f) * Number_Of_Runs / User_Time; + int dmipsNatural = dmips; + int dmipsReal = (dmips - dmipsNatural)*100.0f; + printf ("%d.", dmipsNatural); + if(dmipsReal < 10) printf("0"); + printf ("%d", dmipsReal); + printf ("\n"); + } + +} + + +Proc_1 (Ptr_Val_Par) +/******************/ + +REG Rec_Pointer Ptr_Val_Par; + /* executed once */ +{ + REG Rec_Pointer Next_Record = Ptr_Val_Par->Ptr_Comp; + /* == Ptr_Glob_Next */ + /* Local variable, initialized with Ptr_Val_Par->Ptr_Comp, */ + /* corresponds to "rename" in Ada, "with" in Pascal */ + + structassign (*Ptr_Val_Par->Ptr_Comp, *Ptr_Glob); + Ptr_Val_Par->variant.var_1.Int_Comp = 5; + Next_Record->variant.var_1.Int_Comp + = Ptr_Val_Par->variant.var_1.Int_Comp; + Next_Record->Ptr_Comp = Ptr_Val_Par->Ptr_Comp; + Proc_3 (&Next_Record->Ptr_Comp); + /* Ptr_Val_Par->Ptr_Comp->Ptr_Comp + == Ptr_Glob->Ptr_Comp */ + if (Next_Record->Discr == Ident_1) + /* then, executed */ + { + Next_Record->variant.var_1.Int_Comp = 6; + Proc_6 (Ptr_Val_Par->variant.var_1.Enum_Comp, + &Next_Record->variant.var_1.Enum_Comp); + Next_Record->Ptr_Comp = Ptr_Glob->Ptr_Comp; + Proc_7 (Next_Record->variant.var_1.Int_Comp, 10, + &Next_Record->variant.var_1.Int_Comp); + } + else /* not executed */ + structassign (*Ptr_Val_Par, *Ptr_Val_Par->Ptr_Comp); +} /* Proc_1 */ + + +Proc_2 (Int_Par_Ref) +/******************/ + /* executed once */ + /* *Int_Par_Ref == 1, becomes 4 */ + +One_Fifty *Int_Par_Ref; +{ + One_Fifty Int_Loc; + Enumeration Enum_Loc; + + Int_Loc = *Int_Par_Ref + 10; + do /* executed once */ + if (Ch_1_Glob == 'A') + /* then, executed */ + { + Int_Loc -= 1; + *Int_Par_Ref = Int_Loc - Int_Glob; + Enum_Loc = Ident_1; + } /* if */ + while (Enum_Loc != Ident_1); /* true */ +} /* Proc_2 */ + + +Proc_3 (Ptr_Ref_Par) +/******************/ + /* executed once */ + /* Ptr_Ref_Par becomes Ptr_Glob */ + +Rec_Pointer *Ptr_Ref_Par; + +{ + if (Ptr_Glob != Null) + /* then, executed */ + *Ptr_Ref_Par = Ptr_Glob->Ptr_Comp; + Proc_7 (10, Int_Glob, &Ptr_Glob->variant.var_1.Int_Comp); +} /* Proc_3 */ + + +Proc_4 () /* without parameters */ +/*******/ + /* executed once */ +{ + Boolean Bool_Loc; + + Bool_Loc = Ch_1_Glob == 'A'; + Bool_Glob = Bool_Loc | Bool_Glob; + Ch_2_Glob = 'B'; +} /* Proc_4 */ + + +Proc_5 () /* without parameters */ +/*******/ + /* executed once */ +{ + Ch_1_Glob = 'A'; + Bool_Glob = false; +} /* Proc_5 */ + + + /* Procedure for the assignment of structures, */ + /* if the C compiler doesn't support this feature */ +#ifdef NOSTRUCTASSIGN +memcpy (d, s, l) +register char *d; +register char *s; +register int l; +{ + while (l--) *d++ = *s++; +} +#endif + + diff --git a/VexRiscvSocSoftware/projects/briey/dhrystone/src/dhry_2.c b/VexRiscvSocSoftware/projects/briey/dhrystone/src/dhry_2.c new file mode 100644 index 0000000..bef5e6f --- /dev/null +++ b/VexRiscvSocSoftware/projects/briey/dhrystone/src/dhry_2.c @@ -0,0 +1,192 @@ +/* + **************************************************************************** + * + * "DHRYSTONE" Benchmark Program + * ----------------------------- + * + * Version: C, Version 2.1 + * + * File: dhry_2.c (part 3 of 3) + * + * Date: May 25, 1988 + * + * Author: Reinhold P. Weicker + * + **************************************************************************** + */ +//#pragma GCC optimize ("no-inline") +#include "dhry.h" + +#ifndef REG +#define REG + /* REG becomes defined as empty */ + /* i.e. no register variables */ +#endif + +extern int Int_Glob; +extern char Ch_1_Glob; + + +Proc_6 (Enum_Val_Par, Enum_Ref_Par) +/*********************************/ + /* executed once */ + /* Enum_Val_Par == Ident_3, Enum_Ref_Par becomes Ident_2 */ + +Enumeration Enum_Val_Par; +Enumeration *Enum_Ref_Par; +{ + *Enum_Ref_Par = Enum_Val_Par; + if (! Func_3 (Enum_Val_Par)) + /* then, not executed */ + *Enum_Ref_Par = Ident_4; + switch (Enum_Val_Par) + { + case Ident_1: + *Enum_Ref_Par = Ident_1; + break; + case Ident_2: + if (Int_Glob > 100) + /* then */ + *Enum_Ref_Par = Ident_1; + else *Enum_Ref_Par = Ident_4; + break; + case Ident_3: /* executed */ + *Enum_Ref_Par = Ident_2; + break; + case Ident_4: break; + case Ident_5: + *Enum_Ref_Par = Ident_3; + break; + } /* switch */ +} /* Proc_6 */ + + +Proc_7 (Int_1_Par_Val, Int_2_Par_Val, Int_Par_Ref) +/**********************************************/ + /* executed three times */ + /* first call: Int_1_Par_Val == 2, Int_2_Par_Val == 3, */ + /* Int_Par_Ref becomes 7 */ + /* second call: Int_1_Par_Val == 10, Int_2_Par_Val == 5, */ + /* Int_Par_Ref becomes 17 */ + /* third call: Int_1_Par_Val == 6, Int_2_Par_Val == 10, */ + /* Int_Par_Ref becomes 18 */ +One_Fifty Int_1_Par_Val; +One_Fifty Int_2_Par_Val; +One_Fifty *Int_Par_Ref; +{ + One_Fifty Int_Loc; + + Int_Loc = Int_1_Par_Val + 2; + *Int_Par_Ref = Int_2_Par_Val + Int_Loc; +} /* Proc_7 */ + + +Proc_8 (Arr_1_Par_Ref, Arr_2_Par_Ref, Int_1_Par_Val, Int_2_Par_Val) +/*********************************************************************/ + /* executed once */ + /* Int_Par_Val_1 == 3 */ + /* Int_Par_Val_2 == 7 */ +Arr_1_Dim Arr_1_Par_Ref; +Arr_2_Dim Arr_2_Par_Ref; +int Int_1_Par_Val; +int Int_2_Par_Val; +{ + REG One_Fifty Int_Index; + REG One_Fifty Int_Loc; + + Int_Loc = Int_1_Par_Val + 5; + Arr_1_Par_Ref [Int_Loc] = Int_2_Par_Val; + Arr_1_Par_Ref [Int_Loc+1] = Arr_1_Par_Ref [Int_Loc]; + Arr_1_Par_Ref [Int_Loc+30] = Int_Loc; + for (Int_Index = Int_Loc; Int_Index <= Int_Loc+1; ++Int_Index) + Arr_2_Par_Ref [Int_Loc] [Int_Index] = Int_Loc; + Arr_2_Par_Ref [Int_Loc] [Int_Loc-1] += 1; + Arr_2_Par_Ref [Int_Loc+20] [Int_Loc] = Arr_1_Par_Ref [Int_Loc]; + Int_Glob = 5; +} /* Proc_8 */ + + +Enumeration Func_1 (Ch_1_Par_Val, Ch_2_Par_Val) +/*************************************************/ + /* executed three times */ + /* first call: Ch_1_Par_Val == 'H', Ch_2_Par_Val == 'R' */ + /* second call: Ch_1_Par_Val == 'A', Ch_2_Par_Val == 'C' */ + /* third call: Ch_1_Par_Val == 'B', Ch_2_Par_Val == 'C' */ + +Capital_Letter Ch_1_Par_Val; +Capital_Letter Ch_2_Par_Val; +{ + Capital_Letter Ch_1_Loc; + Capital_Letter Ch_2_Loc; + + Ch_1_Loc = Ch_1_Par_Val; + Ch_2_Loc = Ch_1_Loc; + if (Ch_2_Loc != Ch_2_Par_Val) + /* then, executed */ + return (Ident_1); + else /* not executed */ + { + Ch_1_Glob = Ch_1_Loc; + return (Ident_2); + } +} /* Func_1 */ + + +Boolean Func_2 (Str_1_Par_Ref, Str_2_Par_Ref) +/*************************************************/ + /* executed once */ + /* Str_1_Par_Ref == "DHRYSTONE PROGRAM, 1'ST STRING" */ + /* Str_2_Par_Ref == "DHRYSTONE PROGRAM, 2'ND STRING" */ + +Str_30 Str_1_Par_Ref; +Str_30 Str_2_Par_Ref; +{ + REG One_Thirty Int_Loc; + Capital_Letter Ch_Loc; + + Int_Loc = 2; + while (Int_Loc <= 2) /* loop body executed once */ + if (Func_1 (Str_1_Par_Ref[Int_Loc], + Str_2_Par_Ref[Int_Loc+1]) == Ident_1) + /* then, executed */ + { + Ch_Loc = 'A'; + Int_Loc += 1; + } /* if, while */ + if (Ch_Loc >= 'W' && Ch_Loc < 'Z') + /* then, not executed */ + Int_Loc = 7; + if (Ch_Loc == 'R') + /* then, not executed */ + return (true); + else /* executed */ + { + if (strcmp (Str_1_Par_Ref, Str_2_Par_Ref) > 0) + /* then, not executed */ + { + Int_Loc += 7; + Int_Glob = Int_Loc; + return (true); + } + else /* executed */ + return (false); + } /* if Ch_Loc */ +} /* Func_2 */ + + +Boolean Func_3 (Enum_Par_Val) +/***************************/ + /* executed once */ + /* Enum_Par_Val == Ident_3 */ +Enumeration Enum_Par_Val; +{ + Enumeration Enum_Loc; + + Enum_Loc = Enum_Par_Val; + if (Enum_Loc == Ident_3) + /* then, executed */ + return (true); + else /* not executed */ + return (false); +} /* Func_3 */ + diff --git a/VexRiscvSocSoftware/projects/briey/dhrystone/src/main.c b/VexRiscvSocSoftware/projects/briey/dhrystone/src/main.c new file mode 100644 index 0000000..6540982 --- /dev/null +++ b/VexRiscvSocSoftware/projects/briey/dhrystone/src/main.c @@ -0,0 +1,39 @@ +#include +#include +#include +#include +#include + +extern void main2(); + +int main() { + Uart_Config uartConfig; + uartConfig.dataLength = 8; + uartConfig.parity = NONE; + uartConfig.stop = ONE; + uartConfig.clockDivider = 50000000/8/115200-1; + uart_applyConfig(UART,&uartConfig); + + + interruptCtrl_init(TIMER_INTERRUPT); + prescaler_init(TIMER_PRESCALER); + timer_init(TIMER_A); + + TIMER_A->LIMIT = ~0; + TIMER_A->CLEARS_TICKS = 0x00010001; + + main2(); +} + + +void irqCallback(){ + +} + + +#include +clock_t clock(){ + return TIMER_A->VALUE; +} + + diff --git a/VexRiscvSocSoftware/projects/briey/dhrystone/src/stdlib.c b/VexRiscvSocSoftware/projects/briey/dhrystone/src/stdlib.c new file mode 100644 index 0000000..bec3849 --- /dev/null +++ b/VexRiscvSocSoftware/projects/briey/dhrystone/src/stdlib.c @@ -0,0 +1,104 @@ +// This is free and unencumbered software released into the public domain. +// +// Anyone is free to copy, modify, publish, use, compile, sell, or +// distribute this software, either in source code form or as a compiled +// binary, for any purpose, commercial or non-commercial, and by any +// means. + +#include +#include +#include "briey.h" + +extern char *malloc(); +extern int printf(const char *format, ...); +extern void putchar(char c); + +void putchar(char c){ + uart_write(UART, c); +} + + + +char heap_memory[1024]; +int heap_memory_used = 0; + +char *malloc(int size) +{ + char *p = heap_memory + heap_memory_used; + // printf("[malloc(%d) -> %d (%d..%d)]", size, (int)p, heap_memory_used, heap_memory_used + size); + heap_memory_used += size; + if (heap_memory_used > 1024) + asm volatile ("ebreak"); + return p; +} + +static void printf_c(int c) +{ + putchar(c); +} + +static void printf_s(char *p) +{ + while (*p) + putchar(*(p++)); +} + +static void printf_d(int val) +{ + char buffer[32]; + char *p = buffer; + if (val < 0) { + printf_c('-'); + val = -val; + } + while (val || p == buffer) { + *(p++) = '0' + val % 10; + val = val / 10; + } + while (p != buffer) + printf_c(*(--p)); +} + +int printf(const char *format, ...) +{ + int i; + va_list ap; + + va_start(ap, format); + + for (i = 0; format[i]; i++) + if (format[i] == '%') { + while (format[++i]) { + if (format[i] == 'c') { + printf_c(va_arg(ap,int)); + break; + } + if (format[i] == 's') { + printf_s(va_arg(ap,char*)); + break; + } + if (format[i] == 'd') { + printf_d(va_arg(ap,int)); + break; + } + } + } else + printf_c(format[i]); + + va_end(ap); +} + + +int puts(char *s){ + while (*s) { + putchar(*s); + s++; + } + putchar('\n'); + return 0; +} + + + + + diff --git a/VexRiscvSocSoftware/projects/briey/libs/briey.h b/VexRiscvSocSoftware/projects/briey/libs/briey.h new file mode 100644 index 0000000..0426cee --- /dev/null +++ b/VexRiscvSocSoftware/projects/briey/libs/briey.h @@ -0,0 +1,36 @@ +/* + * briey.h + * + * Created on: Aug 24, 2016 + * Author: clp + */ + +#ifndef BRIEY_H_ +#define BRIEY_H_ + +#include "timer.h" +#include "prescaler.h" +#include "interrupt.h" +#include "uart.h" +#include "vga.h" +#include "gpio.h" + +#define CORE_HZ 50000000 + +#define GPIO_A_BASE ((Gpio_Reg*)(0xF0000000)) +#define GPIO_B_BASE ((Gpio_Reg*)(0xF0001000)) +#define UART ((Uart_Reg*)(0xF0010000)) +#define VGA_BASE ((Vga_Reg*)(0xF0030000)) + + +#define TIMER_PRESCALER ((Prescaler_Reg*)0xF0020000) +#define TIMER_INTERRUPT ((InterruptCtrl_Reg*)0xF0020010) +#define TIMER_A ((Timer_Reg*)0xF0020040) +#define TIMER_B ((Timer_Reg*)0xF0020050) +#define TIMER_C ((Timer_Reg*)0xF0020060) +#define TIMER_D ((Timer_Reg*)0xF0020070) + +#define UART_SAMPLE_PER_BAUD 8 + + +#endif /* BRIEY_H_ */ diff --git a/VexRiscvSocSoftware/projects/briey/libs/linker.ld b/VexRiscvSocSoftware/projects/briey/libs/linker.ld new file mode 100755 index 0000000..1f7f96d --- /dev/null +++ b/VexRiscvSocSoftware/projects/briey/libs/linker.ld @@ -0,0 +1,122 @@ +/*======================================================================*/ +/* Proxy kernel linker script */ +/*======================================================================*/ +/* This is the linker script used when building the proxy kernel. */ + +/*----------------------------------------------------------------------*/ +/* Setup */ +/*----------------------------------------------------------------------*/ + +/* The OUTPUT_ARCH command specifies the machine architecture where the + argument is one of the names used in the BFD library. More + specifically one of the entires in bfd/cpu-mips.c */ + +/* +This is free and unencumbered software released into the public domain. + +Anyone is free to copy, modify, publish, use, compile, sell, or +distribute this software, either in source code form or as a compiled +binary, for any purpose, commercial or non-commercial, and by any +means. +*/ +OUTPUT_FORMAT("elf32-littleriscv", "elf32-littleriscv", "elf32-littleriscv") +OUTPUT_ARCH(riscv) +ENTRY(crtStart) + +MEMORY { + onChipRam (W!RX)/*(RX)*/ : ORIGIN = 0x80000000, LENGTH = 4K + sdram (W!RX) : ORIGIN = 0x40000000, LENGTH = 64M +} +_stack_size = 2k; +_heap_size = 0k; + +SECTIONS /*TODO don't initialize useless things, restore literal loading that use 2 instruction in place of onChipRam word */ +{ + .vector : { + *crt.o(.text); + } > onChipRam + + .memory : { + *(.text); + end = .; + } > sdram + + .rodata : + { + *(.rdata) + *(.rodata .rodata.*) + *(.gnu.linkonce.r.*) + } > sdram + + .ctors : + { + . = ALIGN(4); + _ctors_start = .; + KEEP(*(.init_array*)) + KEEP (*(SORT(.ctors.*))) + KEEP (*(.ctors)) + . = ALIGN(4); + _ctors_end = .; + } > sdram + + .data : + { + *(.rdata) + *(.rodata .rodata.*) + *(.gnu.linkonce.r.*) + *(.data .data.*) + *(.gnu.linkonce.d.*) + . = ALIGN(8); + PROVIDE( __global_pointer$ = . + 0x800 ); + *(.sdata .sdata.*) + *(.gnu.linkonce.s.*) + . = ALIGN(8); + *(.srodata.cst16) + *(.srodata.cst8) + *(.srodata.cst4) + *(.srodata.cst2) + *(.srodata .srodata.*) + } > sdram + + .bss (NOLOAD) : { + . = ALIGN(4); + /* This is used by the startup in order to initialize the .bss secion */ + _bss_start = .; + *(.sbss*) + *(.gnu.linkonce.sb.*) + *(.bss .bss.*) + *(.gnu.linkonce.b.*) + *(COMMON) + . = ALIGN(4); + _bss_end = .; + } > sdram + + .noinit (NOLOAD) : { + . = ALIGN(4); + *(.noinit .noinit.*) + . = ALIGN(4); + } > sdram + + + ._user_heap (NOLOAD): + { + . = ALIGN(8); + PROVIDE ( end = . ); + PROVIDE ( _end = . ); + PROVIDE ( _heap_start = .); + . = . + _heap_size; + . = ALIGN(8); + PROVIDE ( _heap_end = .); + } > sdram + + ._stack (NOLOAD): + { + . = ALIGN(16); + PROVIDE (_stack_end = .); + . = . + _stack_size; + . = ALIGN(16); + PROVIDE (_stack_start = .); + } > onChipRam + + +} diff --git a/VexRiscvSocSoftware/projects/briey/libs/makefile b/VexRiscvSocSoftware/projects/briey/libs/makefile new file mode 100644 index 0000000..63dc497 --- /dev/null +++ b/VexRiscvSocSoftware/projects/briey/libs/makefile @@ -0,0 +1 @@ +include ../../../resources/recursive.mk \ No newline at end of file diff --git a/VexRiscvSocSoftware/projects/briey/makefile b/VexRiscvSocSoftware/projects/briey/makefile new file mode 100644 index 0000000..aa7691f --- /dev/null +++ b/VexRiscvSocSoftware/projects/briey/makefile @@ -0,0 +1 @@ +include ../../resources/recursive.mk \ No newline at end of file diff --git a/VexRiscvSocSoftware/projects/briey/timer/makefile b/VexRiscvSocSoftware/projects/briey/timer/makefile new file mode 100755 index 0000000..2af3acb --- /dev/null +++ b/VexRiscvSocSoftware/projects/briey/timer/makefile @@ -0,0 +1,21 @@ +PROJ_NAME=timer +DEBUG=yes +BENCH=no +MULDIV=no + + +SRCS = $(wildcard src/*.c) \ + $(wildcard src/*.cpp) \ + $(wildcard src/*.S) + + + +LDSCRIPT = ../libs/linker.ld +INC += -I../../../libs/ +INC += -I../libs/ + +include ../../../resources/gcc.mk +include ../../../resources/subproject.mk + + + diff --git a/VexRiscvSocSoftware/projects/briey/timer/src/crt.S b/VexRiscvSocSoftware/projects/briey/timer/src/crt.S new file mode 100755 index 0000000..b0a8ddb --- /dev/null +++ b/VexRiscvSocSoftware/projects/briey/timer/src/crt.S @@ -0,0 +1 @@ +#include "../../../../resources/crt.S" diff --git a/VexRiscvSocSoftware/projects/briey/timer/src/main.c b/VexRiscvSocSoftware/projects/briey/timer/src/main.c new file mode 100755 index 0000000..1b3531b --- /dev/null +++ b/VexRiscvSocSoftware/projects/briey/timer/src/main.c @@ -0,0 +1,27 @@ +#include +#include +#include +#include + +#include + +int main() { + interruptCtrl_init(TIMER_INTERRUPT); + prescaler_init(TIMER_PRESCALER); + timer_init(TIMER_A); + + TIMER_PRESCALER->LIMIT = 7; + + TIMER_A->LIMIT = 100; + TIMER_A->CLEARS_TICKS = 0x00010002; + + TIMER_INTERRUPT->PENDINGS = 0xF; + TIMER_INTERRUPT->MASKS = 0x1; +} + + +void irqCallback(){ + TIMER_INTERRUPT->PENDINGS = 1; +} + + diff --git a/VexRiscvSocSoftware/projects/briey/uart/makefile b/VexRiscvSocSoftware/projects/briey/uart/makefile new file mode 100755 index 0000000..57a2001 --- /dev/null +++ b/VexRiscvSocSoftware/projects/briey/uart/makefile @@ -0,0 +1,20 @@ +PROJ_NAME=uart +DEBUG=yes +BENCH=no +MULDIV=no + + +SRCS = $(wildcard src/*.c) \ + $(wildcard src/*.cpp) \ + $(wildcard src/*.S) + + +LDSCRIPT = ../libs/linker.ld +INC += -I../../../libs/ +INC += -I../libs/ + +include ../../../resources/gcc.mk +include ../../../resources/subproject.mk + + + diff --git a/VexRiscvSocSoftware/projects/briey/uart/src/crt.S b/VexRiscvSocSoftware/projects/briey/uart/src/crt.S new file mode 100755 index 0000000..b0a8ddb --- /dev/null +++ b/VexRiscvSocSoftware/projects/briey/uart/src/crt.S @@ -0,0 +1 @@ +#include "../../../../resources/crt.S" diff --git a/VexRiscvSocSoftware/projects/briey/uart/src/main.c b/VexRiscvSocSoftware/projects/briey/uart/src/main.c new file mode 100755 index 0000000..d1fbe17 --- /dev/null +++ b/VexRiscvSocSoftware/projects/briey/uart/src/main.c @@ -0,0 +1,39 @@ +#include +#include +#include +#include +#include + +void print(char *str){ + while(*str){ + uart_write(UART,*(str++)); + } +} + +int main() { + Uart_Config uartConfig; + uartConfig.dataLength = 8; + uartConfig.parity = NONE; + uartConfig.stop = ONE; + uartConfig.clockDivider = 50000000/8/115200-1; + uart_applyConfig(UART,&uartConfig); + + print("Hello !\n"); + + while(1){ + for(uint32_t idx = '0';idx <= '9';idx++){ + uart_write(UART, idx); + } + for(uint32_t idx = 'a';idx <= 'z';idx++){ + uart_write(UART, idx); + } + for(uint32_t idx = 'A';idx <= 'Z';idx++){ + uart_write(UART, idx); + } + } +} + + +void irqCallback(){ + +} diff --git a/VexRiscvSocSoftware/projects/briey/vga/makefile b/VexRiscvSocSoftware/projects/briey/vga/makefile new file mode 100755 index 0000000..d065e5c --- /dev/null +++ b/VexRiscvSocSoftware/projects/briey/vga/makefile @@ -0,0 +1,22 @@ +PROJ_NAME=vga +DEBUG=yes +BENCH=no +MULDIV=no + + +SRCS = $(wildcard src/*.c) \ + $(wildcard src/*.cpp) \ + $(wildcard src/*.S) + + + + +LDSCRIPT = ../libs/linker.ld +INC += -I../../../libs/ +INC += -I../libs/ + +include ../../../resources/gcc.mk +include ../../../resources/subproject.mk + + + diff --git a/VexRiscvSocSoftware/projects/briey/vga/src/crt.S b/VexRiscvSocSoftware/projects/briey/vga/src/crt.S new file mode 100755 index 0000000..f4f1f23 --- /dev/null +++ b/VexRiscvSocSoftware/projects/briey/vga/src/crt.S @@ -0,0 +1,52 @@ +#include "../../../../resources/crt.S" + +#define regnum_zero 0 +#define regnum_ra 1 +#define regnum_sp 2 +#define regnum_gp 3 +#define regnum_tp 4 +#define regnum_t0 5 +#define regnum_t1 6 +#define regnum_t2 7 +#define regnum_s0 8 +#define regnum_s1 9 +#define regnum_a0 10 +#define regnum_a1 11 +#define regnum_a2 12 +#define regnum_a3 13 +#define regnum_a4 14 +#define regnum_a5 15 +#define regnum_a6 16 +#define regnum_a7 17 +#define regnum_s2 18 +#define regnum_s3 19 +#define regnum_s4 20 +#define regnum_s5 21 +#define regnum_s6 22 +#define regnum_s7 23 +#define regnum_s8 24 +#define regnum_s9 25 +#define regnum_s10 26 +#define regnum_s11 27 +#define regnum_t3 28 +#define regnum_t4 29 +#define regnum_t5 30 +#define regnum_t6 31 + +#define r_type_insn(_f7, _rs2, _rs1, _f3, _rd, _opc) \ +.word (((_f7) << 25) | ((_rs2) << 20) | ((_rs1) << 15) | ((_f3) << 12) | ((_rd) << 7) | ((_opc) << 0)) + +#define dataFlush(_data) \ +r_type_insn(0b0111000, 0, regnum_ ## _data, 0b101, 0, 0b0001111) + + +.section .text +.globl flushDataCache +.type flushDataCache, @function +flushDataCache: + li a0, 4096 +flushDataCacheLoop: + ADDI a0,a0,-32 + dataFlush(a0) + bnez a0, flushDataCacheLoop + ret diff --git a/VexRiscvSocSoftware/projects/briey/vga/src/main.c b/VexRiscvSocSoftware/projects/briey/vga/src/main.c new file mode 100755 index 0000000..5501821 --- /dev/null +++ b/VexRiscvSocSoftware/projects/briey/vga/src/main.c @@ -0,0 +1,57 @@ +#include +#include +#include +#include + +#include + +#define RES_X 640 +#define RES_Y 480 + +//#define RES_X 160 +//#define RES_Y 120 + +//#define RES_X 48 +//#define RES_Y 32 + +__attribute__ ((section (".noinit"))) __attribute__ ((aligned (4*8))) uint16_t vgaFramebuffer[RES_Y][RES_X]; + +extern void flushDataCache(uint32_t dummy); + +int main() { + Uart_Config uartConfig; + uartConfig.dataLength = 8; + uartConfig.parity = NONE; + uartConfig.stop = ONE; + uartConfig.clockDivider = 50000000/8/115200-1; + uart_applyConfig(UART,&uartConfig); + + + vga_stop(VGA_BASE); + VGA_BASE->TIMING = vga_h640_v480_r60; // vga_simRes vga_h640_v480_r60 vga_simRes_h160_v120 + VGA_BASE->FRAME_SIZE = RES_X*RES_Y*2-1; + VGA_BASE->FRAME_BASE = (uint32_t)vgaFramebuffer; + vga_run(VGA_BASE); + + uint16_t offset = 0; + while(1){ + uint16_t *ptr = &vgaFramebuffer[0][0]; + for(uint32_t y = 0;y < RES_Y;y++){ + uint16_t c = (((y + offset) & 0x1F) << 6); + for(uint32_t x = 0;x < RES_X;x++){ + *ptr = ((uint16_t)(x & 0x1F)) + c; + ptr++; + } + } + offset+=4; + flushDataCache(0); + uart_write(UART, '\n'); + } +} + + +void irqCallback(){ + +} + + diff --git a/VexRiscvSocSoftware/projects/makefile b/VexRiscvSocSoftware/projects/makefile new file mode 100644 index 0000000..191beb0 --- /dev/null +++ b/VexRiscvSocSoftware/projects/makefile @@ -0,0 +1 @@ +include ../resources/recursive.mk \ No newline at end of file diff --git a/VexRiscvSocSoftware/projects/murax/demo/makefile b/VexRiscvSocSoftware/projects/murax/demo/makefile new file mode 100755 index 0000000..5f9ee9e --- /dev/null +++ b/VexRiscvSocSoftware/projects/murax/demo/makefile @@ -0,0 +1,20 @@ +PROJ_NAME=demo +DEBUG=yes +BENCH=no +MULDIV=no + + +SRCS = $(wildcard src/*.c) \ + $(wildcard src/*.cpp) \ + $(wildcard src/*.S) + + +INC += -I../../../libs/ +INC += -I../libs/ +LDSCRIPT = ../libs/linker.ld + +include ../../../resources/gcc.mk +include ../../../resources/subproject.mk + + + diff --git a/VexRiscvSocSoftware/projects/murax/demo/src/crt.S b/VexRiscvSocSoftware/projects/murax/demo/src/crt.S new file mode 100755 index 0000000..0a98a6e --- /dev/null +++ b/VexRiscvSocSoftware/projects/murax/demo/src/crt.S @@ -0,0 +1,97 @@ +.global crtStart +.global main +.global irqCallback + +crtStart: + j crtInit + nop + nop + nop + nop + nop + nop + nop + +.global trap_entry +trap_entry: + sw x1, - 1*4(sp) + sw x5, - 2*4(sp) + sw x6, - 3*4(sp) + sw x7, - 4*4(sp) + sw x10, - 5*4(sp) + sw x11, - 6*4(sp) + sw x12, - 7*4(sp) + sw x13, - 8*4(sp) + sw x14, - 9*4(sp) + sw x15, -10*4(sp) + sw x16, -11*4(sp) + sw x17, -12*4(sp) + sw x28, -13*4(sp) + sw x29, -14*4(sp) + sw x30, -15*4(sp) + sw x31, -16*4(sp) + addi sp,sp,-16*4 + call irqCallback + lw x1 , 15*4(sp) + lw x5, 14*4(sp) + lw x6, 13*4(sp) + lw x7, 12*4(sp) + lw x10, 11*4(sp) + lw x11, 10*4(sp) + lw x12, 9*4(sp) + lw x13, 8*4(sp) + lw x14, 7*4(sp) + lw x15, 6*4(sp) + lw x16, 5*4(sp) + lw x17, 4*4(sp) + lw x28, 3*4(sp) + lw x29, 2*4(sp) + lw x30, 1*4(sp) + lw x31, 0*4(sp) + addi sp,sp,16*4 + mret + .text + + +crtInit: + .option push + .option norelax + la gp, __global_pointer$ + .option pop + la sp, _stack_start + +bss_init: + la a0, _bss_start + la a1, _bss_end +bss_loop: + beq a0,a1,bss_done + sw zero,0(a0) + add a0,a0,4 + j bss_loop +bss_done: + +ctors_init: + la a0, _ctors_start + addi sp,sp,-4 +ctors_loop: + la a1, _ctors_end + beq a0,a1,ctors_done + lw a3,0(a0) + add a0,a0,4 + sw a0,0(sp) + jalr a3 + lw a0,0(sp) + j ctors_loop +ctors_done: + addi sp,sp,4 + + + li a0, 0x880 //880 enable timer + external interrupts + csrw mie,a0 + li a0, 0x1808 //1808 enable interrupts + csrw mstatus,a0 + + call main +infinitLoop: + j infinitLoop + diff --git a/VexRiscvSocSoftware/projects/murax/demo/src/main.c b/VexRiscvSocSoftware/projects/murax/demo/src/main.c new file mode 100755 index 0000000..9f62ab3 --- /dev/null +++ b/VexRiscvSocSoftware/projects/murax/demo/src/main.c @@ -0,0 +1,54 @@ +#include + +#include + + +void main() { + volatile uint32_t a = 1, b = 2, c = 3; + uint32_t result = 0; + char msg[] = "Lieber Franz! Wir wünschen Dir eine gute Zeit!"; + uint32_t msgidx = 0; + + interruptCtrl_init(TIMER_INTERRUPT); + prescaler_init(TIMER_PRESCALER); + timer_init(TIMER_A); + + TIMER_PRESCALER->LIMIT = 50000-1; //1 ms rate + + TIMER_A->LIMIT = 1000-1; //1 second rate + TIMER_A->CLEARS_TICKS = 0x00010002; + + TIMER_INTERRUPT->PENDINGS = 0xF; + TIMER_INTERRUPT->MASKS = 0x1; + + GPIO_A->OUTPUT_ENABLE = 0x000000FF; + GPIO_A->OUTPUT = 0x00000000; + + UART->STATUS = 2; //Enable RX interrupts + UART->DATA = 'A'; + + while(1){ + result += a; + result += b + c; + for(uint32_t idx = 0;idx < 200000;idx++) asm volatile(""); + if (msgidx == sizeof(msg)-1) + msgidx = 0; + else + msgidx++; + UART->DATA = msg[msgidx]; + GPIO_A->OUTPUT = (GPIO_A->OUTPUT & ~0x3F) | ((GPIO_A->OUTPUT + 1) & 0x3F); //Counter on LED[5:0] + } +} + +void irqCallback(){ + if(TIMER_INTERRUPT->PENDINGS & 1){ //Timer A interrupt + GPIO_A->OUTPUT ^= 0x80; //Toogle led 7 + TIMER_INTERRUPT->PENDINGS = 1; + } + while(UART->STATUS & (1 << 9)){ //UART RX interrupt + UART->DATA = (UART->DATA) & 0xFF; + } +} + + + diff --git a/VexRiscvSocSoftware/projects/murax/dhrystone/linker.ld b/VexRiscvSocSoftware/projects/murax/dhrystone/linker.ld new file mode 100755 index 0000000..ebc183f --- /dev/null +++ b/VexRiscvSocSoftware/projects/murax/dhrystone/linker.ld @@ -0,0 +1,109 @@ +/* +This is free and unencumbered software released into the public domain. + +Anyone is free to copy, modify, publish, use, compile, sell, or +distribute this software, either in source code form or as a compiled +binary, for any purpose, commercial or non-commercial, and by any +means. +*/ +OUTPUT_FORMAT("elf32-littleriscv", "elf32-littleriscv", "elf32-littleriscv") +OUTPUT_ARCH(riscv) +ENTRY(crtStart) + +MEMORY { + onChipRam : ORIGIN = 0x80000000, LENGTH = 256k +} + +_stack_size = DEFINED(_stack_size) ? _stack_size : 1024; +_heap_size = DEFINED(_heap_size) ? _heap_size : 0; + +SECTIONS { + + .vector : { + *crt.o(.text); + } > onChipRam + + .memory : { + *(.text); + end = .; + } > onChipRam + + .rodata : + { + *(.rdata) + *(.rodata .rodata.*) + *(.gnu.linkonce.r.*) + } > onChipRam + + .ctors : + { + . = ALIGN(4); + _ctors_start = .; + KEEP(*(.init_array*)) + KEEP (*(SORT(.ctors.*))) + KEEP (*(.ctors)) + . = ALIGN(4); + _ctors_end = .; + } > onChipRam + + .data : + { + *(.rdata) + *(.rodata .rodata.*) + *(.gnu.linkonce.r.*) + *(.data .data.*) + *(.gnu.linkonce.d.*) + . = ALIGN(8); + PROVIDE( __global_pointer$ = . + 0x800 ); + *(.sdata .sdata.*) + *(.gnu.linkonce.s.*) + . = ALIGN(8); + *(.srodata.cst16) + *(.srodata.cst8) + *(.srodata.cst4) + *(.srodata.cst2) + *(.srodata .srodata.*) + } > onChipRam + + .bss (NOLOAD) : { + . = ALIGN(4); + /* This is used by the startup in order to initialize the .bss secion */ + _bss_start = .; + *(.sbss*) + *(.gnu.linkonce.sb.*) + *(.bss .bss.*) + *(.gnu.linkonce.b.*) + *(COMMON) + . = ALIGN(4); + _bss_end = .; + } > onChipRam + + .noinit (NOLOAD) : { + . = ALIGN(4); + *(.noinit .noinit.*) + . = ALIGN(4); + } > onChipRam + + + ._user_heap (NOLOAD): + { + . = ALIGN(8); + PROVIDE ( end = . ); + PROVIDE ( _end = . ); + PROVIDE ( _heap_start = .); + . = . + _heap_size; + . = ALIGN(8); + PROVIDE ( _heap_end = .); + } > onChipRam + + ._stack (NOLOAD): + { + . = ALIGN(16); + PROVIDE (_stack_end = .); + . = . + _stack_size; + . = ALIGN(16); + PROVIDE (_stack_start = .); + } > onChipRam + +} + diff --git a/VexRiscvSocSoftware/projects/murax/dhrystone/makefile b/VexRiscvSocSoftware/projects/murax/dhrystone/makefile new file mode 100644 index 0000000..f468a16 --- /dev/null +++ b/VexRiscvSocSoftware/projects/murax/dhrystone/makefile @@ -0,0 +1,26 @@ +PROJ_NAME=dhrystone +DEBUG=no +BENCH=no +MULDIV=no + + + +CFLAGS += -fno-inline -fno-common +LDFLAGS += -lc + +CFLAGS += -DPREALLOCATE=1 -DHOST_DEBUG=0 -DMSC_CLOCK + + +SRCS = src/main.c src/dhry_1.c src/dhry_2.c src/crt.S src/stdlib.c +LDFLAGS += -lc #Used to get memcpy/strcmp stuff only + +INC += -I../../../libs/ +INC += -I../libs/ +LDSCRIPT = linker.ld + + +include ../../../resources/gcc.mk +include ../../../resources/subproject.mk + + + diff --git a/VexRiscvSocSoftware/projects/murax/dhrystone/src/crt.S b/VexRiscvSocSoftware/projects/murax/dhrystone/src/crt.S new file mode 100644 index 0000000..b0a8ddb --- /dev/null +++ b/VexRiscvSocSoftware/projects/murax/dhrystone/src/crt.S @@ -0,0 +1 @@ +#include "../../../../resources/crt.S" diff --git a/VexRiscvSocSoftware/projects/murax/dhrystone/src/dhry.h b/VexRiscvSocSoftware/projects/murax/dhrystone/src/dhry.h new file mode 100644 index 0000000..a6f75b8 --- /dev/null +++ b/VexRiscvSocSoftware/projects/murax/dhrystone/src/dhry.h @@ -0,0 +1,432 @@ +/* + **************************************************************************** + * + * "DHRYSTONE" Benchmark Program + * ----------------------------- + * + * Version: C, Version 2.1 + * + * File: dhry.h (part 1 of 3) + * + * Date: May 25, 1988 + * + * Author: Reinhold P. Weicker + * Siemens AG, E STE 35 + * Postfach 3240 + * 8520 Erlangen + * Germany (West) + * Phone: [xxx-49]-9131-7-20330 + * (8-17 Central European Time) + * Usenet: ..!mcvax!unido!estevax!weicker + * + * Original Version (in Ada) published in + * "Communications of the ACM" vol. 27., no. 10 (Oct. 1984), + * pp. 1013 - 1030, together with the statistics + * on which the distribution of statements etc. is based. + * + * In this C version, the following C library functions are used: + * - strcpy, strcmp (inside the measurement loop) + * - printf, scanf (outside the measurement loop) + * In addition, Berkeley UNIX system calls "times ()" or "time ()" + * are used for execution time measurement. For measurements + * on other systems, these calls have to be changed. + * + * Collection of Results: + * Reinhold Weicker (address see above) and + * + * Rick Richardson + * PC Research. Inc. + * 94 Apple Orchard Drive + * Tinton Falls, NJ 07724 + * Phone: (201) 389-8963 (9-17 EST) + * Usenet: ...!uunet!pcrat!rick + * + * Please send results to Rick Richardson and/or Reinhold Weicker. + * Complete information should be given on hardware and software used. + * Hardware information includes: Machine type, CPU, type and size + * of caches; for microprocessors: clock frequency, memory speed + * (number of wait states). + * Software information includes: Compiler (and runtime library) + * manufacturer and version, compilation switches, OS version. + * The Operating System version may give an indication about the + * compiler; Dhrystone itself performs no OS calls in the measurement loop. + * + * The complete output generated by the program should be mailed + * such that at least some checks for correctness can be made. + * + *************************************************************************** + * + * History: This version C/2.1 has been made for two reasons: + * + * 1) There is an obvious need for a common C version of + * Dhrystone, since C is at present the most popular system + * programming language for the class of processors + * (microcomputers, minicomputers) where Dhrystone is used most. + * There should be, as far as possible, only one C version of + * Dhrystone such that results can be compared without + * restrictions. In the past, the C versions distributed + * by Rick Richardson (Version 1.1) and by Reinhold Weicker + * had small (though not significant) differences. + * + * 2) As far as it is possible without changes to the Dhrystone + * statistics, optimizing compilers should be prevented from + * removing significant statements. + * + * This C version has been developed in cooperation with + * Rick Richardson (Tinton Falls, NJ), it incorporates many + * ideas from the "Version 1.1" distributed previously by + * him over the UNIX network Usenet. + * I also thank Chaim Benedelac (National Semiconductor), + * David Ditzel (SUN), Earl Killian and John Mashey (MIPS), + * Alan Smith and Rafael Saavedra-Barrera (UC at Berkeley) + * for their help with comments on earlier versions of the + * benchmark. + * + * Changes: In the initialization part, this version follows mostly + * Rick Richardson's version distributed via Usenet, not the + * version distributed earlier via floppy disk by Reinhold Weicker. + * As a concession to older compilers, names have been made + * unique within the first 8 characters. + * Inside the measurement loop, this version follows the + * version previously distributed by Reinhold Weicker. + * + * At several places in the benchmark, code has been added, + * but within the measurement loop only in branches that + * are not executed. The intention is that optimizing compilers + * should be prevented from moving code out of the measurement + * loop, or from removing code altogether. Since the statements + * that are executed within the measurement loop have NOT been + * changed, the numbers defining the "Dhrystone distribution" + * (distribution of statements, operand types and locality) + * still hold. Except for sophisticated optimizing compilers, + * execution times for this version should be the same as + * for previous versions. + * + * Since it has proven difficult to subtract the time for the + * measurement loop overhead in a correct way, the loop check + * has been made a part of the benchmark. This does have + * an impact - though a very minor one - on the distribution + * statistics which have been updated for this version. + * + * All changes within the measurement loop are described + * and discussed in the companion paper "Rationale for + * Dhrystone version 2". + * + * Because of the self-imposed limitation that the order and + * distribution of the executed statements should not be + * changed, there are still cases where optimizing compilers + * may not generate code for some statements. To a certain + * degree, this is unavoidable for small synthetic benchmarks. + * Users of the benchmark are advised to check code listings + * whether code is generated for all statements of Dhrystone. + * + * Version 2.1 is identical to version 2.0 distributed via + * the UNIX network Usenet in March 1988 except that it corrects + * some minor deficiencies that were found by users of version 2.0. + * The only change within the measurement loop is that a + * non-executed "else" part was added to the "if" statement in + * Func_3, and a non-executed "else" part removed from Proc_3. + * + *************************************************************************** + * + * Defines: The following "Defines" are possible: + * -DREG=register (default: Not defined) + * As an approximation to what an average C programmer + * might do, the "register" storage class is applied + * (if enabled by -DREG=register) + * - for local variables, if they are used (dynamically) + * five or more times + * - for parameters if they are used (dynamically) + * six or more times + * Note that an optimal "register" strategy is + * compiler-dependent, and that "register" declarations + * do not necessarily lead to faster execution. + * -DNOSTRUCTASSIGN (default: Not defined) + * Define if the C compiler does not support + * assignment of structures. + * -DNOENUMS (default: Not defined) + * Define if the C compiler does not support + * enumeration types. + * -DTIMES (default) + * -DTIME + * The "times" function of UNIX (returning process times) + * or the "time" function (returning wallclock time) + * is used for measurement. + * For single user machines, "time ()" is adequate. For + * multi-user machines where you cannot get single-user + * access, use the "times ()" function. If you have + * neither, use a stopwatch in the dead of night. + * "printf"s are provided marking the points "Start Timer" + * and "Stop Timer". DO NOT use the UNIX "time(1)" + * command, as this will measure the total time to + * run this program, which will (erroneously) include + * the time to allocate storage (malloc) and to perform + * the initialization. + * -DHZ=nnn + * In Berkeley UNIX, the function "times" returns process + * time in 1/HZ seconds, with HZ = 60 for most systems. + * CHECK YOUR SYSTEM DESCRIPTION BEFORE YOU JUST APPLY + * A VALUE. + * + *************************************************************************** + * + * Compilation model and measurement (IMPORTANT): + * + * This C version of Dhrystone consists of three files: + * - dhry.h (this file, containing global definitions and comments) + * - dhry_1.c (containing the code corresponding to Ada package Pack_1) + * - dhry_2.c (containing the code corresponding to Ada package Pack_2) + * + * The following "ground rules" apply for measurements: + * - Separate compilation + * - No procedure merging + * - Otherwise, compiler optimizations are allowed but should be indicated + * - Default results are those without register declarations + * See the companion paper "Rationale for Dhrystone Version 2" for a more + * detailed discussion of these ground rules. + * + * For 16-Bit processors (e.g. 80186, 80286), times for all compilation + * models ("small", "medium", "large" etc.) should be given if possible, + * together with a definition of these models for the compiler system used. + * + ************************************************************************** + * + * Dhrystone (C version) statistics: + * + * [Comment from the first distribution, updated for version 2. + * Note that because of language differences, the numbers are slightly + * different from the Ada version.] + * + * The following program contains statements of a high level programming + * language (here: C) in a distribution considered representative: + * + * assignments 52 (51.0 %) + * control statements 33 (32.4 %) + * procedure, function calls 17 (16.7 %) + * + * 103 statements are dynamically executed. The program is balanced with + * respect to the three aspects: + * + * - statement type + * - operand type + * - operand locality + * operand global, local, parameter, or constant. + * + * The combination of these three aspects is balanced only approximately. + * + * 1. Statement Type: + * ----------------- number + * + * V1 = V2 9 + * (incl. V1 = F(..) + * V = Constant 12 + * Assignment, 7 + * with array element + * Assignment, 6 + * with record component + * -- + * 34 34 + * + * X = Y +|-|"&&"|"|" Z 5 + * X = Y +|-|"==" Constant 6 + * X = X +|- 1 3 + * X = Y *|/ Z 2 + * X = Expression, 1 + * two operators + * X = Expression, 1 + * three operators + * -- + * 18 18 + * + * if .... 14 + * with "else" 7 + * without "else" 7 + * executed 3 + * not executed 4 + * for ... 7 | counted every time + * while ... 4 | the loop condition + * do ... while 1 | is evaluated + * switch ... 1 + * break 1 + * declaration with 1 + * initialization + * -- + * 34 34 + * + * P (...) procedure call 11 + * user procedure 10 + * library procedure 1 + * X = F (...) + * function call 6 + * user function 5 + * library function 1 + * -- + * 17 17 + * --- + * 103 + * + * The average number of parameters in procedure or function calls + * is 1.82 (not counting the function values aX * + * + * 2. Operators + * ------------ + * number approximate + * percentage + * + * Arithmetic 32 50.8 + * + * + 21 33.3 + * - 7 11.1 + * * 3 4.8 + * / (int div) 1 1.6 + * + * Comparison 27 42.8 + * + * == 9 14.3 + * /= 4 6.3 + * > 1 1.6 + * < 3 4.8 + * >= 1 1.6 + * <= 9 14.3 + * + * Logic 4 6.3 + * + * && (AND-THEN) 1 1.6 + * | (OR) 1 1.6 + * ! (NOT) 2 3.2 + * + * -- ----- + * 63 100.1 + * + * + * 3. Operand Type (counted once per operand reference): + * --------------- + * number approximate + * percentage + * + * Integer 175 72.3 % + * Character 45 18.6 % + * Pointer 12 5.0 % + * String30 6 2.5 % + * Array 2 0.8 % + * Record 2 0.8 % + * --- ------- + * 242 100.0 % + * + * When there is an access path leading to the final operand (e.g. a record + * component), only the final data type on the access path is counted. + * + * + * 4. Operand Locality: + * ------------------- + * number approximate + * percentage + * + * local variable 114 47.1 % + * global variable 22 9.1 % + * parameter 45 18.6 % + * value 23 9.5 % + * reference 22 9.1 % + * function result 6 2.5 % + * constant 55 22.7 % + * --- ------- + * 242 100.0 % + * + * + * The program does not compute anything meaningful, but it is syntactically + * and semantically correct. All variables have a value assigned to them + * before they are used as a source operand. + * + * There has been no explicit effort to account for the effects of a + * cache, or to balance the use of long or short displacements for code or + * data. + * + *************************************************************************** + */ + +/* Compiler and system dependent definitions: */ + +#ifndef TIME +#undef TIMES +#define TIMES +#endif + /* Use times(2) time function unless */ + /* explicitly defined otherwise */ +#include + +#ifdef MSC_CLOCK +#undef HZ +#undef TIMES +#include +#define HZ CLK_TCK +#endif + /* Use Microsoft C hi-res clock */ + +#ifdef TIMES +#include +#include + /* for "times" */ +#endif + +#define Mic_secs_Per_Second 1000000.0 + /* Berkeley UNIX C returns process times in seconds/HZ */ + +#ifdef NOSTRUCTASSIGN +#define structassign(d, s) memcpy(&(d), &(s), sizeof(d)) +#else +#define structassign(d, s) d = s +#endif + +#ifdef NOENUM +#define Ident_1 0 +#define Ident_2 1 +#define Ident_3 2 +#define Ident_4 3 +#define Ident_5 4 + typedef int Enumeration; +#else + typedef enum {Ident_1, Ident_2, Ident_3, Ident_4, Ident_5} + Enumeration; +#endif + /* for boolean and enumeration types in Ada, Pascal */ + +/* General definitions: */ + +#include + /* for strcpy, strcmp */ + +#define Null 0 + /* Value of a Null pointer */ +#define true 1 +#define false 0 + +typedef int One_Thirty; +typedef int One_Fifty; +typedef char Capital_Letter; +typedef int Boolean; +typedef char Str_30 [31]; +typedef int Arr_1_Dim [50]; +typedef int Arr_2_Dim [50] [50]; + +typedef struct record + { + struct record *Ptr_Comp; + Enumeration Discr; + union { + struct { + Enumeration Enum_Comp; + int Int_Comp; + char Str_Comp [31]; + } var_1; + struct { + Enumeration E_Comp_2; + char Str_2_Comp [31]; + } var_2; + struct { + char Ch_1_Comp; + char Ch_2_Comp; + } var_3; + } variant; + } Rec_Type, *Rec_Pointer; + + diff --git a/VexRiscvSocSoftware/projects/murax/dhrystone/src/dhry_1.c b/VexRiscvSocSoftware/projects/murax/dhrystone/src/dhry_1.c new file mode 100644 index 0000000..7d3a420 --- /dev/null +++ b/VexRiscvSocSoftware/projects/murax/dhrystone/src/dhry_1.c @@ -0,0 +1,405 @@ +/* + **************************************************************************** + * + * "DHRYSTONE" Benchmark Program + * ----------------------------- + * + * Version: C, Version 2.1 + * + * File: dhry_1.c (part 2 of 3) + * + * Date: May 25, 1988 + * + * Author: Reinhold P. Weicker + * + **************************************************************************** + */ +//#pragma GCC optimize ("no-inline") +#include "dhry.h" + +/* Global Variables: */ + +Rec_Pointer Ptr_Glob, + Next_Ptr_Glob; +int Int_Glob; +Boolean Bool_Glob; +char Ch_1_Glob, + Ch_2_Glob; +int Arr_1_Glob [50]; +int Arr_2_Glob [50] [50]; + +extern char *malloc (); +Enumeration Func_1 (); + /* forward declaration necessary since Enumeration may not simply be int */ + +#ifndef REG + Boolean Reg = false; +#define REG + /* REG becomes defined as empty */ + /* i.e. no register variables */ +#else + Boolean Reg = true; +#endif + +/* variables for time measurement: */ + +#ifdef TIMES +struct tms time_info; + + /* see library function "times" */ + + +#define Too_Small_Time (CORE_HZ/2000) + /* Measurements should last at least about 2 seconds */ +#endif +#ifdef TIME +extern long time(); + /* see library function "time" */ +#define Too_Small_Time 2 + /* Measurements should last at least 2 seconds */ +#endif +#ifdef MSC_CLOCK +extern clock_t clock(); +#define Too_Small_Time (HZ/2000) +#endif + +long Begin_Time, + End_Time, + User_Time; +float Microseconds, + Dhrystones_Per_Second; + +/* end of variables for time measurement */ + + +main2 () +/*****/ + + /* main program, corresponds to procedures */ + /* Main and Proc_0 in the Ada version */ +{ + One_Fifty Int_1_Loc; + REG One_Fifty Int_2_Loc; + One_Fifty Int_3_Loc; + REG char Ch_Index; + Enumeration Enum_Loc; + Str_30 Str_1_Loc; + Str_30 Str_2_Loc; + REG int Run_Index; + REG int Number_Of_Runs; + + /* Initializations */ + + Next_Ptr_Glob = (Rec_Pointer) malloc (sizeof (Rec_Type)); + Ptr_Glob = (Rec_Pointer) malloc (sizeof (Rec_Type)); + + Ptr_Glob->Ptr_Comp = Next_Ptr_Glob; + Ptr_Glob->Discr = Ident_1; + Ptr_Glob->variant.var_1.Enum_Comp = Ident_3; + Ptr_Glob->variant.var_1.Int_Comp = 40; + strcpy (Ptr_Glob->variant.var_1.Str_Comp, + "DHRYSTONE PROGRAM, SOME STRING"); + strcpy (Str_1_Loc, "DHRYSTONE PROGRAM, 1'ST STRING"); + + Arr_2_Glob [8][7] = 10; + /* Was missing in published program. Without this statement, */ + /* Arr_2_Glob [8][7] would have an undefined value. */ + /* Warning: With 16-Bit processors and Number_Of_Runs > 32000, */ + /* overflow may occur for this array element. */ + + printf ("\n"); + printf ("Dhrystone Benchmark, Version 2.1 (Language: C)\n"); + printf ("\n"); + if (Reg) + { + printf ("Program compiled with 'register' attribute\n"); + printf ("\n"); + } + else + { + printf ("Program compiled without 'register' attribute\n"); + printf ("\n"); + } + printf ("Please give the number of runs through the benchmark: "); + { + //int n; + //scanf ("%d", &n); + Number_Of_Runs = 200; + } + printf ("\n"); + + printf ("Execution starts, %d runs through Dhrystone\n", Number_Of_Runs); + + /***************/ + /* Start timer */ + /***************/ + +#ifdef TIMES + times (&time_info); + Begin_Time = (long) time_info.tms_utime; +#endif +#ifdef TIME + Begin_Time = time ( (long *) 0); +#endif +#ifdef MSC_CLOCK + Begin_Time = clock(); +#endif + + for (Run_Index = 1; Run_Index <= Number_Of_Runs; ++Run_Index) + { + + Proc_5(); + Proc_4(); + /* Ch_1_Glob == 'A', Ch_2_Glob == 'B', Bool_Glob == true */ + Int_1_Loc = 2; + Int_2_Loc = 3; + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 2'ND STRING"); + Enum_Loc = Ident_2; + Bool_Glob = ! Func_2 (Str_1_Loc, Str_2_Loc); + /* Bool_Glob == 1 */ + while (Int_1_Loc < Int_2_Loc) /* loop body executed once */ + { + Int_3_Loc = 5 * Int_1_Loc - Int_2_Loc; + /* Int_3_Loc == 7 */ + Proc_7 (Int_1_Loc, Int_2_Loc, &Int_3_Loc); + /* Int_3_Loc == 7 */ + Int_1_Loc += 1; + } /* while */ + /* Int_1_Loc == 3, Int_2_Loc == 3, Int_3_Loc == 7 */ + Proc_8 (Arr_1_Glob, Arr_2_Glob, Int_1_Loc, Int_3_Loc); + /* Int_Glob == 5 */ + Proc_1 (Ptr_Glob); + for (Ch_Index = 'A'; Ch_Index <= Ch_2_Glob; ++Ch_Index) + /* loop body executed twice */ + { + if (Enum_Loc == Func_1 (Ch_Index, 'C')) + /* then, not executed */ + { + Proc_6 (Ident_1, &Enum_Loc); + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 3'RD STRING"); + Int_2_Loc = Run_Index; + Int_Glob = Run_Index; + } + } + /* Int_1_Loc == 3, Int_2_Loc == 3, Int_3_Loc == 7 */ + Int_2_Loc = Int_2_Loc * Int_1_Loc; + Int_1_Loc = Int_2_Loc / Int_3_Loc; + Int_2_Loc = 7 * (Int_2_Loc - Int_3_Loc) - Int_1_Loc; + /* Int_1_Loc == 1, Int_2_Loc == 13, Int_3_Loc == 7 */ + Proc_2 (&Int_1_Loc); + /* Int_1_Loc == 5 */ + + } /* loop "for Run_Index" */ + + /**************/ + /* Stop timer */ + /**************/ + +#ifdef TIMES + times (&time_info); + End_Time = (long) time_info.tms_utime; +#endif +#ifdef TIME + End_Time = time ( (long *) 0); +#endif +#ifdef MSC_CLOCK + End_Time = clock(); +#endif + //Adjust with timerA prescaler + End_Time *= 100; + Begin_Time *= 100; + + printf ("Execution ends\n"); + printf ("\n"); + printf ("Final values of the variables used in the benchmark:\n"); + printf ("\n"); + printf ("Int_Glob: %d\n", Int_Glob); + printf (" should be: %d\n", 5); + printf ("Bool_Glob: %d\n", Bool_Glob); + printf (" should be: %d\n", 1); + printf ("Ch_1_Glob: %c\n", Ch_1_Glob); + printf (" should be: %c\n", 'A'); + printf ("Ch_2_Glob: %c\n", Ch_2_Glob); + printf (" should be: %c\n", 'B'); + printf ("Arr_1_Glob[8]: %d\n", Arr_1_Glob[8]); + printf (" should be: %d\n", 7); + printf ("Arr_2_Glob[8][7]: %d\n", Arr_2_Glob[8][7]); + printf (" should be: Number_Of_Runs + 10\n"); + printf ("Ptr_Glob->\n"); + printf (" Ptr_Comp: %d\n", (int) Ptr_Glob->Ptr_Comp); + printf (" should be: (implementation-dependent)\n"); + printf (" Discr: %d\n", Ptr_Glob->Discr); + printf (" should be: %d\n", 0); + printf (" Enum_Comp: %d\n", Ptr_Glob->variant.var_1.Enum_Comp); + printf (" should be: %d\n", 2); + printf (" Int_Comp: %d\n", Ptr_Glob->variant.var_1.Int_Comp); + printf (" should be: %d\n", 17); + printf (" Str_Comp: %s\n", Ptr_Glob->variant.var_1.Str_Comp); + printf (" should be: DHRYSTONE PROGRAM, SOME STRING\n"); + printf ("Next_Ptr_Glob->\n"); + printf (" Ptr_Comp: %d\n", (int) Next_Ptr_Glob->Ptr_Comp); + printf (" should be: (implementation-dependent), same as above\n"); + printf (" Discr: %d\n", Next_Ptr_Glob->Discr); + printf (" should be: %d\n", 0); + printf (" Enum_Comp: %d\n", Next_Ptr_Glob->variant.var_1.Enum_Comp); + printf (" should be: %d\n", 1); + printf (" Int_Comp: %d\n", Next_Ptr_Glob->variant.var_1.Int_Comp); + printf (" should be: %d\n", 18); + printf (" Str_Comp: %s\n", + Next_Ptr_Glob->variant.var_1.Str_Comp); + printf (" should be: DHRYSTONE PROGRAM, SOME STRING\n"); + printf ("Int_1_Loc: %d\n", Int_1_Loc); + printf (" should be: %d\n", 5); + printf ("Int_2_Loc: %d\n", Int_2_Loc); + printf (" should be: %d\n", 13); + printf ("Int_3_Loc: %d\n", Int_3_Loc); + printf (" should be: %d\n", 7); + printf ("Enum_Loc: %d\n", Enum_Loc); + printf (" should be: %d\n", 1); + printf ("Str_1_Loc: %s\n", Str_1_Loc); + printf (" should be: DHRYSTONE PROGRAM, 1'ST STRING\n"); + printf ("Str_2_Loc: %s\n", Str_2_Loc); + printf (" should be: DHRYSTONE PROGRAM, 2'ND STRING\n"); + printf ("\n"); + + User_Time = End_Time - Begin_Time; + + if (User_Time < Too_Small_Time) + { + printf ("Measured time too small to obtain meaningful results\n"); + printf ("Please increase number of runs\n"); + printf ("\n"); + } + else + { + printf ("Clock cycles=%d \n", User_Time); + +#ifdef TIME + Microseconds = (float) User_Time * Mic_secs_Per_Second + / (float) Number_Of_Runs; + Dhrystones_Per_Second = (float) Number_Of_Runs / (float) User_Time; +#else + Microseconds = (float) User_Time * Mic_secs_Per_Second + / ((float) CORE_HZ * ((float) Number_Of_Runs)); + Dhrystones_Per_Second = ((float) CORE_HZ * (float) Number_Of_Runs) + / (float) User_Time; +#endif + printf ("DMIPS per Mhz: "); + float dmips = (1e6f/1757.0f) * Number_Of_Runs / User_Time; + int dmipsNatural = dmips; + int dmipsReal = (dmips - dmipsNatural)*100.0f; + printf ("%d.", dmipsNatural); + if(dmipsReal < 10) printf("0"); + printf ("%d", dmipsReal); + printf ("\n"); + } + +} + + +Proc_1 (Ptr_Val_Par) +/******************/ + +REG Rec_Pointer Ptr_Val_Par; + /* executed once */ +{ + REG Rec_Pointer Next_Record = Ptr_Val_Par->Ptr_Comp; + /* == Ptr_Glob_Next */ + /* Local variable, initialized with Ptr_Val_Par->Ptr_Comp, */ + /* corresponds to "rename" in Ada, "with" in Pascal */ + + structassign (*Ptr_Val_Par->Ptr_Comp, *Ptr_Glob); + Ptr_Val_Par->variant.var_1.Int_Comp = 5; + Next_Record->variant.var_1.Int_Comp + = Ptr_Val_Par->variant.var_1.Int_Comp; + Next_Record->Ptr_Comp = Ptr_Val_Par->Ptr_Comp; + Proc_3 (&Next_Record->Ptr_Comp); + /* Ptr_Val_Par->Ptr_Comp->Ptr_Comp + == Ptr_Glob->Ptr_Comp */ + if (Next_Record->Discr == Ident_1) + /* then, executed */ + { + Next_Record->variant.var_1.Int_Comp = 6; + Proc_6 (Ptr_Val_Par->variant.var_1.Enum_Comp, + &Next_Record->variant.var_1.Enum_Comp); + Next_Record->Ptr_Comp = Ptr_Glob->Ptr_Comp; + Proc_7 (Next_Record->variant.var_1.Int_Comp, 10, + &Next_Record->variant.var_1.Int_Comp); + } + else /* not executed */ + structassign (*Ptr_Val_Par, *Ptr_Val_Par->Ptr_Comp); +} /* Proc_1 */ + + +Proc_2 (Int_Par_Ref) +/******************/ + /* executed once */ + /* *Int_Par_Ref == 1, becomes 4 */ + +One_Fifty *Int_Par_Ref; +{ + One_Fifty Int_Loc; + Enumeration Enum_Loc; + + Int_Loc = *Int_Par_Ref + 10; + do /* executed once */ + if (Ch_1_Glob == 'A') + /* then, executed */ + { + Int_Loc -= 1; + *Int_Par_Ref = Int_Loc - Int_Glob; + Enum_Loc = Ident_1; + } /* if */ + while (Enum_Loc != Ident_1); /* true */ +} /* Proc_2 */ + + +Proc_3 (Ptr_Ref_Par) +/******************/ + /* executed once */ + /* Ptr_Ref_Par becomes Ptr_Glob */ + +Rec_Pointer *Ptr_Ref_Par; + +{ + if (Ptr_Glob != Null) + /* then, executed */ + *Ptr_Ref_Par = Ptr_Glob->Ptr_Comp; + Proc_7 (10, Int_Glob, &Ptr_Glob->variant.var_1.Int_Comp); +} /* Proc_3 */ + + +Proc_4 () /* without parameters */ +/*******/ + /* executed once */ +{ + Boolean Bool_Loc; + + Bool_Loc = Ch_1_Glob == 'A'; + Bool_Glob = Bool_Loc | Bool_Glob; + Ch_2_Glob = 'B'; +} /* Proc_4 */ + + +Proc_5 () /* without parameters */ +/*******/ + /* executed once */ +{ + Ch_1_Glob = 'A'; + Bool_Glob = false; +} /* Proc_5 */ + + + /* Procedure for the assignment of structures, */ + /* if the C compiler doesn't support this feature */ +#ifdef NOSTRUCTASSIGN +memcpy (d, s, l) +register char *d; +register char *s; +register int l; +{ + while (l--) *d++ = *s++; +} +#endif + + diff --git a/VexRiscvSocSoftware/projects/murax/dhrystone/src/dhry_2.c b/VexRiscvSocSoftware/projects/murax/dhrystone/src/dhry_2.c new file mode 100644 index 0000000..bef5e6f --- /dev/null +++ b/VexRiscvSocSoftware/projects/murax/dhrystone/src/dhry_2.c @@ -0,0 +1,192 @@ +/* + **************************************************************************** + * + * "DHRYSTONE" Benchmark Program + * ----------------------------- + * + * Version: C, Version 2.1 + * + * File: dhry_2.c (part 3 of 3) + * + * Date: May 25, 1988 + * + * Author: Reinhold P. Weicker + * + **************************************************************************** + */ +//#pragma GCC optimize ("no-inline") +#include "dhry.h" + +#ifndef REG +#define REG + /* REG becomes defined as empty */ + /* i.e. no register variables */ +#endif + +extern int Int_Glob; +extern char Ch_1_Glob; + + +Proc_6 (Enum_Val_Par, Enum_Ref_Par) +/*********************************/ + /* executed once */ + /* Enum_Val_Par == Ident_3, Enum_Ref_Par becomes Ident_2 */ + +Enumeration Enum_Val_Par; +Enumeration *Enum_Ref_Par; +{ + *Enum_Ref_Par = Enum_Val_Par; + if (! Func_3 (Enum_Val_Par)) + /* then, not executed */ + *Enum_Ref_Par = Ident_4; + switch (Enum_Val_Par) + { + case Ident_1: + *Enum_Ref_Par = Ident_1; + break; + case Ident_2: + if (Int_Glob > 100) + /* then */ + *Enum_Ref_Par = Ident_1; + else *Enum_Ref_Par = Ident_4; + break; + case Ident_3: /* executed */ + *Enum_Ref_Par = Ident_2; + break; + case Ident_4: break; + case Ident_5: + *Enum_Ref_Par = Ident_3; + break; + } /* switch */ +} /* Proc_6 */ + + +Proc_7 (Int_1_Par_Val, Int_2_Par_Val, Int_Par_Ref) +/**********************************************/ + /* executed three times */ + /* first call: Int_1_Par_Val == 2, Int_2_Par_Val == 3, */ + /* Int_Par_Ref becomes 7 */ + /* second call: Int_1_Par_Val == 10, Int_2_Par_Val == 5, */ + /* Int_Par_Ref becomes 17 */ + /* third call: Int_1_Par_Val == 6, Int_2_Par_Val == 10, */ + /* Int_Par_Ref becomes 18 */ +One_Fifty Int_1_Par_Val; +One_Fifty Int_2_Par_Val; +One_Fifty *Int_Par_Ref; +{ + One_Fifty Int_Loc; + + Int_Loc = Int_1_Par_Val + 2; + *Int_Par_Ref = Int_2_Par_Val + Int_Loc; +} /* Proc_7 */ + + +Proc_8 (Arr_1_Par_Ref, Arr_2_Par_Ref, Int_1_Par_Val, Int_2_Par_Val) +/*********************************************************************/ + /* executed once */ + /* Int_Par_Val_1 == 3 */ + /* Int_Par_Val_2 == 7 */ +Arr_1_Dim Arr_1_Par_Ref; +Arr_2_Dim Arr_2_Par_Ref; +int Int_1_Par_Val; +int Int_2_Par_Val; +{ + REG One_Fifty Int_Index; + REG One_Fifty Int_Loc; + + Int_Loc = Int_1_Par_Val + 5; + Arr_1_Par_Ref [Int_Loc] = Int_2_Par_Val; + Arr_1_Par_Ref [Int_Loc+1] = Arr_1_Par_Ref [Int_Loc]; + Arr_1_Par_Ref [Int_Loc+30] = Int_Loc; + for (Int_Index = Int_Loc; Int_Index <= Int_Loc+1; ++Int_Index) + Arr_2_Par_Ref [Int_Loc] [Int_Index] = Int_Loc; + Arr_2_Par_Ref [Int_Loc] [Int_Loc-1] += 1; + Arr_2_Par_Ref [Int_Loc+20] [Int_Loc] = Arr_1_Par_Ref [Int_Loc]; + Int_Glob = 5; +} /* Proc_8 */ + + +Enumeration Func_1 (Ch_1_Par_Val, Ch_2_Par_Val) +/*************************************************/ + /* executed three times */ + /* first call: Ch_1_Par_Val == 'H', Ch_2_Par_Val == 'R' */ + /* second call: Ch_1_Par_Val == 'A', Ch_2_Par_Val == 'C' */ + /* third call: Ch_1_Par_Val == 'B', Ch_2_Par_Val == 'C' */ + +Capital_Letter Ch_1_Par_Val; +Capital_Letter Ch_2_Par_Val; +{ + Capital_Letter Ch_1_Loc; + Capital_Letter Ch_2_Loc; + + Ch_1_Loc = Ch_1_Par_Val; + Ch_2_Loc = Ch_1_Loc; + if (Ch_2_Loc != Ch_2_Par_Val) + /* then, executed */ + return (Ident_1); + else /* not executed */ + { + Ch_1_Glob = Ch_1_Loc; + return (Ident_2); + } +} /* Func_1 */ + + +Boolean Func_2 (Str_1_Par_Ref, Str_2_Par_Ref) +/*************************************************/ + /* executed once */ + /* Str_1_Par_Ref == "DHRYSTONE PROGRAM, 1'ST STRING" */ + /* Str_2_Par_Ref == "DHRYSTONE PROGRAM, 2'ND STRING" */ + +Str_30 Str_1_Par_Ref; +Str_30 Str_2_Par_Ref; +{ + REG One_Thirty Int_Loc; + Capital_Letter Ch_Loc; + + Int_Loc = 2; + while (Int_Loc <= 2) /* loop body executed once */ + if (Func_1 (Str_1_Par_Ref[Int_Loc], + Str_2_Par_Ref[Int_Loc+1]) == Ident_1) + /* then, executed */ + { + Ch_Loc = 'A'; + Int_Loc += 1; + } /* if, while */ + if (Ch_Loc >= 'W' && Ch_Loc < 'Z') + /* then, not executed */ + Int_Loc = 7; + if (Ch_Loc == 'R') + /* then, not executed */ + return (true); + else /* executed */ + { + if (strcmp (Str_1_Par_Ref, Str_2_Par_Ref) > 0) + /* then, not executed */ + { + Int_Loc += 7; + Int_Glob = Int_Loc; + return (true); + } + else /* executed */ + return (false); + } /* if Ch_Loc */ +} /* Func_2 */ + + +Boolean Func_3 (Enum_Par_Val) +/***************************/ + /* executed once */ + /* Enum_Par_Val == Ident_3 */ +Enumeration Enum_Par_Val; +{ + Enumeration Enum_Loc; + + Enum_Loc = Enum_Par_Val; + if (Enum_Loc == Ident_3) + /* then, executed */ + return (true); + else /* not executed */ + return (false); +} /* Func_3 */ + diff --git a/VexRiscvSocSoftware/projects/murax/dhrystone/src/main.c b/VexRiscvSocSoftware/projects/murax/dhrystone/src/main.c new file mode 100644 index 0000000..cfb5924 --- /dev/null +++ b/VexRiscvSocSoftware/projects/murax/dhrystone/src/main.c @@ -0,0 +1,42 @@ +#include +#include +#include +#include +#include + + +extern void main2(); + +int main() { + Uart_Config uartConfig; + uartConfig.dataLength = 8; + uartConfig.parity = NONE; + uartConfig.stop = ONE; + uartConfig.clockDivider = 12000000/UART_SAMPLE_PER_BAUD/115200-1; + uart_applyConfig(UART,&uartConfig); + + + interruptCtrl_init(TIMER_INTERRUPT); + prescaler_init(TIMER_PRESCALER); + timer_init(TIMER_A); + + TIMER_PRESCALER->LIMIT = 99; + + TIMER_A->LIMIT = ~0; + TIMER_A->CLEARS_TICKS = 0x00010002; + + main2(); +} + + +void irqCallback(){ + +} + +#include +clock_t clock(){ + return TIMER_A->VALUE; +} + + + diff --git a/VexRiscvSocSoftware/projects/murax/dhrystone/src/stdlib.c b/VexRiscvSocSoftware/projects/murax/dhrystone/src/stdlib.c new file mode 100644 index 0000000..aade7a1 --- /dev/null +++ b/VexRiscvSocSoftware/projects/murax/dhrystone/src/stdlib.c @@ -0,0 +1,104 @@ +// This is free and unencumbered software released into the public domain. +// +// Anyone is free to copy, modify, publish, use, compile, sell, or +// distribute this software, either in source code form or as a compiled +// binary, for any purpose, commercial or non-commercial, and by any +// means. + +#include +#include +#include "murax.h" + +extern char *malloc(); +extern int printf(const char *format, ...); +extern void putchar(char c); + +void putchar(char c){ + uart_write(UART, c); +} + + + +char heap_memory[1024]; +int heap_memory_used = 0; + +char *malloc(int size) +{ + char *p = heap_memory + heap_memory_used; + // printf("[malloc(%d) -> %d (%d..%d)]", size, (int)p, heap_memory_used, heap_memory_used + size); + heap_memory_used += size; + if (heap_memory_used > 1024) + asm volatile ("ebreak"); + return p; +} + +static void printf_c(int c) +{ + putchar(c); +} + +static void printf_s(char *p) +{ + while (*p) + putchar(*(p++)); +} + +static void printf_d(int val) +{ + char buffer[32]; + char *p = buffer; + if (val < 0) { + printf_c('-'); + val = -val; + } + while (val || p == buffer) { + *(p++) = '0' + val % 10; + val = val / 10; + } + while (p != buffer) + printf_c(*(--p)); +} + +int printf(const char *format, ...) +{ + int i; + va_list ap; + + va_start(ap, format); + + for (i = 0; format[i]; i++) + if (format[i] == '%') { + while (format[++i]) { + if (format[i] == 'c') { + printf_c(va_arg(ap,int)); + break; + } + if (format[i] == 's') { + printf_s(va_arg(ap,char*)); + break; + } + if (format[i] == 'd') { + printf_d(va_arg(ap,int)); + break; + } + } + } else + printf_c(format[i]); + + va_end(ap); +} + + +int puts(char *s){ + while (*s) { + putchar(*s); + s++; + } + putchar('\n'); + return 0; +} + + + + + diff --git a/VexRiscvSocSoftware/projects/murax/libs/linker.ld b/VexRiscvSocSoftware/projects/murax/libs/linker.ld new file mode 100755 index 0000000..ad708d0 --- /dev/null +++ b/VexRiscvSocSoftware/projects/murax/libs/linker.ld @@ -0,0 +1,109 @@ +/* +This is free and unencumbered software released into the public domain. + +Anyone is free to copy, modify, publish, use, compile, sell, or +distribute this software, either in source code form or as a compiled +binary, for any purpose, commercial or non-commercial, and by any +means. +*/ +OUTPUT_FORMAT("elf32-littleriscv", "elf32-littleriscv", "elf32-littleriscv") +OUTPUT_ARCH(riscv) +ENTRY(crtStart) + +MEMORY { + onChipRam : ORIGIN = 0x80000000, LENGTH = 8k +} + +_stack_size = DEFINED(_stack_size) ? _stack_size : 512; +_heap_size = DEFINED(_heap_size) ? _heap_size : 0; + +SECTIONS { + + .vector : { + *crt.o(.text); + } > onChipRam + + .memory : { + *(.text); + end = .; + } > onChipRam + + .rodata : + { + *(.rdata) + *(.rodata .rodata.*) + *(.gnu.linkonce.r.*) + } > onChipRam + + .ctors : + { + . = ALIGN(4); + _ctors_start = .; + KEEP(*(.init_array*)) + KEEP (*(SORT(.ctors.*))) + KEEP (*(.ctors)) + . = ALIGN(4); + _ctors_end = .; + } > onChipRam + + .data : + { + *(.rdata) + *(.rodata .rodata.*) + *(.gnu.linkonce.r.*) + *(.data .data.*) + *(.gnu.linkonce.d.*) + . = ALIGN(8); + PROVIDE( __global_pointer$ = . + 0x800 ); + *(.sdata .sdata.*) + *(.gnu.linkonce.s.*) + . = ALIGN(8); + *(.srodata.cst16) + *(.srodata.cst8) + *(.srodata.cst4) + *(.srodata.cst2) + *(.srodata .srodata.*) + } > onChipRam + + .bss (NOLOAD) : { + . = ALIGN(4); + /* This is used by the startup in order to initialize the .bss secion */ + _bss_start = .; + *(.sbss*) + *(.gnu.linkonce.sb.*) + *(.bss .bss.*) + *(.gnu.linkonce.b.*) + *(COMMON) + . = ALIGN(4); + _bss_end = .; + } > onChipRam + + .noinit (NOLOAD) : { + . = ALIGN(4); + *(.noinit .noinit.*) + . = ALIGN(4); + } > onChipRam + + + ._user_heap (NOLOAD): + { + . = ALIGN(8); + PROVIDE ( end = . ); + PROVIDE ( _end = . ); + PROVIDE ( _heap_start = .); + . = . + _heap_size; + . = ALIGN(8); + PROVIDE ( _heap_end = .); + } > onChipRam + + ._stack (NOLOAD): + { + . = ALIGN(16); + PROVIDE (_stack_end = .); + . = . + _stack_size; + . = ALIGN(16); + PROVIDE (_stack_start = .); + } > onChipRam + +} + diff --git a/VexRiscvSocSoftware/projects/murax/libs/makefile b/VexRiscvSocSoftware/projects/murax/libs/makefile new file mode 100644 index 0000000..63dc497 --- /dev/null +++ b/VexRiscvSocSoftware/projects/murax/libs/makefile @@ -0,0 +1 @@ +include ../../../resources/recursive.mk \ No newline at end of file diff --git a/VexRiscvSocSoftware/projects/murax/libs/murax.h b/VexRiscvSocSoftware/projects/murax/libs/murax.h new file mode 100644 index 0000000..7a91cfc --- /dev/null +++ b/VexRiscvSocSoftware/projects/murax/libs/murax.h @@ -0,0 +1,28 @@ +/* + * briey.h + * + * Created on: Aug 24, 2016 + * Author: clp + */ + +#ifndef BRIEY_H_ +#define BRIEY_H_ + +#include "timer.h" +#include "prescaler.h" +#include "interrupt.h" +#include "gpio.h" +#include "uart.h" + +#define CORE_HZ 12000000 + +#define GPIO_A ((Gpio_Reg*)(0xF0000000)) +#define TIMER_PRESCALER ((Prescaler_Reg*)0xF0020000) +#define TIMER_INTERRUPT ((InterruptCtrl_Reg*)0xF0020010) +#define TIMER_A ((Timer_Reg*)0xF0020040) +#define TIMER_B ((Timer_Reg*)0xF0020050) +#define UART ((Uart_Reg*)(0xF0010000)) +#define UART_SAMPLE_PER_BAUD 5 + + +#endif /* BRIEY_H_ */ diff --git a/VexRiscvSocSoftware/projects/murax/makefile b/VexRiscvSocSoftware/projects/murax/makefile new file mode 100644 index 0000000..aa7691f --- /dev/null +++ b/VexRiscvSocSoftware/projects/murax/makefile @@ -0,0 +1 @@ +include ../../resources/recursive.mk \ No newline at end of file diff --git a/VexRiscvSocSoftware/projects/murax/wip/.vscode/launch.json b/VexRiscvSocSoftware/projects/murax/wip/.vscode/launch.json new file mode 100644 index 0000000..ef5432a --- /dev/null +++ b/VexRiscvSocSoftware/projects/murax/wip/.vscode/launch.json @@ -0,0 +1,33 @@ +{ + // Use IntelliSense to learn about possible attributes. + // Hover to view descriptions of existing attributes. + // For more information, visit: https://go.microsoft.com/fwlink/?linkid=830387 + "version": "0.2.0", + "configurations": + [ + { + "name": "riscv64-unknown-elf-gdb", + "type": "cppdbg", + "request": "launch", + "MIMode": "gdb", + "miDebuggerPath": "/opt/riscv/bin/riscv64-unknown-elf-gdb", + "miDebuggerServerAddress": "localhost:3333", + "stopAtEntry": true, + //"preLaunchTask": "make", + "preLaunchTask": null, + "program": "${workspaceFolder}/build/democ.elf", + "args": [], + "environment": [], + "cwd": "${workspaceRoot}/build", + "externalConsole": true, + "setupCommands": + [ + { + "description": "Enable pretty-printing for gdb", + "text": "-enable-pretty-printing", + "ignoreFailures": true + } + ] + } + ] +} \ No newline at end of file diff --git a/VexRiscvSocSoftware/projects/murax/wip/makefile b/VexRiscvSocSoftware/projects/murax/wip/makefile new file mode 100755 index 0000000..b49287c --- /dev/null +++ b/VexRiscvSocSoftware/projects/murax/wip/makefile @@ -0,0 +1,14 @@ +PROJ_NAME=democ +DEBUG=yes +BENCH=no +MULDIV=no + +SRCS = $(wildcard src/*.c) \ + $(wildcard src/*.cpp) + +INC += -I../../../libs/ +INC += -I../libs/ +LDSCRIPT = ../libs/linker.ld + +include ../../../resources/gcc.mk +include ../../../resources/subproject.mk diff --git a/VexRiscvSocSoftware/projects/murax/wip/readme.md b/VexRiscvSocSoftware/projects/murax/wip/readme.md new file mode 100644 index 0000000..14330c1 --- /dev/null +++ b/VexRiscvSocSoftware/projects/murax/wip/readme.md @@ -0,0 +1,10 @@ +# DemoC + +This demo was inpired by: + +https://jacobmossberg.se/posts/2018/08/11/run-c-program-bare-metal-on-arm-cortex-m3.html + +It demonstrates using C to program bare metal app running on RISCV architecture. + +Included is also launch.json, which can be used for debugging the app, from VSCode. To run/debug, program Murax to FPGA and connect JTAG with openocd. + diff --git a/VexRiscvSocSoftware/projects/murax/wip/src/crt.c b/VexRiscvSocSoftware/projects/murax/wip/src/crt.c new file mode 100644 index 0000000..70e6c06 --- /dev/null +++ b/VexRiscvSocSoftware/projects/murax/wip/src/crt.c @@ -0,0 +1,64 @@ +/* +Created by: roman3017 +Inspired by: https://jacobmossberg.se/posts/2018/08/11/run-c-program-bare-metal-on-arm-cortex-m3.html +*/ + +extern unsigned int __global_pointer$; +extern unsigned int _stack_start; +extern unsigned int _bss_start; +extern unsigned int _bss_end; +extern unsigned int _ctors_start; +extern unsigned int _ctors_end; + +void crtStart(); +void irqCallback(); +void main(); + +static void trapEntry(); +static void bssInit(); +static void ctorsInit(); + +unsigned int * initVectors[2] __attribute__ ((section("vectors"))) = { + (unsigned int *) crtStart, // code entry + (unsigned int *) trapEntry, // trap entry +}; + +void crtStart() +{ + /* Initialize sp and gp registers */ + asm volatile ( + "la gp, %0\n\t" + "la sp, %1\n\t" + :: "i"(&__global_pointer$), "i"(&_stack_start) + ); + + bssInit(); + ctorsInit(); + main(); + asm volatile("j ."); +} + +static void trapEntry() +{ + irqCallback(); +} + +static void bssInit() +{ + unsigned int * bss_start_p = &_bss_start; + unsigned int * bss_end_p = &_bss_end; + while(bss_start_p != bss_end_p) { + *bss_start_p++ = 0; + } +} + +static void ctorsInit() +{ + unsigned int * ctors_start_p = &_ctors_start; + unsigned int * ctors_end_p = &_ctors_end; + void(*ctor)(); + while(ctors_start_p != ctors_end_p) { + ctor = (void *)*ctors_start_p++; + ctor(); + } +} diff --git a/VexRiscvSocSoftware/projects/murax/wip/src/main.c b/VexRiscvSocSoftware/projects/murax/wip/src/main.c new file mode 100755 index 0000000..2d92b76 --- /dev/null +++ b/VexRiscvSocSoftware/projects/murax/wip/src/main.c @@ -0,0 +1,58 @@ +#include +#include + +/* bss section */ +uint32_t a, b, c; + +/* ctor section */ +void __attribute__ ((constructor)) defaultConstructor() +{ + c += 3; +} + +/* text section */ +void main() +{ + uint32_t result; + + interruptCtrl_init(TIMER_INTERRUPT); + prescaler_init(TIMER_PRESCALER); + timer_init(TIMER_A); + + TIMER_PRESCALER->LIMIT = 12000-1; //1 ms rate + + TIMER_A->LIMIT = 1000-1; //1 second rate + TIMER_A->CLEARS_TICKS = 0x00010002; + + TIMER_INTERRUPT->PENDINGS = 0xF; + TIMER_INTERRUPT->MASKS = 0x1; + + GPIO_A->OUTPUT_ENABLE = 0x000000FF; + GPIO_A->OUTPUT = 0x00000000; + + UART->STATUS = 2; //Enable RX interrupts + UART->DATA = 'A'; + + result = 0; + a = 1, b = 2; + while(1){ + result += a; + result += b + c; + for(uint32_t idx = 0;idx < 50000;idx++) asm volatile(""); + GPIO_A->OUTPUT = (GPIO_A->OUTPUT & ~0x3F) | ((GPIO_A->OUTPUT + 1) & 0x3F); //Counter on LED[5:0] + } +} + +void irqCallback() +{ + if(TIMER_INTERRUPT->PENDINGS & 1){ //Timer A interrupt + GPIO_A->OUTPUT ^= 0x80; //Toogle led 7 + TIMER_INTERRUPT->PENDINGS = 1; + } + while(UART->STATUS & (1 << 9)){ //UART RX interrupt + UART->DATA = (UART->DATA) & 0xFF; + } +} + + + diff --git a/VexRiscvSocSoftware/projects/raw/dhrystone/makefile b/VexRiscvSocSoftware/projects/raw/dhrystone/makefile new file mode 100644 index 0000000..7538d8f --- /dev/null +++ b/VexRiscvSocSoftware/projects/raw/dhrystone/makefile @@ -0,0 +1,24 @@ +PROJ_NAME=dhrystone +DEBUG=no +BENCH=no +MULDIV?=yes +COMPRESSED?=yes + + +CFLAGS += -fno-inline -fno-common +CFLAGS += -DPREALLOCATE=1 -DHOST_DEBUG=0 -DMSC_CLOCK -DCORE_HZ=100000000 + + +SRCS = src/main.c src/dhry_1.c src/dhry_2.c src/crt.S src/stdlib.c +LDFLAGS += -lc #Used to get memcpy/strcmp stuff only + +LDSCRIPT = ../libs/simple.ld +INC += -I../../../libs/ +INC += -I../libs/ + + +include ../../../resources/gcc.mk +include ../../../resources/subproject.mk + + + diff --git a/VexRiscvSocSoftware/projects/raw/dhrystone/src/crt.S b/VexRiscvSocSoftware/projects/raw/dhrystone/src/crt.S new file mode 100755 index 0000000..f4f6be1 --- /dev/null +++ b/VexRiscvSocSoftware/projects/raw/dhrystone/src/crt.S @@ -0,0 +1,97 @@ +.global crtStart +.global main +.global irqCallback + +crtStart: + j crtInit + nop + nop + nop + nop + nop + nop + nop + +.global trap_entry +trap_entry: + sw x1, - 1*4(sp) + sw x5, - 2*4(sp) + sw x6, - 3*4(sp) + sw x7, - 4*4(sp) + sw x10, - 5*4(sp) + sw x11, - 6*4(sp) + sw x12, - 7*4(sp) + sw x13, - 8*4(sp) + sw x14, - 9*4(sp) + sw x15, -10*4(sp) + sw x16, -11*4(sp) + sw x17, -12*4(sp) + sw x28, -13*4(sp) + sw x29, -14*4(sp) + sw x30, -15*4(sp) + sw x31, -16*4(sp) + addi sp,sp,-16*4 + call irqCallback + lw x1 , 15*4(sp) + lw x5, 14*4(sp) + lw x6, 13*4(sp) + lw x7, 12*4(sp) + lw x10, 11*4(sp) + lw x11, 10*4(sp) + lw x12, 9*4(sp) + lw x13, 8*4(sp) + lw x14, 7*4(sp) + lw x15, 6*4(sp) + lw x16, 5*4(sp) + lw x17, 4*4(sp) + lw x28, 3*4(sp) + lw x29, 2*4(sp) + lw x30, 1*4(sp) + lw x31, 0*4(sp) + addi sp,sp,16*4 + mret + .text + + +crtInit: + .option push + .option norelax + la gp, __global_pointer$ + .option pop + la sp, _stack_start + +bss_init: + la a0, _bss_start + la a1, _bss_end +bss_loop: + beq a0,a1,bss_done + sw zero,0(a0) + add a0,a0,4 + j bss_loop +bss_done: + +ctors_init: + la a0, _ctors_start + addi sp,sp,-4 +ctors_loop: + la a1, _ctors_end + beq a0,a1,ctors_done + lw a3,0(a0) + add a0,a0,4 + sw a0,0(sp) + jalr a3 + lw a0,0(sp) + j ctors_loop +ctors_done: + addi sp,sp,4 + + + //li a0, 0x880 //880 enable timer + external interrupts + //csrw mie,a0 + //li a0, 0x1808 //1808 enable interrupts + //csrw mstatus,a0 + + call main +infinitLoop: + j infinitLoop + diff --git a/VexRiscvSocSoftware/projects/raw/dhrystone/src/dhry.h b/VexRiscvSocSoftware/projects/raw/dhrystone/src/dhry.h new file mode 100755 index 0000000..386104b --- /dev/null +++ b/VexRiscvSocSoftware/projects/raw/dhrystone/src/dhry.h @@ -0,0 +1,432 @@ +/* + **************************************************************************** + * + * "DHRYSTONE" Benchmark Program + * ----------------------------- + * + * Version: C, Version 2.1 + * + * File: dhry.h (part 1 of 3) + * + * Date: May 25, 1988 + * + * Author: Reinhold P. Weicker + * Siemens AG, E STE 35 + * Postfach 3240 + * 8520 Erlangen + * Germany (West) + * Phone: [xxx-49]-9131-7-20330 + * (8-17 Central European Time) + * Usenet: ..!mcvax!unido!estevax!weicker + * + * Original Version (in Ada) published in + * "Communications of the ACM" vol. 27., no. 10 (Oct. 1984), + * pp. 1013 - 1030, together with the statistics + * on which the distribution of statements etc. is based. + * + * In this C version, the following C library functions are used: + * - strcpy, strcmp (inside the measurement loop) + * - printf, scanf (outside the measurement loop) + * In addition, Berkeley UNIX system calls "times ()" or "time ()" + * are used for execution time measurement. For measurements + * on other systems, these calls have to be changed. + * + * Collection of Results: + * Reinhold Weicker (address see above) and + * + * Rick Richardson + * PC Research. Inc. + * 94 Apple Orchard Drive + * Tinton Falls, NJ 07724 + * Phone: (201) 389-8963 (9-17 EST) + * Usenet: ...!uunet!pcrat!rick + * + * Please send results to Rick Richardson and/or Reinhold Weicker. + * Complete information should be given on hardware and software used. + * Hardware information includes: Machine type, CPU, type and size + * of caches; for microprocessors: clock frequency, memory speed + * (number of wait states). + * Software information includes: Compiler (and runtime library) + * manufacturer and version, compilation switches, OS version. + * The Operating System version may give an indication about the + * compiler; Dhrystone itself performs no OS calls in the measurement loop. + * + * The complete output generated by the program should be mailed + * such that at least some checks for correctness can be made. + * + *************************************************************************** + * + * History: This version C/2.1 has been made for two reasons: + * + * 1) There is an obvious need for a common C version of + * Dhrystone, since C is at present the most popular system + * programming language for the class of processors + * (microcomputers, minicomputers) where Dhrystone is used most. + * There should be, as far as possible, only one C version of + * Dhrystone such that results can be compared without + * restrictions. In the past, the C versions distributed + * by Rick Richardson (Version 1.1) and by Reinhold Weicker + * had small (though not significant) differences. + * + * 2) As far as it is possible without changes to the Dhrystone + * statistics, optimizing compilers should be prevented from + * removing significant statements. + * + * This C version has been developed in cooperation with + * Rick Richardson (Tinton Falls, NJ), it incorporates many + * ideas from the "Version 1.1" distributed previously by + * him over the UNIX network Usenet. + * I also thank Chaim Benedelac (National Semiconductor), + * David Ditzel (SUN), Earl Killian and John Mashey (MIPS), + * Alan Smith and Rafael Saavedra-Barrera (UC at Berkeley) + * for their help with comments on earlier versions of the + * benchmark. + * + * Changes: In the initialization part, this version follows mostly + * Rick Richardson's version distributed via Usenet, not the + * version distributed earlier via floppy disk by Reinhold Weicker. + * As a concession to older compilers, names have been made + * unique within the first 8 characters. + * Inside the measurement loop, this version follows the + * version previously distributed by Reinhold Weicker. + * + * At several places in the benchmark, code has been added, + * but within the measurement loop only in branches that + * are not executed. The intention is that optimizing compilers + * should be prevented from moving code out of the measurement + * loop, or from removing code altogether. Since the statements + * that are executed within the measurement loop have NOT been + * changed, the numbers defining the "Dhrystone distribution" + * (distribution of statements, operand types and locality) + * still hold. Except for sophisticated optimizing compilers, + * execution times for this version should be the same as + * for previous versions. + * + * Since it has proven difficult to subtract the time for the + * measurement loop overhead in a correct way, the loop check + * has been made a part of the benchmark. This does have + * an impact - though a very minor one - on the distribution + * statistics which have been updated for this version. + * + * All changes within the measurement loop are described + * and discussed in the companion paper "Rationale for + * Dhrystone version 2". + * + * Because of the self-imposed limitation that the order and + * distribution of the executed statements should not be + * changed, there are still cases where optimizing compilers + * may not generate code for some statements. To a certain + * degree, this is unavoidable for small synthetic benchmarks. + * Users of the benchmark are advised to check code listings + * whether code is generated for all statements of Dhrystone. + * + * Version 2.1 is identical to version 2.0 distributed via + * the UNIX network Usenet in March 1988 except that it corrects + * some minor deficiencies that were found by users of version 2.0. + * The only change within the measurement loop is that a + * non-executed "else" part was added to the "if" statement in + * Func_3, and a non-executed "else" part removed from Proc_3. + * + *************************************************************************** + * + * Defines: The following "Defines" are possible: + * -DREG=register (default: Not defined) + * As an approximation to what an average C programmer + * might do, the "register" storage class is applied + * (if enabled by -DREG=register) + * - for local variables, if they are used (dynamically) + * five or more times + * - for parameters if they are used (dynamically) + * six or more times + * Note that an optimal "register" strategy is + * compiler-dependent, and that "register" declarations + * do not necessarily lead to faster execution. + * -DNOSTRUCTASSIGN (default: Not defined) + * Define if the C compiler does not support + * assignment of structures. + * -DNOENUMS (default: Not defined) + * Define if the C compiler does not support + * enumeration types. + * -DTIMES (default) + * -DTIME + * The "times" function of UNIX (returning process times) + * or the "time" function (returning wallclock time) + * is used for measurement. + * For single user machines, "time ()" is adequate. For + * multi-user machines where you cannot get single-user + * access, use the "times ()" function. If you have + * neither, use a stopwatch in the dead of night. + * "printf"s are provided marking the points "Start Timer" + * and "Stop Timer". DO NOT use the UNIX "time(1)" + * command, as this will measure the total time to + * run this program, which will (erroneously) include + * the time to allocate storage (malloc) and to perform + * the initialization. + * -DHZ=nnn + * In Berkeley UNIX, the function "times" returns process + * time in 1/HZ seconds, with HZ = 60 for most systems. + * CHECK YOUR SYSTEM DESCRIPTION BEFORE YOU JUST APPLY + * A VALUE. + * + *************************************************************************** + * + * Compilation model and measurement (IMPORTANT): + * + * This C version of Dhrystone consists of three files: + * - dhry.h (this file, containing global definitions and comments) + * - dhry_1.c (containing the code corresponding to Ada package Pack_1) + * - dhry_2.c (containing the code corresponding to Ada package Pack_2) + * + * The following "ground rules" apply for measurements: + * - Separate compilation + * - No procedure merging + * - Otherwise, compiler optimizations are allowed but should be indicated + * - Default results are those without register declarations + * See the companion paper "Rationale for Dhrystone Version 2" for a more + * detailed discussion of these ground rules. + * + * For 16-Bit processors (e.g. 80186, 80286), times for all compilation + * models ("small", "medium", "large" etc.) should be given if possible, + * together with a definition of these models for the compiler system used. + * + ************************************************************************** + * + * Dhrystone (C version) statistics: + * + * [Comment from the first distribution, updated for version 2. + * Note that because of language differences, the numbers are slightly + * different from the Ada version.] + * + * The following program contains statements of a high level programming + * language (here: C) in a distribution considered representative: + * + * assignments 52 (51.0 %) + * control statements 33 (32.4 %) + * procedure, function calls 17 (16.7 %) + * + * 103 statements are dynamically executed. The program is balanced with + * respect to the three aspects: + * + * - statement type + * - operand type + * - operand locality + * operand global, local, parameter, or constant. + * + * The combination of these three aspects is balanced only approximately. + * + * 1. Statement Type: + * ----------------- number + * + * V1 = V2 9 + * (incl. V1 = F(..) + * V = Constant 12 + * Assignment, 7 + * with array element + * Assignment, 6 + * with record component + * -- + * 34 34 + * + * X = Y +|-|"&&"|"|" Z 5 + * X = Y +|-|"==" Constant 6 + * X = X +|- 1 3 + * X = Y *|/ Z 2 + * X = Expression, 1 + * two operators + * X = Expression, 1 + * three operators + * -- + * 18 18 + * + * if .... 14 + * with "else" 7 + * without "else" 7 + * executed 3 + * not executed 4 + * for ... 7 | counted every time + * while ... 4 | the loop condition + * do ... while 1 | is evaluated + * switch ... 1 + * break 1 + * declaration with 1 + * initialization + * -- + * 34 34 + * + * P (...) procedure call 11 + * user procedure 10 + * library procedure 1 + * X = F (...) + * function call 6 + * user function 5 + * library function 1 + * -- + * 17 17 + * --- + * 103 + * + * The average number of parameters in procedure or function calls + * is 1.82 (not counting the function values aX * + * + * 2. Operators + * ------------ + * number approximate + * percentage + * + * Arithmetic 32 50.8 + * + * + 21 33.3 + * - 7 11.1 + * * 3 4.8 + * / (int div) 1 1.6 + * + * Comparison 27 42.8 + * + * == 9 14.3 + * /= 4 6.3 + * > 1 1.6 + * < 3 4.8 + * >= 1 1.6 + * <= 9 14.3 + * + * Logic 4 6.3 + * + * && (AND-THEN) 1 1.6 + * | (OR) 1 1.6 + * ! (NOT) 2 3.2 + * + * -- ----- + * 63 100.1 + * + * + * 3. Operand Type (counted once per operand reference): + * --------------- + * number approximate + * percentage + * + * Integer 175 72.3 % + * Character 45 18.6 % + * Pointer 12 5.0 % + * String30 6 2.5 % + * Array 2 0.8 % + * Record 2 0.8 % + * --- ------- + * 242 100.0 % + * + * When there is an access path leading to the final operand (e.g. a record + * component), only the final data type on the access path is counted. + * + * + * 4. Operand Locality: + * ------------------- + * number approximate + * percentage + * + * local variable 114 47.1 % + * global variable 22 9.1 % + * parameter 45 18.6 % + * value 23 9.5 % + * reference 22 9.1 % + * function result 6 2.5 % + * constant 55 22.7 % + * --- ------- + * 242 100.0 % + * + * + * The program does not compute anything meaningful, but it is syntactically + * and semantically correct. All variables have a value assigned to them + * before they are used as a source operand. + * + * There has been no explicit effort to account for the effects of a + * cache, or to balance the use of long or short displacements for code or + * data. + * + *************************************************************************** + */ + +/* Compiler and system dependent definitions: */ + +#ifndef TIME +#undef TIMES +#define TIMES +#endif + /* Use times(2) time function unless */ + /* explicitly defined otherwise */ + + +#ifdef MSC_CLOCK +#undef HZ +#undef TIMES +#include +#define HZ CLK_TCK +#endif + /* Use Microsoft C hi-res clock */ + +#ifdef TIMES +#include +#include + /* for "times" */ +#endif + +#define Mic_secs_Per_Second 1000000.0 + /* Berkeley UNIX C returns process times in seconds/HZ */ + +#ifdef NOSTRUCTASSIGN +#define structassign(d, s) memcpy(&(d), &(s), sizeof(d)) +#else +#define structassign(d, s) d = s +#endif + +#ifdef NOENUM +#define Ident_1 0 +#define Ident_2 1 +#define Ident_3 2 +#define Ident_4 3 +#define Ident_5 4 + typedef int Enumeration; +#else + typedef enum {Ident_1, Ident_2, Ident_3, Ident_4, Ident_5} + Enumeration; +#endif + /* for boolean and enumeration types in Ada, Pascal */ + +/* General definitions: */ + +#include + /* for strcpy, strcmp */ + +#define Null 0 + /* Value of a Null pointer */ +#define true 1 +#define false 0 + +typedef int One_Thirty; +typedef int One_Fifty; +typedef char Capital_Letter; +typedef int Boolean; +typedef char Str_30 [31]; +typedef int Arr_1_Dim [50]; +typedef int Arr_2_Dim [50] [50]; + +typedef struct record + { + struct record *Ptr_Comp; + Enumeration Discr; + union { + struct { + Enumeration Enum_Comp; + int Int_Comp; + char Str_Comp [31]; + } var_1; + struct { + Enumeration E_Comp_2; + char Str_2_Comp [31]; + } var_2; + struct { + char Ch_1_Comp; + char Ch_2_Comp; + } var_3; + } variant; + } Rec_Type, *Rec_Pointer; + + diff --git a/VexRiscvSocSoftware/projects/raw/dhrystone/src/dhry_1.c b/VexRiscvSocSoftware/projects/raw/dhrystone/src/dhry_1.c new file mode 100644 index 0000000..224b487 --- /dev/null +++ b/VexRiscvSocSoftware/projects/raw/dhrystone/src/dhry_1.c @@ -0,0 +1,402 @@ +/* + **************************************************************************** + * + * "DHRYSTONE" Benchmark Program + * ----------------------------- + * + * Version: C, Version 2.1 + * + * File: dhry_1.c (part 2 of 3) + * + * Date: May 25, 1988 + * + * Author: Reinhold P. Weicker + * + **************************************************************************** + */ +#include "dhry.h" + +/* Global Variables: */ + +Rec_Pointer Ptr_Glob, + Next_Ptr_Glob; +int Int_Glob; +Boolean Bool_Glob; +char Ch_1_Glob, + Ch_2_Glob; +int Arr_1_Glob [50]; +int Arr_2_Glob [50] [50]; + +extern char *malloc (); +Enumeration Func_1 (); + /* forward declaration necessary since Enumeration may not simply be int */ + +#ifndef REG + Boolean Reg = false; +#define REG + /* REG becomes defined as empty */ + /* i.e. no register variables */ +#else + Boolean Reg = true; +#endif + +/* variables for time measurement: */ + +#ifdef TIMES +struct tms time_info; + + /* see library function "times" */ + + +#define Too_Small_Time (CORE_HZ/2000) + /* Measurements should last at least about 2 seconds */ +#endif +#ifdef TIME +extern long time(); + /* see library function "time" */ +#define Too_Small_Time 2 + /* Measurements should last at least 2 seconds */ +#endif +#ifdef MSC_CLOCK +extern clock_t clock(); +#define Too_Small_Time (HZ/2000) +#endif + +long Begin_Time, + End_Time, + User_Time; +float Microseconds, + Dhrystones_Per_Second; + +/* end of variables for time measurement */ + + +main2 () +/*****/ + + /* main program, corresponds to procedures */ + /* Main and Proc_0 in the Ada version */ +{ + One_Fifty Int_1_Loc; + REG One_Fifty Int_2_Loc; + One_Fifty Int_3_Loc; + REG char Ch_Index; + Enumeration Enum_Loc; + Str_30 Str_1_Loc; + Str_30 Str_2_Loc; + REG int Run_Index; + REG int Number_Of_Runs; + + /* Initializations */ + + Next_Ptr_Glob = (Rec_Pointer) malloc (sizeof (Rec_Type)); + Ptr_Glob = (Rec_Pointer) malloc (sizeof (Rec_Type)); + + Ptr_Glob->Ptr_Comp = Next_Ptr_Glob; + Ptr_Glob->Discr = Ident_1; + Ptr_Glob->variant.var_1.Enum_Comp = Ident_3; + Ptr_Glob->variant.var_1.Int_Comp = 40; + strcpy (Ptr_Glob->variant.var_1.Str_Comp, + "DHRYSTONE PROGRAM, SOME STRING"); + strcpy (Str_1_Loc, "DHRYSTONE PROGRAM, 1'ST STRING"); + + Arr_2_Glob [8][7] = 10; + /* Was missing in published program. Without this statement, */ + /* Arr_2_Glob [8][7] would have an undefined value. */ + /* Warning: With 16-Bit processors and Number_Of_Runs > 32000, */ + /* overflow may occur for this array element. */ + + printf ("\n"); + printf ("Dhrystone Benchmark, Version 2.1 (Language: C)\n"); + printf ("\n"); + if (Reg) + { + printf ("Program compiled with 'register' attribute\n"); + printf ("\n"); + } + else + { + printf ("Program compiled without 'register' attribute\n"); + printf ("\n"); + } + printf ("Please give the number of runs through the benchmark: "); + { + //int n; + //scanf ("%d", &n); + Number_Of_Runs = 200; + } + printf ("\n"); + + printf ("Execution starts, %d runs through Dhrystone\n", Number_Of_Runs); + + /***************/ + /* Start timer */ + /***************/ + +#ifdef TIMES + times (&time_info); + Begin_Time = (long) time_info.tms_utime; +#endif +#ifdef TIME + Begin_Time = time ( (long *) 0); +#endif +#ifdef MSC_CLOCK + Begin_Time = clock(); +#endif + + for (Run_Index = 1; Run_Index <= Number_Of_Runs; ++Run_Index) + { + + Proc_5(); + Proc_4(); + /* Ch_1_Glob == 'A', Ch_2_Glob == 'B', Bool_Glob == true */ + Int_1_Loc = 2; + Int_2_Loc = 3; + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 2'ND STRING"); + Enum_Loc = Ident_2; + Bool_Glob = ! Func_2 (Str_1_Loc, Str_2_Loc); + /* Bool_Glob == 1 */ + while (Int_1_Loc < Int_2_Loc) /* loop body executed once */ + { + Int_3_Loc = 5 * Int_1_Loc - Int_2_Loc; + /* Int_3_Loc == 7 */ + Proc_7 (Int_1_Loc, Int_2_Loc, &Int_3_Loc); + /* Int_3_Loc == 7 */ + Int_1_Loc += 1; + } /* while */ + /* Int_1_Loc == 3, Int_2_Loc == 3, Int_3_Loc == 7 */ + Proc_8 (Arr_1_Glob, Arr_2_Glob, Int_1_Loc, Int_3_Loc); + /* Int_Glob == 5 */ + Proc_1 (Ptr_Glob); + for (Ch_Index = 'A'; Ch_Index <= Ch_2_Glob; ++Ch_Index) + /* loop body executed twice */ + { + if (Enum_Loc == Func_1 (Ch_Index, 'C')) + /* then, not executed */ + { + Proc_6 (Ident_1, &Enum_Loc); + strcpy (Str_2_Loc, "DHRYSTONE PROGRAM, 3'RD STRING"); + Int_2_Loc = Run_Index; + Int_Glob = Run_Index; + } + } + /* Int_1_Loc == 3, Int_2_Loc == 3, Int_3_Loc == 7 */ + Int_2_Loc = Int_2_Loc * Int_1_Loc; + Int_1_Loc = Int_2_Loc / Int_3_Loc; + Int_2_Loc = 7 * (Int_2_Loc - Int_3_Loc) - Int_1_Loc; + /* Int_1_Loc == 1, Int_2_Loc == 13, Int_3_Loc == 7 */ + Proc_2 (&Int_1_Loc); + /* Int_1_Loc == 5 */ + + } /* loop "for Run_Index" */ + + /**************/ + /* Stop timer */ + /**************/ + +#ifdef TIMES + times (&time_info); + End_Time = (long) time_info.tms_utime; +#endif +#ifdef TIME + End_Time = time ( (long *) 0); +#endif +#ifdef MSC_CLOCK + End_Time = clock(); +#endif + + printf ("Execution ends\n"); + printf ("\n"); + printf ("Final values of the variables used in the benchmark:\n"); + printf ("\n"); + printf ("Int_Glob: %d\n", Int_Glob); + printf (" should be: %d\n", 5); + printf ("Bool_Glob: %d\n", Bool_Glob); + printf (" should be: %d\n", 1); + printf ("Ch_1_Glob: %c\n", Ch_1_Glob); + printf (" should be: %c\n", 'A'); + printf ("Ch_2_Glob: %c\n", Ch_2_Glob); + printf (" should be: %c\n", 'B'); + printf ("Arr_1_Glob[8]: %d\n", Arr_1_Glob[8]); + printf (" should be: %d\n", 7); + printf ("Arr_2_Glob[8][7]: %d\n", Arr_2_Glob[8][7]); + printf (" should be: Number_Of_Runs + 10\n"); + printf ("Ptr_Glob->\n"); + printf (" Ptr_Comp: %d\n", (int) Ptr_Glob->Ptr_Comp); + printf (" should be: (implementation-dependent)\n"); + printf (" Discr: %d\n", Ptr_Glob->Discr); + printf (" should be: %d\n", 0); + printf (" Enum_Comp: %d\n", Ptr_Glob->variant.var_1.Enum_Comp); + printf (" should be: %d\n", 2); + printf (" Int_Comp: %d\n", Ptr_Glob->variant.var_1.Int_Comp); + printf (" should be: %d\n", 17); + printf (" Str_Comp: %s\n", Ptr_Glob->variant.var_1.Str_Comp); + printf (" should be: DHRYSTONE PROGRAM, SOME STRING\n"); + printf ("Next_Ptr_Glob->\n"); + printf (" Ptr_Comp: %d\n", (int) Next_Ptr_Glob->Ptr_Comp); + printf (" should be: (implementation-dependent), same as above\n"); + printf (" Discr: %d\n", Next_Ptr_Glob->Discr); + printf (" should be: %d\n", 0); + printf (" Enum_Comp: %d\n", Next_Ptr_Glob->variant.var_1.Enum_Comp); + printf (" should be: %d\n", 1); + printf (" Int_Comp: %d\n", Next_Ptr_Glob->variant.var_1.Int_Comp); + printf (" should be: %d\n", 18); + printf (" Str_Comp: %s\n", + Next_Ptr_Glob->variant.var_1.Str_Comp); + printf (" should be: DHRYSTONE PROGRAM, SOME STRING\n"); + printf ("Int_1_Loc: %d\n", Int_1_Loc); + printf (" should be: %d\n", 5); + printf ("Int_2_Loc: %d\n", Int_2_Loc); + printf (" should be: %d\n", 13); + printf ("Int_3_Loc: %d\n", Int_3_Loc); + printf (" should be: %d\n", 7); + printf ("Enum_Loc: %d\n", Enum_Loc); + printf (" should be: %d\n", 1); + printf ("Str_1_Loc: %s\n", Str_1_Loc); + printf (" should be: DHRYSTONE PROGRAM, 1'ST STRING\n"); + printf ("Str_2_Loc: %s\n", Str_2_Loc); + printf (" should be: DHRYSTONE PROGRAM, 2'ND STRING\n"); + printf ("\n"); + + User_Time = End_Time - Begin_Time; + + if (User_Time < Too_Small_Time) + { + printf ("Measured time too small to obtain meaningful results\n"); + printf ("Please increase number of runs\n"); + printf ("\n"); + } + else + { + printf ("Clock cycles=%d \n", User_Time); + +#ifdef TIME + Microseconds = (float) User_Time * Mic_secs_Per_Second + / (float) Number_Of_Runs; + Dhrystones_Per_Second = (float) Number_Of_Runs / (float) User_Time; +#else + Microseconds = (float) User_Time * Mic_secs_Per_Second + / ((float) CORE_HZ * ((float) Number_Of_Runs)); + Dhrystones_Per_Second = ((float) CORE_HZ * (float) Number_Of_Runs) + / (float) User_Time; +#endif + + printf ("DMIPS per Mhz: "); + float dmips = (1e6f/1757.0f) * Number_Of_Runs / User_Time; + int dmipsNatural = dmips; + int dmipsReal = (dmips - dmipsNatural)*100.0f; + printf ("%d.", dmipsNatural); + if(dmipsReal < 10) printf("0"); + printf ("%d", dmipsReal); + printf ("\n"); + } + +} + + +Proc_1 (Ptr_Val_Par) +/******************/ + +REG Rec_Pointer Ptr_Val_Par; + /* executed once */ +{ + REG Rec_Pointer Next_Record = Ptr_Val_Par->Ptr_Comp; + /* == Ptr_Glob_Next */ + /* Local variable, initialized with Ptr_Val_Par->Ptr_Comp, */ + /* corresponds to "rename" in Ada, "with" in Pascal */ + + structassign (*Ptr_Val_Par->Ptr_Comp, *Ptr_Glob); + Ptr_Val_Par->variant.var_1.Int_Comp = 5; + Next_Record->variant.var_1.Int_Comp + = Ptr_Val_Par->variant.var_1.Int_Comp; + Next_Record->Ptr_Comp = Ptr_Val_Par->Ptr_Comp; + Proc_3 (&Next_Record->Ptr_Comp); + /* Ptr_Val_Par->Ptr_Comp->Ptr_Comp + == Ptr_Glob->Ptr_Comp */ + if (Next_Record->Discr == Ident_1) + /* then, executed */ + { + Next_Record->variant.var_1.Int_Comp = 6; + Proc_6 (Ptr_Val_Par->variant.var_1.Enum_Comp, + &Next_Record->variant.var_1.Enum_Comp); + Next_Record->Ptr_Comp = Ptr_Glob->Ptr_Comp; + Proc_7 (Next_Record->variant.var_1.Int_Comp, 10, + &Next_Record->variant.var_1.Int_Comp); + } + else /* not executed */ + structassign (*Ptr_Val_Par, *Ptr_Val_Par->Ptr_Comp); +} /* Proc_1 */ + + +Proc_2 (Int_Par_Ref) +/******************/ + /* executed once */ + /* *Int_Par_Ref == 1, becomes 4 */ + +One_Fifty *Int_Par_Ref; +{ + One_Fifty Int_Loc; + Enumeration Enum_Loc; + + Int_Loc = *Int_Par_Ref + 10; + do /* executed once */ + if (Ch_1_Glob == 'A') + /* then, executed */ + { + Int_Loc -= 1; + *Int_Par_Ref = Int_Loc - Int_Glob; + Enum_Loc = Ident_1; + } /* if */ + while (Enum_Loc != Ident_1); /* true */ +} /* Proc_2 */ + + +Proc_3 (Ptr_Ref_Par) +/******************/ + /* executed once */ + /* Ptr_Ref_Par becomes Ptr_Glob */ + +Rec_Pointer *Ptr_Ref_Par; + +{ + if (Ptr_Glob != Null) + /* then, executed */ + *Ptr_Ref_Par = Ptr_Glob->Ptr_Comp; + Proc_7 (10, Int_Glob, &Ptr_Glob->variant.var_1.Int_Comp); +} /* Proc_3 */ + + +Proc_4 () /* without parameters */ +/*******/ + /* executed once */ +{ + Boolean Bool_Loc; + + Bool_Loc = Ch_1_Glob == 'A'; + Bool_Glob = Bool_Loc | Bool_Glob; + Ch_2_Glob = 'B'; +} /* Proc_4 */ + + +Proc_5 () /* without parameters */ +/*******/ + /* executed once */ +{ + Ch_1_Glob = 'A'; + Bool_Glob = false; +} /* Proc_5 */ + + + /* Procedure for the assignment of structures, */ + /* if the C compiler doesn't support this feature */ +#ifdef NOSTRUCTASSIGN +memcpy (d, s, l) +register char *d; +register char *s; +register int l; +{ + while (l--) *d++ = *s++; +} +#endif + + diff --git a/VexRiscvSocSoftware/projects/raw/dhrystone/src/dhry_2.c b/VexRiscvSocSoftware/projects/raw/dhrystone/src/dhry_2.c new file mode 100644 index 0000000..aa54bd5 --- /dev/null +++ b/VexRiscvSocSoftware/projects/raw/dhrystone/src/dhry_2.c @@ -0,0 +1,191 @@ +/* + **************************************************************************** + * + * "DHRYSTONE" Benchmark Program + * ----------------------------- + * + * Version: C, Version 2.1 + * + * File: dhry_2.c (part 3 of 3) + * + * Date: May 25, 1988 + * + * Author: Reinhold P. Weicker + * + **************************************************************************** + */ +#include "dhry.h" + +#ifndef REG +#define REG + /* REG becomes defined as empty */ + /* i.e. no register variables */ +#endif + +extern int Int_Glob; +extern char Ch_1_Glob; + + +Proc_6 (Enum_Val_Par, Enum_Ref_Par) +/*********************************/ + /* executed once */ + /* Enum_Val_Par == Ident_3, Enum_Ref_Par becomes Ident_2 */ + +Enumeration Enum_Val_Par; +Enumeration *Enum_Ref_Par; +{ + *Enum_Ref_Par = Enum_Val_Par; + if (! Func_3 (Enum_Val_Par)) + /* then, not executed */ + *Enum_Ref_Par = Ident_4; + switch (Enum_Val_Par) + { + case Ident_1: + *Enum_Ref_Par = Ident_1; + break; + case Ident_2: + if (Int_Glob > 100) + /* then */ + *Enum_Ref_Par = Ident_1; + else *Enum_Ref_Par = Ident_4; + break; + case Ident_3: /* executed */ + *Enum_Ref_Par = Ident_2; + break; + case Ident_4: break; + case Ident_5: + *Enum_Ref_Par = Ident_3; + break; + } /* switch */ +} /* Proc_6 */ + + +Proc_7 (Int_1_Par_Val, Int_2_Par_Val, Int_Par_Ref) +/**********************************************/ + /* executed three times */ + /* first call: Int_1_Par_Val == 2, Int_2_Par_Val == 3, */ + /* Int_Par_Ref becomes 7 */ + /* second call: Int_1_Par_Val == 10, Int_2_Par_Val == 5, */ + /* Int_Par_Ref becomes 17 */ + /* third call: Int_1_Par_Val == 6, Int_2_Par_Val == 10, */ + /* Int_Par_Ref becomes 18 */ +One_Fifty Int_1_Par_Val; +One_Fifty Int_2_Par_Val; +One_Fifty *Int_Par_Ref; +{ + One_Fifty Int_Loc; + + Int_Loc = Int_1_Par_Val + 2; + *Int_Par_Ref = Int_2_Par_Val + Int_Loc; +} /* Proc_7 */ + + +Proc_8 (Arr_1_Par_Ref, Arr_2_Par_Ref, Int_1_Par_Val, Int_2_Par_Val) +/*********************************************************************/ + /* executed once */ + /* Int_Par_Val_1 == 3 */ + /* Int_Par_Val_2 == 7 */ +Arr_1_Dim Arr_1_Par_Ref; +Arr_2_Dim Arr_2_Par_Ref; +int Int_1_Par_Val; +int Int_2_Par_Val; +{ + REG One_Fifty Int_Index; + REG One_Fifty Int_Loc; + + Int_Loc = Int_1_Par_Val + 5; + Arr_1_Par_Ref [Int_Loc] = Int_2_Par_Val; + Arr_1_Par_Ref [Int_Loc+1] = Arr_1_Par_Ref [Int_Loc]; + Arr_1_Par_Ref [Int_Loc+30] = Int_Loc; + for (Int_Index = Int_Loc; Int_Index <= Int_Loc+1; ++Int_Index) + Arr_2_Par_Ref [Int_Loc] [Int_Index] = Int_Loc; + Arr_2_Par_Ref [Int_Loc] [Int_Loc-1] += 1; + Arr_2_Par_Ref [Int_Loc+20] [Int_Loc] = Arr_1_Par_Ref [Int_Loc]; + Int_Glob = 5; +} /* Proc_8 */ + + +Enumeration Func_1 (Ch_1_Par_Val, Ch_2_Par_Val) +/*************************************************/ + /* executed three times */ + /* first call: Ch_1_Par_Val == 'H', Ch_2_Par_Val == 'R' */ + /* second call: Ch_1_Par_Val == 'A', Ch_2_Par_Val == 'C' */ + /* third call: Ch_1_Par_Val == 'B', Ch_2_Par_Val == 'C' */ + +Capital_Letter Ch_1_Par_Val; +Capital_Letter Ch_2_Par_Val; +{ + Capital_Letter Ch_1_Loc; + Capital_Letter Ch_2_Loc; + + Ch_1_Loc = Ch_1_Par_Val; + Ch_2_Loc = Ch_1_Loc; + if (Ch_2_Loc != Ch_2_Par_Val) + /* then, executed */ + return (Ident_1); + else /* not executed */ + { + Ch_1_Glob = Ch_1_Loc; + return (Ident_2); + } +} /* Func_1 */ + + +Boolean Func_2 (Str_1_Par_Ref, Str_2_Par_Ref) +/*************************************************/ + /* executed once */ + /* Str_1_Par_Ref == "DHRYSTONE PROGRAM, 1'ST STRING" */ + /* Str_2_Par_Ref == "DHRYSTONE PROGRAM, 2'ND STRING" */ + +Str_30 Str_1_Par_Ref; +Str_30 Str_2_Par_Ref; +{ + REG One_Thirty Int_Loc; + Capital_Letter Ch_Loc; + + Int_Loc = 2; + while (Int_Loc <= 2) /* loop body executed once */ + if (Func_1 (Str_1_Par_Ref[Int_Loc], + Str_2_Par_Ref[Int_Loc+1]) == Ident_1) + /* then, executed */ + { + Ch_Loc = 'A'; + Int_Loc += 1; + } /* if, while */ + if (Ch_Loc >= 'W' && Ch_Loc < 'Z') + /* then, not executed */ + Int_Loc = 7; + if (Ch_Loc == 'R') + /* then, not executed */ + return (true); + else /* executed */ + { + if (strcmp (Str_1_Par_Ref, Str_2_Par_Ref) > 0) + /* then, not executed */ + { + Int_Loc += 7; + Int_Glob = Int_Loc; + return (true); + } + else /* executed */ + return (false); + } /* if Ch_Loc */ +} /* Func_2 */ + + +Boolean Func_3 (Enum_Par_Val) +/***************************/ + /* executed once */ + /* Enum_Par_Val == Ident_3 */ +Enumeration Enum_Par_Val; +{ + Enumeration Enum_Loc; + + Enum_Loc = Enum_Par_Val; + if (Enum_Loc == Ident_3) + /* then, executed */ + return (true); + else /* not executed */ + return (false); +} /* Func_3 */ + diff --git a/VexRiscvSocSoftware/projects/raw/dhrystone/src/main.c b/VexRiscvSocSoftware/projects/raw/dhrystone/src/main.c new file mode 100755 index 0000000..4502256 --- /dev/null +++ b/VexRiscvSocSoftware/projects/raw/dhrystone/src/main.c @@ -0,0 +1,24 @@ +#include +#include +#include +#include + + +#define TEST_COM_BASE ((volatile uint32_t*)(0xF00FFF00)) + +extern void main2(); + +int main() { + main2(); + + TEST_COM_BASE[8] = 0; +} + + +void irqCallback(int irq){ + +} + + + + diff --git a/VexRiscvSocSoftware/projects/raw/dhrystone/src/stdlib.c b/VexRiscvSocSoftware/projects/raw/dhrystone/src/stdlib.c new file mode 100644 index 0000000..7ec3503 --- /dev/null +++ b/VexRiscvSocSoftware/projects/raw/dhrystone/src/stdlib.c @@ -0,0 +1,104 @@ +// This is free and unencumbered software released into the public domain. +// +// Anyone is free to copy, modify, publish, use, compile, sell, or +// distribute this software, either in source code form or as a compiled +// binary, for any purpose, commercial or non-commercial, and by any +// means. + +#include +#include + + +#define TEST_COM_BASE ((volatile uint32_t*)(0xF00FFF00)) + +extern char *malloc(); +extern int printf(const char *format, ...); + +char heap_memory[1024]; +int heap_memory_used = 0; + +char *malloc(int size) +{ + char *p = heap_memory + heap_memory_used; + // printf("[malloc(%d) -> %d (%d..%d)]", size, (int)p, heap_memory_used, heap_memory_used + size); + heap_memory_used += size; + if (heap_memory_used > 1024) + asm volatile ("ebreak"); + return p; +} + +static void printf_c(int c) +{ + putchar(c); +} + +static void printf_s(char *p) +{ + while (*p) + putchar(*(p++)); +} + +static void printf_d(int val) +{ + char buffer[32]; + char *p = buffer; + if (val < 0) { + printf_c('-'); + val = -val; + } + while (val || p == buffer) { + *(p++) = '0' + val % 10; + val = val / 10; + } + while (p != buffer) + printf_c(*(--p)); +} + +int printf(const char *format, ...) +{ + int i; + va_list ap; + + va_start(ap, format); + + for (i = 0; format[i]; i++) + if (format[i] == '%') { + while (format[++i]) { + if (format[i] == 'c') { + printf_c(va_arg(ap,int)); + break; + } + if (format[i] == 's') { + printf_s(va_arg(ap,char*)); + break; + } + if (format[i] == 'd') { + printf_d(va_arg(ap,int)); + break; + } + } + } else + printf_c(format[i]); + + va_end(ap); +} + + +int puts(char *s){ + while (*s) { + putchar(*s); + s++; + } + putchar('\n'); + return 0; +} + +void putchar(char c){ + TEST_COM_BASE[0] = c; +} + +#include +clock_t clock(){ + return TEST_COM_BASE[4]; +} + diff --git a/VexRiscvSocSoftware/projects/raw/libs/simple.ld b/VexRiscvSocSoftware/projects/raw/libs/simple.ld new file mode 100644 index 0000000..d8bf3c6 --- /dev/null +++ b/VexRiscvSocSoftware/projects/raw/libs/simple.ld @@ -0,0 +1,109 @@ +/* +This is free and unencumbered software released into the public domain. + +Anyone is free to copy, modify, publish, use, compile, sell, or +distribute this software, either in source code form or as a compiled +binary, for any purpose, commercial or non-commercial, and by any +means. +*/ +OUTPUT_FORMAT("elf32-littleriscv", "elf32-littleriscv", "elf32-littleriscv") +OUTPUT_ARCH(riscv) +ENTRY(crtStart) + +MEMORY { + mem : ORIGIN = 0x80000000, LENGTH = 0x00040000 +} + +_stack_size = DEFINED(_stack_size) ? _stack_size : 1K; +_heap_size = DEFINED(_heap_size) ? _heap_size : 0K; + +SECTIONS { + + .vector : { + *crt.o(.text); + } > mem + + .memory : { + *(.text); + end = .; + } > mem + + .rodata : + { + *(.rdata) + *(.rodata .rodata.*) + *(.gnu.linkonce.r.*) + } > mem + + .ctors : + { + . = ALIGN(4); + _ctors_start = .; + KEEP(*(.init_array*)) + KEEP (*(SORT(.ctors.*))) + KEEP (*(.ctors)) + . = ALIGN(4); + _ctors_end = .; + } > mem + + .data : + { + *(.rdata) + *(.rodata .rodata.*) + *(.gnu.linkonce.r.*) + *(.data .data.*) + *(.gnu.linkonce.d.*) + . = ALIGN(8); + PROVIDE( __global_pointer$ = . + 0x800 ); + *(.sdata .sdata.*) + *(.gnu.linkonce.s.*) + . = ALIGN(8); + *(.srodata.cst16) + *(.srodata.cst8) + *(.srodata.cst4) + *(.srodata.cst2) + *(.srodata .srodata.*) + } > mem + + .bss (NOLOAD) : { + . = ALIGN(4); + /* This is used by the startup in order to initialize the .bss secion */ + _bss_start = .; + *(.sbss*) + *(.gnu.linkonce.sb.*) + *(.bss .bss.*) + *(.gnu.linkonce.b.*) + *(COMMON) + . = ALIGN(4); + _bss_end = .; + } > mem + + .noinit (NOLOAD) : { + . = ALIGN(4); + *(.noinit .noinit.*) + . = ALIGN(4); + } > mem + + + ._user_heap (NOLOAD): + { + . = ALIGN(8); + PROVIDE ( end = . ); + PROVIDE ( _end = . ); + PROVIDE ( _heap_start = .); + . = . + _heap_size; + . = ALIGN(8); + PROVIDE ( _heap_end = .); + } > mem + + ._stack (NOLOAD): + { + . = ALIGN(16); + PROVIDE (_stack_end = .); + . = . + _stack_size; + . = ALIGN(16); + PROVIDE (_stack_start = .); + } > mem + +} + diff --git a/VexRiscvSocSoftware/projects/raw/makefile b/VexRiscvSocSoftware/projects/raw/makefile new file mode 100644 index 0000000..aa7691f --- /dev/null +++ b/VexRiscvSocSoftware/projects/raw/makefile @@ -0,0 +1 @@ +include ../../resources/recursive.mk \ No newline at end of file diff --git a/VexRiscvSocSoftware/projects/raw/simple/makefile b/VexRiscvSocSoftware/projects/raw/simple/makefile new file mode 100644 index 0000000..19e06cc --- /dev/null +++ b/VexRiscvSocSoftware/projects/raw/simple/makefile @@ -0,0 +1,16 @@ +PROJ_NAME=simple +DEBUG=no +BENCH=no +MULDIV?=yes + +SRCS = $(wildcard src/*.c) \ + $(wildcard src/*.S) + +LDSCRIPT = ../libs/simple.ld + + +include ../../../resources/gcc.mk +include ../../../resources/subproject.mk + + + diff --git a/VexRiscvSocSoftware/projects/raw/simple/src/crt.S b/VexRiscvSocSoftware/projects/raw/simple/src/crt.S new file mode 100755 index 0000000..0e7c8f5 --- /dev/null +++ b/VexRiscvSocSoftware/projects/raw/simple/src/crt.S @@ -0,0 +1,98 @@ +.global crtStart +.global main +.global irqCallback + +crtStart: + j crtInit + nop + nop + nop + nop + nop + nop + nop + +.global trap_entry +trap_entry: + sw x1, - 1*4(sp) + sw x5, - 2*4(sp) + sw x6, - 3*4(sp) + sw x7, - 4*4(sp) + sw x10, - 5*4(sp) + sw x11, - 6*4(sp) + sw x12, - 7*4(sp) + sw x13, - 8*4(sp) + sw x14, - 9*4(sp) + sw x15, -10*4(sp) + sw x16, -11*4(sp) + sw x17, -12*4(sp) + sw x28, -13*4(sp) + sw x29, -14*4(sp) + sw x30, -15*4(sp) + sw x31, -16*4(sp) + addi sp,sp,-16*4 + call irqCallback + lw x1 , 15*4(sp) + lw x5, 14*4(sp) + lw x6, 13*4(sp) + lw x7, 12*4(sp) + lw x10, 11*4(sp) + lw x11, 10*4(sp) + lw x12, 9*4(sp) + lw x13, 8*4(sp) + lw x14, 7*4(sp) + lw x15, 6*4(sp) + lw x16, 5*4(sp) + lw x17, 4*4(sp) + lw x28, 3*4(sp) + lw x29, 2*4(sp) + lw x30, 1*4(sp) + lw x31, 0*4(sp) + addi sp,sp,16*4 + mret + .text + + +crtInit: + /* .option push + .option norelax + la gp, __global_pointer$ + .option pop*/ + la sp, _stack_start + +bss_init: + la a0, _bss_start + la a1, _bss_end +bss_loop: + beq a0,a1,bss_done + sw zero,0(a0) + add a0,a0,4 + j bss_loop +bss_done: + +ctors_init: + la a0, _ctors_start + addi sp,sp,-4 +ctors_loop: + la a1, _ctors_end + beq a0,a1,ctors_done + lw a3,0(a0) + add a0,a0,4 + sw a0,0(sp) + jalr a3 + lw a0,0(sp) + j ctors_loop +ctors_done: + addi sp,sp,4 + + + li a0, 0x880 //880 enable timer + external interrupts + csrw mie,a0 + li a0, 0x1808 //1808 enable interrupts + csrw mstatus,a0 + + call main +infinitLoop: + j infinitLoop + + diff --git a/VexRiscvSocSoftware/projects/raw/simple/src/main.c b/VexRiscvSocSoftware/projects/raw/simple/src/main.c new file mode 100755 index 0000000..dbefb08 --- /dev/null +++ b/VexRiscvSocSoftware/projects/raw/simple/src/main.c @@ -0,0 +1,21 @@ + +#define TEST_COM_BASE ((volatile int*)(0xF00FFF00)) + +int var1 = 32; +int var2 = 0; +int var3 = 0; +int main() { + TEST_COM_BASE[0] = var1 + var2 + var3; + var1 += 1; +} + + +void irqCallback(int irq){ + +} + +int miaou(){ + return 2; +} + + diff --git a/VexRiscvSocSoftware/resources/crt.S b/VexRiscvSocSoftware/resources/crt.S new file mode 100755 index 0000000..0a98a6e --- /dev/null +++ b/VexRiscvSocSoftware/resources/crt.S @@ -0,0 +1,97 @@ +.global crtStart +.global main +.global irqCallback + +crtStart: + j crtInit + nop + nop + nop + nop + nop + nop + nop + +.global trap_entry +trap_entry: + sw x1, - 1*4(sp) + sw x5, - 2*4(sp) + sw x6, - 3*4(sp) + sw x7, - 4*4(sp) + sw x10, - 5*4(sp) + sw x11, - 6*4(sp) + sw x12, - 7*4(sp) + sw x13, - 8*4(sp) + sw x14, - 9*4(sp) + sw x15, -10*4(sp) + sw x16, -11*4(sp) + sw x17, -12*4(sp) + sw x28, -13*4(sp) + sw x29, -14*4(sp) + sw x30, -15*4(sp) + sw x31, -16*4(sp) + addi sp,sp,-16*4 + call irqCallback + lw x1 , 15*4(sp) + lw x5, 14*4(sp) + lw x6, 13*4(sp) + lw x7, 12*4(sp) + lw x10, 11*4(sp) + lw x11, 10*4(sp) + lw x12, 9*4(sp) + lw x13, 8*4(sp) + lw x14, 7*4(sp) + lw x15, 6*4(sp) + lw x16, 5*4(sp) + lw x17, 4*4(sp) + lw x28, 3*4(sp) + lw x29, 2*4(sp) + lw x30, 1*4(sp) + lw x31, 0*4(sp) + addi sp,sp,16*4 + mret + .text + + +crtInit: + .option push + .option norelax + la gp, __global_pointer$ + .option pop + la sp, _stack_start + +bss_init: + la a0, _bss_start + la a1, _bss_end +bss_loop: + beq a0,a1,bss_done + sw zero,0(a0) + add a0,a0,4 + j bss_loop +bss_done: + +ctors_init: + la a0, _ctors_start + addi sp,sp,-4 +ctors_loop: + la a1, _ctors_end + beq a0,a1,ctors_done + lw a3,0(a0) + add a0,a0,4 + sw a0,0(sp) + jalr a3 + lw a0,0(sp) + j ctors_loop +ctors_done: + addi sp,sp,4 + + + li a0, 0x880 //880 enable timer + external interrupts + csrw mie,a0 + li a0, 0x1808 //1808 enable interrupts + csrw mstatus,a0 + + call main +infinitLoop: + j infinitLoop + diff --git a/VexRiscvSocSoftware/resources/gcc.mk b/VexRiscvSocSoftware/resources/gcc.mk new file mode 100644 index 0000000..597f0ba --- /dev/null +++ b/VexRiscvSocSoftware/resources/gcc.mk @@ -0,0 +1,26 @@ +# Set it to yes if you are using the sifive precompiled GCC pack +SIFIVE_GCC_PACK ?= yes + +ifeq ($(SIFIVE_GCC_PACK),yes) + RISCV_NAME ?= riscv64-unknown-elf + RISCV_PATH ?= /opt/riscv/ +else + RISCV_NAME ?= riscv32-unknown-elf + ifeq ($(MULDIV),yes) + RISCV_PATH ?= /opt/riscv32im/ + else + RISCV_PATH ?= /opt/riscv32i/ + endif +endif + +MABI=ilp32 +MARCH := rv32i +ifeq ($(MULDIV),yes) + MARCH := $(MARCH)m +endif +ifeq ($(COMPRESSED),yes) + MARCH := $(MARCH)ac +endif + +CFLAGS += -march=$(MARCH) -mabi=$(MABI) +LDFLAGS += -march=$(MARCH) -mabi=$(MABI) diff --git a/VexRiscvSocSoftware/resources/recursive.mk b/VexRiscvSocSoftware/resources/recursive.mk new file mode 100644 index 0000000..0be9991 --- /dev/null +++ b/VexRiscvSocSoftware/resources/recursive.mk @@ -0,0 +1,13 @@ +SUBDIRS := $(wildcard */.) + +all: + for dir in $(SUBDIRS); do \ + (cd $$dir; ${MAKE} all); \ + done + +clean: + for dir in $(SUBDIRS); do \ + (cd $$dir; ${MAKE} clean); \ + done + +.PHONY: all $(SUBDIRS) \ No newline at end of file diff --git a/VexRiscvSocSoftware/resources/subproject.mk b/VexRiscvSocSoftware/resources/subproject.mk new file mode 100755 index 0000000..3aba0d6 --- /dev/null +++ b/VexRiscvSocSoftware/resources/subproject.mk @@ -0,0 +1,87 @@ + + +ifeq ($(DEBUG),yes) + CFLAGS += -g3 -O0 +endif + +ifeq ($(DEBUG),no) + CFLAGS += -g -O3 +endif + +ifeq ($(BENCH),yes) + CFLAGS += -fno-inline +endif + +ifeq ($(SIFIVE_GCC_PACK),yes) + RISCV_CLIB=$(RISCV_PATH)/$(RISCV_NAME)/lib/$(MARCH)/$(MABI)/ +else + RISCV_CLIB=$(RISCV_PATH)/$(RISCV_NAME)/lib/ +endif + + + + + +RISCV_OBJCOPY = $(RISCV_PATH)/bin/$(RISCV_NAME)-objcopy +RISCV_OBJDUMP = $(RISCV_PATH)/bin/$(RISCV_NAME)-objdump +RISCV_CC=$(RISCV_PATH)/bin/$(RISCV_NAME)-gcc + +CFLAGS += -MD -fstrict-volatile-bitfields +LDFLAGS += -nostdlib -lgcc -mcmodel=medany -nostartfiles -ffreestanding -Wl,-Bstatic,-T,$(LDSCRIPT),-Map,$(OBJDIR)/$(PROJ_NAME).map,--print-memory-usage +#LDFLAGS += -lgcc -lc -lg -nostdlib -lgcc -msave-restore --strip-debug, + +OBJDIR = build +OBJS := $(SRCS) +OBJS := $(OBJS:.c=.o) +OBJS := $(OBJS:.cpp=.o) +OBJS := $(OBJS:.S=.o) +OBJS := $(OBJS:..=miaou) +OBJS := $(addprefix $(OBJDIR)/,$(OBJS)) + + +all: $(OBJDIR)/$(PROJ_NAME).elf $(OBJDIR)/$(PROJ_NAME).hex $(OBJDIR)/$(PROJ_NAME).asm $(OBJDIR)/$(PROJ_NAME).v + +$(OBJDIR)/%.elf: $(OBJS) | $(OBJDIR) + $(RISCV_CC) $(CFLAGS) -o $@ $^ $(LDFLAGS) $(LIBS) + +%.hex: %.elf + $(RISCV_OBJCOPY) -O ihex $^ $@ + +%.bin: %.elf + $(RISCV_OBJCOPY) -O binary $^ $@ + +%.v: %.elf + $(RISCV_OBJCOPY) -O verilog $^ $@ + + + +%.asm: %.elf + $(RISCV_OBJDUMP) -S -d $^ > $@ + +$(OBJDIR)/%.o: %.c + mkdir -p $(dir $@) + $(RISCV_CC) -c $(CFLAGS) $(INC) -o $@ $^ + +$(OBJDIR)/%.o: %.cpp + mkdir -p $(dir $@) + $(RISCV_CC) -c $(CFLAGS) $(INC) -o $@ $^ + +$(OBJDIR)/%.o: %.S + mkdir -p $(dir $@) + $(RISCV_CC) -c $(CFLAGS) -o $@ $^ -D__ASSEMBLY__=1 + +$(OBJDIR): + mkdir -p $@ + +clean: + rm -f $(OBJDIR)/$(PROJ_NAME).elf + rm -f $(OBJDIR)/$(PROJ_NAME).hex + rm -f $(OBJDIR)/$(PROJ_NAME).map + rm -f $(OBJDIR)/$(PROJ_NAME).v + rm -f $(OBJDIR)/$(PROJ_NAME).asm + find $(OBJDIR) -type f -name '*.o' -print0 | xargs -0 -r rm + +.SECONDARY: $(OBJS) + + + diff --git a/pnr/de1_murax_franz/de1_murax_franz_pins.tcl b/pnr/de1_murax_franz/de1_murax_franz_pins.tcl new file mode 100644 index 0000000..c2fb5fb --- /dev/null +++ b/pnr/de1_murax_franz/de1_murax_franz_pins.tcl @@ -0,0 +1,20 @@ +# assign pin locations to a quartus project + +#---------------------------------------------------------------------- +# Pin Assignments +set_location_assignment PIN_R20 -to LEDR[0] +set_location_assignment PIN_R19 -to LEDR[1] +set_location_assignment PIN_U19 -to LEDR[2] +set_location_assignment PIN_Y19 -to LEDR[3] +set_location_assignment PIN_T18 -to LEDR[4] +set_location_assignment PIN_V19 -to LEDR[5] +set_location_assignment PIN_Y18 -to LEDR[6] +set_location_assignment PIN_U18 -to LEDR[7] +set_location_assignment PIN_L1 -to CLOCK_50 +set_location_assignment PIN_R22 -to KEY0 +set_location_assignment PIN_F14 -to uart_rxd +set_location_assignment PIN_G12 -to uart_txd +set_location_assignment PIN_H13 -to jtag_tck +set_location_assignment PIN_G15 -to jtag_tms +set_location_assignment PIN_E15 -to jtag_tdi +set_location_assignment PIN_G16 -to jtag_tdo diff --git a/pnr/de1_murax_franz/makefile b/pnr/de1_murax_franz/makefile new file mode 100644 index 0000000..f3ebc19 --- /dev/null +++ b/pnr/de1_murax_franz/makefile @@ -0,0 +1,8 @@ +PROJECT = de1_murax_franz + +# Here the VHDL files for synthesis are defined. +include ../../sim/$(PROJECT)/makefile.sources + +SOURCE_FILES = $(SYN_SOURCE_FILES) + +include ../makefile diff --git a/pnr/makefile b/pnr/makefile new file mode 100644 index 0000000..84a3b03 --- /dev/null +++ b/pnr/makefile @@ -0,0 +1,92 @@ +## ---------------------------------------------------------------------------- +## Script : makefile +## ---------------------------------------------------------------------------- +## Author : Johann Faerber, Friedrich Beckmann +## Company : University of Applied Sciences Augsburg +## ---------------------------------------------------------------------------- +## Description: This makefile allows automating design flow with Quartus, +## it is based on a design directory structure shown at +## the end of this file. +## ---------------------------------------------------------------------------- + +################################################################### +# Main Targets +# +################################################################### + +help: + @echo '"make" does intentionally nothing. Type:' + @echo ' "make qproject" to create quartus project only' + @echo ' "make compile" to synthesize the design' + @echo ' "make prog" to configure programmable device' + @echo ' "make quartus" to start quartus graphical user interface' + @echo ' "make clean" to remove all generated files' + +$(PROJECT).sdc: + # create a default timing constraint file assuming CLOCK_50 + echo "create_clock -period 20.000 -name CLOCK_50 CLOCK_50" > $(PROJECT).sdc + echo "set_input_delay -clock CLOCK_50 2 [all_inputs]" >> $(PROJECT).sdc + echo "set_output_delay -clock CLOCK_50 2 [all_outputs]" >> $(PROJECT).sdc + +qproject: $(PROJECT).qpf + +$(PROJECT).qpf: $(SOURCE_FILES) ../../scripts/create_quartus_project_settings.tcl $(PROJECT)_pins.tcl $(PROJECT).sdc + # assign VHDL design files + rm -rf quartus_vhdl_source_files.tcl + for source_file in $(SOURCE_FILES); do \ + echo set_global_assignment -name VHDL_FILE $$source_file >> quartus_vhdl_source_files.tcl; \ + done + # just create a quartus project + quartus_sh -t ../../scripts/create_quartus_project_settings.tcl -projectname $(PROJECT) + +compile: flowsummary.log + +flowsummary.log: $(PROJECT).qpf ../../scripts/quartus_project_flow.tcl + quartus_sh -t ../../scripts/quartus_project_flow.tcl -projectname $(PROJECT) + +prog: flowsummary.log + quartus_pgm -c USB-Blaster --mode jtag --operation="p;$(PROJECT).sof" + +quartus: $(PROJECT).qpf + # start quartus gui + quartus $(PROJECT).qpf & + +clean: + rm -rf *~ *.rpt *.chg *.log quartus_vhdl_source_files.tcl *.htm *.eqn *.pin *.sof *.pof db incremental_db *.qpf *.qsf *.summary $(PROJECT).* + +## ---------------------------------------------------------------------------- +## Description: +## ------------ +## assumes the following design directory structure as prerequisite +## +## DigitaltechnikPraktikum +## | +## +---src +## | and2gate_equation.vhd +## | invgate_equation.vhd +## | mux2to1_structure.vhd +## | or2gate_equation.vhd +## | t_mux2to1.vhd +## | de1_mux2to1_structure.vhd +## | +## +---sim +## | | makefile +## | | +## | \---mux2to1 +## | makefile +## | makefile.sources +## | +## +---pnr +## | | makefile +## | | +## | \---de1_mux2to1 +## | de1_mux2to1_pins.tcl +## | makefile +## | +## \---scripts +## de1_pin_assignments_minimumio.csv +## de1_pin_assignments_minimumio.tcl +## modelsim.ini +## quartus_project_settings.tcl +## ---------------------------------------------------------------------------- + diff --git a/scripts/create_quartus_project_settings.tcl b/scripts/create_quartus_project_settings.tcl new file mode 100644 index 0000000..40c50ee --- /dev/null +++ b/scripts/create_quartus_project_settings.tcl @@ -0,0 +1,77 @@ +## ---------------------------------------------------------------------------- +## Script : create_quartus_project_settings.tcl +## ---------------------------------------------------------------------------- +## Author : Johann Faerber, F. Beckmann +## Company : University of Applied Sciences Augsburg +## ---------------------------------------------------------------------------- +## Description: create a quartus project with default settings for device, +## unused pins, ... +## expects project name as command line parameter +## It expects one file containing the vhdl source files and +## one file containing the pin constraints. +## Start with +## quartus_sh -t create_quartus_project_settings.tcl -projectname de1_mux2to1 + +package require cmdline +# Load Quartus II Tcl Project package +package require ::quartus::project + +# ---------------------------------------------------------------------------- +# Declare command line parameters +# ---------------------------------------------------------------------------- +set parameters { + {projectname.arg "" "Project Name"} +} +array set arg [::cmdline::getoptions argv $parameters] + +# ---------------------------------------------------------------------------- +# Verify required paramters +# ---------------------------------------------------------------------------- +set requiredParameters {projectname} +foreach parameter $requiredParameters { + if {$arg($parameter) == ""} { + puts stderr "Missing required parameter: -$parameter" + exit 1 + } +} + + + # ---------------------------------------------------------------------------- + # Create project + # ---------------------------------------------------------------------------- + project_new $arg(projectname) -overwrite + + # ---------------------------------------------------------------------------- + # Assign family, device, and top-level file + # ---------------------------------------------------------------------------- + set_global_assignment -name FAMILY "Cyclone II" + set_global_assignment -name DEVICE EP2C20F484C7 + + # ---------------------------------------------------------------------------- + # Default settings + # ---------------------------------------------------------------------------- + set_global_assignment -name USE_CONFIGURATION_DEVICE ON + set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED" + set_global_assignment -name VHDL_INPUT_VERSION VHDL_2008 + + # ---------------------------------------------------------------------------- + # Design files + # ---------------------------------------------------------------------------- + #set_global_assignment -name VHDL_FILE ../src/e_cntdnmodm.vhd + #set_global_assignment -name VHDL_FILE ../src/a_cntdnmodm_rtl.vhd + # The following file is generated by the make process and contains + # the vhdl files which belong to the project + source quartus_vhdl_source_files.tcl + + # ---------------------------------------------------------------------------- + # Pin Assignments + # ---------------------------------------------------------------------------- + # set_location_assignment PIN_L1 -to CLOCK_50 + source $arg(projectname)_pins.tcl + + # ---------------------------------------------------------------------------- + # Close project + # ---------------------------------------------------------------------------- + project_close + + diff --git a/scripts/de1_pin_assignments_minimumio.csv b/scripts/de1_pin_assignments_minimumio.csv new file mode 100644 index 0000000..97cfcd8 --- /dev/null +++ b/scripts/de1_pin_assignments_minimumio.csv @@ -0,0 +1,282 @@ +# This are all pin location assignments derived from the CDROM file +# Friedrich Beckmann, 27.3.2014 + +To,Location +GPIO_0[0],PIN_A13 +GPIO_0[1],PIN_B13 +GPIO_0[2],PIN_A14 +GPIO_0[3],PIN_B14 +GPIO_0[4],PIN_A15 +GPIO_0[5],PIN_B15 +GPIO_0[6],PIN_A16 +GPIO_0[7],PIN_B16 +GPIO_0[8],PIN_A17 +GPIO_0[9],PIN_B17 +GPIO_0[10],PIN_A18 +GPIO_0[11],PIN_B18 +GPIO_0[12],PIN_A19 +GPIO_0[13],PIN_B19 +GPIO_0[14],PIN_A20 +GPIO_0[15],PIN_B20 +GPIO_0[16],PIN_C21 +GPIO_0[17],PIN_C22 +GPIO_0[18],PIN_D21 +GPIO_0[19],PIN_D22 +GPIO_0[20],PIN_E21 +GPIO_0[21],PIN_E22 +GPIO_0[22],PIN_F21 +GPIO_0[23],PIN_F22 +GPIO_0[24],PIN_G21 +GPIO_0[25],PIN_G22 +GPIO_0[26],PIN_J21 +GPIO_0[27],PIN_J22 +GPIO_0[28],PIN_K21 +GPIO_0[29],PIN_K22 +GPIO_0[30],PIN_J19 +GPIO_0[31],PIN_J20 +GPIO_0[32],PIN_J18 +GPIO_0[33],PIN_K20 +GPIO_0[34],PIN_L19 +GPIO_0[35],PIN_L18 +GPIO_1[0],PIN_H12 +GPIO_1[1],PIN_H13 +GPIO_1[2],PIN_H14 +GPIO_1[3],PIN_G15 +GPIO_1[4],PIN_E14 +GPIO_1[5],PIN_E15 +GPIO_1[6],PIN_F15 +GPIO_1[7],PIN_G16 +GPIO_1[8],PIN_F12 +GPIO_1[9],PIN_F13 +GPIO_1[10],PIN_C14 +GPIO_1[11],PIN_D14 +GPIO_1[12],PIN_D15 +GPIO_1[13],PIN_D16 +GPIO_1[14],PIN_C17 +GPIO_1[15],PIN_C18 +GPIO_1[16],PIN_C19 +GPIO_1[17],PIN_C20 +GPIO_1[18],PIN_D19 +GPIO_1[19],PIN_D20 +GPIO_1[20],PIN_E20 +GPIO_1[21],PIN_F20 +GPIO_1[22],PIN_E19 +GPIO_1[23],PIN_E18 +GPIO_1[24],PIN_G20 +GPIO_1[25],PIN_G18 +GPIO_1[26],PIN_G17 +GPIO_1[27],PIN_H17 +GPIO_1[28],PIN_J15 +GPIO_1[29],PIN_H18 +GPIO_1[30],PIN_N22 +GPIO_1[31],PIN_N21 +GPIO_1[32],PIN_P15 +GPIO_1[33],PIN_N15 +GPIO_1[34],PIN_P17 +GPIO_1[35],PIN_P18 +SW[0],PIN_L22 +SW[1],PIN_L21 +SW[2],PIN_M22 +SW[3],PIN_V12 +SW[4],PIN_W12 +SW[5],PIN_U12 +SW[6],PIN_U11 +SW[7],PIN_M2 +SW[8],PIN_M1 +SW[9],PIN_L2 +HEX0[0],PIN_J2 +HEX0[1],PIN_J1 +HEX0[2],PIN_H2 +HEX0[3],PIN_H1 +HEX0[4],PIN_F2 +HEX0[5],PIN_F1 +HEX0[6],PIN_E2 +HEX1[0],PIN_E1 +HEX1[1],PIN_H6 +HEX1[2],PIN_H5 +HEX1[3],PIN_H4 +HEX1[4],PIN_G3 +HEX1[5],PIN_D2 +HEX1[6],PIN_D1 +HEX2[0],PIN_G5 +HEX2[1],PIN_G6 +HEX2[2],PIN_C2 +HEX2[3],PIN_C1 +HEX2[4],PIN_E3 +HEX2[5],PIN_E4 +HEX2[6],PIN_D3 +HEX3[0],PIN_F4 +HEX3[1],PIN_D5 +HEX3[2],PIN_D6 +HEX3[3],PIN_J4 +HEX3[4],PIN_L8 +HEX3[5],PIN_F3 +HEX3[6],PIN_D4 +KEY[0],PIN_R22 +KEY[1],PIN_R21 +KEY[2],PIN_T22 +KEY[3],PIN_T21 +LEDR[0],PIN_R20 +LEDR[1],PIN_R19 +LEDR[2],PIN_U19 +LEDR[3],PIN_Y19 +LEDR[4],PIN_T18 +LEDR[5],PIN_V19 +LEDR[6],PIN_Y18 +LEDR[7],PIN_U18 +LEDR[8],PIN_R18 +LEDR[9],PIN_R17 +LEDG[0],PIN_U22 +LEDG[1],PIN_U21 +LEDG[2],PIN_V22 +LEDG[3],PIN_V21 +LEDG[4],PIN_W22 +LEDG[5],PIN_W21 +LEDG[6],PIN_Y22 +LEDG[7],PIN_Y21 +CLOCK_27[0],PIN_D12 +CLOCK_27[1],PIN_E12 +CLOCK_24[0],PIN_B12 +CLOCK_24[1],PIN_A12 +CLOCK_50,PIN_L1 +EXT_CLOCK,PIN_M21 +PS2_CLK,PIN_H15 +PS2_DAT,PIN_J14 +UART_RXD,PIN_F14 +UART_TXD,PIN_G12 +TDI,PIN_E8 +TCS,PIN_D8 +TCK,PIN_C7 +TDO,PIN_D7 +VGA_R[0],PIN_D9 +VGA_R[1],PIN_C9 +VGA_R[2],PIN_A7 +VGA_R[3],PIN_B7 +VGA_G[0],PIN_B8 +VGA_G[1],PIN_C10 +VGA_G[2],PIN_B9 +VGA_G[3],PIN_A8 +VGA_B[0],PIN_A9 +VGA_B[1],PIN_D11 +VGA_B[2],PIN_A10 +VGA_B[3],PIN_B10 +VGA_HS,PIN_A11 +VGA_VS,PIN_B11 +I2C_SCLK,PIN_A3 +I2C_SDAT,PIN_B3 +AUD_ADCLRCK,PIN_A6 +AUD_ADCDAT,PIN_B6 +AUD_DACLRCK,PIN_A5 +AUD_DACDAT,PIN_B5 +AUD_XCK,PIN_B4 +AUD_BCLK,PIN_A4 +DRAM_ADDR[0],PIN_W4 +DRAM_ADDR[1],PIN_W5 +DRAM_ADDR[2],PIN_Y3 +DRAM_ADDR[3],PIN_Y4 +DRAM_ADDR[4],PIN_R6 +DRAM_ADDR[5],PIN_R5 +DRAM_ADDR[6],PIN_P6 +DRAM_ADDR[7],PIN_P5 +DRAM_ADDR[8],PIN_P3 +DRAM_ADDR[9],PIN_N4 +DRAM_ADDR[10],PIN_W3 +DRAM_ADDR[11],PIN_N6 +DRAM_BA_0,PIN_U3 +DRAM_BA_1,PIN_V4 +DRAM_CAS_N,PIN_T3 +DRAM_CKE,PIN_N3 +DRAM_CLK,PIN_U4 +DRAM_CS_N,PIN_T6 +DRAM_DQ[0],PIN_U1 +DRAM_DQ[1],PIN_U2 +DRAM_DQ[2],PIN_V1 +DRAM_DQ[3],PIN_V2 +DRAM_DQ[4],PIN_W1 +DRAM_DQ[5],PIN_W2 +DRAM_DQ[6],PIN_Y1 +DRAM_DQ[7],PIN_Y2 +DRAM_DQ[8],PIN_N1 +DRAM_DQ[9],PIN_N2 +DRAM_DQ[10],PIN_P1 +DRAM_DQ[11],PIN_P2 +DRAM_DQ[12],PIN_R1 +DRAM_DQ[13],PIN_R2 +DRAM_DQ[14],PIN_T1 +DRAM_DQ[15],PIN_T2 +DRAM_LDQM,PIN_R7 +DRAM_RAS_N,PIN_T5 +DRAM_UDQM,PIN_M5 +DRAM_WE_N,PIN_R8 +FL_ADDR[0],PIN_AB20 +FL_ADDR[1],PIN_AA14 +FL_ADDR[2],PIN_Y16 +FL_ADDR[3],PIN_R15 +FL_ADDR[4],PIN_T15 +FL_ADDR[5],PIN_U15 +FL_ADDR[6],PIN_V15 +FL_ADDR[7],PIN_W15 +FL_ADDR[8],PIN_R14 +FL_ADDR[9],PIN_Y13 +FL_ADDR[10],PIN_R12 +FL_ADDR[11],PIN_T12 +FL_ADDR[12],PIN_AB14 +FL_ADDR[13],PIN_AA13 +FL_ADDR[14],PIN_AB13 +FL_ADDR[15],PIN_AA12 +FL_ADDR[16],PIN_AB12 +FL_ADDR[17],PIN_AA20 +FL_ADDR[18],PIN_U14 +FL_ADDR[19],PIN_V14 +FL_ADDR[20],PIN_U13 +FL_ADDR[21],PIN_R13 +FL_DQ[0],PIN_AB16 +FL_DQ[1],PIN_AA16 +FL_DQ[2],PIN_AB17 +FL_DQ[3],PIN_AA17 +FL_DQ[4],PIN_AB18 +FL_DQ[5],PIN_AA18 +FL_DQ[6],PIN_AB19 +FL_DQ[7],PIN_AA19 +FL_OE_N,PIN_AA15 +FL_RST_N,PIN_W14 +FL_WE_N,PIN_Y14 +SRAM_ADDR[0],PIN_AA3 +SRAM_ADDR[1],PIN_AB3 +SRAM_ADDR[2],PIN_AA4 +SRAM_ADDR[3],PIN_AB4 +SRAM_ADDR[4],PIN_AA5 +SRAM_ADDR[5],PIN_AB10 +SRAM_ADDR[6],PIN_AA11 +SRAM_ADDR[7],PIN_AB11 +SRAM_ADDR[8],PIN_V11 +SRAM_ADDR[9],PIN_W11 +SRAM_ADDR[10],PIN_R11 +SRAM_ADDR[11],PIN_T11 +SRAM_ADDR[12],PIN_Y10 +SRAM_ADDR[13],PIN_U10 +SRAM_ADDR[14],PIN_R10 +SRAM_ADDR[15],PIN_T7 +SRAM_ADDR[16],PIN_Y6 +SRAM_ADDR[17],PIN_Y5 +SRAM_CE_N,PIN_AB5 +SRAM_DQ[0],PIN_AA6 +SRAM_DQ[1],PIN_AB6 +SRAM_DQ[2],PIN_AA7 +SRAM_DQ[3],PIN_AB7 +SRAM_DQ[4],PIN_AA8 +SRAM_DQ[5],PIN_AB8 +SRAM_DQ[6],PIN_AA9 +SRAM_DQ[7],PIN_AB9 +SRAM_DQ[8],PIN_Y9 +SRAM_DQ[9],PIN_W9 +SRAM_DQ[10],PIN_V9 +SRAM_DQ[11],PIN_U9 +SRAM_DQ[12],PIN_R9 +SRAM_DQ[13],PIN_W8 +SRAM_DQ[14],PIN_V8 +SRAM_DQ[15],PIN_U8 +SRAM_LB_N,PIN_Y7 +SRAM_OE_N,PIN_T8 +SRAM_UB_N,PIN_W7 +SRAM_WE_N,PIN_AA10 diff --git a/scripts/de1_pin_assignments_minimumio.tcl b/scripts/de1_pin_assignments_minimumio.tcl new file mode 100644 index 0000000..21b1109 --- /dev/null +++ b/scripts/de1_pin_assignments_minimumio.tcl @@ -0,0 +1,279 @@ +# All pin assignments from CDROM as tcl script +set_location_assignment PIN_A13 -to GPIO_0[0] +set_location_assignment PIN_B13 -to GPIO_0[1] +set_location_assignment PIN_A14 -to GPIO_0[2] +set_location_assignment PIN_B14 -to GPIO_0[3] +set_location_assignment PIN_A15 -to GPIO_0[4] +set_location_assignment PIN_B15 -to GPIO_0[5] +set_location_assignment PIN_A16 -to GPIO_0[6] +set_location_assignment PIN_B16 -to GPIO_0[7] +set_location_assignment PIN_A17 -to GPIO_0[8] +set_location_assignment PIN_B17 -to GPIO_0[9] +set_location_assignment PIN_A18 -to GPIO_0[10] +set_location_assignment PIN_B18 -to GPIO_0[11] +set_location_assignment PIN_A19 -to GPIO_0[12] +set_location_assignment PIN_B19 -to GPIO_0[13] +set_location_assignment PIN_A20 -to GPIO_0[14] +set_location_assignment PIN_B20 -to GPIO_0[15] +set_location_assignment PIN_C21 -to GPIO_0[16] +set_location_assignment PIN_C22 -to GPIO_0[17] +set_location_assignment PIN_D21 -to GPIO_0[18] +set_location_assignment PIN_D22 -to GPIO_0[19] +set_location_assignment PIN_E21 -to GPIO_0[20] +set_location_assignment PIN_E22 -to GPIO_0[21] +set_location_assignment PIN_F21 -to GPIO_0[22] +set_location_assignment PIN_F22 -to GPIO_0[23] +set_location_assignment PIN_G21 -to GPIO_0[24] +set_location_assignment PIN_G22 -to GPIO_0[25] +set_location_assignment PIN_J21 -to GPIO_0[26] +set_location_assignment PIN_J22 -to GPIO_0[27] +set_location_assignment PIN_K21 -to GPIO_0[28] +set_location_assignment PIN_K22 -to GPIO_0[29] +set_location_assignment PIN_J19 -to GPIO_0[30] +set_location_assignment PIN_J20 -to GPIO_0[31] +set_location_assignment PIN_J18 -to GPIO_0[32] +set_location_assignment PIN_K20 -to GPIO_0[33] +set_location_assignment PIN_L19 -to GPIO_0[34] +set_location_assignment PIN_L18 -to GPIO_0[35] +set_location_assignment PIN_H12 -to GPIO_1[0] +set_location_assignment PIN_H13 -to GPIO_1[1] +set_location_assignment PIN_H14 -to GPIO_1[2] +set_location_assignment PIN_G15 -to GPIO_1[3] +set_location_assignment PIN_E14 -to GPIO_1[4] +set_location_assignment PIN_E15 -to GPIO_1[5] +set_location_assignment PIN_F15 -to GPIO_1[6] +set_location_assignment PIN_G16 -to GPIO_1[7] +set_location_assignment PIN_F12 -to GPIO_1[8] +set_location_assignment PIN_F13 -to GPIO_1[9] +set_location_assignment PIN_C14 -to GPIO_1[10] +set_location_assignment PIN_D14 -to GPIO_1[11] +set_location_assignment PIN_D15 -to GPIO_1[12] +set_location_assignment PIN_D16 -to GPIO_1[13] +set_location_assignment PIN_C17 -to GPIO_1[14] +set_location_assignment PIN_C18 -to GPIO_1[15] +set_location_assignment PIN_C19 -to GPIO_1[16] +set_location_assignment PIN_C20 -to GPIO_1[17] +set_location_assignment PIN_D19 -to GPIO_1[18] +set_location_assignment PIN_D20 -to GPIO_1[19] +set_location_assignment PIN_E20 -to GPIO_1[20] +set_location_assignment PIN_F20 -to GPIO_1[21] +set_location_assignment PIN_E19 -to GPIO_1[22] +set_location_assignment PIN_E18 -to GPIO_1[23] +set_location_assignment PIN_G20 -to GPIO_1[24] +set_location_assignment PIN_G18 -to GPIO_1[25] +set_location_assignment PIN_G17 -to GPIO_1[26] +set_location_assignment PIN_H17 -to GPIO_1[27] +set_location_assignment PIN_J15 -to GPIO_1[28] +set_location_assignment PIN_H18 -to GPIO_1[29] +set_location_assignment PIN_N22 -to GPIO_1[30] +set_location_assignment PIN_N21 -to GPIO_1[31] +set_location_assignment PIN_P15 -to GPIO_1[32] +set_location_assignment PIN_N15 -to GPIO_1[33] +set_location_assignment PIN_P17 -to GPIO_1[34] +set_location_assignment PIN_P18 -to GPIO_1[35] +set_location_assignment PIN_L22 -to SW[0] +set_location_assignment PIN_L21 -to SW[1] +set_location_assignment PIN_M22 -to SW[2] +set_location_assignment PIN_V12 -to SW[3] +set_location_assignment PIN_W12 -to SW[4] +set_location_assignment PIN_U12 -to SW[5] +set_location_assignment PIN_U11 -to SW[6] +set_location_assignment PIN_M2 -to SW[7] +set_location_assignment PIN_M1 -to SW[8] +set_location_assignment PIN_L2 -to SW[9] +set_location_assignment PIN_J2 -to HEX0[0] +set_location_assignment PIN_J1 -to HEX0[1] +set_location_assignment PIN_H2 -to HEX0[2] +set_location_assignment PIN_H1 -to HEX0[3] +set_location_assignment PIN_F2 -to HEX0[4] +set_location_assignment PIN_F1 -to HEX0[5] +set_location_assignment PIN_E2 -to HEX0[6] +set_location_assignment PIN_E1 -to HEX1[0] +set_location_assignment PIN_H6 -to HEX1[1] +set_location_assignment PIN_H5 -to HEX1[2] +set_location_assignment PIN_H4 -to HEX1[3] +set_location_assignment PIN_G3 -to HEX1[4] +set_location_assignment PIN_D2 -to HEX1[5] +set_location_assignment PIN_D1 -to HEX1[6] +set_location_assignment PIN_G5 -to HEX2[0] +set_location_assignment PIN_G6 -to HEX2[1] +set_location_assignment PIN_C2 -to HEX2[2] +set_location_assignment PIN_C1 -to HEX2[3] +set_location_assignment PIN_E3 -to HEX2[4] +set_location_assignment PIN_E4 -to HEX2[5] +set_location_assignment PIN_D3 -to HEX2[6] +set_location_assignment PIN_F4 -to HEX3[0] +set_location_assignment PIN_D5 -to HEX3[1] +set_location_assignment PIN_D6 -to HEX3[2] +set_location_assignment PIN_J4 -to HEX3[3] +set_location_assignment PIN_L8 -to HEX3[4] +set_location_assignment PIN_F3 -to HEX3[5] +set_location_assignment PIN_D4 -to HEX3[6] +set_location_assignment PIN_R22 -to KEY[0] +set_location_assignment PIN_R21 -to KEY[1] +set_location_assignment PIN_T22 -to KEY[2] +set_location_assignment PIN_T21 -to KEY[3] +set_location_assignment PIN_R20 -to LEDR[0] +set_location_assignment PIN_R19 -to LEDR[1] +set_location_assignment PIN_U19 -to LEDR[2] +set_location_assignment PIN_Y19 -to LEDR[3] +set_location_assignment PIN_T18 -to LEDR[4] +set_location_assignment PIN_V19 -to LEDR[5] +set_location_assignment PIN_Y18 -to LEDR[6] +set_location_assignment PIN_U18 -to LEDR[7] +set_location_assignment PIN_R18 -to LEDR[8] +set_location_assignment PIN_R17 -to LEDR[9] +set_location_assignment PIN_U22 -to LEDG[0] +set_location_assignment PIN_U21 -to LEDG[1] +set_location_assignment PIN_V22 -to LEDG[2] +set_location_assignment PIN_V21 -to LEDG[3] +set_location_assignment PIN_W22 -to LEDG[4] +set_location_assignment PIN_W21 -to LEDG[5] +set_location_assignment PIN_Y22 -to LEDG[6] +set_location_assignment PIN_Y21 -to LEDG[7] +set_location_assignment PIN_D12 -to CLOCK_27[0] +set_location_assignment PIN_E12 -to CLOCK_27[1] +set_location_assignment PIN_B12 -to CLOCK_24[0] +set_location_assignment PIN_A12 -to CLOCK_24[1] +set_location_assignment PIN_L1 -to CLOCK_50 +set_location_assignment PIN_M21 -to EXT_CLOCK +set_location_assignment PIN_H15 -to PS2_CLK +set_location_assignment PIN_J14 -to PS2_DAT +set_location_assignment PIN_F14 -to UART_RXD +set_location_assignment PIN_G12 -to UART_TXD +set_location_assignment PIN_E8 -to TDI +set_location_assignment PIN_D8 -to TCS +set_location_assignment PIN_C7 -to TCK +set_location_assignment PIN_D7 -to TDO +set_location_assignment PIN_D9 -to VGA_R[0] +set_location_assignment PIN_C9 -to VGA_R[1] +set_location_assignment PIN_A7 -to VGA_R[2] +set_location_assignment PIN_B7 -to VGA_R[3] +set_location_assignment PIN_B8 -to VGA_G[0] +set_location_assignment PIN_C10 -to VGA_G[1] +set_location_assignment PIN_B9 -to VGA_G[2] +set_location_assignment PIN_A8 -to VGA_G[3] +set_location_assignment PIN_A9 -to VGA_B[0] +set_location_assignment PIN_D11 -to VGA_B[1] +set_location_assignment PIN_A10 -to VGA_B[2] +set_location_assignment PIN_B10 -to VGA_B[3] +set_location_assignment PIN_A11 -to VGA_HS +set_location_assignment PIN_B11 -to VGA_VS +set_location_assignment PIN_A3 -to I2C_SCLK +set_location_assignment PIN_B3 -to I2C_SDAT +set_location_assignment PIN_A6 -to AUD_ADCLRCK +set_location_assignment PIN_B6 -to AUD_ADCDAT +set_location_assignment PIN_A5 -to AUD_DACLRCK +set_location_assignment PIN_B5 -to AUD_DACDAT +set_location_assignment PIN_B4 -to AUD_XCK +set_location_assignment PIN_A4 -to AUD_BCLK +set_location_assignment PIN_W4 -to DRAM_ADDR[0] +set_location_assignment PIN_W5 -to DRAM_ADDR[1] +set_location_assignment PIN_Y3 -to DRAM_ADDR[2] +set_location_assignment PIN_Y4 -to DRAM_ADDR[3] +set_location_assignment PIN_R6 -to DRAM_ADDR[4] +set_location_assignment PIN_R5 -to DRAM_ADDR[5] +set_location_assignment PIN_P6 -to DRAM_ADDR[6] +set_location_assignment PIN_P5 -to DRAM_ADDR[7] +set_location_assignment PIN_P3 -to DRAM_ADDR[8] +set_location_assignment PIN_N4 -to DRAM_ADDR[9] +set_location_assignment PIN_W3 -to DRAM_ADDR[10] +set_location_assignment PIN_N6 -to DRAM_ADDR[11] +set_location_assignment PIN_U3 -to DRAM_BA_0 +set_location_assignment PIN_V4 -to DRAM_BA_1 +set_location_assignment PIN_T3 -to DRAM_CAS_N +set_location_assignment PIN_N3 -to DRAM_CKE +set_location_assignment PIN_U4 -to DRAM_CLK +set_location_assignment PIN_T6 -to DRAM_CS_N +set_location_assignment PIN_U1 -to DRAM_DQ[0] +set_location_assignment PIN_U2 -to DRAM_DQ[1] +set_location_assignment PIN_V1 -to DRAM_DQ[2] +set_location_assignment PIN_V2 -to DRAM_DQ[3] +set_location_assignment PIN_W1 -to DRAM_DQ[4] +set_location_assignment PIN_W2 -to DRAM_DQ[5] +set_location_assignment PIN_Y1 -to DRAM_DQ[6] +set_location_assignment PIN_Y2 -to DRAM_DQ[7] +set_location_assignment PIN_N1 -to DRAM_DQ[8] +set_location_assignment PIN_N2 -to DRAM_DQ[9] +set_location_assignment PIN_P1 -to DRAM_DQ[10] +set_location_assignment PIN_P2 -to DRAM_DQ[11] +set_location_assignment PIN_R1 -to DRAM_DQ[12] +set_location_assignment PIN_R2 -to DRAM_DQ[13] +set_location_assignment PIN_T1 -to DRAM_DQ[14] +set_location_assignment PIN_T2 -to DRAM_DQ[15] +set_location_assignment PIN_R7 -to DRAM_LDQM +set_location_assignment PIN_T5 -to DRAM_RAS_N +set_location_assignment PIN_M5 -to DRAM_UDQM +set_location_assignment PIN_R8 -to DRAM_WE_N +set_location_assignment PIN_AB20 -to FL_ADDR[0] +set_location_assignment PIN_AA14 -to FL_ADDR[1] +set_location_assignment PIN_Y16 -to FL_ADDR[2] +set_location_assignment PIN_R15 -to FL_ADDR[3] +set_location_assignment PIN_T15 -to FL_ADDR[4] +set_location_assignment PIN_U15 -to FL_ADDR[5] +set_location_assignment PIN_V15 -to FL_ADDR[6] +set_location_assignment PIN_W15 -to FL_ADDR[7] +set_location_assignment PIN_R14 -to FL_ADDR[8] +set_location_assignment PIN_Y13 -to FL_ADDR[9] +set_location_assignment PIN_R12 -to FL_ADDR[10] +set_location_assignment PIN_T12 -to FL_ADDR[11] +set_location_assignment PIN_AB14 -to FL_ADDR[12] +set_location_assignment PIN_AA13 -to FL_ADDR[13] +set_location_assignment PIN_AB13 -to FL_ADDR[14] +set_location_assignment PIN_AA12 -to FL_ADDR[15] +set_location_assignment PIN_AB12 -to FL_ADDR[16] +set_location_assignment PIN_AA20 -to FL_ADDR[17] +set_location_assignment PIN_U14 -to FL_ADDR[18] +set_location_assignment PIN_V14 -to FL_ADDR[19] +set_location_assignment PIN_U13 -to FL_ADDR[20] +set_location_assignment PIN_R13 -to FL_ADDR[21] +set_location_assignment PIN_AB16 -to FL_DQ[0] +set_location_assignment PIN_AA16 -to FL_DQ[1] +set_location_assignment PIN_AB17 -to FL_DQ[2] +set_location_assignment PIN_AA17 -to FL_DQ[3] +set_location_assignment PIN_AB18 -to FL_DQ[4] +set_location_assignment PIN_AA18 -to FL_DQ[5] +set_location_assignment PIN_AB19 -to FL_DQ[6] +set_location_assignment PIN_AA19 -to FL_DQ[7] +set_location_assignment PIN_AA15 -to FL_OE_N +set_location_assignment PIN_W14 -to FL_RST_N +set_location_assignment PIN_Y14 -to FL_WE_N +set_location_assignment PIN_AA3 -to SRAM_ADDR[0] +set_location_assignment PIN_AB3 -to SRAM_ADDR[1] +set_location_assignment PIN_AA4 -to SRAM_ADDR[2] +set_location_assignment PIN_AB4 -to SRAM_ADDR[3] +set_location_assignment PIN_AA5 -to SRAM_ADDR[4] +set_location_assignment PIN_AB10 -to SRAM_ADDR[5] +set_location_assignment PIN_AA11 -to SRAM_ADDR[6] +set_location_assignment PIN_AB11 -to SRAM_ADDR[7] +set_location_assignment PIN_V11 -to SRAM_ADDR[8] +set_location_assignment PIN_W11 -to SRAM_ADDR[9] +set_location_assignment PIN_R11 -to SRAM_ADDR[10] +set_location_assignment PIN_T11 -to SRAM_ADDR[11] +set_location_assignment PIN_Y10 -to SRAM_ADDR[12] +set_location_assignment PIN_U10 -to SRAM_ADDR[13] +set_location_assignment PIN_R10 -to SRAM_ADDR[14] +set_location_assignment PIN_T7 -to SRAM_ADDR[15] +set_location_assignment PIN_Y6 -to SRAM_ADDR[16] +set_location_assignment PIN_Y5 -to SRAM_ADDR[17] +set_location_assignment PIN_AB5 -to SRAM_CE_N +set_location_assignment PIN_AA6 -to SRAM_DQ[0] +set_location_assignment PIN_AB6 -to SRAM_DQ[1] +set_location_assignment PIN_AA7 -to SRAM_DQ[2] +set_location_assignment PIN_AB7 -to SRAM_DQ[3] +set_location_assignment PIN_AA8 -to SRAM_DQ[4] +set_location_assignment PIN_AB8 -to SRAM_DQ[5] +set_location_assignment PIN_AA9 -to SRAM_DQ[6] +set_location_assignment PIN_AB9 -to SRAM_DQ[7] +set_location_assignment PIN_Y9 -to SRAM_DQ[8] +set_location_assignment PIN_W9 -to SRAM_DQ[9] +set_location_assignment PIN_V9 -to SRAM_DQ[10] +set_location_assignment PIN_U9 -to SRAM_DQ[11] +set_location_assignment PIN_R9 -to SRAM_DQ[12] +set_location_assignment PIN_W8 -to SRAM_DQ[13] +set_location_assignment PIN_V8 -to SRAM_DQ[14] +set_location_assignment PIN_U8 -to SRAM_DQ[15] +set_location_assignment PIN_Y7 -to SRAM_LB_N +set_location_assignment PIN_T8 -to SRAM_OE_N +set_location_assignment PIN_W7 -to SRAM_UB_N +set_location_assignment PIN_AA10 -to SRAM_WE_N diff --git a/scripts/design_project_directory_structure.txt b/scripts/design_project_directory_structure.txt new file mode 100644 index 0000000..6beefbb --- /dev/null +++ b/scripts/design_project_directory_structure.txt @@ -0,0 +1,35 @@ +## ---------------------------------------------------------------------------- +## Description: +## ------------ +## assumes the following design directory structure as prerequisite +## +## DigitaltechnikPraktikum +## | +## +---src +## | and2gate_equation.vhd +## | invgate_equation.vhd +## | mux2to1_structure.vhd +## | or2gate_equation.vhd +## | t_mux2to1.vhd +## | de1_mux2to1_structure.vhd +## | +## +---sim +## | | makefile +## | | +## | \---mux2to1 +## | makefile +## | makefile.sources +## | +## +---pnr +## | | makefile +## | | +## | \---de1_mux2to1 +## | de1_mux2to1_pins.tcl +## | makefile +## | +## \---scripts +## de1_pin_assignments_minimumio.csv +## de1_pin_assignments_minimumio.tcl +## modelsim.ini +## quartus_project_settings.tcl +## ---------------------------------------------------------------------------- diff --git a/scripts/modelsim.ini b/scripts/modelsim.ini new file mode 100644 index 0000000..81e2701 --- /dev/null +++ b/scripts/modelsim.ini @@ -0,0 +1,351 @@ +;; ---------------------------------------------------------------------------- +;; Script : modelsim.ini +;; ---------------------------------------------------------------------------- +;; Author : Johann Faerber +;; Company : University of Applied Sciences Augsburg +;; ---------------------------------------------------------------------------- +;; Description: original version modified +;; - deleted all VHDL and Verilog device libraries +;; - modified compiler standard to VHDL93 = 2008 +;; ---------------------------------------------------------------------------- +;; Revisions : see end of file +;; ---------------------------------------------------------------------------- + +; Copyright 1991-2009 Mentor Graphics Corporation +; +; All Rights Reserved. +; +; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF +; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS. +; + +[Library] +std = $MODEL_TECH/../std +ieee = $MODEL_TECH/../ieee +verilog = $MODEL_TECH/../verilog +vital2000 = $MODEL_TECH/../vital2000 +std_developerskit = $MODEL_TECH/../std_developerskit +synopsys = $MODEL_TECH/../synopsys +modelsim_lib = $MODEL_TECH/../modelsim_lib +sv_std = $MODEL_TECH/../sv_std + +; Altera Primitive libraries +; +; VHDL Section +; +altera_mf = $MODEL_TECH/../altera/vhdl/altera_mf +altera = $MODEL_TECH/../altera/vhdl/altera +altera_lnsim = $MODEL_TECH/../altera/vhdl/altera_lnsim +lpm = $MODEL_TECH/../altera/vhdl/220model +220model = $MODEL_TECH/../altera/vhdl/220model + +[vcom] +; VHDL93 variable selects language version as the default. +; Default is VHDL-2002. +; Value of 0 or 1987 for VHDL-1987. +; Value of 1 or 1993 for VHDL-1993. +; Default or value of 2 or 2002 for VHDL-2002. +; Default or value of 3 or 2008 for VHDL-2008. +VHDL93 = 2008 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn off unbound-component warnings. Default is on. +; Show_Warning1 = 0 + +; Turn off process-without-a-wait-statement warnings. Default is on. +; Show_Warning2 = 0 + +; Turn off null-range warnings. Default is on. +; Show_Warning3 = 0 + +; Turn off no-space-in-time-literal warnings. Default is on. +; Show_Warning4 = 0 + +; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. +; Show_Warning5 = 0 + +; Turn off optimization for IEEE std_logic_1164 package. Default is on. +; Optimize_1164 = 0 + +; Turn on resolving of ambiguous function overloading in favor of the +; "explicit" function declaration (not the one automatically created by +; the compiler for each type declaration). Default is off. +; The .ini file has Explicit enabled so that std_logic_signed/unsigned +; will match the behavior of synthesis tools. +Explicit = 1 + +; Turn off acceleration of the VITAL packages. Default is to accelerate. +; NoVital = 1 + +; Turn off VITAL compliance checking. Default is checking on. +; NoVitalCheck = 1 + +; Ignore VITAL compliance checking errors. Default is to not ignore. +; IgnoreVitalErrors = 1 + +; Turn off VITAL compliance checking warnings. Default is to show warnings. +; Show_VitalChecksWarnings = 0 + +; Keep silent about case statement static warnings. +; Default is to give a warning. +; NoCaseStaticError = 1 + +; Keep silent about warnings caused by aggregates that are not locally static. +; Default is to give a warning. +; NoOthersStaticError = 1 + +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on some limited synthesis rule compliance checking. Checks only: +; -- signals used (read) by a process must be in the sensitivity list +; CheckSynthesis = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Require the user to specify a configuration for all bindings, +; and do not generate a compile time default binding for the +; component. This will result in an elaboration error of +; 'component not bound' if the user fails to do so. Avoids the rare +; issue of a false dependency upon the unused default binding. +; RequireConfigForAllDefaultBinding = 1 + +; Inhibit range checking on subscripts of arrays. Range checking on +; scalars defined with subtypes is inhibited by default. +; NoIndexCheck = 1 + +; Inhibit range checks on all (implicit and explicit) assignments to +; scalar objects defined with subtypes. +; NoRangeCheck = 1 + +[vlog] + +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn off "loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on Verilog hazard checking (order-dependent accessing of global vars). +; Default is off. +; Hazard = 1 + +; Turn on converting regular Verilog identifiers to uppercase. Allows case +; insensitivity for module names. Default is no conversion. +; UpCase = 1 + +; Turn on incremental compilation of modules. Default is off. +; Incremental = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +[vsim] +; Simulator resolution +; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. +Resolution = ns + +; User time unit for run commands +; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the +; unit specified for Resolution. For example, if Resolution is 100ps, +; then UserTimeUnit defaults to ps. +; Should generally be set to default. +UserTimeUnit = default + +; Default run length +RunLength = 100 + +; Maximum iterations that can be run without advancing simulation time +IterationLimit = 5000 + +; Directive to license manager: +; vhdl Immediately reserve a VHDL license +; vlog Immediately reserve a Verilog license +; plus Immediately reserve a VHDL and Verilog license +; nomgc Do not look for Mentor Graphics Licenses +; nomti Do not look for Model Technology Licenses +; noqueue Do not wait in the license queue when a license isn't available +; viewsim Try for viewer license but accept simulator license(s) instead +; of queuing for viewer license +; License = plus + +; Stop the simulator after a VHDL/Verilog assertion message +; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal +BreakOnAssertion = 3 + +; Assertion Message Format +; %S - Severity Level +; %R - Report Message +; %T - Time of assertion +; %D - Delta +; %I - Instance or Region pathname (if available) +; %% - print '%' character +; AssertionFormat = "** %S: %R\n Time: %T Iteration: %D%I\n" + +; Assertion File - alternate file for storing VHDL/Verilog assertion messages +; AssertFile = assert.log + +; Default radix for all windows and commands... +; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned +DefaultRadix = symbolic + +; VSIM Startup command +; Startup = do startup.do + +; File for saving command transcript +TranscriptFile = transcript + +; File for saving command history +; CommandHistory = cmdhist.log + +; Specify whether paths in simulator commands should be described +; in VHDL or Verilog format. +; For VHDL, PathSeparator = / +; For Verilog, PathSeparator = . +; Must not be the same character as DatasetSeparator. +PathSeparator = / + +; Specify the dataset separator for fully rooted contexts. +; The default is ':'. For example, sim:/top +; Must not be the same character as PathSeparator. +DatasetSeparator = : + +; Disable VHDL assertion messages +; IgnoreNote = 1 +; IgnoreWarning = 1 +; IgnoreError = 1 +; IgnoreFailure = 1 + +; Default force kind. May be freeze, drive, deposit, or default +; or in other terms, fixed, wired, or charged. +; A value of "default" will use the signal kind to determine the +; force kind, drive for resolved signals, freeze for unresolved signals +; DefaultForceKind = freeze + +; If zero, open files when elaborated; otherwise, open files on +; first read or write. Default is 0. +; DelayFileOpen = 1 + +; Control VHDL files opened for write. +; 0 = Buffered, 1 = Unbuffered +UnbufferedOutput = 0 + +; Control the number of VHDL files open concurrently. +; This number should always be less than the current ulimit +; setting for max file descriptors. +; 0 = unlimited +ConcurrentFileLimit = 40 + +; Control the number of hierarchical regions displayed as +; part of a signal name shown in the Wave window. +; A value of zero tells VSIM to display the full name. +; The default is 0. +; WaveSignalNameWidth = 0 + +; Turn off warnings from the std_logic_arith, std_logic_unsigned +; and std_logic_signed packages. +; StdArithNoWarnings = 1 + +; Turn off warnings from the IEEE numeric_std and numeric_bit packages. +; NumericStdNoWarnings = 1 + +; Control the format of the (VHDL) FOR generate statement label +; for each iteration. Do not quote it. +; The format string here must contain the conversion codes %s and %d, +; in that order, and no other conversion codes. The %s represents +; the generate_label; the %d represents the generate parameter value +; at a particular generate iteration (this is the position number if +; the generate parameter is of an enumeration type). Embedded whitespace +; is allowed (but discouraged); leading and trailing whitespace is ignored. +; Application of the format must result in a unique scope name over all +; such names in the design so that name lookup can function properly. +; GenerateFormat = %s__%d + +; Specify whether checkpoint files should be compressed. +; The default is 1 (compressed). +; CheckpointCompressMode = 0 + +; List of dynamically loaded objects for Verilog PLI applications +; Veriuser = veriuser.sl + +; Specify default options for the restart command. Options can be one +; or more of: -force -nobreakpoint -nolist -nolog -nowave +; DefaultRestartOptions = -force + +; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs +; (> 500 megabyte memory footprint). Default is disabled. +; Specify number of megabytes to lock. +; LockedMemory = 1000 + +; Turn on (1) or off (0) WLF file compression. +; The default is 1 (compress WLF file). +; WLFCompress = 0 + +; Specify whether to save all design hierarchy (1) in the WLF file +; or only regions containing logged signals (0). +; The default is 0 (save only regions with logged signals). +; WLFSaveAllRegions = 1 + +; WLF file time limit. Limit WLF file by time, as closely as possible, +; to the specified amount of simulation time. When the limit is exceeded +; the earliest times get truncated from the file. +; If both time and size limits are specified the most restrictive is used. +; UserTimeUnits are used if time units are not specified. +; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms} +; WLFTimeLimit = 0 + +; WLF file size limit. Limit WLF file size, as closely as possible, +; to the specified number of megabytes. If both time and size limits +; are specified then the most restrictive is used. +; The default is 0 (no limit). +; WLFSizeLimit = 1000 + +; Specify whether or not a WLF file should be deleted when the +; simulation ends. A value of 1 will cause the WLF file to be deleted. +; The default is 0 (do not delete WLF file when simulation ends). +; WLFDeleteOnQuit = 1 + +; Automatic SDF compilation +; Disables automatic compilation of SDF files in flows that support it. +; Default is on, uncomment to turn off. +; NoAutoSDFCompile = 1 + +[lmc] + +[msg_system] +; Change a message severity or suppress a message. +; The format is: = [,...] +; Examples: +; note = 3009 +; warning = 3033 +; error = 3010,3016 +; fatal = 3016,3033 +; suppress = 3009,3016,3043 +; The command verror can be used to get the complete +; description of a message. + +; Control transcripting of elaboration/runtime messages. +; The default is to have messages appear in the transcript and +; recorded in the wlf file (messages that are recorded in the +; wlf file can be viewed in the MsgViewer). The other settings +; are to send messages only to the transcript or only to the +; wlf file. The valid values are +; both {default} +; tran {transcript only} +; wlf {wlf file only} +; msgmode = both + +;; ---------------------------------------------------------------------------- +;; Revisions: +;; ---------- +;; $Id:$ +;; ---------------------------------------------------------------------------- diff --git a/scripts/quartus_project_flow.tcl b/scripts/quartus_project_flow.tcl new file mode 100644 index 0000000..ab4e8db --- /dev/null +++ b/scripts/quartus_project_flow.tcl @@ -0,0 +1,71 @@ +## ---------------------------------------------------------------------------- +## Script : quartus_project_flow.tcl +## ---------------------------------------------------------------------------- +## Author : Johann Faerber, F. Beckmann +## Company : University of Applied Sciences Augsburg +## ---------------------------------------------------------------------------- +## Description: executes process steps in a quartus project +## depending on the parameter process +## expects project name as command line parameter +## e.g. +## quartus_sh -t quartus_project_flow.tcl -projectname de1_mux2to1 +## -process compile +## ---------------------------------------------------------------------------- +## Revisions : see end of file +## ---------------------------------------------------------------------------- + +package require cmdline +# Load Quartus II Tcl Project package +package require ::quartus::project + +# ---------------------------------------------------------------------------- +# Declare command line parameters +# ---------------------------------------------------------------------------- +set parameters { + {projectname.arg "" "Project Name"} +} +array set arg [::cmdline::getoptions argv $parameters] + +# ---------------------------------------------------------------------------- +# Verify required paramters +# ---------------------------------------------------------------------------- +set requiredParameters {projectname} +foreach parameter $requiredParameters { + if {$arg($parameter) == ""} { + puts stderr "Missing required parameter: -$parameter" + exit 1 + } +} + +# ---------------------------------------------------------------------------- +# Check, if project exists +# ---------------------------------------------------------------------------- +if { ![project_exists $arg(projectname)] } { + post_message -type error "Project $arg(projectname) does not exist" + exit +} + # ---------------------------------------------------------------------------- + # Open project + # ---------------------------------------------------------------------------- + project_open $arg(projectname) + + # ---------------------------------------------------------------------------- + # Run specified design flow by parameter -process + # ---------------------------------------------------------------------------- + load_package flow + + execute_flow -compile + + # ---------------------------------------------------------------------------- + # Write Reports + # ---------------------------------------------------------------------------- + load_package report + load_report $arg(projectname) + write_report_panel -file flowsummary.log "Flow Summary" + + # ---------------------------------------------------------------------------- + # Close project + # ---------------------------------------------------------------------------- + project_close + + diff --git a/sim/de1_murax_franz/makefile b/sim/de1_murax_franz/makefile new file mode 100644 index 0000000..eda7ebf --- /dev/null +++ b/sim/de1_murax_franz/makefile @@ -0,0 +1,12 @@ +PROJECT = de1_murax_franz + +include ./makefile.sources + +# Add here the testbench file +SOURCE_FILES = $(SYN_SOURCE_FILES) \ +../../src/t_$(PROJECT).vhd + +include ../makefile + + + diff --git a/sim/de1_murax_franz/makefile.sources b/sim/de1_murax_franz/makefile.sources new file mode 100644 index 0000000..af1b1de --- /dev/null +++ b/sim/de1_murax_franz/makefile.sources @@ -0,0 +1,3 @@ +SYN_SOURCE_FILES = \ +../../src/de1_murax_franz.vhd + diff --git a/sim/makefile b/sim/makefile new file mode 100644 index 0000000..a8efb1a --- /dev/null +++ b/sim/makefile @@ -0,0 +1,89 @@ +## ---------------------------------------------------------------------------- +## Script : makefile +## ---------------------------------------------------------------------------- +## Author(s) : Johann Faerber, Friedrich Beckmann +## Company : University of Applied Sciences Augsburg +## ---------------------------------------------------------------------------- +## Description: This makefile allows automating design flow with ModelSim, +## it is based on a design directory structure shown at +## the end of this file. +## ---------------------------------------------------------------------------- + +################################################################### +# Main Targets +# +################################################################### + +help: + @echo '"make" does intentionally nothing. Type:' + @echo ' "make mproject" to create a new modelsim project only' + @echo ' "make compile" to compile all VHDL sources in batch mode' + @echo ' "make modelsim" to start modelsim with graphical user interface' + @echo ' "make sim" to start modelsim gui with the top testbench of the project' + @echo ' "make clean" to remove all generated files' + +mproject : mproject_created + + +# The real target should be the .mpf file that is modified in each compilation/simulation +mproject_created : $(SOURCE_FILES) makefile.sources + # create modelsim project + rm -rf ./modelsim_sources.tcl + for source_file in $(SOURCE_FILES); do \ + echo project addfile $$source_file >> modelsim_sources.tcl; \ + done + vsim -modelsimini ../../scripts/modelsim.ini -c -do "project new [pwd] $(PROJECT); source ./modelsim_sources.tcl; quit -f" + touch mproject_created + +compile: ./work/_vmake + +./work/_vmake: mproject_created + vsim -c -do "project open $(PROJECT); project calculateorder; quit -f" + grep Error transcript; if [ $$? -eq 0 ] ; then rm -rf work/_vmake; exit 1; fi + + +modelsim: mproject_created + vsim -i -do "project open $(PROJECT)" & + +sim: ./work/_vmake + vsim -i -do "project open $(PROJECT); vsim work.t_$(PROJECT)(tbench); add wave *; run -a;" & + +clean: + rm -rf *~ *.mpf *.mti *.ini *.wlf wlf* transcript work modelsim_sources.tcl mproject_created + +## ---------------------------------------------------------------------------- +## Description: +## ------------ +## assumes the following design directory structure as prerequisite +## +## DigitaltechnikPraktikum +## | +## +---src +## | and2gate_equation.vhd +## | invgate_equation.vhd +## | mux2to1_structure.vhd +## | or2gate_equation.vhd +## | t_mux2to1.vhd +## | de1_mux2to1_structure.vhd +## | +## +---sim +## | | makefile +## | | +## | \---mux2to1 +## | makefile +## | makefile.sources +## | +## +---pnr +## | | makefile +## | | +## | \---de1_mux2to1 +## | de1_mux2to1_pins.tcl +## | makefile +## | +## \---scripts +## de1_pin_assignments_minimumio.csv +## de1_pin_assignments_minimumio.tcl +## modelsim.ini +## quartus_project_settings.tcl +## ---------------------------------------------------------------------------- + diff --git a/src/de1_murax_franz.vhd b/src/de1_murax_franz.vhd new file mode 100644 index 0000000..c6a6cc1 --- /dev/null +++ b/src/de1_murax_franz.vhd @@ -0,0 +1,7440 @@ +-- Generator : SpinalHDL v1.7.1 git head : 0444bb76ab1d6e19f0ec46bc03c4769776deb7d5 +-- Component : de1_murax_franz +-- Git hash : 24795ef09b88defe2ee1bb335e5caaf7e07e64ff + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.NUMERIC_STD.all; + +package pkg_enum is + type BranchCtrlEnum is (INC,B,JAL,JALR); + type ShiftCtrlEnum is (DISABLE_1,SLL_1,SRL_1,SRA_1); + type AluBitwiseCtrlEnum is (XOR_1,OR_1,AND_1); + type AluCtrlEnum is (ADD_SUB,SLT_SLTU,BITWISE); + type EnvCtrlEnum is (NONE,XRET); + type Src2CtrlEnum is (RS,IMI,IMS,PC); + type Src1CtrlEnum is (RS,IMU,PC_INCREMENT,URS1); + type JtagState is (RESET,IDLE,IR_SELECT,IR_CAPTURE,IR_SHIFT,IR_EXIT1,IR_PAUSE,IR_EXIT2,IR_UPDATE,DR_SELECT,DR_CAPTURE,DR_SHIFT,DR_EXIT1,DR_PAUSE,DR_EXIT2,DR_UPDATE); + type UartStopType is (ONE,TWO); + type UartParityType is (NONE,EVEN,ODD); + type UartCtrlTxState is (IDLE,START,DATA,PARITY,STOP); + type UartCtrlRxState is (IDLE,START,DATA,PARITY,STOP); + + function pkg_mux (sel : std_logic; one : BranchCtrlEnum; zero : BranchCtrlEnum) return BranchCtrlEnum; + subtype BranchCtrlEnum_seq_type is std_logic_vector(1 downto 0); + constant BranchCtrlEnum_seq_INC : BranchCtrlEnum_seq_type := "00"; + constant BranchCtrlEnum_seq_B : BranchCtrlEnum_seq_type := "01"; + constant BranchCtrlEnum_seq_JAL : BranchCtrlEnum_seq_type := "10"; + constant BranchCtrlEnum_seq_JALR : BranchCtrlEnum_seq_type := "11"; + + function pkg_mux (sel : std_logic; one : ShiftCtrlEnum; zero : ShiftCtrlEnum) return ShiftCtrlEnum; + subtype ShiftCtrlEnum_seq_type is std_logic_vector(1 downto 0); + constant ShiftCtrlEnum_seq_DISABLE_1 : ShiftCtrlEnum_seq_type := "00"; + constant ShiftCtrlEnum_seq_SLL_1 : ShiftCtrlEnum_seq_type := "01"; + constant ShiftCtrlEnum_seq_SRL_1 : ShiftCtrlEnum_seq_type := "10"; + constant ShiftCtrlEnum_seq_SRA_1 : ShiftCtrlEnum_seq_type := "11"; + + function pkg_mux (sel : std_logic; one : AluBitwiseCtrlEnum; zero : AluBitwiseCtrlEnum) return AluBitwiseCtrlEnum; + subtype AluBitwiseCtrlEnum_seq_type is std_logic_vector(1 downto 0); + constant AluBitwiseCtrlEnum_seq_XOR_1 : AluBitwiseCtrlEnum_seq_type := "00"; + constant AluBitwiseCtrlEnum_seq_OR_1 : AluBitwiseCtrlEnum_seq_type := "01"; + constant AluBitwiseCtrlEnum_seq_AND_1 : AluBitwiseCtrlEnum_seq_type := "10"; + + function pkg_mux (sel : std_logic; one : AluCtrlEnum; zero : AluCtrlEnum) return AluCtrlEnum; + subtype AluCtrlEnum_seq_type is std_logic_vector(1 downto 0); + constant AluCtrlEnum_seq_ADD_SUB : AluCtrlEnum_seq_type := "00"; + constant AluCtrlEnum_seq_SLT_SLTU : AluCtrlEnum_seq_type := "01"; + constant AluCtrlEnum_seq_BITWISE : AluCtrlEnum_seq_type := "10"; + + function pkg_mux (sel : std_logic; one : EnvCtrlEnum; zero : EnvCtrlEnum) return EnvCtrlEnum; + subtype EnvCtrlEnum_seq_type is std_logic_vector(0 downto 0); + constant EnvCtrlEnum_seq_NONE : EnvCtrlEnum_seq_type := "0"; + constant EnvCtrlEnum_seq_XRET : EnvCtrlEnum_seq_type := "1"; + + function pkg_mux (sel : std_logic; one : Src2CtrlEnum; zero : Src2CtrlEnum) return Src2CtrlEnum; + subtype Src2CtrlEnum_seq_type is std_logic_vector(1 downto 0); + constant Src2CtrlEnum_seq_RS : Src2CtrlEnum_seq_type := "00"; + constant Src2CtrlEnum_seq_IMI : Src2CtrlEnum_seq_type := "01"; + constant Src2CtrlEnum_seq_IMS : Src2CtrlEnum_seq_type := "10"; + constant Src2CtrlEnum_seq_PC : Src2CtrlEnum_seq_type := "11"; + + function pkg_mux (sel : std_logic; one : Src1CtrlEnum; zero : Src1CtrlEnum) return Src1CtrlEnum; + subtype Src1CtrlEnum_seq_type is std_logic_vector(1 downto 0); + constant Src1CtrlEnum_seq_RS : Src1CtrlEnum_seq_type := "00"; + constant Src1CtrlEnum_seq_IMU : Src1CtrlEnum_seq_type := "01"; + constant Src1CtrlEnum_seq_PC_INCREMENT : Src1CtrlEnum_seq_type := "10"; + constant Src1CtrlEnum_seq_URS1 : Src1CtrlEnum_seq_type := "11"; + + function pkg_mux (sel : std_logic; one : JtagState; zero : JtagState) return JtagState; + function pkg_toStdLogicVector_native (value : JtagState) return std_logic_vector; + function pkg_toJtagState_native (value : std_logic_vector(3 downto 0)) return JtagState; + function pkg_mux (sel : std_logic; one : UartStopType; zero : UartStopType) return UartStopType; + subtype UartStopType_seq_type is std_logic_vector(0 downto 0); + constant UartStopType_seq_ONE : UartStopType_seq_type := "0"; + constant UartStopType_seq_TWO : UartStopType_seq_type := "1"; + + function pkg_mux (sel : std_logic; one : UartParityType; zero : UartParityType) return UartParityType; + subtype UartParityType_seq_type is std_logic_vector(1 downto 0); + constant UartParityType_seq_NONE : UartParityType_seq_type := "00"; + constant UartParityType_seq_EVEN : UartParityType_seq_type := "01"; + constant UartParityType_seq_ODD : UartParityType_seq_type := "10"; + + function pkg_mux (sel : std_logic; one : UartCtrlTxState; zero : UartCtrlTxState) return UartCtrlTxState; + function pkg_toStdLogicVector_native (value : UartCtrlTxState) return std_logic_vector; + function pkg_toUartCtrlTxState_native (value : std_logic_vector(2 downto 0)) return UartCtrlTxState; + function pkg_mux (sel : std_logic; one : UartCtrlRxState; zero : UartCtrlRxState) return UartCtrlRxState; + function pkg_toStdLogicVector_native (value : UartCtrlRxState) return std_logic_vector; + function pkg_toUartCtrlRxState_native (value : std_logic_vector(2 downto 0)) return UartCtrlRxState; +end pkg_enum; + +package body pkg_enum is + function pkg_mux (sel : std_logic; one : BranchCtrlEnum; zero : BranchCtrlEnum) return BranchCtrlEnum is + begin + if sel = '1' then + return one; + else + return zero; + end if; + end pkg_mux; + + function pkg_mux (sel : std_logic; one : ShiftCtrlEnum; zero : ShiftCtrlEnum) return ShiftCtrlEnum is + begin + if sel = '1' then + return one; + else + return zero; + end if; + end pkg_mux; + + function pkg_mux (sel : std_logic; one : AluBitwiseCtrlEnum; zero : AluBitwiseCtrlEnum) return AluBitwiseCtrlEnum is + begin + if sel = '1' then + return one; + else + return zero; + end if; + end pkg_mux; + + function pkg_mux (sel : std_logic; one : AluCtrlEnum; zero : AluCtrlEnum) return AluCtrlEnum is + begin + if sel = '1' then + return one; + else + return zero; + end if; + end pkg_mux; + + function pkg_mux (sel : std_logic; one : EnvCtrlEnum; zero : EnvCtrlEnum) return EnvCtrlEnum is + begin + if sel = '1' then + return one; + else + return zero; + end if; + end pkg_mux; + + function pkg_mux (sel : std_logic; one : Src2CtrlEnum; zero : Src2CtrlEnum) return Src2CtrlEnum is + begin + if sel = '1' then + return one; + else + return zero; + end if; + end pkg_mux; + + function pkg_mux (sel : std_logic; one : Src1CtrlEnum; zero : Src1CtrlEnum) return Src1CtrlEnum is + begin + if sel = '1' then + return one; + else + return zero; + end if; + end pkg_mux; + + function pkg_mux (sel : std_logic; one : JtagState; zero : JtagState) return JtagState is + begin + if sel = '1' then + return one; + else + return zero; + end if; + end pkg_mux; + + function pkg_toJtagState_native (value : std_logic_vector(3 downto 0)) return JtagState is + begin + case value is + when "0000" => return RESET; + when "0001" => return IDLE; + when "0010" => return IR_SELECT; + when "0011" => return IR_CAPTURE; + when "0100" => return IR_SHIFT; + when "0101" => return IR_EXIT1; + when "0110" => return IR_PAUSE; + when "0111" => return IR_EXIT2; + when "1000" => return IR_UPDATE; + when "1001" => return DR_SELECT; + when "1010" => return DR_CAPTURE; + when "1011" => return DR_SHIFT; + when "1100" => return DR_EXIT1; + when "1101" => return DR_PAUSE; + when "1110" => return DR_EXIT2; + when "1111" => return DR_UPDATE; + when others => return RESET; + end case; + end; + function pkg_toStdLogicVector_native (value : JtagState) return std_logic_vector is + begin + case value is + when RESET => return "0000"; + when IDLE => return "0001"; + when IR_SELECT => return "0010"; + when IR_CAPTURE => return "0011"; + when IR_SHIFT => return "0100"; + when IR_EXIT1 => return "0101"; + when IR_PAUSE => return "0110"; + when IR_EXIT2 => return "0111"; + when IR_UPDATE => return "1000"; + when DR_SELECT => return "1001"; + when DR_CAPTURE => return "1010"; + when DR_SHIFT => return "1011"; + when DR_EXIT1 => return "1100"; + when DR_PAUSE => return "1101"; + when DR_EXIT2 => return "1110"; + when DR_UPDATE => return "1111"; + when others => return "0000"; + end case; + end; + function pkg_mux (sel : std_logic; one : UartStopType; zero : UartStopType) return UartStopType is + begin + if sel = '1' then + return one; + else + return zero; + end if; + end pkg_mux; + + function pkg_mux (sel : std_logic; one : UartParityType; zero : UartParityType) return UartParityType is + begin + if sel = '1' then + return one; + else + return zero; + end if; + end pkg_mux; + + function pkg_mux (sel : std_logic; one : UartCtrlTxState; zero : UartCtrlTxState) return UartCtrlTxState is + begin + if sel = '1' then + return one; + else + return zero; + end if; + end pkg_mux; + + function pkg_toUartCtrlTxState_native (value : std_logic_vector(2 downto 0)) return UartCtrlTxState is + begin + case value is + when "000" => return IDLE; + when "001" => return START; + when "010" => return DATA; + when "011" => return PARITY; + when "100" => return STOP; + when others => return IDLE; + end case; + end; + function pkg_toStdLogicVector_native (value : UartCtrlTxState) return std_logic_vector is + begin + case value is + when IDLE => return "000"; + when START => return "001"; + when DATA => return "010"; + when PARITY => return "011"; + when STOP => return "100"; + when others => return "000"; + end case; + end; + function pkg_mux (sel : std_logic; one : UartCtrlRxState; zero : UartCtrlRxState) return UartCtrlRxState is + begin + if sel = '1' then + return one; + else + return zero; + end if; + end pkg_mux; + + function pkg_toUartCtrlRxState_native (value : std_logic_vector(2 downto 0)) return UartCtrlRxState is + begin + case value is + when "000" => return IDLE; + when "001" => return START; + when "010" => return DATA; + when "011" => return PARITY; + when "100" => return STOP; + when others => return IDLE; + end case; + end; + function pkg_toStdLogicVector_native (value : UartCtrlRxState) return std_logic_vector is + begin + case value is + when IDLE => return "000"; + when START => return "001"; + when DATA => return "010"; + when PARITY => return "011"; + when STOP => return "100"; + when others => return "000"; + end case; + end; +end pkg_enum; + + +library IEEE; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use ieee.math_real.all; + +package pkg_scala2hdl is + function pkg_extract (that : std_logic_vector; bitId : integer) return std_logic; + function pkg_extract (that : std_logic_vector; base : unsigned; size : integer) return std_logic_vector; + function pkg_cat (a : std_logic_vector; b : std_logic_vector) return std_logic_vector; + function pkg_not (value : std_logic_vector) return std_logic_vector; + function pkg_extract (that : unsigned; bitId : integer) return std_logic; + function pkg_extract (that : unsigned; base : unsigned; size : integer) return unsigned; + function pkg_cat (a : unsigned; b : unsigned) return unsigned; + function pkg_not (value : unsigned) return unsigned; + function pkg_extract (that : signed; bitId : integer) return std_logic; + function pkg_extract (that : signed; base : unsigned; size : integer) return signed; + function pkg_cat (a : signed; b : signed) return signed; + function pkg_not (value : signed) return signed; + + function pkg_mux (sel : std_logic; one : std_logic; zero : std_logic) return std_logic; + function pkg_mux (sel : std_logic; one : std_logic_vector; zero : std_logic_vector) return std_logic_vector; + function pkg_mux (sel : std_logic; one : unsigned; zero : unsigned) return unsigned; + function pkg_mux (sel : std_logic; one : signed; zero : signed) return signed; + + function pkg_toStdLogic (value : boolean) return std_logic; + function pkg_toStdLogicVector (value : std_logic) return std_logic_vector; + function pkg_toUnsigned (value : std_logic) return unsigned; + function pkg_toSigned (value : std_logic) return signed; + function pkg_stdLogicVector (lit : std_logic_vector) return std_logic_vector; + function pkg_unsigned (lit : unsigned) return unsigned; + function pkg_signed (lit : signed) return signed; + + function pkg_resize (that : std_logic_vector; width : integer) return std_logic_vector; + function pkg_resize (that : unsigned; width : integer) return unsigned; + function pkg_resize (that : signed; width : integer) return signed; + + function pkg_extract (that : std_logic_vector; high : integer; low : integer) return std_logic_vector; + function pkg_extract (that : unsigned; high : integer; low : integer) return unsigned; + function pkg_extract (that : signed; high : integer; low : integer) return signed; + + function pkg_shiftRight (that : std_logic_vector; size : natural) return std_logic_vector; + function pkg_shiftRight (that : std_logic_vector; size : unsigned) return std_logic_vector; + function pkg_shiftLeft (that : std_logic_vector; size : natural) return std_logic_vector; + function pkg_shiftLeft (that : std_logic_vector; size : unsigned) return std_logic_vector; + + function pkg_shiftRight (that : unsigned; size : natural) return unsigned; + function pkg_shiftRight (that : unsigned; size : unsigned) return unsigned; + function pkg_shiftLeft (that : unsigned; size : natural) return unsigned; + function pkg_shiftLeft (that : unsigned; size : unsigned) return unsigned; + + function pkg_shiftRight (that : signed; size : natural) return signed; + function pkg_shiftRight (that : signed; size : unsigned) return signed; + function pkg_shiftLeft (that : signed; size : natural) return signed; + function pkg_shiftLeft (that : signed; size : unsigned; w : integer) return signed; + + function pkg_rotateLeft (that : std_logic_vector; size : unsigned) return std_logic_vector; +end pkg_scala2hdl; + +package body pkg_scala2hdl is + function pkg_extract (that : std_logic_vector; bitId : integer) return std_logic is + alias temp : std_logic_vector(that'length-1 downto 0) is that; + begin + if bitId >= temp'length then + return 'U'; + end if; + return temp(bitId); + end pkg_extract; + + function pkg_extract (that : std_logic_vector; base : unsigned; size : integer) return std_logic_vector is + alias temp : std_logic_vector(that'length-1 downto 0) is that; constant elementCount : integer := temp'length - size + 1; + type tableType is array (0 to elementCount-1) of std_logic_vector(size-1 downto 0); + variable table : tableType; + begin + for i in 0 to elementCount-1 loop + table(i) := temp(i + size - 1 downto i); + end loop; + if base + size >= elementCount then + return (size-1 downto 0 => 'U'); + end if; + return table(to_integer(base)); + end pkg_extract; + + function pkg_cat (a : std_logic_vector; b : std_logic_vector) return std_logic_vector is + variable cat : std_logic_vector(a'length + b'length-1 downto 0); + begin + cat := a & b; + return cat; + end pkg_cat; + + function pkg_not (value : std_logic_vector) return std_logic_vector is + variable ret : std_logic_vector(value'length-1 downto 0); + begin + ret := not value; + return ret; + end pkg_not; + + function pkg_extract (that : unsigned; bitId : integer) return std_logic is + alias temp : unsigned(that'length-1 downto 0) is that; + begin + if bitId >= temp'length then + return 'U'; + end if; + return temp(bitId); + end pkg_extract; + + function pkg_extract (that : unsigned; base : unsigned; size : integer) return unsigned is + alias temp : unsigned(that'length-1 downto 0) is that; constant elementCount : integer := temp'length - size + 1; + type tableType is array (0 to elementCount-1) of unsigned(size-1 downto 0); + variable table : tableType; + begin + for i in 0 to elementCount-1 loop + table(i) := temp(i + size - 1 downto i); + end loop; + if base + size >= elementCount then + return (size-1 downto 0 => 'U'); + end if; + return table(to_integer(base)); + end pkg_extract; + + function pkg_cat (a : unsigned; b : unsigned) return unsigned is + variable cat : unsigned(a'length + b'length-1 downto 0); + begin + cat := a & b; + return cat; + end pkg_cat; + + function pkg_not (value : unsigned) return unsigned is + variable ret : unsigned(value'length-1 downto 0); + begin + ret := not value; + return ret; + end pkg_not; + + function pkg_extract (that : signed; bitId : integer) return std_logic is + alias temp : signed(that'length-1 downto 0) is that; + begin + if bitId >= temp'length then + return 'U'; + end if; + return temp(bitId); + end pkg_extract; + + function pkg_extract (that : signed; base : unsigned; size : integer) return signed is + alias temp : signed(that'length-1 downto 0) is that; constant elementCount : integer := temp'length - size + 1; + type tableType is array (0 to elementCount-1) of signed(size-1 downto 0); + variable table : tableType; + begin + for i in 0 to elementCount-1 loop + table(i) := temp(i + size - 1 downto i); + end loop; + if base + size >= elementCount then + return (size-1 downto 0 => 'U'); + end if; + return table(to_integer(base)); + end pkg_extract; + + function pkg_cat (a : signed; b : signed) return signed is + variable cat : signed(a'length + b'length-1 downto 0); + begin + cat := a & b; + return cat; + end pkg_cat; + + function pkg_not (value : signed) return signed is + variable ret : signed(value'length-1 downto 0); + begin + ret := not value; + return ret; + end pkg_not; + + + -- unsigned shifts + function pkg_shiftRight (that : unsigned; size : natural) return unsigned is + variable ret : unsigned(that'length-1 downto 0); + begin + if size >= that'length then + return ""; + else + ret := shift_right(that,size); + return ret(that'length-1-size downto 0); + end if; + end pkg_shiftRight; + + function pkg_shiftRight (that : unsigned; size : unsigned) return unsigned is + variable ret : unsigned(that'length-1 downto 0); + begin + ret := shift_right(that,to_integer(size)); + return ret; + end pkg_shiftRight; + + function pkg_shiftLeft (that : unsigned; size : natural) return unsigned is + begin + return shift_left(resize(that,that'length + size),size); + end pkg_shiftLeft; + + function pkg_shiftLeft (that : unsigned; size : unsigned) return unsigned is + begin + return shift_left(resize(that,that'length + 2**size'length - 1),to_integer(size)); + end pkg_shiftLeft; + + -- std_logic_vector shifts + function pkg_shiftRight (that : std_logic_vector; size : natural) return std_logic_vector is + begin + return std_logic_vector(pkg_shiftRight(unsigned(that),size)); + end pkg_shiftRight; + + function pkg_shiftRight (that : std_logic_vector; size : unsigned) return std_logic_vector is + begin + return std_logic_vector(pkg_shiftRight(unsigned(that),size)); + end pkg_shiftRight; + + function pkg_shiftLeft (that : std_logic_vector; size : natural) return std_logic_vector is + begin + return std_logic_vector(pkg_shiftLeft(unsigned(that),size)); + end pkg_shiftLeft; + + function pkg_shiftLeft (that : std_logic_vector; size : unsigned) return std_logic_vector is + begin + return std_logic_vector(pkg_shiftLeft(unsigned(that),size)); + end pkg_shiftLeft; + + -- signed shifts + function pkg_shiftRight (that : signed; size : natural) return signed is + begin + return signed(pkg_shiftRight(unsigned(that),size)); + end pkg_shiftRight; + + function pkg_shiftRight (that : signed; size : unsigned) return signed is + begin + return shift_right(that,to_integer(size)); + end pkg_shiftRight; + + function pkg_shiftLeft (that : signed; size : natural) return signed is + begin + return signed(pkg_shiftLeft(unsigned(that),size)); + end pkg_shiftLeft; + + function pkg_shiftLeft (that : signed; size : unsigned; w : integer) return signed is + begin + return shift_left(resize(that,w),to_integer(size)); + end pkg_shiftLeft; + + function pkg_rotateLeft (that : std_logic_vector; size : unsigned) return std_logic_vector is + begin + return std_logic_vector(rotate_left(unsigned(that),to_integer(size))); + end pkg_rotateLeft; + + function pkg_extract (that : std_logic_vector; high : integer; low : integer) return std_logic_vector is + alias temp : std_logic_vector(that'length-1 downto 0) is that; + begin + return temp(high downto low); + end pkg_extract; + + function pkg_extract (that : unsigned; high : integer; low : integer) return unsigned is + alias temp : unsigned(that'length-1 downto 0) is that; + begin + return temp(high downto low); + end pkg_extract; + + function pkg_extract (that : signed; high : integer; low : integer) return signed is + alias temp : signed(that'length-1 downto 0) is that; + begin + return temp(high downto low); + end pkg_extract; + + function pkg_mux (sel : std_logic; one : std_logic; zero : std_logic) return std_logic is + begin + if sel = '1' then + return one; + else + return zero; + end if; + end pkg_mux; + + function pkg_mux (sel : std_logic; one : std_logic_vector; zero : std_logic_vector) return std_logic_vector is + variable ret : std_logic_vector(zero'range); + begin + if sel = '1' then + ret := one; + else + ret := zero; + end if; + return ret; + end pkg_mux; + + function pkg_mux (sel : std_logic; one : unsigned; zero : unsigned) return unsigned is + variable ret : unsigned(zero'range); + begin + if sel = '1' then + ret := one; + else + ret := zero; + end if; + return ret; + end pkg_mux; + + function pkg_mux (sel : std_logic; one : signed; zero : signed) return signed is + variable ret : signed(zero'range); + begin + if sel = '1' then + ret := one; + else + ret := zero; + end if; + return ret; + end pkg_mux; + + function pkg_toStdLogic (value : boolean) return std_logic is + begin + if value = true then + return '1'; + else + return '0'; + end if; + end pkg_toStdLogic; + + function pkg_toStdLogicVector (value : std_logic) return std_logic_vector is + variable ret : std_logic_vector(0 downto 0); + begin + ret(0) := value; + return ret; + end pkg_toStdLogicVector; + + function pkg_toUnsigned (value : std_logic) return unsigned is + variable ret : unsigned(0 downto 0); + begin + ret(0) := value; + return ret; + end pkg_toUnsigned; + + function pkg_toSigned (value : std_logic) return signed is + variable ret : signed(0 downto 0); + begin + ret(0) := value; + return ret; + end pkg_toSigned; + + function pkg_stdLogicVector (lit : std_logic_vector) return std_logic_vector is + alias ret : std_logic_vector(lit'length-1 downto 0) is lit; + begin + return ret; + end pkg_stdLogicVector; + + function pkg_unsigned (lit : unsigned) return unsigned is + alias ret : unsigned(lit'length-1 downto 0) is lit; + begin + return ret; + end pkg_unsigned; + + function pkg_signed (lit : signed) return signed is + alias ret : signed(lit'length-1 downto 0) is lit; + begin + return ret; + end pkg_signed; + + function pkg_resize (that : std_logic_vector; width : integer) return std_logic_vector is + begin + return std_logic_vector(resize(unsigned(that),width)); + end pkg_resize; + + function pkg_resize (that : unsigned; width : integer) return unsigned is + variable ret : unsigned(width-1 downto 0); + begin + if that'length = 0 then + ret := (others => '0'); + else + ret := resize(that,width); + end if; + return ret; + end pkg_resize; + function pkg_resize (that : signed; width : integer) return signed is + alias temp : signed(that'length-1 downto 0) is that; + variable ret : signed(width-1 downto 0); + begin + if temp'length = 0 then + ret := (others => '0'); + elsif temp'length >= width then + ret := temp(width-1 downto 0); + else + ret := resize(temp,width); + end if; + return ret; + end pkg_resize; +end pkg_scala2hdl; + + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.pkg_scala2hdl.all; +use work.all; +use work.pkg_enum.all; + + +entity BufferCC is + port( + io_dataIn : in std_logic; + io_dataOut : out std_logic; + io_mainClk : in std_logic; + resetCtrl_systemReset : in std_logic + ); +end BufferCC; + +architecture arch of BufferCC is + attribute async_reg : string; + + signal buffers_0 : std_logic; + attribute async_reg of buffers_0 : signal is "true"; + signal buffers_1 : std_logic; + attribute async_reg of buffers_1 : signal is "true"; +begin + io_dataOut <= buffers_1; + process(io_mainClk, resetCtrl_systemReset) + begin + if resetCtrl_systemReset = '1' then + buffers_0 <= pkg_toStdLogic(false); + buffers_1 <= pkg_toStdLogic(false); + elsif rising_edge(io_mainClk) then + buffers_0 <= io_dataIn; + buffers_1 <= buffers_0; + end if; + end process; + +end arch; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.pkg_scala2hdl.all; +use work.all; +use work.pkg_enum.all; + + +entity BufferCC_1 is + port( + io_dataIn : in std_logic; + io_dataOut : out std_logic; + io_mainClk : in std_logic; + resetCtrl_mainClkReset : in std_logic + ); +end BufferCC_1; + +architecture arch of BufferCC_1 is + attribute async_reg : string; + + signal buffers_0 : std_logic := '0'; + attribute async_reg of buffers_0 : signal is "true"; + signal buffers_1 : std_logic := '0'; + attribute async_reg of buffers_1 : signal is "true"; +begin + io_dataOut <= buffers_1; + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + buffers_0 <= io_dataIn; + buffers_1 <= buffers_0; + end if; + end process; + +end arch; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.pkg_scala2hdl.all; +use work.all; +use work.pkg_enum.all; + + +entity UartCtrlTx is + port( + io_configFrame_dataLength : in unsigned(2 downto 0); + io_configFrame_stop : in UartStopType_seq_type; + io_configFrame_parity : in UartParityType_seq_type; + io_samplingTick : in std_logic; + io_write_valid : in std_logic; + io_write_ready : out std_logic; + io_write_payload : in std_logic_vector(7 downto 0); + io_cts : in std_logic; + io_txd : out std_logic; + io_break : in std_logic; + io_mainClk : in std_logic; + resetCtrl_systemReset : in std_logic + ); +end UartCtrlTx; + +architecture arch of UartCtrlTx is + + signal clockDivider_counter_willIncrement : std_logic; + signal clockDivider_counter_willClear : std_logic; + signal clockDivider_counter_valueNext : unsigned(2 downto 0); + signal clockDivider_counter_value : unsigned(2 downto 0); + signal clockDivider_counter_willOverflowIfInc : std_logic; + signal clockDivider_counter_willOverflow : std_logic; + signal tickCounter_value : unsigned(2 downto 0); + signal stateMachine_state : UartCtrlTxState; + signal stateMachine_parity : std_logic; + signal stateMachine_txd : std_logic; + signal when_UartCtrlTx_l58 : std_logic; + signal when_UartCtrlTx_l73 : std_logic; + signal when_UartCtrlTx_l76 : std_logic; + signal when_UartCtrlTx_l93 : std_logic; + signal zz_stateMachine_state : UartCtrlTxState; + signal zz_io_txd : std_logic; +begin + process(io_samplingTick) + begin + clockDivider_counter_willIncrement <= pkg_toStdLogic(false); + if io_samplingTick = '1' then + clockDivider_counter_willIncrement <= pkg_toStdLogic(true); + end if; + end process; + + clockDivider_counter_willClear <= pkg_toStdLogic(false); + clockDivider_counter_willOverflowIfInc <= pkg_toStdLogic(clockDivider_counter_value = pkg_unsigned("100")); + clockDivider_counter_willOverflow <= (clockDivider_counter_willOverflowIfInc and clockDivider_counter_willIncrement); + process(clockDivider_counter_willOverflow,clockDivider_counter_value,clockDivider_counter_willIncrement,clockDivider_counter_willClear) + begin + if clockDivider_counter_willOverflow = '1' then + clockDivider_counter_valueNext <= pkg_unsigned("000"); + else + clockDivider_counter_valueNext <= (clockDivider_counter_value + pkg_resize(unsigned(pkg_toStdLogicVector(clockDivider_counter_willIncrement)),3)); + end if; + if clockDivider_counter_willClear = '1' then + clockDivider_counter_valueNext <= pkg_unsigned("000"); + end if; + end process; + + process(stateMachine_state,io_write_payload,tickCounter_value,stateMachine_parity) + begin + stateMachine_txd <= pkg_toStdLogic(true); + case stateMachine_state is + when pkg_enum.IDLE => + when pkg_enum.START => + stateMachine_txd <= pkg_toStdLogic(false); + when pkg_enum.DATA => + stateMachine_txd <= pkg_extract(io_write_payload,to_integer(tickCounter_value)); + when pkg_enum.PARITY => + stateMachine_txd <= stateMachine_parity; + when others => + end case; + end process; + + process(io_break,stateMachine_state,clockDivider_counter_willOverflow,when_UartCtrlTx_l73) + begin + io_write_ready <= io_break; + case stateMachine_state is + when pkg_enum.IDLE => + when pkg_enum.START => + when pkg_enum.DATA => + if clockDivider_counter_willOverflow = '1' then + if when_UartCtrlTx_l73 = '1' then + io_write_ready <= pkg_toStdLogic(true); + end if; + end if; + when pkg_enum.PARITY => + when others => + end case; + end process; + + when_UartCtrlTx_l58 <= ((io_write_valid and (not io_cts)) and clockDivider_counter_willOverflow); + when_UartCtrlTx_l73 <= pkg_toStdLogic(tickCounter_value = io_configFrame_dataLength); + when_UartCtrlTx_l76 <= pkg_toStdLogic(io_configFrame_parity = UartParityType_seq_NONE); + when_UartCtrlTx_l93 <= pkg_toStdLogic(tickCounter_value = pkg_resize(pkg_mux(pkg_toStdLogic(io_configFrame_stop = UartStopType_seq_ONE),pkg_unsigned("0"),pkg_unsigned("1")),3)); + zz_stateMachine_state <= pkg_mux(io_write_valid,pkg_enum.START,pkg_enum.IDLE); + io_txd <= zz_io_txd; + process(io_mainClk, resetCtrl_systemReset) + begin + if resetCtrl_systemReset = '1' then + clockDivider_counter_value <= pkg_unsigned("000"); + stateMachine_state <= pkg_enum.IDLE; + zz_io_txd <= pkg_toStdLogic(true); + elsif rising_edge(io_mainClk) then + clockDivider_counter_value <= clockDivider_counter_valueNext; + case stateMachine_state is + when pkg_enum.IDLE => + if when_UartCtrlTx_l58 = '1' then + stateMachine_state <= pkg_enum.START; + end if; + when pkg_enum.START => + if clockDivider_counter_willOverflow = '1' then + stateMachine_state <= pkg_enum.DATA; + end if; + when pkg_enum.DATA => + if clockDivider_counter_willOverflow = '1' then + if when_UartCtrlTx_l73 = '1' then + if when_UartCtrlTx_l76 = '1' then + stateMachine_state <= pkg_enum.STOP; + else + stateMachine_state <= pkg_enum.PARITY; + end if; + end if; + end if; + when pkg_enum.PARITY => + if clockDivider_counter_willOverflow = '1' then + stateMachine_state <= pkg_enum.STOP; + end if; + when others => + if clockDivider_counter_willOverflow = '1' then + if when_UartCtrlTx_l93 = '1' then + stateMachine_state <= zz_stateMachine_state; + end if; + end if; + end case; + zz_io_txd <= (stateMachine_txd and (not io_break)); + end if; + end process; + + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + if clockDivider_counter_willOverflow = '1' then + tickCounter_value <= (tickCounter_value + pkg_unsigned("001")); + end if; + if clockDivider_counter_willOverflow = '1' then + stateMachine_parity <= (stateMachine_parity xor stateMachine_txd); + end if; + case stateMachine_state is + when pkg_enum.IDLE => + when pkg_enum.START => + if clockDivider_counter_willOverflow = '1' then + stateMachine_parity <= pkg_toStdLogic(io_configFrame_parity = UartParityType_seq_ODD); + tickCounter_value <= pkg_unsigned("000"); + end if; + when pkg_enum.DATA => + if clockDivider_counter_willOverflow = '1' then + if when_UartCtrlTx_l73 = '1' then + tickCounter_value <= pkg_unsigned("000"); + end if; + end if; + when pkg_enum.PARITY => + if clockDivider_counter_willOverflow = '1' then + tickCounter_value <= pkg_unsigned("000"); + end if; + when others => + end case; + end if; + end process; + +end arch; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.pkg_scala2hdl.all; +use work.all; +use work.pkg_enum.all; + + +entity UartCtrlRx is + port( + io_configFrame_dataLength : in unsigned(2 downto 0); + io_configFrame_stop : in UartStopType_seq_type; + io_configFrame_parity : in UartParityType_seq_type; + io_samplingTick : in std_logic; + io_read_valid : out std_logic; + io_read_ready : in std_logic; + io_read_payload : out std_logic_vector(7 downto 0); + io_rxd : in std_logic; + io_rts : out std_logic; + io_error : out std_logic; + io_break : out std_logic; + io_mainClk : in std_logic; + resetCtrl_systemReset : in std_logic + ); +end UartCtrlRx; + +architecture arch of UartCtrlRx is + signal io_rxd_buffercc_io_dataOut : std_logic; + + signal zz_io_rts : std_logic; + signal sampler_synchroniser : std_logic; + signal sampler_samples_0 : std_logic; + signal sampler_samples_1 : std_logic; + signal sampler_samples_2 : std_logic; + signal sampler_value : std_logic; + signal sampler_tick : std_logic; + signal bitTimer_counter : unsigned(2 downto 0); + signal bitTimer_tick : std_logic; + signal when_UartCtrlRx_l43 : std_logic; + signal bitCounter_value : unsigned(2 downto 0); + signal break_counter : unsigned(6 downto 0); + signal break_valid : std_logic; + signal when_UartCtrlRx_l69 : std_logic; + signal stateMachine_state : UartCtrlRxState; + signal stateMachine_parity : std_logic; + signal stateMachine_shifter : std_logic_vector(7 downto 0); + signal stateMachine_validReg : std_logic; + signal when_UartCtrlRx_l93 : std_logic; + signal when_UartCtrlRx_l103 : std_logic; + signal when_UartCtrlRx_l111 : std_logic; + signal when_UartCtrlRx_l113 : std_logic; + signal when_UartCtrlRx_l125 : std_logic; + signal when_UartCtrlRx_l136 : std_logic; + signal when_UartCtrlRx_l139 : std_logic; +begin + io_rxd_buffercc : entity work.BufferCC + port map ( + io_dataIn => io_rxd, + io_dataOut => io_rxd_buffercc_io_dataOut, + io_mainClk => io_mainClk, + resetCtrl_systemReset => resetCtrl_systemReset + ); + process(stateMachine_state,bitTimer_tick,when_UartCtrlRx_l125,when_UartCtrlRx_l136) + begin + io_error <= pkg_toStdLogic(false); + case stateMachine_state is + when pkg_enum.IDLE => + when pkg_enum.START => + when pkg_enum.DATA => + when pkg_enum.PARITY => + if bitTimer_tick = '1' then + if when_UartCtrlRx_l125 = '0' then + io_error <= pkg_toStdLogic(true); + end if; + end if; + when others => + if bitTimer_tick = '1' then + if when_UartCtrlRx_l136 = '1' then + io_error <= pkg_toStdLogic(true); + end if; + end if; + end case; + end process; + + io_rts <= zz_io_rts; + sampler_synchroniser <= io_rxd_buffercc_io_dataOut; + sampler_samples_0 <= sampler_synchroniser; + process(sampler_tick,when_UartCtrlRx_l43) + begin + bitTimer_tick <= pkg_toStdLogic(false); + if sampler_tick = '1' then + if when_UartCtrlRx_l43 = '1' then + bitTimer_tick <= pkg_toStdLogic(true); + end if; + end if; + end process; + + when_UartCtrlRx_l43 <= pkg_toStdLogic(bitTimer_counter = pkg_unsigned("000")); + break_valid <= pkg_toStdLogic(break_counter = pkg_unsigned("1000001")); + when_UartCtrlRx_l69 <= (io_samplingTick and (not break_valid)); + io_break <= break_valid; + io_read_valid <= stateMachine_validReg; + when_UartCtrlRx_l93 <= ((sampler_tick and (not sampler_value)) and (not break_valid)); + when_UartCtrlRx_l103 <= pkg_toStdLogic(sampler_value = pkg_toStdLogic(true)); + when_UartCtrlRx_l111 <= pkg_toStdLogic(bitCounter_value = io_configFrame_dataLength); + when_UartCtrlRx_l113 <= pkg_toStdLogic(io_configFrame_parity = UartParityType_seq_NONE); + when_UartCtrlRx_l125 <= pkg_toStdLogic(stateMachine_parity = sampler_value); + when_UartCtrlRx_l136 <= (not sampler_value); + when_UartCtrlRx_l139 <= pkg_toStdLogic(bitCounter_value = pkg_resize(pkg_mux(pkg_toStdLogic(io_configFrame_stop = UartStopType_seq_ONE),pkg_unsigned("0"),pkg_unsigned("1")),3)); + io_read_payload <= stateMachine_shifter; + process(io_mainClk, resetCtrl_systemReset) + begin + if resetCtrl_systemReset = '1' then + zz_io_rts <= pkg_toStdLogic(false); + sampler_samples_1 <= pkg_toStdLogic(true); + sampler_samples_2 <= pkg_toStdLogic(true); + sampler_value <= pkg_toStdLogic(true); + sampler_tick <= pkg_toStdLogic(false); + break_counter <= pkg_unsigned("0000000"); + stateMachine_state <= pkg_enum.IDLE; + stateMachine_validReg <= pkg_toStdLogic(false); + elsif rising_edge(io_mainClk) then + zz_io_rts <= (not io_read_ready); + if io_samplingTick = '1' then + sampler_samples_1 <= sampler_samples_0; + end if; + if io_samplingTick = '1' then + sampler_samples_2 <= sampler_samples_1; + end if; + sampler_value <= (((pkg_toStdLogic(false) or ((pkg_toStdLogic(true) and sampler_samples_0) and sampler_samples_1)) or ((pkg_toStdLogic(true) and sampler_samples_0) and sampler_samples_2)) or ((pkg_toStdLogic(true) and sampler_samples_1) and sampler_samples_2)); + sampler_tick <= io_samplingTick; + if sampler_value = '1' then + break_counter <= pkg_unsigned("0000000"); + else + if when_UartCtrlRx_l69 = '1' then + break_counter <= (break_counter + pkg_unsigned("0000001")); + end if; + end if; + stateMachine_validReg <= pkg_toStdLogic(false); + case stateMachine_state is + when pkg_enum.IDLE => + if when_UartCtrlRx_l93 = '1' then + stateMachine_state <= pkg_enum.START; + end if; + when pkg_enum.START => + if bitTimer_tick = '1' then + stateMachine_state <= pkg_enum.DATA; + if when_UartCtrlRx_l103 = '1' then + stateMachine_state <= pkg_enum.IDLE; + end if; + end if; + when pkg_enum.DATA => + if bitTimer_tick = '1' then + if when_UartCtrlRx_l111 = '1' then + if when_UartCtrlRx_l113 = '1' then + stateMachine_state <= pkg_enum.STOP; + stateMachine_validReg <= pkg_toStdLogic(true); + else + stateMachine_state <= pkg_enum.PARITY; + end if; + end if; + end if; + when pkg_enum.PARITY => + if bitTimer_tick = '1' then + if when_UartCtrlRx_l125 = '1' then + stateMachine_state <= pkg_enum.STOP; + stateMachine_validReg <= pkg_toStdLogic(true); + else + stateMachine_state <= pkg_enum.IDLE; + end if; + end if; + when others => + if bitTimer_tick = '1' then + if when_UartCtrlRx_l136 = '1' then + stateMachine_state <= pkg_enum.IDLE; + else + if when_UartCtrlRx_l139 = '1' then + stateMachine_state <= pkg_enum.IDLE; + end if; + end if; + end if; + end case; + end if; + end process; + + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + if sampler_tick = '1' then + bitTimer_counter <= (bitTimer_counter - pkg_unsigned("001")); + if when_UartCtrlRx_l43 = '1' then + bitTimer_counter <= pkg_unsigned("100"); + end if; + end if; + if bitTimer_tick = '1' then + bitCounter_value <= (bitCounter_value + pkg_unsigned("001")); + end if; + if bitTimer_tick = '1' then + stateMachine_parity <= (stateMachine_parity xor sampler_value); + end if; + case stateMachine_state is + when pkg_enum.IDLE => + if when_UartCtrlRx_l93 = '1' then + bitTimer_counter <= pkg_unsigned("001"); + end if; + when pkg_enum.START => + if bitTimer_tick = '1' then + bitCounter_value <= pkg_unsigned("000"); + stateMachine_parity <= pkg_toStdLogic(io_configFrame_parity = UartParityType_seq_ODD); + end if; + when pkg_enum.DATA => + if bitTimer_tick = '1' then + stateMachine_shifter(to_integer(bitCounter_value)) <= sampler_value; + if when_UartCtrlRx_l111 = '1' then + bitCounter_value <= pkg_unsigned("000"); + end if; + end if; + when pkg_enum.PARITY => + if bitTimer_tick = '1' then + bitCounter_value <= pkg_unsigned("000"); + end if; + when others => + end case; + end if; + end process; + +end arch; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.pkg_scala2hdl.all; +use work.all; +use work.pkg_enum.all; + + +entity StreamFifoLowLatency is + port( + io_push_valid : in std_logic; + io_push_ready : out std_logic; + io_push_payload_error : in std_logic; + io_push_payload_inst : in std_logic_vector(31 downto 0); + io_pop_valid : out std_logic; + io_pop_ready : in std_logic; + io_pop_payload_error : out std_logic; + io_pop_payload_inst : out std_logic_vector(31 downto 0); + io_flush : in std_logic; + io_occupancy : out unsigned(0 downto 0); + io_mainClk : in std_logic; + resetCtrl_systemReset : in std_logic + ); +end StreamFifoLowLatency; + +architecture arch of StreamFifoLowLatency is + signal io_push_ready_read_buffer : std_logic; + signal io_pop_valid_read_buffer : std_logic; + + signal when_Phase_l649 : std_logic; + signal pushPtr_willIncrement : std_logic; + signal pushPtr_willClear : std_logic; + signal pushPtr_willOverflowIfInc : std_logic; + signal pushPtr_willOverflow : std_logic; + signal popPtr_willIncrement : std_logic; + signal popPtr_willClear : std_logic; + signal popPtr_willOverflowIfInc : std_logic; + signal popPtr_willOverflow : std_logic; + signal ptrMatch : std_logic; + signal risingOccupancy : std_logic; + signal empty : std_logic; + signal full : std_logic; + signal pushing : std_logic; + signal popping : std_logic; + signal readed_error : std_logic; + signal readed_inst : std_logic_vector(31 downto 0); + signal zz_readed_error : std_logic_vector(32 downto 0); + signal when_Stream_l1178 : std_logic; + signal when_Stream_l1191 : std_logic; + signal zz_readed_error_1 : std_logic_vector(32 downto 0); + signal zz_readed_error_2 : std_logic_vector(32 downto 0); +begin + io_push_ready <= io_push_ready_read_buffer; + io_pop_valid <= io_pop_valid_read_buffer; + process(pushing) + begin + when_Phase_l649 <= pkg_toStdLogic(false); + if pushing = '1' then + when_Phase_l649 <= pkg_toStdLogic(true); + end if; + end process; + + process(pushing) + begin + pushPtr_willIncrement <= pkg_toStdLogic(false); + if pushing = '1' then + pushPtr_willIncrement <= pkg_toStdLogic(true); + end if; + end process; + + process(io_flush) + begin + pushPtr_willClear <= pkg_toStdLogic(false); + if io_flush = '1' then + pushPtr_willClear <= pkg_toStdLogic(true); + end if; + end process; + + pushPtr_willOverflowIfInc <= pkg_toStdLogic(true); + pushPtr_willOverflow <= (pushPtr_willOverflowIfInc and pushPtr_willIncrement); + process(popping) + begin + popPtr_willIncrement <= pkg_toStdLogic(false); + if popping = '1' then + popPtr_willIncrement <= pkg_toStdLogic(true); + end if; + end process; + + process(io_flush) + begin + popPtr_willClear <= pkg_toStdLogic(false); + if io_flush = '1' then + popPtr_willClear <= pkg_toStdLogic(true); + end if; + end process; + + popPtr_willOverflowIfInc <= pkg_toStdLogic(true); + popPtr_willOverflow <= (popPtr_willOverflowIfInc and popPtr_willIncrement); + ptrMatch <= pkg_toStdLogic(true); + empty <= (ptrMatch and (not risingOccupancy)); + full <= (ptrMatch and risingOccupancy); + pushing <= (io_push_valid and io_push_ready_read_buffer); + popping <= (io_pop_valid_read_buffer and io_pop_ready); + io_push_ready_read_buffer <= (not full); + zz_readed_error <= zz_readed_error_1; + readed_error <= pkg_extract(zz_readed_error,0); + readed_inst <= pkg_extract(zz_readed_error,32,1); + when_Stream_l1178 <= (not empty); + process(when_Stream_l1178,io_push_valid) + begin + if when_Stream_l1178 = '1' then + io_pop_valid_read_buffer <= pkg_toStdLogic(true); + else + io_pop_valid_read_buffer <= io_push_valid; + end if; + end process; + + process(when_Stream_l1178,readed_error,io_push_payload_error) + begin + if when_Stream_l1178 = '1' then + io_pop_payload_error <= readed_error; + else + io_pop_payload_error <= io_push_payload_error; + end if; + end process; + + process(when_Stream_l1178,readed_inst,io_push_payload_inst) + begin + if when_Stream_l1178 = '1' then + io_pop_payload_inst <= readed_inst; + else + io_pop_payload_inst <= io_push_payload_inst; + end if; + end process; + + when_Stream_l1191 <= pkg_toStdLogic(pushing /= popping); + io_occupancy <= unsigned(pkg_toStdLogicVector((risingOccupancy and ptrMatch))); + zz_readed_error_1 <= zz_readed_error_2; + process(io_mainClk, resetCtrl_systemReset) + begin + if resetCtrl_systemReset = '1' then + risingOccupancy <= pkg_toStdLogic(false); + elsif rising_edge(io_mainClk) then + if when_Stream_l1191 = '1' then + risingOccupancy <= pushing; + end if; + if io_flush = '1' then + risingOccupancy <= pkg_toStdLogic(false); + end if; + end if; + end process; + + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + if when_Phase_l649 = '1' then + zz_readed_error_2 <= pkg_cat(io_push_payload_inst,pkg_toStdLogicVector(io_push_payload_error)); + end if; + end if; + end process; + +end arch; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.pkg_scala2hdl.all; +use work.all; +use work.pkg_enum.all; + + +entity FlowCCByToggle is + port( + io_input_valid : in std_logic; + io_input_payload_last : in std_logic; + io_input_payload_fragment : in std_logic_vector(0 downto 0); + io_output_valid : out std_logic; + io_output_payload_last : out std_logic; + io_output_payload_fragment : out std_logic_vector(0 downto 0); + io_jtag_tck : in std_logic; + io_mainClk : in std_logic; + resetCtrl_mainClkReset : in std_logic + ); +end FlowCCByToggle; + +architecture arch of FlowCCByToggle is + signal inputArea_target_buffercc_io_dataOut : std_logic; + + signal inputArea_target : std_logic := '0'; + signal inputArea_data_last : std_logic; + signal inputArea_data_fragment : std_logic_vector(0 downto 0); + signal outputArea_target : std_logic; + signal outputArea_hit : std_logic := '0'; + signal outputArea_flow_valid : std_logic; + signal outputArea_flow_payload_last : std_logic; + signal outputArea_flow_payload_fragment : std_logic_vector(0 downto 0); + signal outputArea_flow_m2sPipe_valid : std_logic; + signal outputArea_flow_m2sPipe_payload_last : std_logic; + signal outputArea_flow_m2sPipe_payload_fragment : std_logic_vector(0 downto 0); +begin + inputArea_target_buffercc : entity work.BufferCC_1 + port map ( + io_dataIn => inputArea_target, + io_dataOut => inputArea_target_buffercc_io_dataOut, + io_mainClk => io_mainClk, + resetCtrl_mainClkReset => resetCtrl_mainClkReset + ); + outputArea_target <= inputArea_target_buffercc_io_dataOut; + outputArea_flow_valid <= pkg_toStdLogic(outputArea_target /= outputArea_hit); + outputArea_flow_payload_last <= inputArea_data_last; + outputArea_flow_payload_fragment <= inputArea_data_fragment; + io_output_valid <= outputArea_flow_m2sPipe_valid; + io_output_payload_last <= outputArea_flow_m2sPipe_payload_last; + io_output_payload_fragment <= outputArea_flow_m2sPipe_payload_fragment; + process(io_jtag_tck) + begin + if rising_edge(io_jtag_tck) then + if io_input_valid = '1' then + inputArea_target <= (not inputArea_target); + inputArea_data_last <= io_input_payload_last; + inputArea_data_fragment <= io_input_payload_fragment; + end if; + end if; + end process; + + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + outputArea_hit <= outputArea_target; + if outputArea_flow_valid = '1' then + outputArea_flow_m2sPipe_payload_last <= outputArea_flow_payload_last; + outputArea_flow_m2sPipe_payload_fragment <= outputArea_flow_payload_fragment; + end if; + end if; + end process; + + process(io_mainClk, resetCtrl_mainClkReset) + begin + if resetCtrl_mainClkReset = '1' then + outputArea_flow_m2sPipe_valid <= pkg_toStdLogic(false); + elsif rising_edge(io_mainClk) then + outputArea_flow_m2sPipe_valid <= outputArea_flow_valid; + end if; + end process; + +end arch; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.pkg_scala2hdl.all; +use work.all; +use work.pkg_enum.all; + + +entity BufferCC_2 is + port( + io_dataIn : in std_logic_vector(31 downto 0); + io_dataOut : out std_logic_vector(31 downto 0); + io_mainClk : in std_logic; + resetCtrl_systemReset : in std_logic + ); +end BufferCC_2; + +architecture arch of BufferCC_2 is + attribute async_reg : string; + + signal buffers_0 : std_logic_vector(31 downto 0); + attribute async_reg of buffers_0 : signal is "true"; + signal buffers_1 : std_logic_vector(31 downto 0); + attribute async_reg of buffers_1 : signal is "true"; +begin + io_dataOut <= buffers_1; + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + buffers_0 <= io_dataIn; + buffers_1 <= buffers_0; + end if; + end process; + +end arch; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.pkg_scala2hdl.all; +use work.all; +use work.pkg_enum.all; + + +entity UartCtrl is + port( + io_config_frame_dataLength : in unsigned(2 downto 0); + io_config_frame_stop : in UartStopType_seq_type; + io_config_frame_parity : in UartParityType_seq_type; + io_config_clockDivider : in unsigned(19 downto 0); + io_write_valid : in std_logic; + io_write_ready : out std_logic; + io_write_payload : in std_logic_vector(7 downto 0); + io_read_valid : out std_logic; + io_read_ready : in std_logic; + io_read_payload : out std_logic_vector(7 downto 0); + io_uart_txd : out std_logic; + io_uart_rxd : in std_logic; + io_readError : out std_logic; + io_writeBreak : in std_logic; + io_readBreak : out std_logic; + io_mainClk : in std_logic; + resetCtrl_systemReset : in std_logic + ); +end UartCtrl; + +architecture arch of UartCtrl is + signal tx_io_write_ready : std_logic; + signal tx_io_txd : std_logic; + signal rx_io_read_valid : std_logic; + signal rx_io_read_payload : std_logic_vector(7 downto 0); + signal rx_io_rts : std_logic; + signal rx_io_error : std_logic; + signal rx_io_break : std_logic; + + signal clockDivider_counter : unsigned(19 downto 0); + signal clockDivider_tick : std_logic; + signal clockDivider_tickReg : std_logic; + signal io_write_thrown_valid : std_logic; + signal io_write_thrown_ready : std_logic; + signal io_write_thrown_payload : std_logic_vector(7 downto 0); +begin + tx : entity work.UartCtrlTx + port map ( + io_configFrame_dataLength => io_config_frame_dataLength, + io_configFrame_stop => io_config_frame_stop, + io_configFrame_parity => io_config_frame_parity, + io_samplingTick => clockDivider_tickReg, + io_write_valid => io_write_thrown_valid, + io_write_ready => tx_io_write_ready, + io_write_payload => io_write_thrown_payload, + io_cts => pkg_toStdLogic(false), + io_txd => tx_io_txd, + io_break => io_writeBreak, + io_mainClk => io_mainClk, + resetCtrl_systemReset => resetCtrl_systemReset + ); + rx : entity work.UartCtrlRx + port map ( + io_configFrame_dataLength => io_config_frame_dataLength, + io_configFrame_stop => io_config_frame_stop, + io_configFrame_parity => io_config_frame_parity, + io_samplingTick => clockDivider_tickReg, + io_read_valid => rx_io_read_valid, + io_read_ready => io_read_ready, + io_read_payload => rx_io_read_payload, + io_rxd => io_uart_rxd, + io_rts => rx_io_rts, + io_error => rx_io_error, + io_break => rx_io_break, + io_mainClk => io_mainClk, + resetCtrl_systemReset => resetCtrl_systemReset + ); + clockDivider_tick <= pkg_toStdLogic(clockDivider_counter = pkg_unsigned("00000000000000000000")); + process(io_write_valid,rx_io_break) + begin + io_write_thrown_valid <= io_write_valid; + if rx_io_break = '1' then + io_write_thrown_valid <= pkg_toStdLogic(false); + end if; + end process; + + process(io_write_thrown_ready,rx_io_break) + begin + io_write_ready <= io_write_thrown_ready; + if rx_io_break = '1' then + io_write_ready <= pkg_toStdLogic(true); + end if; + end process; + + io_write_thrown_payload <= io_write_payload; + io_write_thrown_ready <= tx_io_write_ready; + io_read_valid <= rx_io_read_valid; + io_read_payload <= rx_io_read_payload; + io_uart_txd <= tx_io_txd; + io_readError <= rx_io_error; + io_readBreak <= rx_io_break; + process(io_mainClk, resetCtrl_systemReset) + begin + if resetCtrl_systemReset = '1' then + clockDivider_counter <= pkg_unsigned("00000000000000000000"); + clockDivider_tickReg <= pkg_toStdLogic(false); + elsif rising_edge(io_mainClk) then + clockDivider_tickReg <= clockDivider_tick; + clockDivider_counter <= (clockDivider_counter - pkg_unsigned("00000000000000000001")); + if clockDivider_tick = '1' then + clockDivider_counter <= io_config_clockDivider; + end if; + end if; + end process; + +end arch; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.pkg_scala2hdl.all; +use work.all; +use work.pkg_enum.all; + + +entity StreamFifo is + port( + io_push_valid : in std_logic; + io_push_ready : out std_logic; + io_push_payload : in std_logic_vector(7 downto 0); + io_pop_valid : out std_logic; + io_pop_ready : in std_logic; + io_pop_payload : out std_logic_vector(7 downto 0); + io_flush : in std_logic; + io_occupancy : out unsigned(4 downto 0); + io_availability : out unsigned(4 downto 0); + io_mainClk : in std_logic; + resetCtrl_systemReset : in std_logic + ); +end StreamFifo; + +architecture arch of StreamFifo is + signal zz_logic_ram_port0 : std_logic_vector(7 downto 0); + signal io_push_ready_read_buffer : std_logic; + signal io_pop_valid_read_buffer : std_logic; + signal zz_logic_ram_port : std_logic; + signal zz_io_pop_payload : std_logic; + + signal zz_1 : std_logic; + signal logic_pushPtr_willIncrement : std_logic; + signal logic_pushPtr_willClear : std_logic; + signal logic_pushPtr_valueNext : unsigned(3 downto 0); + signal logic_pushPtr_value : unsigned(3 downto 0); + signal logic_pushPtr_willOverflowIfInc : std_logic; + signal logic_pushPtr_willOverflow : std_logic; + signal logic_popPtr_willIncrement : std_logic; + signal logic_popPtr_willClear : std_logic; + signal logic_popPtr_valueNext : unsigned(3 downto 0); + signal logic_popPtr_value : unsigned(3 downto 0); + signal logic_popPtr_willOverflowIfInc : std_logic; + signal logic_popPtr_willOverflow : std_logic; + signal logic_ptrMatch : std_logic; + signal logic_risingOccupancy : std_logic; + signal logic_pushing : std_logic; + signal logic_popping : std_logic; + signal logic_empty : std_logic; + signal logic_full : std_logic; + signal zz_io_pop_valid : std_logic; + signal when_Stream_l1078 : std_logic; + signal logic_ptrDif : unsigned(3 downto 0); + type logic_ram_type is array (0 to 15) of std_logic_vector(7 downto 0); + signal logic_ram : logic_ram_type; +begin + io_push_ready <= io_push_ready_read_buffer; + io_pop_valid <= io_pop_valid_read_buffer; + zz_io_pop_payload <= pkg_toStdLogic(true); + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + if zz_io_pop_payload = '1' then + zz_logic_ram_port0 <= logic_ram(to_integer(logic_popPtr_valueNext)); + end if; + end if; + end process; + + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + if zz_1 = '1' then + logic_ram(to_integer(logic_pushPtr_value)) <= io_push_payload; + end if; + end if; + end process; + + process(logic_pushing) + begin + zz_1 <= pkg_toStdLogic(false); + if logic_pushing = '1' then + zz_1 <= pkg_toStdLogic(true); + end if; + end process; + + process(logic_pushing) + begin + logic_pushPtr_willIncrement <= pkg_toStdLogic(false); + if logic_pushing = '1' then + logic_pushPtr_willIncrement <= pkg_toStdLogic(true); + end if; + end process; + + process(io_flush) + begin + logic_pushPtr_willClear <= pkg_toStdLogic(false); + if io_flush = '1' then + logic_pushPtr_willClear <= pkg_toStdLogic(true); + end if; + end process; + + logic_pushPtr_willOverflowIfInc <= pkg_toStdLogic(logic_pushPtr_value = pkg_unsigned("1111")); + logic_pushPtr_willOverflow <= (logic_pushPtr_willOverflowIfInc and logic_pushPtr_willIncrement); + process(logic_pushPtr_value,logic_pushPtr_willIncrement,logic_pushPtr_willClear) + begin + logic_pushPtr_valueNext <= (logic_pushPtr_value + pkg_resize(unsigned(pkg_toStdLogicVector(logic_pushPtr_willIncrement)),4)); + if logic_pushPtr_willClear = '1' then + logic_pushPtr_valueNext <= pkg_unsigned("0000"); + end if; + end process; + + process(logic_popping) + begin + logic_popPtr_willIncrement <= pkg_toStdLogic(false); + if logic_popping = '1' then + logic_popPtr_willIncrement <= pkg_toStdLogic(true); + end if; + end process; + + process(io_flush) + begin + logic_popPtr_willClear <= pkg_toStdLogic(false); + if io_flush = '1' then + logic_popPtr_willClear <= pkg_toStdLogic(true); + end if; + end process; + + logic_popPtr_willOverflowIfInc <= pkg_toStdLogic(logic_popPtr_value = pkg_unsigned("1111")); + logic_popPtr_willOverflow <= (logic_popPtr_willOverflowIfInc and logic_popPtr_willIncrement); + process(logic_popPtr_value,logic_popPtr_willIncrement,logic_popPtr_willClear) + begin + logic_popPtr_valueNext <= (logic_popPtr_value + pkg_resize(unsigned(pkg_toStdLogicVector(logic_popPtr_willIncrement)),4)); + if logic_popPtr_willClear = '1' then + logic_popPtr_valueNext <= pkg_unsigned("0000"); + end if; + end process; + + logic_ptrMatch <= pkg_toStdLogic(logic_pushPtr_value = logic_popPtr_value); + logic_pushing <= (io_push_valid and io_push_ready_read_buffer); + logic_popping <= (io_pop_valid_read_buffer and io_pop_ready); + logic_empty <= (logic_ptrMatch and (not logic_risingOccupancy)); + logic_full <= (logic_ptrMatch and logic_risingOccupancy); + io_push_ready_read_buffer <= (not logic_full); + io_pop_valid_read_buffer <= ((not logic_empty) and (not (zz_io_pop_valid and (not logic_full)))); + io_pop_payload <= zz_logic_ram_port0; + when_Stream_l1078 <= pkg_toStdLogic(logic_pushing /= logic_popping); + logic_ptrDif <= (logic_pushPtr_value - logic_popPtr_value); + io_occupancy <= unsigned(pkg_cat(pkg_toStdLogicVector((logic_risingOccupancy and logic_ptrMatch)),std_logic_vector(logic_ptrDif))); + io_availability <= unsigned(pkg_cat(pkg_toStdLogicVector(((not logic_risingOccupancy) and logic_ptrMatch)),std_logic_vector((logic_popPtr_value - logic_pushPtr_value)))); + process(io_mainClk, resetCtrl_systemReset) + begin + if resetCtrl_systemReset = '1' then + logic_pushPtr_value <= pkg_unsigned("0000"); + logic_popPtr_value <= pkg_unsigned("0000"); + logic_risingOccupancy <= pkg_toStdLogic(false); + zz_io_pop_valid <= pkg_toStdLogic(false); + elsif rising_edge(io_mainClk) then + logic_pushPtr_value <= logic_pushPtr_valueNext; + logic_popPtr_value <= logic_popPtr_valueNext; + zz_io_pop_valid <= pkg_toStdLogic(logic_popPtr_valueNext = logic_pushPtr_value); + if when_Stream_l1078 = '1' then + logic_risingOccupancy <= logic_pushing; + end if; + if io_flush = '1' then + logic_risingOccupancy <= pkg_toStdLogic(false); + end if; + end if; + end process; + +end arch; + + +--StreamFifo_1 replaced by StreamFifo + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.pkg_scala2hdl.all; +use work.all; +use work.pkg_enum.all; + + +entity Prescaler is + port( + io_clear : in std_logic; + io_limit : in unsigned(15 downto 0); + io_overflow : out std_logic; + io_mainClk : in std_logic; + resetCtrl_systemReset : in std_logic + ); +end Prescaler; + +architecture arch of Prescaler is + signal io_overflow_read_buffer : std_logic; + + signal counter : unsigned(15 downto 0); + signal when_Prescaler_l17 : std_logic; +begin + io_overflow <= io_overflow_read_buffer; + when_Prescaler_l17 <= (io_clear or io_overflow_read_buffer); + io_overflow_read_buffer <= pkg_toStdLogic(counter = io_limit); + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + counter <= (counter + pkg_unsigned("0000000000000001")); + if when_Prescaler_l17 = '1' then + counter <= pkg_unsigned("0000000000000000"); + end if; + end if; + end process; + +end arch; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.pkg_scala2hdl.all; +use work.all; +use work.pkg_enum.all; + + +entity Timer is + port( + io_tick : in std_logic; + io_clear : in std_logic; + io_limit : in unsigned(15 downto 0); + io_full : out std_logic; + io_value : out unsigned(15 downto 0); + io_mainClk : in std_logic; + resetCtrl_systemReset : in std_logic + ); +end Timer; + +architecture arch of Timer is + + signal counter : unsigned(15 downto 0); + signal limitHit : std_logic; + signal inhibitFull : std_logic; +begin + limitHit <= pkg_toStdLogic(counter = io_limit); + io_full <= ((limitHit and io_tick) and (not inhibitFull)); + io_value <= counter; + process(io_mainClk, resetCtrl_systemReset) + begin + if resetCtrl_systemReset = '1' then + inhibitFull <= pkg_toStdLogic(false); + elsif rising_edge(io_mainClk) then + if io_tick = '1' then + inhibitFull <= limitHit; + end if; + if io_clear = '1' then + inhibitFull <= pkg_toStdLogic(false); + end if; + end if; + end process; + + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + if io_tick = '1' then + counter <= (counter + pkg_resize(unsigned(pkg_toStdLogicVector((not limitHit))),16)); + end if; + if io_clear = '1' then + counter <= pkg_unsigned("0000000000000000"); + end if; + end if; + end process; + +end arch; + + +--Timer_1 replaced by Timer + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.pkg_scala2hdl.all; +use work.all; +use work.pkg_enum.all; + + +entity InterruptCtrl is + port( + io_inputs : in std_logic_vector(1 downto 0); + io_clears : in std_logic_vector(1 downto 0); + io_masks : in std_logic_vector(1 downto 0); + io_pendings : out std_logic_vector(1 downto 0); + io_mainClk : in std_logic; + resetCtrl_systemReset : in std_logic + ); +end InterruptCtrl; + +architecture arch of InterruptCtrl is + + signal pendings : std_logic_vector(1 downto 0); +begin + io_pendings <= (pendings and io_masks); + process(io_mainClk, resetCtrl_systemReset) + begin + if resetCtrl_systemReset = '1' then + pendings <= pkg_stdLogicVector("00"); + elsif rising_edge(io_mainClk) then + pendings <= ((pendings and pkg_not(io_clears)) or io_inputs); + end if; + end process; + +end arch; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.pkg_scala2hdl.all; +use work.all; +use work.pkg_enum.all; + + +entity BufferCC_3 is + port( + io_dataIn : in std_logic; + io_dataOut : out std_logic; + io_mainClk : in std_logic + ); +end BufferCC_3; + +architecture arch of BufferCC_3 is + attribute async_reg : string; + + signal buffers_0 : std_logic; + attribute async_reg of buffers_0 : signal is "true"; + signal buffers_1 : std_logic; + attribute async_reg of buffers_1 : signal is "true"; +begin + io_dataOut <= buffers_1; + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + buffers_0 <= io_dataIn; + buffers_1 <= buffers_0; + end if; + end process; + +end arch; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.pkg_scala2hdl.all; +use work.all; +use work.pkg_enum.all; + + +entity MuraxMasterArbiter is + port( + io_iBus_cmd_valid : in std_logic; + io_iBus_cmd_ready : out std_logic; + io_iBus_cmd_payload_pc : in unsigned(31 downto 0); + io_iBus_rsp_valid : out std_logic; + io_iBus_rsp_payload_error : out std_logic; + io_iBus_rsp_payload_inst : out std_logic_vector(31 downto 0); + io_dBus_cmd_valid : in std_logic; + io_dBus_cmd_ready : out std_logic; + io_dBus_cmd_payload_wr : in std_logic; + io_dBus_cmd_payload_address : in unsigned(31 downto 0); + io_dBus_cmd_payload_data : in std_logic_vector(31 downto 0); + io_dBus_cmd_payload_size : in unsigned(1 downto 0); + io_dBus_rsp_ready : out std_logic; + io_dBus_rsp_error : out std_logic; + io_dBus_rsp_data : out std_logic_vector(31 downto 0); + io_masterBus_cmd_valid : out std_logic; + io_masterBus_cmd_ready : in std_logic; + io_masterBus_cmd_payload_write : out std_logic; + io_masterBus_cmd_payload_address : out unsigned(31 downto 0); + io_masterBus_cmd_payload_data : out std_logic_vector(31 downto 0); + io_masterBus_cmd_payload_mask : out std_logic_vector(3 downto 0); + io_masterBus_rsp_valid : in std_logic; + io_masterBus_rsp_payload_data : in std_logic_vector(31 downto 0); + io_mainClk : in std_logic; + resetCtrl_systemReset : in std_logic + ); +end MuraxMasterArbiter; + +architecture arch of MuraxMasterArbiter is + signal io_masterBus_cmd_valid_read_buffer : std_logic; + signal io_masterBus_cmd_payload_write_read_buffer : std_logic; + + signal zz_io_masterBus_cmd_payload_mask : std_logic_vector(3 downto 0); + signal rspPending : std_logic; + signal rspTarget : std_logic; + signal io_masterBus_cmd_fire : std_logic; + signal when_MuraxUtiles_l31 : std_logic; + signal when_MuraxUtiles_l36 : std_logic; +begin + io_masterBus_cmd_valid <= io_masterBus_cmd_valid_read_buffer; + io_masterBus_cmd_payload_write <= io_masterBus_cmd_payload_write_read_buffer; + process(io_iBus_cmd_valid,io_dBus_cmd_valid,when_MuraxUtiles_l36) + begin + io_masterBus_cmd_valid_read_buffer <= (io_iBus_cmd_valid or io_dBus_cmd_valid); + if when_MuraxUtiles_l36 = '1' then + io_masterBus_cmd_valid_read_buffer <= pkg_toStdLogic(false); + end if; + end process; + + io_masterBus_cmd_payload_write_read_buffer <= (io_dBus_cmd_valid and io_dBus_cmd_payload_wr); + io_masterBus_cmd_payload_address <= pkg_mux(io_dBus_cmd_valid,io_dBus_cmd_payload_address,io_iBus_cmd_payload_pc); + io_masterBus_cmd_payload_data <= io_dBus_cmd_payload_data; + process(io_dBus_cmd_payload_size) + begin + case io_dBus_cmd_payload_size is + when "00" => + zz_io_masterBus_cmd_payload_mask <= pkg_stdLogicVector("0001"); + when "01" => + zz_io_masterBus_cmd_payload_mask <= pkg_stdLogicVector("0011"); + when others => + zz_io_masterBus_cmd_payload_mask <= pkg_stdLogicVector("1111"); + end case; + end process; + + io_masterBus_cmd_payload_mask <= std_logic_vector(shift_left(unsigned(zz_io_masterBus_cmd_payload_mask),to_integer(pkg_extract(io_dBus_cmd_payload_address,1,0)))); + process(io_masterBus_cmd_ready,io_dBus_cmd_valid,when_MuraxUtiles_l36) + begin + io_iBus_cmd_ready <= (io_masterBus_cmd_ready and (not io_dBus_cmd_valid)); + if when_MuraxUtiles_l36 = '1' then + io_iBus_cmd_ready <= pkg_toStdLogic(false); + end if; + end process; + + process(io_masterBus_cmd_ready,when_MuraxUtiles_l36) + begin + io_dBus_cmd_ready <= io_masterBus_cmd_ready; + if when_MuraxUtiles_l36 = '1' then + io_dBus_cmd_ready <= pkg_toStdLogic(false); + end if; + end process; + + io_masterBus_cmd_fire <= (io_masterBus_cmd_valid_read_buffer and io_masterBus_cmd_ready); + when_MuraxUtiles_l31 <= (io_masterBus_cmd_fire and (not io_masterBus_cmd_payload_write_read_buffer)); + when_MuraxUtiles_l36 <= (rspPending and (not io_masterBus_rsp_valid)); + io_iBus_rsp_valid <= (io_masterBus_rsp_valid and (not rspTarget)); + io_iBus_rsp_payload_inst <= io_masterBus_rsp_payload_data; + io_iBus_rsp_payload_error <= pkg_toStdLogic(false); + io_dBus_rsp_ready <= (io_masterBus_rsp_valid and rspTarget); + io_dBus_rsp_data <= io_masterBus_rsp_payload_data; + io_dBus_rsp_error <= pkg_toStdLogic(false); + process(io_mainClk, resetCtrl_systemReset) + begin + if resetCtrl_systemReset = '1' then + rspPending <= pkg_toStdLogic(false); + rspTarget <= pkg_toStdLogic(false); + elsif rising_edge(io_mainClk) then + if io_masterBus_rsp_valid = '1' then + rspPending <= pkg_toStdLogic(false); + end if; + if when_MuraxUtiles_l31 = '1' then + rspTarget <= io_dBus_cmd_valid; + rspPending <= pkg_toStdLogic(true); + end if; + end if; + end process; + +end arch; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.pkg_scala2hdl.all; +use work.all; +use work.pkg_enum.all; + + +entity VexRiscv is + port( + iBus_cmd_valid : out std_logic; + iBus_cmd_ready : in std_logic; + iBus_cmd_payload_pc : out unsigned(31 downto 0); + iBus_rsp_valid : in std_logic; + iBus_rsp_payload_error : in std_logic; + iBus_rsp_payload_inst : in std_logic_vector(31 downto 0); + timerInterrupt : in std_logic; + externalInterrupt : in std_logic; + softwareInterrupt : in std_logic; + debug_bus_cmd_valid : in std_logic; + debug_bus_cmd_ready : out std_logic; + debug_bus_cmd_payload_wr : in std_logic; + debug_bus_cmd_payload_address : in unsigned(7 downto 0); + debug_bus_cmd_payload_data : in std_logic_vector(31 downto 0); + debug_bus_rsp_data : out std_logic_vector(31 downto 0); + debug_resetOut : out std_logic; + dBus_cmd_valid : out std_logic; + dBus_cmd_ready : in std_logic; + dBus_cmd_payload_wr : out std_logic; + dBus_cmd_payload_address : out unsigned(31 downto 0); + dBus_cmd_payload_data : out std_logic_vector(31 downto 0); + dBus_cmd_payload_size : out unsigned(1 downto 0); + dBus_rsp_ready : in std_logic; + dBus_rsp_error : in std_logic; + dBus_rsp_data : in std_logic_vector(31 downto 0); + io_mainClk : in std_logic; + resetCtrl_systemReset : in std_logic; + resetCtrl_mainClkReset : in std_logic + ); +end VexRiscv; + +architecture arch of VexRiscv is + signal IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_ready : std_logic; + signal zz_RegFilePlugin_regFile_port0 : std_logic_vector(31 downto 0); + signal zz_RegFilePlugin_regFile_port0_1 : std_logic_vector(31 downto 0); + signal dBus_cmd_payload_address_read_buffer : unsigned(31 downto 0); + signal dBus_cmd_payload_size_read_buffer : unsigned(1 downto 0); + signal debug_bus_cmd_ready_read_buffer : std_logic; + signal IBusSimplePlugin_rspJoin_rspBuffer_c_io_push_ready : std_logic; + signal IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_valid : std_logic; + signal IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_error : std_logic; + signal IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_inst : std_logic_vector(31 downto 0); + signal IBusSimplePlugin_rspJoin_rspBuffer_c_io_occupancy : unsigned(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_1 : std_logic; + signal zz_zz_decode_BRANCH_CTRL_2_2 : std_logic; + signal zz_zz_decode_BRANCH_CTRL_2_3 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_4 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_5 : std_logic; + signal zz_zz_decode_BRANCH_CTRL_2_6 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_7 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_8 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_9 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_10 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_11 : std_logic; + signal zz_zz_decode_BRANCH_CTRL_2_12 : std_logic; + signal zz_zz_decode_BRANCH_CTRL_2_13 : std_logic_vector(19 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_14 : std_logic; + signal zz_zz_decode_BRANCH_CTRL_2_15 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_16 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_17 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_18 : std_logic; + signal zz_zz_decode_BRANCH_CTRL_2_19 : std_logic; + signal zz_zz_decode_BRANCH_CTRL_2_20 : std_logic; + signal zz_zz_decode_BRANCH_CTRL_2_21 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_22 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_23 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_24 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_25 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_26 : std_logic_vector(15 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_27 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_28 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_29 : std_logic; + signal zz_zz_decode_BRANCH_CTRL_2_30 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_31 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_32 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_33 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_34 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_35 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_36 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_37 : std_logic_vector(12 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_38 : std_logic_vector(1 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_39 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_40 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_41 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_42 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_43 : std_logic_vector(1 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_44 : std_logic; + signal zz_zz_decode_BRANCH_CTRL_2_45 : std_logic; + signal zz_zz_decode_BRANCH_CTRL_2_46 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_47 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_48 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_49 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_50 : std_logic; + signal zz_zz_decode_BRANCH_CTRL_2_51 : std_logic_vector(9 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_52 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_53 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_54 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_55 : std_logic; + signal zz_zz_decode_BRANCH_CTRL_2_56 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_57 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_58 : std_logic_vector(4 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_59 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_60 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_61 : std_logic; + signal zz_zz_decode_BRANCH_CTRL_2_62 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_63 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_64 : std_logic_vector(1 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_65 : std_logic; + signal zz_zz_decode_BRANCH_CTRL_2_66 : std_logic; + signal zz_zz_decode_BRANCH_CTRL_2_67 : std_logic_vector(6 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_68 : std_logic_vector(1 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_69 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_70 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_71 : std_logic_vector(1 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_72 : std_logic; + signal zz_zz_decode_BRANCH_CTRL_2_73 : std_logic; + signal zz_zz_decode_BRANCH_CTRL_2_74 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_75 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_76 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_77 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_78 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_79 : std_logic_vector(2 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_80 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_81 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_82 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_83 : std_logic_vector(3 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_84 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_85 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_86 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_87 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_88 : std_logic; + signal zz_zz_decode_BRANCH_CTRL_2_89 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_90 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_91 : std_logic_vector(1 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_92 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_93 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_94 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_95 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_96 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_97 : std_logic_vector(1 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_98 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_99 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_100 : std_logic_vector(1 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_101 : std_logic_vector(0 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_102 : std_logic_vector(1 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_103 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_104 : std_logic_vector(31 downto 0); + signal zz_zz_decode_BRANCH_CTRL_2_105 : std_logic_vector(1 downto 0); + signal zz_RegFilePlugin_regFile_port : std_logic; + signal zz_decode_RegFilePlugin_rs1Data : std_logic; + signal zz_RegFilePlugin_regFile_port_1 : std_logic; + signal zz_decode_RegFilePlugin_rs2Data : std_logic; + + signal memory_MEMORY_READ_DATA : std_logic_vector(31 downto 0); + signal execute_BRANCH_CALC : unsigned(31 downto 0); + signal execute_BRANCH_DO : std_logic; + signal writeBack_REGFILE_WRITE_DATA : std_logic_vector(31 downto 0); + signal execute_REGFILE_WRITE_DATA : std_logic_vector(31 downto 0); + signal memory_MEMORY_ADDRESS_LOW : unsigned(1 downto 0); + signal execute_MEMORY_ADDRESS_LOW : unsigned(1 downto 0); + signal decode_DO_EBREAK : std_logic; + signal decode_SRC2 : std_logic_vector(31 downto 0); + signal decode_SRC1 : std_logic_vector(31 downto 0); + signal decode_SRC2_FORCE_ZERO : std_logic; + signal decode_RS2 : std_logic_vector(31 downto 0); + signal decode_RS1 : std_logic_vector(31 downto 0); + signal decode_BRANCH_CTRL : BranchCtrlEnum_seq_type; + signal zz_decode_BRANCH_CTRL : BranchCtrlEnum_seq_type; + signal zz_decode_to_execute_BRANCH_CTRL : BranchCtrlEnum_seq_type; + signal zz_decode_to_execute_BRANCH_CTRL_1 : BranchCtrlEnum_seq_type; + signal decode_SHIFT_CTRL : ShiftCtrlEnum_seq_type; + signal zz_decode_SHIFT_CTRL : ShiftCtrlEnum_seq_type; + signal zz_decode_to_execute_SHIFT_CTRL : ShiftCtrlEnum_seq_type; + signal zz_decode_to_execute_SHIFT_CTRL_1 : ShiftCtrlEnum_seq_type; + signal decode_ALU_BITWISE_CTRL : AluBitwiseCtrlEnum_seq_type; + signal zz_decode_ALU_BITWISE_CTRL : AluBitwiseCtrlEnum_seq_type; + signal zz_decode_to_execute_ALU_BITWISE_CTRL : AluBitwiseCtrlEnum_seq_type; + signal zz_decode_to_execute_ALU_BITWISE_CTRL_1 : AluBitwiseCtrlEnum_seq_type; + signal decode_SRC_LESS_UNSIGNED : std_logic; + signal decode_ALU_CTRL : AluCtrlEnum_seq_type; + signal zz_decode_ALU_CTRL : AluCtrlEnum_seq_type; + signal zz_decode_to_execute_ALU_CTRL : AluCtrlEnum_seq_type; + signal zz_decode_to_execute_ALU_CTRL_1 : AluCtrlEnum_seq_type; + signal zz_memory_to_writeBack_ENV_CTRL : EnvCtrlEnum_seq_type; + signal zz_memory_to_writeBack_ENV_CTRL_1 : EnvCtrlEnum_seq_type; + signal zz_execute_to_memory_ENV_CTRL : EnvCtrlEnum_seq_type; + signal zz_execute_to_memory_ENV_CTRL_1 : EnvCtrlEnum_seq_type; + signal decode_ENV_CTRL : EnvCtrlEnum_seq_type; + signal zz_decode_ENV_CTRL : EnvCtrlEnum_seq_type; + signal zz_decode_to_execute_ENV_CTRL : EnvCtrlEnum_seq_type; + signal zz_decode_to_execute_ENV_CTRL_1 : EnvCtrlEnum_seq_type; + signal decode_IS_CSR : std_logic; + signal decode_MEMORY_STORE : std_logic; + signal execute_BYPASSABLE_MEMORY_STAGE : std_logic; + signal decode_BYPASSABLE_MEMORY_STAGE : std_logic; + signal decode_BYPASSABLE_EXECUTE_STAGE : std_logic; + signal decode_MEMORY_ENABLE : std_logic; + signal decode_CSR_READ_OPCODE : std_logic; + signal decode_CSR_WRITE_OPCODE : std_logic; + signal writeBack_FORMAL_PC_NEXT : unsigned(31 downto 0); + signal memory_FORMAL_PC_NEXT : unsigned(31 downto 0); + signal execute_FORMAL_PC_NEXT : unsigned(31 downto 0); + signal decode_FORMAL_PC_NEXT : unsigned(31 downto 0); + signal memory_PC : unsigned(31 downto 0); + signal execute_DO_EBREAK : std_logic; + signal decode_IS_EBREAK : std_logic; + signal memory_BRANCH_CALC : unsigned(31 downto 0); + signal memory_BRANCH_DO : std_logic; + signal execute_PC : unsigned(31 downto 0); + signal execute_RS1 : std_logic_vector(31 downto 0); + signal execute_BRANCH_CTRL : BranchCtrlEnum_seq_type; + signal zz_execute_BRANCH_CTRL : BranchCtrlEnum_seq_type; + signal decode_RS2_USE : std_logic; + signal decode_RS1_USE : std_logic; + signal execute_REGFILE_WRITE_VALID : std_logic; + signal execute_BYPASSABLE_EXECUTE_STAGE : std_logic; + signal memory_REGFILE_WRITE_VALID : std_logic; + signal memory_INSTRUCTION : std_logic_vector(31 downto 0); + signal memory_BYPASSABLE_MEMORY_STAGE : std_logic; + signal writeBack_REGFILE_WRITE_VALID : std_logic; + signal memory_REGFILE_WRITE_DATA : std_logic_vector(31 downto 0); + signal execute_SHIFT_CTRL : ShiftCtrlEnum_seq_type; + signal zz_execute_SHIFT_CTRL : ShiftCtrlEnum_seq_type; + signal execute_SRC_LESS_UNSIGNED : std_logic; + signal execute_SRC2_FORCE_ZERO : std_logic; + signal execute_SRC_USE_SUB_LESS : std_logic; + signal zz_decode_SRC2 : unsigned(31 downto 0); + signal zz_decode_SRC2_1 : std_logic_vector(31 downto 0); + signal decode_SRC2_CTRL : Src2CtrlEnum_seq_type; + signal zz_decode_SRC2_CTRL : Src2CtrlEnum_seq_type; + signal zz_decode_SRC1 : std_logic_vector(31 downto 0); + signal decode_SRC1_CTRL : Src1CtrlEnum_seq_type; + signal zz_decode_SRC1_CTRL : Src1CtrlEnum_seq_type; + signal decode_SRC_USE_SUB_LESS : std_logic; + signal decode_SRC_ADD_ZERO : std_logic; + signal execute_SRC_ADD_SUB : std_logic_vector(31 downto 0); + signal execute_SRC_LESS : std_logic; + signal execute_ALU_CTRL : AluCtrlEnum_seq_type; + signal zz_execute_ALU_CTRL : AluCtrlEnum_seq_type; + signal execute_SRC2 : std_logic_vector(31 downto 0); + signal execute_ALU_BITWISE_CTRL : AluBitwiseCtrlEnum_seq_type; + signal zz_execute_ALU_BITWISE_CTRL : AluBitwiseCtrlEnum_seq_type; + signal zz_lastStageRegFileWrite_payload_address : std_logic_vector(31 downto 0); + signal zz_lastStageRegFileWrite_valid : std_logic; + signal zz_1 : std_logic; + signal decode_INSTRUCTION_ANTICIPATED : std_logic_vector(31 downto 0); + signal decode_REGFILE_WRITE_VALID : std_logic; + signal zz_decode_BRANCH_CTRL_1 : BranchCtrlEnum_seq_type; + signal zz_decode_SHIFT_CTRL_1 : ShiftCtrlEnum_seq_type; + signal zz_decode_ALU_BITWISE_CTRL_1 : AluBitwiseCtrlEnum_seq_type; + signal zz_decode_ALU_CTRL_1 : AluCtrlEnum_seq_type; + signal zz_decode_ENV_CTRL_1 : EnvCtrlEnum_seq_type; + signal zz_decode_SRC2_CTRL_1 : Src2CtrlEnum_seq_type; + signal zz_decode_SRC1_CTRL_1 : Src1CtrlEnum_seq_type; + signal zz_execute_to_memory_REGFILE_WRITE_DATA : std_logic_vector(31 downto 0); + signal execute_SRC1 : std_logic_vector(31 downto 0); + signal execute_CSR_READ_OPCODE : std_logic; + signal execute_CSR_WRITE_OPCODE : std_logic; + signal execute_IS_CSR : std_logic; + signal memory_ENV_CTRL : EnvCtrlEnum_seq_type; + signal zz_memory_ENV_CTRL : EnvCtrlEnum_seq_type; + signal execute_ENV_CTRL : EnvCtrlEnum_seq_type; + signal zz_execute_ENV_CTRL : EnvCtrlEnum_seq_type; + signal writeBack_ENV_CTRL : EnvCtrlEnum_seq_type; + signal zz_writeBack_ENV_CTRL : EnvCtrlEnum_seq_type; + signal zz_lastStageRegFileWrite_payload_data : std_logic_vector(31 downto 0); + signal writeBack_MEMORY_ENABLE : std_logic; + signal writeBack_MEMORY_ADDRESS_LOW : unsigned(1 downto 0); + signal writeBack_MEMORY_READ_DATA : std_logic_vector(31 downto 0); + signal memory_MEMORY_STORE : std_logic; + signal memory_MEMORY_ENABLE : std_logic; + signal execute_SRC_ADD : std_logic_vector(31 downto 0); + signal execute_RS2 : std_logic_vector(31 downto 0); + signal execute_INSTRUCTION : std_logic_vector(31 downto 0); + signal execute_MEMORY_STORE : std_logic; + signal execute_MEMORY_ENABLE : std_logic; + signal execute_ALIGNEMENT_FAULT : std_logic; + signal zz_memory_to_writeBack_FORMAL_PC_NEXT : unsigned(31 downto 0); + signal decode_PC : unsigned(31 downto 0); + signal decode_INSTRUCTION : std_logic_vector(31 downto 0); + signal writeBack_PC : unsigned(31 downto 0); + signal writeBack_INSTRUCTION : std_logic_vector(31 downto 0); + signal decode_arbitration_haltItself : std_logic; + signal decode_arbitration_haltByOther : std_logic; + signal decode_arbitration_removeIt : std_logic; + signal decode_arbitration_flushIt : std_logic; + signal decode_arbitration_flushNext : std_logic; + signal decode_arbitration_isValid : std_logic; + signal decode_arbitration_isStuck : std_logic; + signal decode_arbitration_isStuckByOthers : std_logic; + signal decode_arbitration_isFlushed : std_logic; + signal decode_arbitration_isMoving : std_logic; + signal decode_arbitration_isFiring : std_logic; + signal execute_arbitration_haltItself : std_logic; + signal execute_arbitration_haltByOther : std_logic; + signal execute_arbitration_removeIt : std_logic; + signal execute_arbitration_flushIt : std_logic; + signal execute_arbitration_flushNext : std_logic; + signal execute_arbitration_isValid : std_logic; + signal execute_arbitration_isStuck : std_logic; + signal execute_arbitration_isStuckByOthers : std_logic; + signal execute_arbitration_isFlushed : std_logic; + signal execute_arbitration_isMoving : std_logic; + signal execute_arbitration_isFiring : std_logic; + signal memory_arbitration_haltItself : std_logic; + signal memory_arbitration_haltByOther : std_logic; + signal memory_arbitration_removeIt : std_logic; + signal memory_arbitration_flushIt : std_logic; + signal memory_arbitration_flushNext : std_logic; + signal memory_arbitration_isValid : std_logic; + signal memory_arbitration_isStuck : std_logic; + signal memory_arbitration_isStuckByOthers : std_logic; + signal memory_arbitration_isFlushed : std_logic; + signal memory_arbitration_isMoving : std_logic; + signal memory_arbitration_isFiring : std_logic; + signal writeBack_arbitration_haltItself : std_logic; + signal writeBack_arbitration_haltByOther : std_logic; + signal writeBack_arbitration_removeIt : std_logic; + signal writeBack_arbitration_flushIt : std_logic; + signal writeBack_arbitration_flushNext : std_logic; + signal writeBack_arbitration_isValid : std_logic; + signal writeBack_arbitration_isStuck : std_logic; + signal writeBack_arbitration_isStuckByOthers : std_logic; + signal writeBack_arbitration_isFlushed : std_logic; + signal writeBack_arbitration_isMoving : std_logic; + signal writeBack_arbitration_isFiring : std_logic; + signal lastStageInstruction : std_logic_vector(31 downto 0); + signal lastStagePc : unsigned(31 downto 0); + signal lastStageIsValid : std_logic; + signal lastStageIsFiring : std_logic; + signal IBusSimplePlugin_fetcherHalt : std_logic; + signal IBusSimplePlugin_forceNoDecodeCond : std_logic; + signal IBusSimplePlugin_incomingInstruction : std_logic; + signal IBusSimplePlugin_pcValids_0 : std_logic; + signal IBusSimplePlugin_pcValids_1 : std_logic; + signal IBusSimplePlugin_pcValids_2 : std_logic; + signal IBusSimplePlugin_pcValids_3 : std_logic; + signal CsrPlugin_csrMapping_readDataSignal : std_logic_vector(31 downto 0); + signal CsrPlugin_csrMapping_readDataInit : std_logic_vector(31 downto 0); + signal CsrPlugin_csrMapping_writeDataSignal : std_logic_vector(31 downto 0); + signal CsrPlugin_csrMapping_allowCsrSignal : std_logic; + signal CsrPlugin_csrMapping_hazardFree : std_logic; + signal CsrPlugin_inWfi : std_logic; + signal CsrPlugin_thirdPartyWake : std_logic; + signal CsrPlugin_jumpInterface_valid : std_logic; + signal CsrPlugin_jumpInterface_payload : unsigned(31 downto 0); + signal CsrPlugin_exceptionPendings_0 : std_logic; + signal CsrPlugin_exceptionPendings_1 : std_logic; + signal CsrPlugin_exceptionPendings_2 : std_logic; + signal CsrPlugin_exceptionPendings_3 : std_logic; + signal contextSwitching : std_logic; + signal CsrPlugin_privilege : unsigned(1 downto 0); + signal CsrPlugin_forceMachineWire : std_logic; + signal CsrPlugin_allowInterrupts : std_logic; + signal CsrPlugin_allowException : std_logic; + signal CsrPlugin_allowEbreakException : std_logic; + signal BranchPlugin_jumpInterface_valid : std_logic; + signal BranchPlugin_jumpInterface_payload : unsigned(31 downto 0); + signal BranchPlugin_inDebugNoFetchFlag : std_logic; + signal IBusSimplePlugin_injectionPort_valid : std_logic; + signal IBusSimplePlugin_injectionPort_ready : std_logic; + signal IBusSimplePlugin_injectionPort_payload : std_logic_vector(31 downto 0); + signal IBusSimplePlugin_externalFlush : std_logic; + signal IBusSimplePlugin_jump_pcLoad_valid : std_logic; + signal IBusSimplePlugin_jump_pcLoad_payload : unsigned(31 downto 0); + signal zz_IBusSimplePlugin_jump_pcLoad_payload : unsigned(1 downto 0); + signal IBusSimplePlugin_fetchPc_output_valid : std_logic; + signal IBusSimplePlugin_fetchPc_output_ready : std_logic; + signal IBusSimplePlugin_fetchPc_output_payload : unsigned(31 downto 0); + signal IBusSimplePlugin_fetchPc_pcReg : unsigned(31 downto 0); + signal IBusSimplePlugin_fetchPc_correction : std_logic; + signal IBusSimplePlugin_fetchPc_correctionReg : std_logic; + signal IBusSimplePlugin_fetchPc_output_fire : std_logic; + signal IBusSimplePlugin_fetchPc_corrected : std_logic; + signal IBusSimplePlugin_fetchPc_pcRegPropagate : std_logic; + signal IBusSimplePlugin_fetchPc_booted : std_logic; + signal IBusSimplePlugin_fetchPc_inc : std_logic; + signal when_Fetcher_l134 : std_logic; + signal IBusSimplePlugin_fetchPc_output_fire_1 : std_logic; + signal when_Fetcher_l134_1 : std_logic; + signal IBusSimplePlugin_fetchPc_pc : unsigned(31 downto 0); + signal IBusSimplePlugin_fetchPc_flushed : std_logic; + signal when_Fetcher_l161 : std_logic; + signal IBusSimplePlugin_iBusRsp_redoFetch : std_logic; + signal IBusSimplePlugin_iBusRsp_stages_0_input_valid : std_logic; + signal IBusSimplePlugin_iBusRsp_stages_0_input_ready : std_logic; + signal IBusSimplePlugin_iBusRsp_stages_0_input_payload : unsigned(31 downto 0); + signal IBusSimplePlugin_iBusRsp_stages_0_output_valid : std_logic; + signal IBusSimplePlugin_iBusRsp_stages_0_output_ready : std_logic; + signal IBusSimplePlugin_iBusRsp_stages_0_output_payload : unsigned(31 downto 0); + signal IBusSimplePlugin_iBusRsp_stages_0_halt : std_logic; + signal IBusSimplePlugin_iBusRsp_stages_1_input_valid : std_logic; + signal IBusSimplePlugin_iBusRsp_stages_1_input_ready : std_logic; + signal IBusSimplePlugin_iBusRsp_stages_1_input_payload : unsigned(31 downto 0); + signal IBusSimplePlugin_iBusRsp_stages_1_output_valid : std_logic; + signal IBusSimplePlugin_iBusRsp_stages_1_output_ready : std_logic; + signal IBusSimplePlugin_iBusRsp_stages_1_output_payload : unsigned(31 downto 0); + signal IBusSimplePlugin_iBusRsp_stages_1_halt : std_logic; + signal IBusSimplePlugin_iBusRsp_stages_2_input_valid : std_logic; + signal IBusSimplePlugin_iBusRsp_stages_2_input_ready : std_logic; + signal IBusSimplePlugin_iBusRsp_stages_2_input_payload : unsigned(31 downto 0); + signal IBusSimplePlugin_iBusRsp_stages_2_output_valid : std_logic; + signal IBusSimplePlugin_iBusRsp_stages_2_output_ready : std_logic; + signal IBusSimplePlugin_iBusRsp_stages_2_output_payload : unsigned(31 downto 0); + signal IBusSimplePlugin_iBusRsp_stages_2_halt : std_logic; + signal zz_IBusSimplePlugin_iBusRsp_stages_0_input_ready : std_logic; + signal zz_IBusSimplePlugin_iBusRsp_stages_1_input_ready : std_logic; + signal zz_IBusSimplePlugin_iBusRsp_stages_2_input_ready : std_logic; + signal IBusSimplePlugin_iBusRsp_flush : std_logic; + signal zz_IBusSimplePlugin_iBusRsp_stages_0_output_ready : std_logic; + signal zz_IBusSimplePlugin_iBusRsp_stages_0_output_ready_1 : std_logic; + signal zz_IBusSimplePlugin_iBusRsp_stages_0_output_ready_2 : std_logic; + signal IBusSimplePlugin_iBusRsp_stages_1_output_m2sPipe_valid : std_logic; + signal IBusSimplePlugin_iBusRsp_stages_1_output_m2sPipe_ready : std_logic; + signal IBusSimplePlugin_iBusRsp_stages_1_output_m2sPipe_payload : unsigned(31 downto 0); + signal zz_IBusSimplePlugin_iBusRsp_stages_1_output_m2sPipe_valid : std_logic; + signal zz_IBusSimplePlugin_iBusRsp_stages_1_output_m2sPipe_payload : unsigned(31 downto 0); + signal IBusSimplePlugin_iBusRsp_readyForError : std_logic; + signal IBusSimplePlugin_iBusRsp_output_valid : std_logic; + signal IBusSimplePlugin_iBusRsp_output_ready : std_logic; + signal IBusSimplePlugin_iBusRsp_output_payload_pc : unsigned(31 downto 0); + signal IBusSimplePlugin_iBusRsp_output_payload_rsp_error : std_logic; + signal IBusSimplePlugin_iBusRsp_output_payload_rsp_inst : std_logic_vector(31 downto 0); + signal IBusSimplePlugin_iBusRsp_output_payload_isRvc : std_logic; + signal when_Fetcher_l243 : std_logic; + signal IBusSimplePlugin_injector_decodeInput_valid : std_logic; + signal IBusSimplePlugin_injector_decodeInput_ready : std_logic; + signal IBusSimplePlugin_injector_decodeInput_payload_pc : unsigned(31 downto 0); + signal IBusSimplePlugin_injector_decodeInput_payload_rsp_error : std_logic; + signal IBusSimplePlugin_injector_decodeInput_payload_rsp_inst : std_logic_vector(31 downto 0); + signal IBusSimplePlugin_injector_decodeInput_payload_isRvc : std_logic; + signal zz_IBusSimplePlugin_injector_decodeInput_valid : std_logic; + signal zz_IBusSimplePlugin_injector_decodeInput_payload_pc : unsigned(31 downto 0); + signal zz_IBusSimplePlugin_injector_decodeInput_payload_rsp_error : std_logic; + signal zz_IBusSimplePlugin_injector_decodeInput_payload_rsp_inst : std_logic_vector(31 downto 0); + signal zz_IBusSimplePlugin_injector_decodeInput_payload_isRvc : std_logic; + signal when_Fetcher_l323 : std_logic; + signal IBusSimplePlugin_injector_nextPcCalc_valids_0 : std_logic; + signal when_Fetcher_l332 : std_logic; + signal IBusSimplePlugin_injector_nextPcCalc_valids_1 : std_logic; + signal when_Fetcher_l332_1 : std_logic; + signal IBusSimplePlugin_injector_nextPcCalc_valids_2 : std_logic; + signal when_Fetcher_l332_2 : std_logic; + signal IBusSimplePlugin_injector_nextPcCalc_valids_3 : std_logic; + signal when_Fetcher_l332_3 : std_logic; + signal IBusSimplePlugin_injector_nextPcCalc_valids_4 : std_logic; + signal when_Fetcher_l332_4 : std_logic; + signal IBusSimplePlugin_injector_nextPcCalc_valids_5 : std_logic; + signal when_Fetcher_l332_5 : std_logic; + signal IBusSimplePlugin_injector_formal_rawInDecode : std_logic_vector(31 downto 0); + signal IBusSimplePlugin_cmd_valid : std_logic; + signal IBusSimplePlugin_cmd_ready : std_logic; + signal IBusSimplePlugin_cmd_payload_pc : unsigned(31 downto 0); + signal IBusSimplePlugin_pending_inc : std_logic; + signal IBusSimplePlugin_pending_dec : std_logic; + signal IBusSimplePlugin_pending_value : unsigned(2 downto 0); + signal IBusSimplePlugin_pending_next : unsigned(2 downto 0); + signal IBusSimplePlugin_cmdFork_canEmit : std_logic; + signal when_IBusSimplePlugin_l305 : std_logic; + signal IBusSimplePlugin_cmd_fire : std_logic; + signal IBusSimplePlugin_rspJoin_rspBuffer_output_valid : std_logic; + signal IBusSimplePlugin_rspJoin_rspBuffer_output_ready : std_logic; + signal IBusSimplePlugin_rspJoin_rspBuffer_output_payload_error : std_logic; + signal IBusSimplePlugin_rspJoin_rspBuffer_output_payload_inst : std_logic_vector(31 downto 0); + signal IBusSimplePlugin_rspJoin_rspBuffer_discardCounter : unsigned(2 downto 0); + signal iBus_rsp_toStream_valid : std_logic; + signal iBus_rsp_toStream_ready : std_logic; + signal iBus_rsp_toStream_payload_error : std_logic; + signal iBus_rsp_toStream_payload_inst : std_logic_vector(31 downto 0); + signal IBusSimplePlugin_rspJoin_rspBuffer_flush : std_logic; + signal IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_fire : std_logic; + signal IBusSimplePlugin_rspJoin_fetchRsp_pc : unsigned(31 downto 0); + signal IBusSimplePlugin_rspJoin_fetchRsp_rsp_error : std_logic; + signal IBusSimplePlugin_rspJoin_fetchRsp_rsp_inst : std_logic_vector(31 downto 0); + signal IBusSimplePlugin_rspJoin_fetchRsp_isRvc : std_logic; + signal when_IBusSimplePlugin_l376 : std_logic; + signal IBusSimplePlugin_rspJoin_join_valid : std_logic; + signal IBusSimplePlugin_rspJoin_join_ready : std_logic; + signal IBusSimplePlugin_rspJoin_join_payload_pc : unsigned(31 downto 0); + signal IBusSimplePlugin_rspJoin_join_payload_rsp_error : std_logic; + signal IBusSimplePlugin_rspJoin_join_payload_rsp_inst : std_logic_vector(31 downto 0); + signal IBusSimplePlugin_rspJoin_join_payload_isRvc : std_logic; + signal IBusSimplePlugin_rspJoin_exceptionDetected : std_logic; + signal IBusSimplePlugin_rspJoin_join_fire : std_logic; + signal IBusSimplePlugin_rspJoin_join_fire_1 : std_logic; + signal zz_IBusSimplePlugin_iBusRsp_output_valid : std_logic; + signal zz_dBus_cmd_valid : std_logic; + signal execute_DBusSimplePlugin_skipCmd : std_logic; + signal zz_dBus_cmd_payload_data : std_logic_vector(31 downto 0); + signal when_DBusSimplePlugin_l428 : std_logic; + signal zz_execute_DBusSimplePlugin_formalMask : std_logic_vector(3 downto 0); + signal execute_DBusSimplePlugin_formalMask : std_logic_vector(3 downto 0); + signal when_DBusSimplePlugin_l482 : std_logic; + signal writeBack_DBusSimplePlugin_rspShifted : std_logic_vector(31 downto 0); + signal switch_Misc_l210 : std_logic_vector(1 downto 0); + signal zz_writeBack_DBusSimplePlugin_rspFormated : std_logic; + signal zz_writeBack_DBusSimplePlugin_rspFormated_1 : std_logic_vector(31 downto 0); + signal zz_writeBack_DBusSimplePlugin_rspFormated_2 : std_logic; + signal zz_writeBack_DBusSimplePlugin_rspFormated_3 : std_logic_vector(31 downto 0); + signal writeBack_DBusSimplePlugin_rspFormated : std_logic_vector(31 downto 0); + signal when_DBusSimplePlugin_l558 : std_logic; + signal CsrPlugin_misa_base : unsigned(1 downto 0); + signal CsrPlugin_misa_extensions : std_logic_vector(25 downto 0); + signal CsrPlugin_mtvec_mode : std_logic_vector(1 downto 0); + signal CsrPlugin_mtvec_base : unsigned(29 downto 0); + signal CsrPlugin_mepc : unsigned(31 downto 0); + signal CsrPlugin_mstatus_MIE : std_logic; + signal CsrPlugin_mstatus_MPIE : std_logic; + signal CsrPlugin_mstatus_MPP : unsigned(1 downto 0); + signal CsrPlugin_mip_MEIP : std_logic; + signal CsrPlugin_mip_MTIP : std_logic; + signal CsrPlugin_mip_MSIP : std_logic; + signal CsrPlugin_mie_MEIE : std_logic; + signal CsrPlugin_mie_MTIE : std_logic; + signal CsrPlugin_mie_MSIE : std_logic; + signal CsrPlugin_mcause_interrupt : std_logic; + signal CsrPlugin_mcause_exceptionCode : unsigned(3 downto 0); + signal CsrPlugin_mtval : unsigned(31 downto 0); + signal CsrPlugin_mcycle : unsigned(63 downto 0); + signal CsrPlugin_minstret : unsigned(63 downto 0); + signal zz_when_CsrPlugin_l965 : std_logic; + signal zz_when_CsrPlugin_l965_1 : std_logic; + signal zz_when_CsrPlugin_l965_2 : std_logic; + signal CsrPlugin_interrupt_valid : std_logic; + signal CsrPlugin_interrupt_code : unsigned(3 downto 0); + signal CsrPlugin_interrupt_targetPrivilege : unsigned(1 downto 0); + signal when_CsrPlugin_l959 : std_logic; + signal when_CsrPlugin_l965 : std_logic; + signal when_CsrPlugin_l965_1 : std_logic; + signal when_CsrPlugin_l965_2 : std_logic; + signal CsrPlugin_exception : std_logic; + signal CsrPlugin_lastStageWasWfi : std_logic; + signal CsrPlugin_pipelineLiberator_pcValids_0 : std_logic; + signal CsrPlugin_pipelineLiberator_pcValids_1 : std_logic; + signal CsrPlugin_pipelineLiberator_pcValids_2 : std_logic; + signal CsrPlugin_pipelineLiberator_active : std_logic; + signal when_CsrPlugin_l993 : std_logic; + signal when_CsrPlugin_l993_1 : std_logic; + signal when_CsrPlugin_l993_2 : std_logic; + signal when_CsrPlugin_l998 : std_logic; + signal CsrPlugin_pipelineLiberator_done : std_logic; + signal CsrPlugin_interruptJump : std_logic; + signal CsrPlugin_hadException : std_logic; + signal CsrPlugin_targetPrivilege : unsigned(1 downto 0); + signal CsrPlugin_trapCause : unsigned(3 downto 0); + signal CsrPlugin_xtvec_mode : std_logic_vector(1 downto 0); + signal CsrPlugin_xtvec_base : unsigned(29 downto 0); + signal when_CsrPlugin_l1032 : std_logic; + signal when_CsrPlugin_l1077 : std_logic; + signal switch_CsrPlugin_l1081 : std_logic_vector(1 downto 0); + signal execute_CsrPlugin_wfiWake : std_logic; + signal when_CsrPlugin_l1129 : std_logic; + signal execute_CsrPlugin_blockedBySideEffects : std_logic; + signal execute_CsrPlugin_illegalAccess : std_logic; + signal execute_CsrPlugin_illegalInstruction : std_logic; + signal when_CsrPlugin_l1149 : std_logic; + signal when_CsrPlugin_l1150 : std_logic; + signal execute_CsrPlugin_writeInstruction : std_logic; + signal execute_CsrPlugin_readInstruction : std_logic; + signal execute_CsrPlugin_writeEnable : std_logic; + signal execute_CsrPlugin_readEnable : std_logic; + signal execute_CsrPlugin_readToWriteData : std_logic_vector(31 downto 0); + signal switch_Misc_l210_1 : std_logic; + signal zz_CsrPlugin_csrMapping_writeDataSignal : std_logic_vector(31 downto 0); + signal when_CsrPlugin_l1189 : std_logic; + signal when_CsrPlugin_l1193 : std_logic; + signal execute_CsrPlugin_csrAddress : std_logic_vector(11 downto 0); + signal zz_decode_BRANCH_CTRL_2 : std_logic_vector(25 downto 0); + signal zz_decode_BRANCH_CTRL_3 : std_logic; + signal zz_decode_BRANCH_CTRL_4 : std_logic; + signal zz_decode_BRANCH_CTRL_5 : std_logic; + signal zz_decode_BRANCH_CTRL_6 : std_logic; + signal zz_decode_BRANCH_CTRL_7 : std_logic; + signal zz_decode_BRANCH_CTRL_8 : std_logic; + signal zz_decode_SRC1_CTRL_2 : Src1CtrlEnum_seq_type; + signal zz_decode_SRC2_CTRL_2 : Src2CtrlEnum_seq_type; + signal zz_decode_ENV_CTRL_2 : EnvCtrlEnum_seq_type; + signal zz_decode_ALU_CTRL_2 : AluCtrlEnum_seq_type; + signal zz_decode_ALU_BITWISE_CTRL_2 : AluBitwiseCtrlEnum_seq_type; + signal zz_decode_SHIFT_CTRL_2 : ShiftCtrlEnum_seq_type; + signal zz_decode_BRANCH_CTRL_9 : BranchCtrlEnum_seq_type; + signal when_RegFilePlugin_l63 : std_logic; + signal decode_RegFilePlugin_regFileReadAddress1 : unsigned(4 downto 0); + signal decode_RegFilePlugin_regFileReadAddress2 : unsigned(4 downto 0); + signal decode_RegFilePlugin_rs1Data : std_logic_vector(31 downto 0); + signal decode_RegFilePlugin_rs2Data : std_logic_vector(31 downto 0); + signal lastStageRegFileWrite_valid : std_logic; + signal lastStageRegFileWrite_payload_address : unsigned(4 downto 0); + signal lastStageRegFileWrite_payload_data : std_logic_vector(31 downto 0); + signal zz_2 : std_logic; + signal execute_IntAluPlugin_bitwise : std_logic_vector(31 downto 0); + signal zz_execute_REGFILE_WRITE_DATA : std_logic_vector(31 downto 0); + signal zz_decode_SRC1_1 : std_logic_vector(31 downto 0); + signal zz_decode_SRC2_2 : std_logic; + signal zz_decode_SRC2_3 : std_logic_vector(19 downto 0); + signal zz_decode_SRC2_4 : std_logic; + signal zz_decode_SRC2_5 : std_logic_vector(19 downto 0); + signal zz_decode_SRC2_6 : std_logic_vector(31 downto 0); + signal execute_SrcPlugin_addSub : std_logic_vector(31 downto 0); + signal execute_SrcPlugin_less : std_logic; + signal execute_LightShifterPlugin_isActive : std_logic; + signal execute_LightShifterPlugin_isShift : std_logic; + signal execute_LightShifterPlugin_amplitudeReg : unsigned(4 downto 0); + signal execute_LightShifterPlugin_amplitude : unsigned(4 downto 0); + signal execute_LightShifterPlugin_shiftInput : std_logic_vector(31 downto 0); + signal execute_LightShifterPlugin_done : std_logic; + signal when_ShiftPlugins_l169 : std_logic; + signal zz_execute_to_memory_REGFILE_WRITE_DATA_1 : std_logic_vector(31 downto 0); + signal when_ShiftPlugins_l175 : std_logic; + signal when_ShiftPlugins_l184 : std_logic; + signal HazardSimplePlugin_src0Hazard : std_logic; + signal HazardSimplePlugin_src1Hazard : std_logic; + signal HazardSimplePlugin_writeBackWrites_valid : std_logic; + signal HazardSimplePlugin_writeBackWrites_payload_address : std_logic_vector(4 downto 0); + signal HazardSimplePlugin_writeBackWrites_payload_data : std_logic_vector(31 downto 0); + signal HazardSimplePlugin_writeBackBuffer_valid : std_logic; + signal HazardSimplePlugin_writeBackBuffer_payload_address : std_logic_vector(4 downto 0); + signal HazardSimplePlugin_writeBackBuffer_payload_data : std_logic_vector(31 downto 0); + signal HazardSimplePlugin_addr0Match : std_logic; + signal HazardSimplePlugin_addr1Match : std_logic; + signal when_HazardSimplePlugin_l59 : std_logic; + signal when_HazardSimplePlugin_l62 : std_logic; + signal when_HazardSimplePlugin_l57 : std_logic; + signal when_HazardSimplePlugin_l58 : std_logic; + signal when_HazardSimplePlugin_l59_1 : std_logic; + signal when_HazardSimplePlugin_l62_1 : std_logic; + signal when_HazardSimplePlugin_l57_1 : std_logic; + signal when_HazardSimplePlugin_l58_1 : std_logic; + signal when_HazardSimplePlugin_l59_2 : std_logic; + signal when_HazardSimplePlugin_l62_2 : std_logic; + signal when_HazardSimplePlugin_l57_2 : std_logic; + signal when_HazardSimplePlugin_l58_2 : std_logic; + signal when_HazardSimplePlugin_l105 : std_logic; + signal when_HazardSimplePlugin_l108 : std_logic; + signal when_HazardSimplePlugin_l113 : std_logic; + signal execute_BranchPlugin_eq : std_logic; + signal switch_Misc_l210_2 : std_logic_vector(2 downto 0); + signal zz_execute_BRANCH_DO : std_logic; + signal zz_execute_BRANCH_DO_1 : std_logic; + signal execute_BranchPlugin_branch_src1 : unsigned(31 downto 0); + signal zz_execute_BranchPlugin_branch_src2 : std_logic; + signal zz_execute_BranchPlugin_branch_src2_1 : std_logic_vector(10 downto 0); + signal zz_execute_BranchPlugin_branch_src2_2 : std_logic; + signal zz_execute_BranchPlugin_branch_src2_3 : std_logic_vector(19 downto 0); + signal zz_execute_BranchPlugin_branch_src2_4 : std_logic; + signal zz_execute_BranchPlugin_branch_src2_5 : std_logic_vector(18 downto 0); + signal zz_execute_BranchPlugin_branch_src2_6 : std_logic_vector(31 downto 0); + signal execute_BranchPlugin_branch_src2 : unsigned(31 downto 0); + signal execute_BranchPlugin_branchAdder : unsigned(31 downto 0); + signal DebugPlugin_firstCycle : std_logic; + signal DebugPlugin_secondCycle : std_logic; + signal DebugPlugin_resetIt : std_logic; + signal DebugPlugin_haltIt : std_logic; + signal DebugPlugin_stepIt : std_logic; + signal DebugPlugin_isPipBusy : std_logic; + signal DebugPlugin_godmode : std_logic; + signal when_DebugPlugin_l225 : std_logic; + signal DebugPlugin_haltedByBreak : std_logic; + signal DebugPlugin_debugUsed : std_logic; + signal DebugPlugin_disableEbreak : std_logic; + signal DebugPlugin_allowEBreak : std_logic; + signal DebugPlugin_busReadDataReg : std_logic_vector(31 downto 0); + signal zz_when_DebugPlugin_l244 : std_logic; + signal when_DebugPlugin_l244 : std_logic; + signal switch_DebugPlugin_l267 : unsigned(5 downto 0); + signal when_DebugPlugin_l271 : std_logic; + signal when_DebugPlugin_l271_1 : std_logic; + signal when_DebugPlugin_l272 : std_logic; + signal when_DebugPlugin_l272_1 : std_logic; + signal when_DebugPlugin_l273 : std_logic; + signal when_DebugPlugin_l274 : std_logic; + signal when_DebugPlugin_l275 : std_logic; + signal when_DebugPlugin_l275_1 : std_logic; + signal when_DebugPlugin_l295 : std_logic; + signal when_DebugPlugin_l298 : std_logic; + signal when_DebugPlugin_l311 : std_logic; + signal DebugPlugin_resetIt_regNext : std_logic; + signal when_DebugPlugin_l331 : std_logic; + signal when_Pipeline_l124 : std_logic; + signal decode_to_execute_PC : unsigned(31 downto 0); + signal when_Pipeline_l124_1 : std_logic; + signal execute_to_memory_PC : unsigned(31 downto 0); + signal when_Pipeline_l124_2 : std_logic; + signal memory_to_writeBack_PC : unsigned(31 downto 0); + signal when_Pipeline_l124_3 : std_logic; + signal decode_to_execute_INSTRUCTION : std_logic_vector(31 downto 0); + signal when_Pipeline_l124_4 : std_logic; + signal execute_to_memory_INSTRUCTION : std_logic_vector(31 downto 0); + signal when_Pipeline_l124_5 : std_logic; + signal memory_to_writeBack_INSTRUCTION : std_logic_vector(31 downto 0); + signal when_Pipeline_l124_6 : std_logic; + signal decode_to_execute_FORMAL_PC_NEXT : unsigned(31 downto 0); + signal when_Pipeline_l124_7 : std_logic; + signal execute_to_memory_FORMAL_PC_NEXT : unsigned(31 downto 0); + signal when_Pipeline_l124_8 : std_logic; + signal memory_to_writeBack_FORMAL_PC_NEXT : unsigned(31 downto 0); + signal when_Pipeline_l124_9 : std_logic; + signal decode_to_execute_CSR_WRITE_OPCODE : std_logic; + signal when_Pipeline_l124_10 : std_logic; + signal decode_to_execute_CSR_READ_OPCODE : std_logic; + signal when_Pipeline_l124_11 : std_logic; + signal decode_to_execute_SRC_USE_SUB_LESS : std_logic; + signal when_Pipeline_l124_12 : std_logic; + signal decode_to_execute_MEMORY_ENABLE : std_logic; + signal when_Pipeline_l124_13 : std_logic; + signal execute_to_memory_MEMORY_ENABLE : std_logic; + signal when_Pipeline_l124_14 : std_logic; + signal memory_to_writeBack_MEMORY_ENABLE : std_logic; + signal when_Pipeline_l124_15 : std_logic; + signal decode_to_execute_REGFILE_WRITE_VALID : std_logic; + signal when_Pipeline_l124_16 : std_logic; + signal execute_to_memory_REGFILE_WRITE_VALID : std_logic; + signal when_Pipeline_l124_17 : std_logic; + signal memory_to_writeBack_REGFILE_WRITE_VALID : std_logic; + signal when_Pipeline_l124_18 : std_logic; + signal decode_to_execute_BYPASSABLE_EXECUTE_STAGE : std_logic; + signal when_Pipeline_l124_19 : std_logic; + signal decode_to_execute_BYPASSABLE_MEMORY_STAGE : std_logic; + signal when_Pipeline_l124_20 : std_logic; + signal execute_to_memory_BYPASSABLE_MEMORY_STAGE : std_logic; + signal when_Pipeline_l124_21 : std_logic; + signal decode_to_execute_MEMORY_STORE : std_logic; + signal when_Pipeline_l124_22 : std_logic; + signal execute_to_memory_MEMORY_STORE : std_logic; + signal when_Pipeline_l124_23 : std_logic; + signal decode_to_execute_IS_CSR : std_logic; + signal when_Pipeline_l124_24 : std_logic; + signal decode_to_execute_ENV_CTRL : EnvCtrlEnum_seq_type; + signal when_Pipeline_l124_25 : std_logic; + signal execute_to_memory_ENV_CTRL : EnvCtrlEnum_seq_type; + signal when_Pipeline_l124_26 : std_logic; + signal memory_to_writeBack_ENV_CTRL : EnvCtrlEnum_seq_type; + signal when_Pipeline_l124_27 : std_logic; + signal decode_to_execute_ALU_CTRL : AluCtrlEnum_seq_type; + signal when_Pipeline_l124_28 : std_logic; + signal decode_to_execute_SRC_LESS_UNSIGNED : std_logic; + signal when_Pipeline_l124_29 : std_logic; + signal decode_to_execute_ALU_BITWISE_CTRL : AluBitwiseCtrlEnum_seq_type; + signal when_Pipeline_l124_30 : std_logic; + signal decode_to_execute_SHIFT_CTRL : ShiftCtrlEnum_seq_type; + signal when_Pipeline_l124_31 : std_logic; + signal decode_to_execute_BRANCH_CTRL : BranchCtrlEnum_seq_type; + signal when_Pipeline_l124_32 : std_logic; + signal decode_to_execute_RS1 : std_logic_vector(31 downto 0); + signal when_Pipeline_l124_33 : std_logic; + signal decode_to_execute_RS2 : std_logic_vector(31 downto 0); + signal when_Pipeline_l124_34 : std_logic; + signal decode_to_execute_SRC2_FORCE_ZERO : std_logic; + signal when_Pipeline_l124_35 : std_logic; + signal decode_to_execute_SRC1 : std_logic_vector(31 downto 0); + signal when_Pipeline_l124_36 : std_logic; + signal decode_to_execute_SRC2 : std_logic_vector(31 downto 0); + signal when_Pipeline_l124_37 : std_logic; + signal decode_to_execute_DO_EBREAK : std_logic; + signal when_Pipeline_l124_38 : std_logic; + signal execute_to_memory_MEMORY_ADDRESS_LOW : unsigned(1 downto 0); + signal when_Pipeline_l124_39 : std_logic; + signal memory_to_writeBack_MEMORY_ADDRESS_LOW : unsigned(1 downto 0); + signal when_Pipeline_l124_40 : std_logic; + signal execute_to_memory_REGFILE_WRITE_DATA : std_logic_vector(31 downto 0); + signal when_Pipeline_l124_41 : std_logic; + signal memory_to_writeBack_REGFILE_WRITE_DATA : std_logic_vector(31 downto 0); + signal when_Pipeline_l124_42 : std_logic; + signal execute_to_memory_BRANCH_DO : std_logic; + signal when_Pipeline_l124_43 : std_logic; + signal execute_to_memory_BRANCH_CALC : unsigned(31 downto 0); + signal when_Pipeline_l124_44 : std_logic; + signal memory_to_writeBack_MEMORY_READ_DATA : std_logic_vector(31 downto 0); + signal when_Pipeline_l151 : std_logic; + signal when_Pipeline_l154 : std_logic; + signal when_Pipeline_l151_1 : std_logic; + signal when_Pipeline_l154_1 : std_logic; + signal when_Pipeline_l151_2 : std_logic; + signal when_Pipeline_l154_2 : std_logic; + signal switch_Fetcher_l365 : unsigned(2 downto 0); + signal when_Fetcher_l381 : std_logic; + signal when_Fetcher_l401 : std_logic; + signal when_CsrPlugin_l1277 : std_logic; + signal execute_CsrPlugin_csr_768 : std_logic; + signal when_CsrPlugin_l1277_1 : std_logic; + signal execute_CsrPlugin_csr_836 : std_logic; + signal when_CsrPlugin_l1277_2 : std_logic; + signal execute_CsrPlugin_csr_772 : std_logic; + signal when_CsrPlugin_l1277_3 : std_logic; + signal execute_CsrPlugin_csr_834 : std_logic; + signal switch_CsrPlugin_l723 : std_logic_vector(1 downto 0); + signal zz_CsrPlugin_csrMapping_readDataInit : std_logic_vector(31 downto 0); + signal zz_CsrPlugin_csrMapping_readDataInit_1 : std_logic_vector(31 downto 0); + signal zz_CsrPlugin_csrMapping_readDataInit_2 : std_logic_vector(31 downto 0); + signal zz_CsrPlugin_csrMapping_readDataInit_3 : std_logic_vector(31 downto 0); + signal when_CsrPlugin_l1310 : std_logic; + signal when_CsrPlugin_l1315 : std_logic; + type RegFilePlugin_regFile_type is array (0 to 31) of std_logic_vector(31 downto 0); + signal RegFilePlugin_regFile : RegFilePlugin_regFile_type; +begin + dBus_cmd_payload_address <= dBus_cmd_payload_address_read_buffer; + dBus_cmd_payload_size <= dBus_cmd_payload_size_read_buffer; + debug_bus_cmd_ready <= debug_bus_cmd_ready_read_buffer; + zz_decode_RegFilePlugin_rs1Data <= pkg_toStdLogic(true); + zz_decode_RegFilePlugin_rs2Data <= pkg_toStdLogic(true); + zz_zz_decode_BRANCH_CTRL_2 <= pkg_stdLogicVector("00010000000000000011000001010000"); + zz_zz_decode_BRANCH_CTRL_2_1 <= pkg_toStdLogic((decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000000000000011100")) = pkg_stdLogicVector("00000000000000000000000000000100")); + zz_zz_decode_BRANCH_CTRL_2_2 <= pkg_toStdLogic((decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000000000001011000")) = pkg_stdLogicVector("00000000000000000000000001000000")); + zz_zz_decode_BRANCH_CTRL_2_3 <= pkg_toStdLogicVector(pkg_toStdLogic((decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000111000001010100")) = pkg_stdLogicVector("00000000000000000101000000010000"))); + zz_zz_decode_BRANCH_CTRL_2_4 <= pkg_stdLogicVector("0"); + zz_zz_decode_BRANCH_CTRL_2_5 <= pkg_toStdLogic(pkg_cat(pkg_toStdLogicVector(pkg_toStdLogic(zz_zz_decode_BRANCH_CTRL_2_6 = zz_zz_decode_BRANCH_CTRL_2_7)),pkg_toStdLogicVector(pkg_toStdLogic(zz_zz_decode_BRANCH_CTRL_2_8 = zz_zz_decode_BRANCH_CTRL_2_9))) /= pkg_stdLogicVector("00")); + zz_zz_decode_BRANCH_CTRL_2_10 <= pkg_toStdLogicVector(pkg_toStdLogic(pkg_cat(pkg_toStdLogicVector(zz_zz_decode_BRANCH_CTRL_2_11),pkg_toStdLogicVector(zz_zz_decode_BRANCH_CTRL_2_12)) /= pkg_stdLogicVector("00"))); + zz_zz_decode_BRANCH_CTRL_2_13 <= pkg_cat(pkg_toStdLogicVector(pkg_toStdLogic(pkg_toStdLogicVector(zz_zz_decode_BRANCH_CTRL_2_14) /= pkg_stdLogicVector("0"))),pkg_cat(pkg_toStdLogicVector(pkg_toStdLogic(zz_zz_decode_BRANCH_CTRL_2_15 /= zz_zz_decode_BRANCH_CTRL_2_17)),pkg_cat(pkg_toStdLogicVector(zz_zz_decode_BRANCH_CTRL_2_18),pkg_cat(zz_zz_decode_BRANCH_CTRL_2_21,zz_zz_decode_BRANCH_CTRL_2_26)))); + zz_zz_decode_BRANCH_CTRL_2_6 <= (decode_INSTRUCTION and pkg_stdLogicVector("01000000000000000011000001010100")); + zz_zz_decode_BRANCH_CTRL_2_7 <= pkg_stdLogicVector("01000000000000000001000000010000"); + zz_zz_decode_BRANCH_CTRL_2_8 <= (decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000111000001010100")); + zz_zz_decode_BRANCH_CTRL_2_9 <= pkg_stdLogicVector("00000000000000000001000000010000"); + zz_zz_decode_BRANCH_CTRL_2_11 <= pkg_toStdLogic((decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000000000001100100")) = pkg_stdLogicVector("00000000000000000000000000100100")); + zz_zz_decode_BRANCH_CTRL_2_12 <= pkg_toStdLogic((decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000011000001010100")) = pkg_stdLogicVector("00000000000000000001000000010000")); + zz_zz_decode_BRANCH_CTRL_2_14 <= pkg_toStdLogic((decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000001000000000000")) = pkg_stdLogicVector("00000000000000000001000000000000")); + zz_zz_decode_BRANCH_CTRL_2_15 <= pkg_toStdLogicVector(pkg_toStdLogic((decode_INSTRUCTION and zz_zz_decode_BRANCH_CTRL_2_16) = pkg_stdLogicVector("00000000000000000010000000000000"))); + zz_zz_decode_BRANCH_CTRL_2_17 <= pkg_stdLogicVector("0"); + zz_zz_decode_BRANCH_CTRL_2_18 <= pkg_toStdLogic(pkg_cat(pkg_toStdLogicVector(zz_zz_decode_BRANCH_CTRL_2_19),pkg_toStdLogicVector(zz_zz_decode_BRANCH_CTRL_2_20)) /= pkg_stdLogicVector("00")); + zz_zz_decode_BRANCH_CTRL_2_21 <= pkg_toStdLogicVector(pkg_toStdLogic(pkg_cat(zz_zz_decode_BRANCH_CTRL_2_22,zz_zz_decode_BRANCH_CTRL_2_24) /= pkg_stdLogicVector("00"))); + zz_zz_decode_BRANCH_CTRL_2_26 <= pkg_cat(pkg_toStdLogicVector(pkg_toStdLogic(zz_zz_decode_BRANCH_CTRL_2_27 /= zz_zz_decode_BRANCH_CTRL_2_28)),pkg_cat(pkg_toStdLogicVector(zz_zz_decode_BRANCH_CTRL_2_29),pkg_cat(zz_zz_decode_BRANCH_CTRL_2_32,zz_zz_decode_BRANCH_CTRL_2_37))); + zz_zz_decode_BRANCH_CTRL_2_16 <= pkg_stdLogicVector("00000000000000000011000000000000"); + zz_zz_decode_BRANCH_CTRL_2_19 <= pkg_toStdLogic((decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000010000000010000")) = pkg_stdLogicVector("00000000000000000010000000000000")); + zz_zz_decode_BRANCH_CTRL_2_20 <= pkg_toStdLogic((decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000101000000000000")) = pkg_stdLogicVector("00000000000000000001000000000000")); + zz_zz_decode_BRANCH_CTRL_2_22 <= pkg_toStdLogicVector(pkg_toStdLogic((decode_INSTRUCTION and zz_zz_decode_BRANCH_CTRL_2_23) = pkg_stdLogicVector("00000000000000000110000000000000"))); + zz_zz_decode_BRANCH_CTRL_2_24 <= pkg_toStdLogicVector(pkg_toStdLogic((decode_INSTRUCTION and zz_zz_decode_BRANCH_CTRL_2_25) = pkg_stdLogicVector("00000000000000000100000000000000"))); + zz_zz_decode_BRANCH_CTRL_2_27 <= pkg_toStdLogicVector(zz_decode_BRANCH_CTRL_4); + zz_zz_decode_BRANCH_CTRL_2_28 <= pkg_stdLogicVector("0"); + zz_zz_decode_BRANCH_CTRL_2_29 <= pkg_toStdLogic(pkg_toStdLogicVector(pkg_toStdLogic(zz_zz_decode_BRANCH_CTRL_2_30 = zz_zz_decode_BRANCH_CTRL_2_31)) /= pkg_stdLogicVector("0")); + zz_zz_decode_BRANCH_CTRL_2_32 <= pkg_toStdLogicVector(pkg_toStdLogic(pkg_cat(zz_zz_decode_BRANCH_CTRL_2_33,zz_zz_decode_BRANCH_CTRL_2_35) /= pkg_stdLogicVector("00"))); + zz_zz_decode_BRANCH_CTRL_2_37 <= pkg_cat(pkg_toStdLogicVector(pkg_toStdLogic(zz_zz_decode_BRANCH_CTRL_2_38 /= zz_zz_decode_BRANCH_CTRL_2_43)),pkg_cat(pkg_toStdLogicVector(zz_zz_decode_BRANCH_CTRL_2_44),pkg_cat(zz_zz_decode_BRANCH_CTRL_2_49,zz_zz_decode_BRANCH_CTRL_2_51))); + zz_zz_decode_BRANCH_CTRL_2_23 <= pkg_stdLogicVector("00000000000000000110000000000100"); + zz_zz_decode_BRANCH_CTRL_2_25 <= pkg_stdLogicVector("00000000000000000101000000000100"); + zz_zz_decode_BRANCH_CTRL_2_30 <= (decode_INSTRUCTION and pkg_stdLogicVector("00000000000100000011000001010000")); + zz_zz_decode_BRANCH_CTRL_2_31 <= pkg_stdLogicVector("00000000000000000000000001010000"); + zz_zz_decode_BRANCH_CTRL_2_33 <= pkg_toStdLogicVector(pkg_toStdLogic((decode_INSTRUCTION and zz_zz_decode_BRANCH_CTRL_2_34) = pkg_stdLogicVector("00000000000000000001000001010000"))); + zz_zz_decode_BRANCH_CTRL_2_35 <= pkg_toStdLogicVector(pkg_toStdLogic((decode_INSTRUCTION and zz_zz_decode_BRANCH_CTRL_2_36) = pkg_stdLogicVector("00000000000000000010000001010000"))); + zz_zz_decode_BRANCH_CTRL_2_38 <= pkg_cat(pkg_toStdLogicVector(pkg_toStdLogic(zz_zz_decode_BRANCH_CTRL_2_39 = zz_zz_decode_BRANCH_CTRL_2_40)),pkg_toStdLogicVector(pkg_toStdLogic(zz_zz_decode_BRANCH_CTRL_2_41 = zz_zz_decode_BRANCH_CTRL_2_42))); + zz_zz_decode_BRANCH_CTRL_2_43 <= pkg_stdLogicVector("00"); + zz_zz_decode_BRANCH_CTRL_2_44 <= pkg_toStdLogic(pkg_cat(pkg_toStdLogicVector(zz_zz_decode_BRANCH_CTRL_2_45),pkg_cat(zz_zz_decode_BRANCH_CTRL_2_46,zz_zz_decode_BRANCH_CTRL_2_47)) /= pkg_stdLogicVector("000")); + zz_zz_decode_BRANCH_CTRL_2_49 <= pkg_toStdLogicVector(pkg_toStdLogic(pkg_toStdLogicVector(zz_zz_decode_BRANCH_CTRL_2_50) /= pkg_stdLogicVector("0"))); + zz_zz_decode_BRANCH_CTRL_2_51 <= pkg_cat(pkg_toStdLogicVector(pkg_toStdLogic(zz_zz_decode_BRANCH_CTRL_2_52 /= zz_zz_decode_BRANCH_CTRL_2_54)),pkg_cat(pkg_toStdLogicVector(zz_zz_decode_BRANCH_CTRL_2_55),pkg_cat(zz_zz_decode_BRANCH_CTRL_2_56,zz_zz_decode_BRANCH_CTRL_2_67))); + zz_zz_decode_BRANCH_CTRL_2_34 <= pkg_stdLogicVector("00000000000000000001000001010000"); + zz_zz_decode_BRANCH_CTRL_2_36 <= pkg_stdLogicVector("00000000000000000010000001010000"); + zz_zz_decode_BRANCH_CTRL_2_39 <= (decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000000000000110100")); + zz_zz_decode_BRANCH_CTRL_2_40 <= pkg_stdLogicVector("00000000000000000000000000100000"); + zz_zz_decode_BRANCH_CTRL_2_41 <= (decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000000000001100100")); + zz_zz_decode_BRANCH_CTRL_2_42 <= pkg_stdLogicVector("00000000000000000000000000100000"); + zz_zz_decode_BRANCH_CTRL_2_45 <= pkg_toStdLogic((decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000000000001010000")) = pkg_stdLogicVector("00000000000000000000000001000000")); + zz_zz_decode_BRANCH_CTRL_2_46 <= pkg_toStdLogicVector(zz_decode_BRANCH_CTRL_5); + zz_zz_decode_BRANCH_CTRL_2_47 <= pkg_toStdLogicVector(pkg_toStdLogic((decode_INSTRUCTION and zz_zz_decode_BRANCH_CTRL_2_48) = pkg_stdLogicVector("00000000000000000000000001000000"))); + zz_zz_decode_BRANCH_CTRL_2_50 <= pkg_toStdLogic((decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000000000000100000")) = pkg_stdLogicVector("00000000000000000000000000100000")); + zz_zz_decode_BRANCH_CTRL_2_52 <= pkg_toStdLogicVector(pkg_toStdLogic((decode_INSTRUCTION and zz_zz_decode_BRANCH_CTRL_2_53) = pkg_stdLogicVector("00000000000000000000000000010000"))); + zz_zz_decode_BRANCH_CTRL_2_54 <= pkg_stdLogicVector("0"); + zz_zz_decode_BRANCH_CTRL_2_55 <= pkg_toStdLogic(pkg_toStdLogicVector(zz_decode_BRANCH_CTRL_7) /= pkg_stdLogicVector("0")); + zz_zz_decode_BRANCH_CTRL_2_56 <= pkg_toStdLogicVector(pkg_toStdLogic(pkg_cat(zz_zz_decode_BRANCH_CTRL_2_57,zz_zz_decode_BRANCH_CTRL_2_58) /= pkg_stdLogicVector("000000"))); + zz_zz_decode_BRANCH_CTRL_2_67 <= pkg_cat(pkg_toStdLogicVector(pkg_toStdLogic(zz_zz_decode_BRANCH_CTRL_2_68 /= zz_zz_decode_BRANCH_CTRL_2_71)),pkg_cat(pkg_toStdLogicVector(zz_zz_decode_BRANCH_CTRL_2_72),pkg_cat(zz_zz_decode_BRANCH_CTRL_2_75,zz_zz_decode_BRANCH_CTRL_2_83))); + zz_zz_decode_BRANCH_CTRL_2_48 <= pkg_stdLogicVector("00000000000100000011000001000000"); + zz_zz_decode_BRANCH_CTRL_2_53 <= pkg_stdLogicVector("00000000000000000000000000010000"); + zz_zz_decode_BRANCH_CTRL_2_57 <= pkg_toStdLogicVector(zz_decode_BRANCH_CTRL_8); + zz_zz_decode_BRANCH_CTRL_2_58 <= pkg_cat(pkg_toStdLogicVector(pkg_toStdLogic(zz_zz_decode_BRANCH_CTRL_2_59 = zz_zz_decode_BRANCH_CTRL_2_60)),pkg_cat(pkg_toStdLogicVector(zz_zz_decode_BRANCH_CTRL_2_61),pkg_cat(zz_zz_decode_BRANCH_CTRL_2_63,zz_zz_decode_BRANCH_CTRL_2_64))); + zz_zz_decode_BRANCH_CTRL_2_68 <= pkg_cat(pkg_toStdLogicVector(zz_decode_BRANCH_CTRL_6),pkg_toStdLogicVector(pkg_toStdLogic(zz_zz_decode_BRANCH_CTRL_2_69 = zz_zz_decode_BRANCH_CTRL_2_70))); + zz_zz_decode_BRANCH_CTRL_2_71 <= pkg_stdLogicVector("00"); + zz_zz_decode_BRANCH_CTRL_2_72 <= pkg_toStdLogic(pkg_cat(pkg_toStdLogicVector(zz_decode_BRANCH_CTRL_6),pkg_toStdLogicVector(zz_zz_decode_BRANCH_CTRL_2_73)) /= pkg_stdLogicVector("00")); + zz_zz_decode_BRANCH_CTRL_2_75 <= pkg_toStdLogicVector(pkg_toStdLogic(pkg_cat(zz_zz_decode_BRANCH_CTRL_2_76,zz_zz_decode_BRANCH_CTRL_2_79) /= pkg_stdLogicVector("0000"))); + zz_zz_decode_BRANCH_CTRL_2_83 <= pkg_cat(pkg_toStdLogicVector(pkg_toStdLogic(zz_zz_decode_BRANCH_CTRL_2_84 /= zz_zz_decode_BRANCH_CTRL_2_87)),pkg_cat(pkg_toStdLogicVector(zz_zz_decode_BRANCH_CTRL_2_88),pkg_cat(zz_zz_decode_BRANCH_CTRL_2_96,zz_zz_decode_BRANCH_CTRL_2_101))); + zz_zz_decode_BRANCH_CTRL_2_59 <= (decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000001000000010000")); + zz_zz_decode_BRANCH_CTRL_2_60 <= pkg_stdLogicVector("00000000000000000001000000010000"); + zz_zz_decode_BRANCH_CTRL_2_61 <= pkg_toStdLogic((decode_INSTRUCTION and zz_zz_decode_BRANCH_CTRL_2_62) = pkg_stdLogicVector("00000000000000000010000000010000")); + zz_zz_decode_BRANCH_CTRL_2_63 <= pkg_toStdLogicVector(zz_decode_BRANCH_CTRL_7); + zz_zz_decode_BRANCH_CTRL_2_64 <= pkg_cat(pkg_toStdLogicVector(zz_zz_decode_BRANCH_CTRL_2_65),pkg_toStdLogicVector(zz_zz_decode_BRANCH_CTRL_2_66)); + zz_zz_decode_BRANCH_CTRL_2_69 <= (decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000000000001110000")); + zz_zz_decode_BRANCH_CTRL_2_70 <= pkg_stdLogicVector("00000000000000000000000000100000"); + zz_zz_decode_BRANCH_CTRL_2_73 <= pkg_toStdLogic((decode_INSTRUCTION and zz_zz_decode_BRANCH_CTRL_2_74) = pkg_stdLogicVector("00000000000000000000000000000000")); + zz_zz_decode_BRANCH_CTRL_2_76 <= pkg_toStdLogicVector(pkg_toStdLogic(zz_zz_decode_BRANCH_CTRL_2_77 = zz_zz_decode_BRANCH_CTRL_2_78)); + zz_zz_decode_BRANCH_CTRL_2_79 <= pkg_cat(pkg_toStdLogicVector(zz_decode_BRANCH_CTRL_5),pkg_cat(zz_zz_decode_BRANCH_CTRL_2_80,zz_zz_decode_BRANCH_CTRL_2_81)); + zz_zz_decode_BRANCH_CTRL_2_84 <= pkg_toStdLogicVector(pkg_toStdLogic(zz_zz_decode_BRANCH_CTRL_2_85 = zz_zz_decode_BRANCH_CTRL_2_86)); + zz_zz_decode_BRANCH_CTRL_2_87 <= pkg_stdLogicVector("0"); + zz_zz_decode_BRANCH_CTRL_2_88 <= pkg_toStdLogic(pkg_cat(zz_zz_decode_BRANCH_CTRL_2_89,zz_zz_decode_BRANCH_CTRL_2_91) /= pkg_stdLogicVector("000")); + zz_zz_decode_BRANCH_CTRL_2_96 <= pkg_toStdLogicVector(pkg_toStdLogic(zz_zz_decode_BRANCH_CTRL_2_97 /= zz_zz_decode_BRANCH_CTRL_2_100)); + zz_zz_decode_BRANCH_CTRL_2_101 <= pkg_toStdLogicVector(pkg_toStdLogic(zz_zz_decode_BRANCH_CTRL_2_102 /= zz_zz_decode_BRANCH_CTRL_2_105)); + zz_zz_decode_BRANCH_CTRL_2_62 <= pkg_stdLogicVector("00000000000000000010000000010000"); + zz_zz_decode_BRANCH_CTRL_2_65 <= pkg_toStdLogic((decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000000000000001100")) = pkg_stdLogicVector("00000000000000000000000000000100")); + zz_zz_decode_BRANCH_CTRL_2_66 <= pkg_toStdLogic((decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000000000000101000")) = pkg_stdLogicVector("00000000000000000000000000000000")); + zz_zz_decode_BRANCH_CTRL_2_74 <= pkg_stdLogicVector("00000000000000000000000000100000"); + zz_zz_decode_BRANCH_CTRL_2_77 <= (decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000000000001000100")); + zz_zz_decode_BRANCH_CTRL_2_78 <= pkg_stdLogicVector("00000000000000000000000000000000"); + zz_zz_decode_BRANCH_CTRL_2_80 <= pkg_toStdLogicVector(zz_decode_BRANCH_CTRL_4); + zz_zz_decode_BRANCH_CTRL_2_81 <= pkg_toStdLogicVector(pkg_toStdLogic((decode_INSTRUCTION and zz_zz_decode_BRANCH_CTRL_2_82) = pkg_stdLogicVector("00000000000000000001000000000000"))); + zz_zz_decode_BRANCH_CTRL_2_85 <= (decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000000000001011000")); + zz_zz_decode_BRANCH_CTRL_2_86 <= pkg_stdLogicVector("00000000000000000000000000000000"); + zz_zz_decode_BRANCH_CTRL_2_89 <= pkg_toStdLogicVector(pkg_toStdLogic((decode_INSTRUCTION and zz_zz_decode_BRANCH_CTRL_2_90) = pkg_stdLogicVector("00000000000000000000000001000000"))); + zz_zz_decode_BRANCH_CTRL_2_91 <= pkg_cat(pkg_toStdLogicVector(pkg_toStdLogic(zz_zz_decode_BRANCH_CTRL_2_92 = zz_zz_decode_BRANCH_CTRL_2_93)),pkg_toStdLogicVector(pkg_toStdLogic(zz_zz_decode_BRANCH_CTRL_2_94 = zz_zz_decode_BRANCH_CTRL_2_95))); + zz_zz_decode_BRANCH_CTRL_2_97 <= pkg_cat(pkg_toStdLogicVector(pkg_toStdLogic(zz_zz_decode_BRANCH_CTRL_2_98 = zz_zz_decode_BRANCH_CTRL_2_99)),pkg_toStdLogicVector(zz_decode_BRANCH_CTRL_3)); + zz_zz_decode_BRANCH_CTRL_2_100 <= pkg_stdLogicVector("00"); + zz_zz_decode_BRANCH_CTRL_2_102 <= pkg_cat(pkg_toStdLogicVector(pkg_toStdLogic(zz_zz_decode_BRANCH_CTRL_2_103 = zz_zz_decode_BRANCH_CTRL_2_104)),pkg_toStdLogicVector(zz_decode_BRANCH_CTRL_3)); + zz_zz_decode_BRANCH_CTRL_2_105 <= pkg_stdLogicVector("00"); + zz_zz_decode_BRANCH_CTRL_2_82 <= pkg_stdLogicVector("00000000000000000101000000000100"); + zz_zz_decode_BRANCH_CTRL_2_90 <= pkg_stdLogicVector("00000000000000000000000001000100"); + zz_zz_decode_BRANCH_CTRL_2_92 <= (decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000010000000010100")); + zz_zz_decode_BRANCH_CTRL_2_93 <= pkg_stdLogicVector("00000000000000000010000000010000"); + zz_zz_decode_BRANCH_CTRL_2_94 <= (decode_INSTRUCTION and pkg_stdLogicVector("01000000000000000100000000110100")); + zz_zz_decode_BRANCH_CTRL_2_95 <= pkg_stdLogicVector("01000000000000000000000000110000"); + zz_zz_decode_BRANCH_CTRL_2_98 <= (decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000000000000010100")); + zz_zz_decode_BRANCH_CTRL_2_99 <= pkg_stdLogicVector("00000000000000000000000000000100"); + zz_zz_decode_BRANCH_CTRL_2_103 <= (decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000000000001000100")); + zz_zz_decode_BRANCH_CTRL_2_104 <= pkg_stdLogicVector("00000000000000000000000000000100"); + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + if zz_decode_RegFilePlugin_rs1Data = '1' then + zz_RegFilePlugin_regFile_port0 <= RegFilePlugin_regFile(to_integer(decode_RegFilePlugin_regFileReadAddress1)); + end if; + end if; + end process; + + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + if zz_decode_RegFilePlugin_rs2Data = '1' then + zz_RegFilePlugin_regFile_port0_1 <= RegFilePlugin_regFile(to_integer(decode_RegFilePlugin_regFileReadAddress2)); + end if; + end if; + end process; + + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + if zz_1 = '1' then + RegFilePlugin_regFile(to_integer(lastStageRegFileWrite_payload_address)) <= lastStageRegFileWrite_payload_data; + end if; + end if; + end process; + + IBusSimplePlugin_rspJoin_rspBuffer_c : entity work.StreamFifoLowLatency + port map ( + io_push_valid => iBus_rsp_toStream_valid, + io_push_ready => IBusSimplePlugin_rspJoin_rspBuffer_c_io_push_ready, + io_push_payload_error => iBus_rsp_toStream_payload_error, + io_push_payload_inst => iBus_rsp_toStream_payload_inst, + io_pop_valid => IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_valid, + io_pop_ready => IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_ready, + io_pop_payload_error => IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_error, + io_pop_payload_inst => IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_inst, + io_flush => pkg_toStdLogic(false), + io_occupancy => IBusSimplePlugin_rspJoin_rspBuffer_c_io_occupancy, + io_mainClk => io_mainClk, + resetCtrl_systemReset => resetCtrl_systemReset + ); + memory_MEMORY_READ_DATA <= dBus_rsp_data; + execute_BRANCH_CALC <= unsigned(pkg_cat(std_logic_vector(pkg_extract(execute_BranchPlugin_branchAdder,31,1)),std_logic_vector(pkg_unsigned("0")))); + execute_BRANCH_DO <= zz_execute_BRANCH_DO_1; + writeBack_REGFILE_WRITE_DATA <= memory_to_writeBack_REGFILE_WRITE_DATA; + execute_REGFILE_WRITE_DATA <= zz_execute_REGFILE_WRITE_DATA; + memory_MEMORY_ADDRESS_LOW <= execute_to_memory_MEMORY_ADDRESS_LOW; + execute_MEMORY_ADDRESS_LOW <= pkg_extract(dBus_cmd_payload_address_read_buffer,1,0); + decode_DO_EBREAK <= (((not DebugPlugin_haltIt) and (decode_IS_EBREAK or pkg_toStdLogic(false))) and DebugPlugin_allowEBreak); + decode_SRC2 <= zz_decode_SRC2_6; + decode_SRC1 <= zz_decode_SRC1_1; + decode_SRC2_FORCE_ZERO <= (decode_SRC_ADD_ZERO and (not decode_SRC_USE_SUB_LESS)); + decode_RS2 <= decode_RegFilePlugin_rs2Data; + decode_RS1 <= decode_RegFilePlugin_rs1Data; + decode_BRANCH_CTRL <= zz_decode_BRANCH_CTRL; + zz_decode_to_execute_BRANCH_CTRL <= zz_decode_to_execute_BRANCH_CTRL_1; + decode_SHIFT_CTRL <= zz_decode_SHIFT_CTRL; + zz_decode_to_execute_SHIFT_CTRL <= zz_decode_to_execute_SHIFT_CTRL_1; + decode_ALU_BITWISE_CTRL <= zz_decode_ALU_BITWISE_CTRL; + zz_decode_to_execute_ALU_BITWISE_CTRL <= zz_decode_to_execute_ALU_BITWISE_CTRL_1; + decode_SRC_LESS_UNSIGNED <= pkg_extract(zz_decode_BRANCH_CTRL_2,17); + decode_ALU_CTRL <= zz_decode_ALU_CTRL; + zz_decode_to_execute_ALU_CTRL <= zz_decode_to_execute_ALU_CTRL_1; + zz_memory_to_writeBack_ENV_CTRL <= zz_memory_to_writeBack_ENV_CTRL_1; + zz_execute_to_memory_ENV_CTRL <= zz_execute_to_memory_ENV_CTRL_1; + decode_ENV_CTRL <= zz_decode_ENV_CTRL; + zz_decode_to_execute_ENV_CTRL <= zz_decode_to_execute_ENV_CTRL_1; + decode_IS_CSR <= pkg_extract(zz_decode_BRANCH_CTRL_2,13); + decode_MEMORY_STORE <= pkg_extract(zz_decode_BRANCH_CTRL_2,10); + execute_BYPASSABLE_MEMORY_STAGE <= decode_to_execute_BYPASSABLE_MEMORY_STAGE; + decode_BYPASSABLE_MEMORY_STAGE <= pkg_extract(zz_decode_BRANCH_CTRL_2,9); + decode_BYPASSABLE_EXECUTE_STAGE <= pkg_extract(zz_decode_BRANCH_CTRL_2,8); + decode_MEMORY_ENABLE <= pkg_extract(zz_decode_BRANCH_CTRL_2,3); + decode_CSR_READ_OPCODE <= pkg_toStdLogic(pkg_extract(decode_INSTRUCTION,13,7) /= pkg_stdLogicVector("0100000")); + decode_CSR_WRITE_OPCODE <= (not ((pkg_toStdLogic(pkg_extract(decode_INSTRUCTION,14,13) = pkg_stdLogicVector("01")) and pkg_toStdLogic(pkg_extract(decode_INSTRUCTION,19,15) = pkg_stdLogicVector("00000"))) or (pkg_toStdLogic(pkg_extract(decode_INSTRUCTION,14,13) = pkg_stdLogicVector("11")) and pkg_toStdLogic(pkg_extract(decode_INSTRUCTION,19,15) = pkg_stdLogicVector("00000"))))); + writeBack_FORMAL_PC_NEXT <= memory_to_writeBack_FORMAL_PC_NEXT; + memory_FORMAL_PC_NEXT <= execute_to_memory_FORMAL_PC_NEXT; + execute_FORMAL_PC_NEXT <= decode_to_execute_FORMAL_PC_NEXT; + decode_FORMAL_PC_NEXT <= (decode_PC + pkg_unsigned("00000000000000000000000000000100")); + memory_PC <= execute_to_memory_PC; + execute_DO_EBREAK <= decode_to_execute_DO_EBREAK; + decode_IS_EBREAK <= pkg_extract(zz_decode_BRANCH_CTRL_2,25); + memory_BRANCH_CALC <= execute_to_memory_BRANCH_CALC; + memory_BRANCH_DO <= execute_to_memory_BRANCH_DO; + execute_PC <= decode_to_execute_PC; + execute_RS1 <= decode_to_execute_RS1; + execute_BRANCH_CTRL <= zz_execute_BRANCH_CTRL; + decode_RS2_USE <= pkg_extract(zz_decode_BRANCH_CTRL_2,12); + decode_RS1_USE <= pkg_extract(zz_decode_BRANCH_CTRL_2,4); + execute_REGFILE_WRITE_VALID <= decode_to_execute_REGFILE_WRITE_VALID; + execute_BYPASSABLE_EXECUTE_STAGE <= decode_to_execute_BYPASSABLE_EXECUTE_STAGE; + memory_REGFILE_WRITE_VALID <= execute_to_memory_REGFILE_WRITE_VALID; + memory_INSTRUCTION <= execute_to_memory_INSTRUCTION; + memory_BYPASSABLE_MEMORY_STAGE <= execute_to_memory_BYPASSABLE_MEMORY_STAGE; + writeBack_REGFILE_WRITE_VALID <= memory_to_writeBack_REGFILE_WRITE_VALID; + memory_REGFILE_WRITE_DATA <= execute_to_memory_REGFILE_WRITE_DATA; + execute_SHIFT_CTRL <= zz_execute_SHIFT_CTRL; + execute_SRC_LESS_UNSIGNED <= decode_to_execute_SRC_LESS_UNSIGNED; + execute_SRC2_FORCE_ZERO <= decode_to_execute_SRC2_FORCE_ZERO; + execute_SRC_USE_SUB_LESS <= decode_to_execute_SRC_USE_SUB_LESS; + zz_decode_SRC2 <= decode_PC; + zz_decode_SRC2_1 <= decode_RS2; + decode_SRC2_CTRL <= zz_decode_SRC2_CTRL; + zz_decode_SRC1 <= decode_RS1; + decode_SRC1_CTRL <= zz_decode_SRC1_CTRL; + decode_SRC_USE_SUB_LESS <= pkg_extract(zz_decode_BRANCH_CTRL_2,2); + decode_SRC_ADD_ZERO <= pkg_extract(zz_decode_BRANCH_CTRL_2,20); + execute_SRC_ADD_SUB <= execute_SrcPlugin_addSub; + execute_SRC_LESS <= execute_SrcPlugin_less; + execute_ALU_CTRL <= zz_execute_ALU_CTRL; + execute_SRC2 <= decode_to_execute_SRC2; + execute_ALU_BITWISE_CTRL <= zz_execute_ALU_BITWISE_CTRL; + zz_lastStageRegFileWrite_payload_address <= writeBack_INSTRUCTION; + zz_lastStageRegFileWrite_valid <= writeBack_REGFILE_WRITE_VALID; + process(lastStageRegFileWrite_valid) + begin + zz_1 <= pkg_toStdLogic(false); + if lastStageRegFileWrite_valid = '1' then + zz_1 <= pkg_toStdLogic(true); + end if; + end process; + + decode_INSTRUCTION_ANTICIPATED <= pkg_mux(decode_arbitration_isStuck,decode_INSTRUCTION,IBusSimplePlugin_iBusRsp_output_payload_rsp_inst); + process(zz_decode_BRANCH_CTRL_2,when_RegFilePlugin_l63) + begin + decode_REGFILE_WRITE_VALID <= pkg_extract(zz_decode_BRANCH_CTRL_2,7); + if when_RegFilePlugin_l63 = '1' then + decode_REGFILE_WRITE_VALID <= pkg_toStdLogic(false); + end if; + end process; + + process(execute_REGFILE_WRITE_DATA,when_CsrPlugin_l1189,CsrPlugin_csrMapping_readDataSignal,when_ShiftPlugins_l169,zz_execute_to_memory_REGFILE_WRITE_DATA_1) + begin + zz_execute_to_memory_REGFILE_WRITE_DATA <= execute_REGFILE_WRITE_DATA; + if when_CsrPlugin_l1189 = '1' then + zz_execute_to_memory_REGFILE_WRITE_DATA <= CsrPlugin_csrMapping_readDataSignal; + end if; + if when_ShiftPlugins_l169 = '1' then + zz_execute_to_memory_REGFILE_WRITE_DATA <= zz_execute_to_memory_REGFILE_WRITE_DATA_1; + end if; + end process; + + execute_SRC1 <= decode_to_execute_SRC1; + execute_CSR_READ_OPCODE <= decode_to_execute_CSR_READ_OPCODE; + execute_CSR_WRITE_OPCODE <= decode_to_execute_CSR_WRITE_OPCODE; + execute_IS_CSR <= decode_to_execute_IS_CSR; + memory_ENV_CTRL <= zz_memory_ENV_CTRL; + execute_ENV_CTRL <= zz_execute_ENV_CTRL; + writeBack_ENV_CTRL <= zz_writeBack_ENV_CTRL; + process(writeBack_REGFILE_WRITE_DATA,when_DBusSimplePlugin_l558,writeBack_DBusSimplePlugin_rspFormated) + begin + zz_lastStageRegFileWrite_payload_data <= writeBack_REGFILE_WRITE_DATA; + if when_DBusSimplePlugin_l558 = '1' then + zz_lastStageRegFileWrite_payload_data <= writeBack_DBusSimplePlugin_rspFormated; + end if; + end process; + + writeBack_MEMORY_ENABLE <= memory_to_writeBack_MEMORY_ENABLE; + writeBack_MEMORY_ADDRESS_LOW <= memory_to_writeBack_MEMORY_ADDRESS_LOW; + writeBack_MEMORY_READ_DATA <= memory_to_writeBack_MEMORY_READ_DATA; + memory_MEMORY_STORE <= execute_to_memory_MEMORY_STORE; + memory_MEMORY_ENABLE <= execute_to_memory_MEMORY_ENABLE; + execute_SRC_ADD <= execute_SrcPlugin_addSub; + execute_RS2 <= decode_to_execute_RS2; + execute_INSTRUCTION <= decode_to_execute_INSTRUCTION; + execute_MEMORY_STORE <= decode_to_execute_MEMORY_STORE; + execute_MEMORY_ENABLE <= decode_to_execute_MEMORY_ENABLE; + execute_ALIGNEMENT_FAULT <= pkg_toStdLogic(false); + process(memory_FORMAL_PC_NEXT,BranchPlugin_jumpInterface_valid,BranchPlugin_jumpInterface_payload) + begin + zz_memory_to_writeBack_FORMAL_PC_NEXT <= memory_FORMAL_PC_NEXT; + if BranchPlugin_jumpInterface_valid = '1' then + zz_memory_to_writeBack_FORMAL_PC_NEXT <= BranchPlugin_jumpInterface_payload; + end if; + end process; + + decode_PC <= IBusSimplePlugin_injector_decodeInput_payload_pc; + decode_INSTRUCTION <= IBusSimplePlugin_injector_decodeInput_payload_rsp_inst; + writeBack_PC <= memory_to_writeBack_PC; + writeBack_INSTRUCTION <= memory_to_writeBack_INSTRUCTION; + process(switch_Fetcher_l365) + begin + decode_arbitration_haltItself <= pkg_toStdLogic(false); + case switch_Fetcher_l365 is + when "010" => + decode_arbitration_haltItself <= pkg_toStdLogic(true); + when others => + end case; + end process; + + process(CsrPlugin_pipelineLiberator_active,when_CsrPlugin_l1129,when_HazardSimplePlugin_l113) + begin + decode_arbitration_haltByOther <= pkg_toStdLogic(false); + if CsrPlugin_pipelineLiberator_active = '1' then + decode_arbitration_haltByOther <= pkg_toStdLogic(true); + end if; + if when_CsrPlugin_l1129 = '1' then + decode_arbitration_haltByOther <= pkg_toStdLogic(true); + end if; + if when_HazardSimplePlugin_l113 = '1' then + decode_arbitration_haltByOther <= pkg_toStdLogic(true); + end if; + end process; + + process(decode_arbitration_isFlushed) + begin + decode_arbitration_removeIt <= pkg_toStdLogic(false); + if decode_arbitration_isFlushed = '1' then + decode_arbitration_removeIt <= pkg_toStdLogic(true); + end if; + end process; + + decode_arbitration_flushIt <= pkg_toStdLogic(false); + decode_arbitration_flushNext <= pkg_toStdLogic(false); + process(when_DBusSimplePlugin_l428,when_CsrPlugin_l1193,execute_CsrPlugin_blockedBySideEffects,when_ShiftPlugins_l169,when_ShiftPlugins_l184) + begin + execute_arbitration_haltItself <= pkg_toStdLogic(false); + if when_DBusSimplePlugin_l428 = '1' then + execute_arbitration_haltItself <= pkg_toStdLogic(true); + end if; + if when_CsrPlugin_l1193 = '1' then + if execute_CsrPlugin_blockedBySideEffects = '1' then + execute_arbitration_haltItself <= pkg_toStdLogic(true); + end if; + end if; + if when_ShiftPlugins_l169 = '1' then + if when_ShiftPlugins_l184 = '1' then + execute_arbitration_haltItself <= pkg_toStdLogic(true); + end if; + end if; + end process; + + process(when_DebugPlugin_l295) + begin + execute_arbitration_haltByOther <= pkg_toStdLogic(false); + if when_DebugPlugin_l295 = '1' then + execute_arbitration_haltByOther <= pkg_toStdLogic(true); + end if; + end process; + + process(execute_arbitration_isFlushed) + begin + execute_arbitration_removeIt <= pkg_toStdLogic(false); + if execute_arbitration_isFlushed = '1' then + execute_arbitration_removeIt <= pkg_toStdLogic(true); + end if; + end process; + + process(when_DebugPlugin_l295,when_DebugPlugin_l298) + begin + execute_arbitration_flushIt <= pkg_toStdLogic(false); + if when_DebugPlugin_l295 = '1' then + if when_DebugPlugin_l298 = '1' then + execute_arbitration_flushIt <= pkg_toStdLogic(true); + end if; + end if; + end process; + + process(when_DebugPlugin_l295,when_DebugPlugin_l298) + begin + execute_arbitration_flushNext <= pkg_toStdLogic(false); + if when_DebugPlugin_l295 = '1' then + if when_DebugPlugin_l298 = '1' then + execute_arbitration_flushNext <= pkg_toStdLogic(true); + end if; + end if; + end process; + + process(when_DBusSimplePlugin_l482) + begin + memory_arbitration_haltItself <= pkg_toStdLogic(false); + if when_DBusSimplePlugin_l482 = '1' then + memory_arbitration_haltItself <= pkg_toStdLogic(true); + end if; + end process; + + memory_arbitration_haltByOther <= pkg_toStdLogic(false); + process(memory_arbitration_isFlushed) + begin + memory_arbitration_removeIt <= pkg_toStdLogic(false); + if memory_arbitration_isFlushed = '1' then + memory_arbitration_removeIt <= pkg_toStdLogic(true); + end if; + end process; + + memory_arbitration_flushIt <= pkg_toStdLogic(false); + process(BranchPlugin_jumpInterface_valid) + begin + memory_arbitration_flushNext <= pkg_toStdLogic(false); + if BranchPlugin_jumpInterface_valid = '1' then + memory_arbitration_flushNext <= pkg_toStdLogic(true); + end if; + end process; + + writeBack_arbitration_haltItself <= pkg_toStdLogic(false); + writeBack_arbitration_haltByOther <= pkg_toStdLogic(false); + process(writeBack_arbitration_isFlushed) + begin + writeBack_arbitration_removeIt <= pkg_toStdLogic(false); + if writeBack_arbitration_isFlushed = '1' then + writeBack_arbitration_removeIt <= pkg_toStdLogic(true); + end if; + end process; + + writeBack_arbitration_flushIt <= pkg_toStdLogic(false); + process(when_CsrPlugin_l1032,when_CsrPlugin_l1077) + begin + writeBack_arbitration_flushNext <= pkg_toStdLogic(false); + if when_CsrPlugin_l1032 = '1' then + writeBack_arbitration_flushNext <= pkg_toStdLogic(true); + end if; + if when_CsrPlugin_l1077 = '1' then + writeBack_arbitration_flushNext <= pkg_toStdLogic(true); + end if; + end process; + + lastStageInstruction <= writeBack_INSTRUCTION; + lastStagePc <= writeBack_PC; + lastStageIsValid <= writeBack_arbitration_isValid; + lastStageIsFiring <= writeBack_arbitration_isFiring; + process(when_CsrPlugin_l1032,when_CsrPlugin_l1077,when_DebugPlugin_l295,when_DebugPlugin_l298,DebugPlugin_haltIt,when_DebugPlugin_l311) + begin + IBusSimplePlugin_fetcherHalt <= pkg_toStdLogic(false); + if when_CsrPlugin_l1032 = '1' then + IBusSimplePlugin_fetcherHalt <= pkg_toStdLogic(true); + end if; + if when_CsrPlugin_l1077 = '1' then + IBusSimplePlugin_fetcherHalt <= pkg_toStdLogic(true); + end if; + if when_DebugPlugin_l295 = '1' then + if when_DebugPlugin_l298 = '1' then + IBusSimplePlugin_fetcherHalt <= pkg_toStdLogic(true); + end if; + end if; + if DebugPlugin_haltIt = '1' then + IBusSimplePlugin_fetcherHalt <= pkg_toStdLogic(true); + end if; + if when_DebugPlugin_l311 = '1' then + IBusSimplePlugin_fetcherHalt <= pkg_toStdLogic(true); + end if; + end process; + + IBusSimplePlugin_forceNoDecodeCond <= pkg_toStdLogic(false); + process(when_Fetcher_l243,IBusSimplePlugin_injector_decodeInput_valid) + begin + IBusSimplePlugin_incomingInstruction <= pkg_toStdLogic(false); + if when_Fetcher_l243 = '1' then + IBusSimplePlugin_incomingInstruction <= pkg_toStdLogic(true); + end if; + if IBusSimplePlugin_injector_decodeInput_valid = '1' then + IBusSimplePlugin_incomingInstruction <= pkg_toStdLogic(true); + end if; + end process; + + CsrPlugin_csrMapping_allowCsrSignal <= pkg_toStdLogic(false); + CsrPlugin_csrMapping_readDataSignal <= CsrPlugin_csrMapping_readDataInit; + CsrPlugin_inWfi <= pkg_toStdLogic(false); + process(DebugPlugin_haltIt) + begin + CsrPlugin_thirdPartyWake <= pkg_toStdLogic(false); + if DebugPlugin_haltIt = '1' then + CsrPlugin_thirdPartyWake <= pkg_toStdLogic(true); + end if; + end process; + + process(when_CsrPlugin_l1032,when_CsrPlugin_l1077) + begin + CsrPlugin_jumpInterface_valid <= pkg_toStdLogic(false); + if when_CsrPlugin_l1032 = '1' then + CsrPlugin_jumpInterface_valid <= pkg_toStdLogic(true); + end if; + if when_CsrPlugin_l1077 = '1' then + CsrPlugin_jumpInterface_valid <= pkg_toStdLogic(true); + end if; + end process; + + process(when_CsrPlugin_l1032,CsrPlugin_xtvec_base,when_CsrPlugin_l1077,switch_CsrPlugin_l1081,CsrPlugin_mepc) + begin + CsrPlugin_jumpInterface_payload <= pkg_unsigned("XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"); + if when_CsrPlugin_l1032 = '1' then + CsrPlugin_jumpInterface_payload <= unsigned(pkg_cat(std_logic_vector(CsrPlugin_xtvec_base),std_logic_vector(pkg_unsigned("00")))); + end if; + if when_CsrPlugin_l1077 = '1' then + case switch_CsrPlugin_l1081 is + when "11" => + CsrPlugin_jumpInterface_payload <= CsrPlugin_mepc; + when others => + end case; + end if; + end process; + + process(DebugPlugin_godmode) + begin + CsrPlugin_forceMachineWire <= pkg_toStdLogic(false); + if DebugPlugin_godmode = '1' then + CsrPlugin_forceMachineWire <= pkg_toStdLogic(true); + end if; + end process; + + process(when_DebugPlugin_l331) + begin + CsrPlugin_allowInterrupts <= pkg_toStdLogic(true); + if when_DebugPlugin_l331 = '1' then + CsrPlugin_allowInterrupts <= pkg_toStdLogic(false); + end if; + end process; + + process(DebugPlugin_godmode) + begin + CsrPlugin_allowException <= pkg_toStdLogic(true); + if DebugPlugin_godmode = '1' then + CsrPlugin_allowException <= pkg_toStdLogic(false); + end if; + end process; + + process(DebugPlugin_allowEBreak) + begin + CsrPlugin_allowEbreakException <= pkg_toStdLogic(true); + if DebugPlugin_allowEBreak = '1' then + CsrPlugin_allowEbreakException <= pkg_toStdLogic(false); + end if; + end process; + + process(DebugPlugin_godmode) + begin + BranchPlugin_inDebugNoFetchFlag <= pkg_toStdLogic(false); + if DebugPlugin_godmode = '1' then + BranchPlugin_inDebugNoFetchFlag <= pkg_toStdLogic(true); + end if; + end process; + + IBusSimplePlugin_externalFlush <= pkg_toStdLogic(pkg_cat(pkg_toStdLogicVector(writeBack_arbitration_flushNext),pkg_cat(pkg_toStdLogicVector(memory_arbitration_flushNext),pkg_cat(pkg_toStdLogicVector(execute_arbitration_flushNext),pkg_toStdLogicVector(decode_arbitration_flushNext)))) /= pkg_stdLogicVector("0000")); + IBusSimplePlugin_jump_pcLoad_valid <= pkg_toStdLogic(pkg_cat(pkg_toStdLogicVector(BranchPlugin_jumpInterface_valid),pkg_toStdLogicVector(CsrPlugin_jumpInterface_valid)) /= pkg_stdLogicVector("00")); + zz_IBusSimplePlugin_jump_pcLoad_payload <= unsigned(pkg_cat(pkg_toStdLogicVector(BranchPlugin_jumpInterface_valid),pkg_toStdLogicVector(CsrPlugin_jumpInterface_valid))); + IBusSimplePlugin_jump_pcLoad_payload <= pkg_mux(pkg_extract(std_logic_vector((zz_IBusSimplePlugin_jump_pcLoad_payload and pkg_not((zz_IBusSimplePlugin_jump_pcLoad_payload - pkg_unsigned("01"))))),0),CsrPlugin_jumpInterface_payload,BranchPlugin_jumpInterface_payload); + process(IBusSimplePlugin_jump_pcLoad_valid) + begin + IBusSimplePlugin_fetchPc_correction <= pkg_toStdLogic(false); + if IBusSimplePlugin_jump_pcLoad_valid = '1' then + IBusSimplePlugin_fetchPc_correction <= pkg_toStdLogic(true); + end if; + end process; + + IBusSimplePlugin_fetchPc_output_fire <= (IBusSimplePlugin_fetchPc_output_valid and IBusSimplePlugin_fetchPc_output_ready); + IBusSimplePlugin_fetchPc_corrected <= (IBusSimplePlugin_fetchPc_correction or IBusSimplePlugin_fetchPc_correctionReg); + process(IBusSimplePlugin_iBusRsp_stages_1_input_ready) + begin + IBusSimplePlugin_fetchPc_pcRegPropagate <= pkg_toStdLogic(false); + if IBusSimplePlugin_iBusRsp_stages_1_input_ready = '1' then + IBusSimplePlugin_fetchPc_pcRegPropagate <= pkg_toStdLogic(true); + end if; + end process; + + when_Fetcher_l134 <= (IBusSimplePlugin_fetchPc_correction or IBusSimplePlugin_fetchPc_pcRegPropagate); + IBusSimplePlugin_fetchPc_output_fire_1 <= (IBusSimplePlugin_fetchPc_output_valid and IBusSimplePlugin_fetchPc_output_ready); + when_Fetcher_l134_1 <= ((not IBusSimplePlugin_fetchPc_output_valid) and IBusSimplePlugin_fetchPc_output_ready); + process(IBusSimplePlugin_fetchPc_pcReg,IBusSimplePlugin_fetchPc_inc,IBusSimplePlugin_jump_pcLoad_valid,IBusSimplePlugin_jump_pcLoad_payload) + begin + IBusSimplePlugin_fetchPc_pc <= (IBusSimplePlugin_fetchPc_pcReg + pkg_resize(unsigned(pkg_cat(pkg_toStdLogicVector(IBusSimplePlugin_fetchPc_inc),pkg_stdLogicVector("00"))),32)); + if IBusSimplePlugin_jump_pcLoad_valid = '1' then + IBusSimplePlugin_fetchPc_pc <= IBusSimplePlugin_jump_pcLoad_payload; + end if; + IBusSimplePlugin_fetchPc_pc(0) <= pkg_toStdLogic(false); + IBusSimplePlugin_fetchPc_pc(1) <= pkg_toStdLogic(false); + end process; + + process(IBusSimplePlugin_jump_pcLoad_valid) + begin + IBusSimplePlugin_fetchPc_flushed <= pkg_toStdLogic(false); + if IBusSimplePlugin_jump_pcLoad_valid = '1' then + IBusSimplePlugin_fetchPc_flushed <= pkg_toStdLogic(true); + end if; + end process; + + when_Fetcher_l161 <= (IBusSimplePlugin_fetchPc_booted and ((IBusSimplePlugin_fetchPc_output_ready or IBusSimplePlugin_fetchPc_correction) or IBusSimplePlugin_fetchPc_pcRegPropagate)); + IBusSimplePlugin_fetchPc_output_valid <= ((not IBusSimplePlugin_fetcherHalt) and IBusSimplePlugin_fetchPc_booted); + IBusSimplePlugin_fetchPc_output_payload <= IBusSimplePlugin_fetchPc_pc; + IBusSimplePlugin_iBusRsp_redoFetch <= pkg_toStdLogic(false); + IBusSimplePlugin_iBusRsp_stages_0_input_valid <= IBusSimplePlugin_fetchPc_output_valid; + IBusSimplePlugin_fetchPc_output_ready <= IBusSimplePlugin_iBusRsp_stages_0_input_ready; + IBusSimplePlugin_iBusRsp_stages_0_input_payload <= IBusSimplePlugin_fetchPc_output_payload; + IBusSimplePlugin_iBusRsp_stages_0_halt <= pkg_toStdLogic(false); + zz_IBusSimplePlugin_iBusRsp_stages_0_input_ready <= (not IBusSimplePlugin_iBusRsp_stages_0_halt); + IBusSimplePlugin_iBusRsp_stages_0_input_ready <= (IBusSimplePlugin_iBusRsp_stages_0_output_ready and zz_IBusSimplePlugin_iBusRsp_stages_0_input_ready); + IBusSimplePlugin_iBusRsp_stages_0_output_valid <= (IBusSimplePlugin_iBusRsp_stages_0_input_valid and zz_IBusSimplePlugin_iBusRsp_stages_0_input_ready); + IBusSimplePlugin_iBusRsp_stages_0_output_payload <= IBusSimplePlugin_iBusRsp_stages_0_input_payload; + process(when_IBusSimplePlugin_l305) + begin + IBusSimplePlugin_iBusRsp_stages_1_halt <= pkg_toStdLogic(false); + if when_IBusSimplePlugin_l305 = '1' then + IBusSimplePlugin_iBusRsp_stages_1_halt <= pkg_toStdLogic(true); + end if; + end process; + + zz_IBusSimplePlugin_iBusRsp_stages_1_input_ready <= (not IBusSimplePlugin_iBusRsp_stages_1_halt); + IBusSimplePlugin_iBusRsp_stages_1_input_ready <= (IBusSimplePlugin_iBusRsp_stages_1_output_ready and zz_IBusSimplePlugin_iBusRsp_stages_1_input_ready); + IBusSimplePlugin_iBusRsp_stages_1_output_valid <= (IBusSimplePlugin_iBusRsp_stages_1_input_valid and zz_IBusSimplePlugin_iBusRsp_stages_1_input_ready); + IBusSimplePlugin_iBusRsp_stages_1_output_payload <= IBusSimplePlugin_iBusRsp_stages_1_input_payload; + IBusSimplePlugin_iBusRsp_stages_2_halt <= pkg_toStdLogic(false); + zz_IBusSimplePlugin_iBusRsp_stages_2_input_ready <= (not IBusSimplePlugin_iBusRsp_stages_2_halt); + IBusSimplePlugin_iBusRsp_stages_2_input_ready <= (IBusSimplePlugin_iBusRsp_stages_2_output_ready and zz_IBusSimplePlugin_iBusRsp_stages_2_input_ready); + IBusSimplePlugin_iBusRsp_stages_2_output_valid <= (IBusSimplePlugin_iBusRsp_stages_2_input_valid and zz_IBusSimplePlugin_iBusRsp_stages_2_input_ready); + IBusSimplePlugin_iBusRsp_stages_2_output_payload <= IBusSimplePlugin_iBusRsp_stages_2_input_payload; + IBusSimplePlugin_iBusRsp_flush <= (IBusSimplePlugin_externalFlush or IBusSimplePlugin_iBusRsp_redoFetch); + IBusSimplePlugin_iBusRsp_stages_0_output_ready <= zz_IBusSimplePlugin_iBusRsp_stages_0_output_ready; + zz_IBusSimplePlugin_iBusRsp_stages_0_output_ready <= ((pkg_toStdLogic(false) and (not zz_IBusSimplePlugin_iBusRsp_stages_0_output_ready_1)) or IBusSimplePlugin_iBusRsp_stages_1_input_ready); + zz_IBusSimplePlugin_iBusRsp_stages_0_output_ready_1 <= zz_IBusSimplePlugin_iBusRsp_stages_0_output_ready_2; + IBusSimplePlugin_iBusRsp_stages_1_input_valid <= zz_IBusSimplePlugin_iBusRsp_stages_0_output_ready_1; + IBusSimplePlugin_iBusRsp_stages_1_input_payload <= IBusSimplePlugin_fetchPc_pcReg; + IBusSimplePlugin_iBusRsp_stages_1_output_ready <= ((pkg_toStdLogic(false) and (not IBusSimplePlugin_iBusRsp_stages_1_output_m2sPipe_valid)) or IBusSimplePlugin_iBusRsp_stages_1_output_m2sPipe_ready); + IBusSimplePlugin_iBusRsp_stages_1_output_m2sPipe_valid <= zz_IBusSimplePlugin_iBusRsp_stages_1_output_m2sPipe_valid; + IBusSimplePlugin_iBusRsp_stages_1_output_m2sPipe_payload <= zz_IBusSimplePlugin_iBusRsp_stages_1_output_m2sPipe_payload; + IBusSimplePlugin_iBusRsp_stages_2_input_valid <= IBusSimplePlugin_iBusRsp_stages_1_output_m2sPipe_valid; + IBusSimplePlugin_iBusRsp_stages_1_output_m2sPipe_ready <= IBusSimplePlugin_iBusRsp_stages_2_input_ready; + IBusSimplePlugin_iBusRsp_stages_2_input_payload <= IBusSimplePlugin_iBusRsp_stages_1_output_m2sPipe_payload; + process(IBusSimplePlugin_injector_decodeInput_valid,when_Fetcher_l323) + begin + IBusSimplePlugin_iBusRsp_readyForError <= pkg_toStdLogic(true); + if IBusSimplePlugin_injector_decodeInput_valid = '1' then + IBusSimplePlugin_iBusRsp_readyForError <= pkg_toStdLogic(false); + end if; + if when_Fetcher_l323 = '1' then + IBusSimplePlugin_iBusRsp_readyForError <= pkg_toStdLogic(false); + end if; + end process; + + when_Fetcher_l243 <= (IBusSimplePlugin_iBusRsp_stages_1_input_valid or IBusSimplePlugin_iBusRsp_stages_2_input_valid); + IBusSimplePlugin_iBusRsp_output_ready <= ((pkg_toStdLogic(false) and (not IBusSimplePlugin_injector_decodeInput_valid)) or IBusSimplePlugin_injector_decodeInput_ready); + IBusSimplePlugin_injector_decodeInput_valid <= zz_IBusSimplePlugin_injector_decodeInput_valid; + IBusSimplePlugin_injector_decodeInput_payload_pc <= zz_IBusSimplePlugin_injector_decodeInput_payload_pc; + IBusSimplePlugin_injector_decodeInput_payload_rsp_error <= zz_IBusSimplePlugin_injector_decodeInput_payload_rsp_error; + IBusSimplePlugin_injector_decodeInput_payload_rsp_inst <= zz_IBusSimplePlugin_injector_decodeInput_payload_rsp_inst; + IBusSimplePlugin_injector_decodeInput_payload_isRvc <= zz_IBusSimplePlugin_injector_decodeInput_payload_isRvc; + when_Fetcher_l323 <= (not IBusSimplePlugin_pcValids_0); + when_Fetcher_l332 <= (not (not IBusSimplePlugin_iBusRsp_stages_1_input_ready)); + when_Fetcher_l332_1 <= (not (not IBusSimplePlugin_iBusRsp_stages_2_input_ready)); + when_Fetcher_l332_2 <= (not (not IBusSimplePlugin_injector_decodeInput_ready)); + when_Fetcher_l332_3 <= (not execute_arbitration_isStuck); + when_Fetcher_l332_4 <= (not memory_arbitration_isStuck); + when_Fetcher_l332_5 <= (not writeBack_arbitration_isStuck); + IBusSimplePlugin_pcValids_0 <= IBusSimplePlugin_injector_nextPcCalc_valids_2; + IBusSimplePlugin_pcValids_1 <= IBusSimplePlugin_injector_nextPcCalc_valids_3; + IBusSimplePlugin_pcValids_2 <= IBusSimplePlugin_injector_nextPcCalc_valids_4; + IBusSimplePlugin_pcValids_3 <= IBusSimplePlugin_injector_nextPcCalc_valids_5; + IBusSimplePlugin_injector_decodeInput_ready <= (not decode_arbitration_isStuck); + process(IBusSimplePlugin_injector_decodeInput_valid,switch_Fetcher_l365,IBusSimplePlugin_forceNoDecodeCond) + begin + decode_arbitration_isValid <= IBusSimplePlugin_injector_decodeInput_valid; + case switch_Fetcher_l365 is + when "010" => + decode_arbitration_isValid <= pkg_toStdLogic(true); + when "011" => + decode_arbitration_isValid <= pkg_toStdLogic(true); + when others => + end case; + if IBusSimplePlugin_forceNoDecodeCond = '1' then + decode_arbitration_isValid <= pkg_toStdLogic(false); + end if; + end process; + + iBus_cmd_valid <= IBusSimplePlugin_cmd_valid; + IBusSimplePlugin_cmd_ready <= iBus_cmd_ready; + iBus_cmd_payload_pc <= IBusSimplePlugin_cmd_payload_pc; + IBusSimplePlugin_pending_next <= ((IBusSimplePlugin_pending_value + pkg_resize(unsigned(pkg_toStdLogicVector(IBusSimplePlugin_pending_inc)),3)) - pkg_resize(unsigned(pkg_toStdLogicVector(IBusSimplePlugin_pending_dec)),3)); + IBusSimplePlugin_cmdFork_canEmit <= (IBusSimplePlugin_iBusRsp_stages_1_output_ready and pkg_toStdLogic(IBusSimplePlugin_pending_value /= pkg_unsigned("111"))); + when_IBusSimplePlugin_l305 <= (IBusSimplePlugin_iBusRsp_stages_1_input_valid and ((not IBusSimplePlugin_cmdFork_canEmit) or (not IBusSimplePlugin_cmd_ready))); + IBusSimplePlugin_cmd_valid <= (IBusSimplePlugin_iBusRsp_stages_1_input_valid and IBusSimplePlugin_cmdFork_canEmit); + IBusSimplePlugin_cmd_fire <= (IBusSimplePlugin_cmd_valid and IBusSimplePlugin_cmd_ready); + IBusSimplePlugin_pending_inc <= IBusSimplePlugin_cmd_fire; + IBusSimplePlugin_cmd_payload_pc <= unsigned(pkg_cat(std_logic_vector(pkg_extract(IBusSimplePlugin_iBusRsp_stages_1_input_payload,31,2)),std_logic_vector(pkg_unsigned("00")))); + iBus_rsp_toStream_valid <= iBus_rsp_valid; + iBus_rsp_toStream_payload_error <= iBus_rsp_payload_error; + iBus_rsp_toStream_payload_inst <= iBus_rsp_payload_inst; + iBus_rsp_toStream_ready <= IBusSimplePlugin_rspJoin_rspBuffer_c_io_push_ready; + IBusSimplePlugin_rspJoin_rspBuffer_flush <= (pkg_toStdLogic(IBusSimplePlugin_rspJoin_rspBuffer_discardCounter /= pkg_unsigned("000")) or IBusSimplePlugin_iBusRsp_flush); + IBusSimplePlugin_rspJoin_rspBuffer_output_valid <= (IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_valid and pkg_toStdLogic(IBusSimplePlugin_rspJoin_rspBuffer_discardCounter = pkg_unsigned("000"))); + IBusSimplePlugin_rspJoin_rspBuffer_output_payload_error <= IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_error; + IBusSimplePlugin_rspJoin_rspBuffer_output_payload_inst <= IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_inst; + IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_ready <= (IBusSimplePlugin_rspJoin_rspBuffer_output_ready or IBusSimplePlugin_rspJoin_rspBuffer_flush); + IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_fire <= (IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_valid and IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_ready); + IBusSimplePlugin_pending_dec <= IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_fire; + IBusSimplePlugin_rspJoin_fetchRsp_pc <= IBusSimplePlugin_iBusRsp_stages_2_output_payload; + process(IBusSimplePlugin_rspJoin_rspBuffer_output_payload_error,when_IBusSimplePlugin_l376) + begin + IBusSimplePlugin_rspJoin_fetchRsp_rsp_error <= IBusSimplePlugin_rspJoin_rspBuffer_output_payload_error; + if when_IBusSimplePlugin_l376 = '1' then + IBusSimplePlugin_rspJoin_fetchRsp_rsp_error <= pkg_toStdLogic(false); + end if; + end process; + + IBusSimplePlugin_rspJoin_fetchRsp_rsp_inst <= IBusSimplePlugin_rspJoin_rspBuffer_output_payload_inst; + when_IBusSimplePlugin_l376 <= (not IBusSimplePlugin_rspJoin_rspBuffer_output_valid); + IBusSimplePlugin_rspJoin_exceptionDetected <= pkg_toStdLogic(false); + IBusSimplePlugin_rspJoin_join_valid <= (IBusSimplePlugin_iBusRsp_stages_2_output_valid and IBusSimplePlugin_rspJoin_rspBuffer_output_valid); + IBusSimplePlugin_rspJoin_join_payload_pc <= IBusSimplePlugin_rspJoin_fetchRsp_pc; + IBusSimplePlugin_rspJoin_join_payload_rsp_error <= IBusSimplePlugin_rspJoin_fetchRsp_rsp_error; + IBusSimplePlugin_rspJoin_join_payload_rsp_inst <= IBusSimplePlugin_rspJoin_fetchRsp_rsp_inst; + IBusSimplePlugin_rspJoin_join_payload_isRvc <= IBusSimplePlugin_rspJoin_fetchRsp_isRvc; + IBusSimplePlugin_rspJoin_join_fire <= (IBusSimplePlugin_rspJoin_join_valid and IBusSimplePlugin_rspJoin_join_ready); + IBusSimplePlugin_iBusRsp_stages_2_output_ready <= pkg_mux(IBusSimplePlugin_iBusRsp_stages_2_output_valid,IBusSimplePlugin_rspJoin_join_fire,IBusSimplePlugin_rspJoin_join_ready); + IBusSimplePlugin_rspJoin_join_fire_1 <= (IBusSimplePlugin_rspJoin_join_valid and IBusSimplePlugin_rspJoin_join_ready); + IBusSimplePlugin_rspJoin_rspBuffer_output_ready <= IBusSimplePlugin_rspJoin_join_fire_1; + zz_IBusSimplePlugin_iBusRsp_output_valid <= (not IBusSimplePlugin_rspJoin_exceptionDetected); + IBusSimplePlugin_rspJoin_join_ready <= (IBusSimplePlugin_iBusRsp_output_ready and zz_IBusSimplePlugin_iBusRsp_output_valid); + IBusSimplePlugin_iBusRsp_output_valid <= (IBusSimplePlugin_rspJoin_join_valid and zz_IBusSimplePlugin_iBusRsp_output_valid); + IBusSimplePlugin_iBusRsp_output_payload_pc <= IBusSimplePlugin_rspJoin_join_payload_pc; + IBusSimplePlugin_iBusRsp_output_payload_rsp_error <= IBusSimplePlugin_rspJoin_join_payload_rsp_error; + IBusSimplePlugin_iBusRsp_output_payload_rsp_inst <= IBusSimplePlugin_rspJoin_join_payload_rsp_inst; + IBusSimplePlugin_iBusRsp_output_payload_isRvc <= IBusSimplePlugin_rspJoin_join_payload_isRvc; + zz_dBus_cmd_valid <= pkg_toStdLogic(false); + process(execute_ALIGNEMENT_FAULT) + begin + execute_DBusSimplePlugin_skipCmd <= pkg_toStdLogic(false); + if execute_ALIGNEMENT_FAULT = '1' then + execute_DBusSimplePlugin_skipCmd <= pkg_toStdLogic(true); + end if; + end process; + + dBus_cmd_valid <= (((((execute_arbitration_isValid and execute_MEMORY_ENABLE) and (not execute_arbitration_isStuckByOthers)) and (not execute_arbitration_isFlushed)) and (not execute_DBusSimplePlugin_skipCmd)) and (not zz_dBus_cmd_valid)); + dBus_cmd_payload_wr <= execute_MEMORY_STORE; + dBus_cmd_payload_size_read_buffer <= unsigned(pkg_extract(execute_INSTRUCTION,13,12)); + process(dBus_cmd_payload_size_read_buffer,execute_RS2) + begin + case dBus_cmd_payload_size_read_buffer is + when "00" => + zz_dBus_cmd_payload_data <= pkg_cat(pkg_cat(pkg_cat(pkg_extract(execute_RS2,7,0),pkg_extract(execute_RS2,7,0)),pkg_extract(execute_RS2,7,0)),pkg_extract(execute_RS2,7,0)); + when "01" => + zz_dBus_cmd_payload_data <= pkg_cat(pkg_extract(execute_RS2,15,0),pkg_extract(execute_RS2,15,0)); + when others => + zz_dBus_cmd_payload_data <= pkg_extract(execute_RS2,31,0); + end case; + end process; + + dBus_cmd_payload_data <= zz_dBus_cmd_payload_data; + when_DBusSimplePlugin_l428 <= ((((execute_arbitration_isValid and execute_MEMORY_ENABLE) and (not dBus_cmd_ready)) and (not execute_DBusSimplePlugin_skipCmd)) and (not zz_dBus_cmd_valid)); + process(dBus_cmd_payload_size_read_buffer) + begin + case dBus_cmd_payload_size_read_buffer is + when "00" => + zz_execute_DBusSimplePlugin_formalMask <= pkg_stdLogicVector("0001"); + when "01" => + zz_execute_DBusSimplePlugin_formalMask <= pkg_stdLogicVector("0011"); + when others => + zz_execute_DBusSimplePlugin_formalMask <= pkg_stdLogicVector("1111"); + end case; + end process; + + execute_DBusSimplePlugin_formalMask <= std_logic_vector(shift_left(unsigned(zz_execute_DBusSimplePlugin_formalMask),to_integer(pkg_extract(dBus_cmd_payload_address_read_buffer,1,0)))); + dBus_cmd_payload_address_read_buffer <= unsigned(execute_SRC_ADD); + when_DBusSimplePlugin_l482 <= (((memory_arbitration_isValid and memory_MEMORY_ENABLE) and (not memory_MEMORY_STORE)) and ((not dBus_rsp_ready) or pkg_toStdLogic(false))); + process(writeBack_MEMORY_READ_DATA,writeBack_MEMORY_ADDRESS_LOW) + begin + writeBack_DBusSimplePlugin_rspShifted <= writeBack_MEMORY_READ_DATA; + case writeBack_MEMORY_ADDRESS_LOW is + when "01" => + writeBack_DBusSimplePlugin_rspShifted(7 downto 0) <= pkg_extract(writeBack_MEMORY_READ_DATA,15,8); + when "10" => + writeBack_DBusSimplePlugin_rspShifted(15 downto 0) <= pkg_extract(writeBack_MEMORY_READ_DATA,31,16); + when "11" => + writeBack_DBusSimplePlugin_rspShifted(7 downto 0) <= pkg_extract(writeBack_MEMORY_READ_DATA,31,24); + when others => + end case; + end process; + + switch_Misc_l210 <= pkg_extract(writeBack_INSTRUCTION,13,12); + zz_writeBack_DBusSimplePlugin_rspFormated <= (pkg_extract(writeBack_DBusSimplePlugin_rspShifted,7) and (not pkg_extract(writeBack_INSTRUCTION,14))); + process(zz_writeBack_DBusSimplePlugin_rspFormated,writeBack_DBusSimplePlugin_rspShifted) + begin + zz_writeBack_DBusSimplePlugin_rspFormated_1(31) <= zz_writeBack_DBusSimplePlugin_rspFormated; + zz_writeBack_DBusSimplePlugin_rspFormated_1(30) <= zz_writeBack_DBusSimplePlugin_rspFormated; + zz_writeBack_DBusSimplePlugin_rspFormated_1(29) <= zz_writeBack_DBusSimplePlugin_rspFormated; + zz_writeBack_DBusSimplePlugin_rspFormated_1(28) <= zz_writeBack_DBusSimplePlugin_rspFormated; + zz_writeBack_DBusSimplePlugin_rspFormated_1(27) <= zz_writeBack_DBusSimplePlugin_rspFormated; + zz_writeBack_DBusSimplePlugin_rspFormated_1(26) <= zz_writeBack_DBusSimplePlugin_rspFormated; + zz_writeBack_DBusSimplePlugin_rspFormated_1(25) <= zz_writeBack_DBusSimplePlugin_rspFormated; + zz_writeBack_DBusSimplePlugin_rspFormated_1(24) <= zz_writeBack_DBusSimplePlugin_rspFormated; + zz_writeBack_DBusSimplePlugin_rspFormated_1(23) <= zz_writeBack_DBusSimplePlugin_rspFormated; + zz_writeBack_DBusSimplePlugin_rspFormated_1(22) <= zz_writeBack_DBusSimplePlugin_rspFormated; + zz_writeBack_DBusSimplePlugin_rspFormated_1(21) <= zz_writeBack_DBusSimplePlugin_rspFormated; + zz_writeBack_DBusSimplePlugin_rspFormated_1(20) <= zz_writeBack_DBusSimplePlugin_rspFormated; + zz_writeBack_DBusSimplePlugin_rspFormated_1(19) <= zz_writeBack_DBusSimplePlugin_rspFormated; + zz_writeBack_DBusSimplePlugin_rspFormated_1(18) <= zz_writeBack_DBusSimplePlugin_rspFormated; + zz_writeBack_DBusSimplePlugin_rspFormated_1(17) <= zz_writeBack_DBusSimplePlugin_rspFormated; + zz_writeBack_DBusSimplePlugin_rspFormated_1(16) <= zz_writeBack_DBusSimplePlugin_rspFormated; + zz_writeBack_DBusSimplePlugin_rspFormated_1(15) <= zz_writeBack_DBusSimplePlugin_rspFormated; + zz_writeBack_DBusSimplePlugin_rspFormated_1(14) <= zz_writeBack_DBusSimplePlugin_rspFormated; + zz_writeBack_DBusSimplePlugin_rspFormated_1(13) <= zz_writeBack_DBusSimplePlugin_rspFormated; + zz_writeBack_DBusSimplePlugin_rspFormated_1(12) <= zz_writeBack_DBusSimplePlugin_rspFormated; + zz_writeBack_DBusSimplePlugin_rspFormated_1(11) <= zz_writeBack_DBusSimplePlugin_rspFormated; + zz_writeBack_DBusSimplePlugin_rspFormated_1(10) <= zz_writeBack_DBusSimplePlugin_rspFormated; + zz_writeBack_DBusSimplePlugin_rspFormated_1(9) <= zz_writeBack_DBusSimplePlugin_rspFormated; + zz_writeBack_DBusSimplePlugin_rspFormated_1(8) <= zz_writeBack_DBusSimplePlugin_rspFormated; + zz_writeBack_DBusSimplePlugin_rspFormated_1(7 downto 0) <= pkg_extract(writeBack_DBusSimplePlugin_rspShifted,7,0); + end process; + + zz_writeBack_DBusSimplePlugin_rspFormated_2 <= (pkg_extract(writeBack_DBusSimplePlugin_rspShifted,15) and (not pkg_extract(writeBack_INSTRUCTION,14))); + process(zz_writeBack_DBusSimplePlugin_rspFormated_2,writeBack_DBusSimplePlugin_rspShifted) + begin + zz_writeBack_DBusSimplePlugin_rspFormated_3(31) <= zz_writeBack_DBusSimplePlugin_rspFormated_2; + zz_writeBack_DBusSimplePlugin_rspFormated_3(30) <= zz_writeBack_DBusSimplePlugin_rspFormated_2; + zz_writeBack_DBusSimplePlugin_rspFormated_3(29) <= zz_writeBack_DBusSimplePlugin_rspFormated_2; + zz_writeBack_DBusSimplePlugin_rspFormated_3(28) <= zz_writeBack_DBusSimplePlugin_rspFormated_2; + zz_writeBack_DBusSimplePlugin_rspFormated_3(27) <= zz_writeBack_DBusSimplePlugin_rspFormated_2; + zz_writeBack_DBusSimplePlugin_rspFormated_3(26) <= zz_writeBack_DBusSimplePlugin_rspFormated_2; + zz_writeBack_DBusSimplePlugin_rspFormated_3(25) <= zz_writeBack_DBusSimplePlugin_rspFormated_2; + zz_writeBack_DBusSimplePlugin_rspFormated_3(24) <= zz_writeBack_DBusSimplePlugin_rspFormated_2; + zz_writeBack_DBusSimplePlugin_rspFormated_3(23) <= zz_writeBack_DBusSimplePlugin_rspFormated_2; + zz_writeBack_DBusSimplePlugin_rspFormated_3(22) <= zz_writeBack_DBusSimplePlugin_rspFormated_2; + zz_writeBack_DBusSimplePlugin_rspFormated_3(21) <= zz_writeBack_DBusSimplePlugin_rspFormated_2; + zz_writeBack_DBusSimplePlugin_rspFormated_3(20) <= zz_writeBack_DBusSimplePlugin_rspFormated_2; + zz_writeBack_DBusSimplePlugin_rspFormated_3(19) <= zz_writeBack_DBusSimplePlugin_rspFormated_2; + zz_writeBack_DBusSimplePlugin_rspFormated_3(18) <= zz_writeBack_DBusSimplePlugin_rspFormated_2; + zz_writeBack_DBusSimplePlugin_rspFormated_3(17) <= zz_writeBack_DBusSimplePlugin_rspFormated_2; + zz_writeBack_DBusSimplePlugin_rspFormated_3(16) <= zz_writeBack_DBusSimplePlugin_rspFormated_2; + zz_writeBack_DBusSimplePlugin_rspFormated_3(15 downto 0) <= pkg_extract(writeBack_DBusSimplePlugin_rspShifted,15,0); + end process; + + process(switch_Misc_l210,zz_writeBack_DBusSimplePlugin_rspFormated_1,zz_writeBack_DBusSimplePlugin_rspFormated_3,writeBack_DBusSimplePlugin_rspShifted) + begin + case switch_Misc_l210 is + when "00" => + writeBack_DBusSimplePlugin_rspFormated <= zz_writeBack_DBusSimplePlugin_rspFormated_1; + when "01" => + writeBack_DBusSimplePlugin_rspFormated <= zz_writeBack_DBusSimplePlugin_rspFormated_3; + when others => + writeBack_DBusSimplePlugin_rspFormated <= writeBack_DBusSimplePlugin_rspShifted; + end case; + end process; + + when_DBusSimplePlugin_l558 <= (writeBack_arbitration_isValid and writeBack_MEMORY_ENABLE); + process(CsrPlugin_forceMachineWire) + begin + CsrPlugin_privilege <= pkg_unsigned("11"); + if CsrPlugin_forceMachineWire = '1' then + CsrPlugin_privilege <= pkg_unsigned("11"); + end if; + end process; + + CsrPlugin_misa_base <= pkg_unsigned("01"); + CsrPlugin_misa_extensions <= pkg_stdLogicVector("00000000000000000001000010"); + CsrPlugin_mtvec_mode <= pkg_stdLogicVector("00"); + CsrPlugin_mtvec_base <= pkg_unsigned("100000000000000000000000001000"); + zz_when_CsrPlugin_l965 <= (CsrPlugin_mip_MTIP and CsrPlugin_mie_MTIE); + zz_when_CsrPlugin_l965_1 <= (CsrPlugin_mip_MSIP and CsrPlugin_mie_MSIE); + zz_when_CsrPlugin_l965_2 <= (CsrPlugin_mip_MEIP and CsrPlugin_mie_MEIE); + when_CsrPlugin_l959 <= (CsrPlugin_mstatus_MIE or pkg_toStdLogic(CsrPlugin_privilege < pkg_unsigned("11"))); + when_CsrPlugin_l965 <= ((zz_when_CsrPlugin_l965 and pkg_toStdLogic(true)) and (not pkg_toStdLogic(false))); + when_CsrPlugin_l965_1 <= ((zz_when_CsrPlugin_l965_1 and pkg_toStdLogic(true)) and (not pkg_toStdLogic(false))); + when_CsrPlugin_l965_2 <= ((zz_when_CsrPlugin_l965_2 and pkg_toStdLogic(true)) and (not pkg_toStdLogic(false))); + CsrPlugin_exception <= pkg_toStdLogic(false); + CsrPlugin_lastStageWasWfi <= pkg_toStdLogic(false); + CsrPlugin_pipelineLiberator_active <= ((CsrPlugin_interrupt_valid and CsrPlugin_allowInterrupts) and decode_arbitration_isValid); + when_CsrPlugin_l993 <= (not execute_arbitration_isStuck); + when_CsrPlugin_l993_1 <= (not memory_arbitration_isStuck); + when_CsrPlugin_l993_2 <= (not writeBack_arbitration_isStuck); + when_CsrPlugin_l998 <= ((not CsrPlugin_pipelineLiberator_active) or decode_arbitration_removeIt); + process(CsrPlugin_pipelineLiberator_pcValids_2,CsrPlugin_hadException) + begin + CsrPlugin_pipelineLiberator_done <= CsrPlugin_pipelineLiberator_pcValids_2; + if CsrPlugin_hadException = '1' then + CsrPlugin_pipelineLiberator_done <= pkg_toStdLogic(false); + end if; + end process; + + CsrPlugin_interruptJump <= ((CsrPlugin_interrupt_valid and CsrPlugin_pipelineLiberator_done) and CsrPlugin_allowInterrupts); + CsrPlugin_targetPrivilege <= CsrPlugin_interrupt_targetPrivilege; + CsrPlugin_trapCause <= pkg_resize(CsrPlugin_interrupt_code,4); + process(CsrPlugin_targetPrivilege,CsrPlugin_mtvec_mode) + begin + CsrPlugin_xtvec_mode <= pkg_stdLogicVector("XX"); + case CsrPlugin_targetPrivilege is + when "11" => + CsrPlugin_xtvec_mode <= CsrPlugin_mtvec_mode; + when others => + end case; + end process; + + process(CsrPlugin_targetPrivilege,CsrPlugin_mtvec_base) + begin + CsrPlugin_xtvec_base <= pkg_unsigned("XXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"); + case CsrPlugin_targetPrivilege is + when "11" => + CsrPlugin_xtvec_base <= CsrPlugin_mtvec_base; + when others => + end case; + end process; + + when_CsrPlugin_l1032 <= (CsrPlugin_hadException or CsrPlugin_interruptJump); + when_CsrPlugin_l1077 <= (writeBack_arbitration_isValid and pkg_toStdLogic(writeBack_ENV_CTRL = EnvCtrlEnum_seq_XRET)); + switch_CsrPlugin_l1081 <= pkg_extract(writeBack_INSTRUCTION,29,28); + contextSwitching <= CsrPlugin_jumpInterface_valid; + when_CsrPlugin_l1129 <= pkg_toStdLogic(pkg_cat(pkg_toStdLogicVector((writeBack_arbitration_isValid and pkg_toStdLogic(writeBack_ENV_CTRL = EnvCtrlEnum_seq_XRET))),pkg_cat(pkg_toStdLogicVector((memory_arbitration_isValid and pkg_toStdLogic(memory_ENV_CTRL = EnvCtrlEnum_seq_XRET))),pkg_toStdLogicVector((execute_arbitration_isValid and pkg_toStdLogic(execute_ENV_CTRL = EnvCtrlEnum_seq_XRET))))) /= pkg_stdLogicVector("000")); + execute_CsrPlugin_blockedBySideEffects <= (pkg_toStdLogic(pkg_cat(pkg_toStdLogicVector(writeBack_arbitration_isValid),pkg_toStdLogicVector(memory_arbitration_isValid)) /= pkg_stdLogicVector("00")) or pkg_toStdLogic(false)); + process(execute_CsrPlugin_csr_768,execute_CsrPlugin_csr_836,execute_CsrPlugin_csr_772,execute_CsrPlugin_csr_834,execute_CSR_READ_OPCODE,CsrPlugin_csrMapping_allowCsrSignal,when_CsrPlugin_l1310,when_CsrPlugin_l1315) + begin + execute_CsrPlugin_illegalAccess <= pkg_toStdLogic(true); + if execute_CsrPlugin_csr_768 = '1' then + execute_CsrPlugin_illegalAccess <= pkg_toStdLogic(false); + end if; + if execute_CsrPlugin_csr_836 = '1' then + execute_CsrPlugin_illegalAccess <= pkg_toStdLogic(false); + end if; + if execute_CsrPlugin_csr_772 = '1' then + execute_CsrPlugin_illegalAccess <= pkg_toStdLogic(false); + end if; + if execute_CsrPlugin_csr_834 = '1' then + if execute_CSR_READ_OPCODE = '1' then + execute_CsrPlugin_illegalAccess <= pkg_toStdLogic(false); + end if; + end if; + if CsrPlugin_csrMapping_allowCsrSignal = '1' then + execute_CsrPlugin_illegalAccess <= pkg_toStdLogic(false); + end if; + if when_CsrPlugin_l1310 = '1' then + execute_CsrPlugin_illegalAccess <= pkg_toStdLogic(true); + end if; + if when_CsrPlugin_l1315 = '1' then + execute_CsrPlugin_illegalAccess <= pkg_toStdLogic(false); + end if; + end process; + + process(when_CsrPlugin_l1149,when_CsrPlugin_l1150) + begin + execute_CsrPlugin_illegalInstruction <= pkg_toStdLogic(false); + if when_CsrPlugin_l1149 = '1' then + if when_CsrPlugin_l1150 = '1' then + execute_CsrPlugin_illegalInstruction <= pkg_toStdLogic(true); + end if; + end if; + end process; + + when_CsrPlugin_l1149 <= (execute_arbitration_isValid and pkg_toStdLogic(execute_ENV_CTRL = EnvCtrlEnum_seq_XRET)); + when_CsrPlugin_l1150 <= pkg_toStdLogic(CsrPlugin_privilege < unsigned(pkg_extract(execute_INSTRUCTION,29,28))); + process(execute_arbitration_isValid,execute_IS_CSR,execute_CSR_WRITE_OPCODE,when_CsrPlugin_l1310) + begin + execute_CsrPlugin_writeInstruction <= ((execute_arbitration_isValid and execute_IS_CSR) and execute_CSR_WRITE_OPCODE); + if when_CsrPlugin_l1310 = '1' then + execute_CsrPlugin_writeInstruction <= pkg_toStdLogic(false); + end if; + end process; + + process(execute_arbitration_isValid,execute_IS_CSR,execute_CSR_READ_OPCODE,when_CsrPlugin_l1310) + begin + execute_CsrPlugin_readInstruction <= ((execute_arbitration_isValid and execute_IS_CSR) and execute_CSR_READ_OPCODE); + if when_CsrPlugin_l1310 = '1' then + execute_CsrPlugin_readInstruction <= pkg_toStdLogic(false); + end if; + end process; + + execute_CsrPlugin_writeEnable <= (execute_CsrPlugin_writeInstruction and (not execute_arbitration_isStuck)); + execute_CsrPlugin_readEnable <= (execute_CsrPlugin_readInstruction and (not execute_arbitration_isStuck)); + CsrPlugin_csrMapping_hazardFree <= (not execute_CsrPlugin_blockedBySideEffects); + execute_CsrPlugin_readToWriteData <= CsrPlugin_csrMapping_readDataSignal; + switch_Misc_l210_1 <= pkg_extract(execute_INSTRUCTION,13); + process(switch_Misc_l210_1,execute_SRC1,execute_INSTRUCTION,execute_CsrPlugin_readToWriteData) + begin + case switch_Misc_l210_1 is + when '0' => + zz_CsrPlugin_csrMapping_writeDataSignal <= execute_SRC1; + when others => + zz_CsrPlugin_csrMapping_writeDataSignal <= pkg_mux(pkg_extract(execute_INSTRUCTION,12),(execute_CsrPlugin_readToWriteData and pkg_not(execute_SRC1)),(execute_CsrPlugin_readToWriteData or execute_SRC1)); + end case; + end process; + + CsrPlugin_csrMapping_writeDataSignal <= zz_CsrPlugin_csrMapping_writeDataSignal; + when_CsrPlugin_l1189 <= (execute_arbitration_isValid and execute_IS_CSR); + when_CsrPlugin_l1193 <= (execute_arbitration_isValid and (execute_IS_CSR or pkg_toStdLogic(false))); + execute_CsrPlugin_csrAddress <= pkg_extract(execute_INSTRUCTION,31,20); + zz_decode_BRANCH_CTRL_3 <= pkg_toStdLogic((decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000100000001010000")) = pkg_stdLogicVector("00000000000000000100000001010000")); + zz_decode_BRANCH_CTRL_4 <= pkg_toStdLogic((decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000110000000000100")) = pkg_stdLogicVector("00000000000000000010000000000000")); + zz_decode_BRANCH_CTRL_5 <= pkg_toStdLogic((decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000000000000011000")) = pkg_stdLogicVector("00000000000000000000000000000000")); + zz_decode_BRANCH_CTRL_6 <= pkg_toStdLogic((decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000000000000000100")) = pkg_stdLogicVector("00000000000000000000000000000100")); + zz_decode_BRANCH_CTRL_7 <= pkg_toStdLogic((decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000000000001010000")) = pkg_stdLogicVector("00000000000000000000000000010000")); + zz_decode_BRANCH_CTRL_8 <= pkg_toStdLogic((decode_INSTRUCTION and pkg_stdLogicVector("00000000000000000000000001001000")) = pkg_stdLogicVector("00000000000000000000000001001000")); + zz_decode_BRANCH_CTRL_2 <= pkg_cat(pkg_toStdLogicVector(pkg_toStdLogic(pkg_toStdLogicVector(pkg_toStdLogic((decode_INSTRUCTION and zz_zz_decode_BRANCH_CTRL_2) = pkg_stdLogicVector("00000000000000000000000001010000"))) /= pkg_stdLogicVector("0"))),pkg_cat(pkg_toStdLogicVector(pkg_toStdLogic(pkg_cat(pkg_toStdLogicVector(zz_decode_BRANCH_CTRL_8),pkg_toStdLogicVector(zz_zz_decode_BRANCH_CTRL_2_1)) /= pkg_stdLogicVector("00"))),pkg_cat(pkg_toStdLogicVector(pkg_toStdLogic(pkg_toStdLogicVector(zz_zz_decode_BRANCH_CTRL_2_2) /= pkg_stdLogicVector("0"))),pkg_cat(pkg_toStdLogicVector(pkg_toStdLogic(zz_zz_decode_BRANCH_CTRL_2_3 /= zz_zz_decode_BRANCH_CTRL_2_4)),pkg_cat(pkg_toStdLogicVector(zz_zz_decode_BRANCH_CTRL_2_5),pkg_cat(zz_zz_decode_BRANCH_CTRL_2_10,zz_zz_decode_BRANCH_CTRL_2_13)))))); + zz_decode_SRC1_CTRL_2 <= pkg_extract(zz_decode_BRANCH_CTRL_2,1,0); + zz_decode_SRC1_CTRL_1 <= zz_decode_SRC1_CTRL_2; + zz_decode_SRC2_CTRL_2 <= pkg_extract(zz_decode_BRANCH_CTRL_2,6,5); + zz_decode_SRC2_CTRL_1 <= zz_decode_SRC2_CTRL_2; + zz_decode_ENV_CTRL_2 <= pkg_extract(zz_decode_BRANCH_CTRL_2,14,14); + zz_decode_ENV_CTRL_1 <= zz_decode_ENV_CTRL_2; + zz_decode_ALU_CTRL_2 <= pkg_extract(zz_decode_BRANCH_CTRL_2,16,15); + zz_decode_ALU_CTRL_1 <= zz_decode_ALU_CTRL_2; + zz_decode_ALU_BITWISE_CTRL_2 <= pkg_extract(zz_decode_BRANCH_CTRL_2,19,18); + zz_decode_ALU_BITWISE_CTRL_1 <= zz_decode_ALU_BITWISE_CTRL_2; + zz_decode_SHIFT_CTRL_2 <= pkg_extract(zz_decode_BRANCH_CTRL_2,22,21); + zz_decode_SHIFT_CTRL_1 <= zz_decode_SHIFT_CTRL_2; + zz_decode_BRANCH_CTRL_9 <= pkg_extract(zz_decode_BRANCH_CTRL_2,24,23); + zz_decode_BRANCH_CTRL_1 <= zz_decode_BRANCH_CTRL_9; + when_RegFilePlugin_l63 <= pkg_toStdLogic(pkg_extract(decode_INSTRUCTION,11,7) = pkg_stdLogicVector("00000")); + decode_RegFilePlugin_regFileReadAddress1 <= unsigned(pkg_extract(decode_INSTRUCTION_ANTICIPATED,19,15)); + decode_RegFilePlugin_regFileReadAddress2 <= unsigned(pkg_extract(decode_INSTRUCTION_ANTICIPATED,24,20)); + decode_RegFilePlugin_rs1Data <= zz_RegFilePlugin_regFile_port0; + decode_RegFilePlugin_rs2Data <= zz_RegFilePlugin_regFile_port0_1; + process(zz_lastStageRegFileWrite_valid,writeBack_arbitration_isFiring,zz_2) + begin + lastStageRegFileWrite_valid <= (zz_lastStageRegFileWrite_valid and writeBack_arbitration_isFiring); + if zz_2 = '1' then + lastStageRegFileWrite_valid <= pkg_toStdLogic(true); + end if; + end process; + + process(zz_lastStageRegFileWrite_payload_address,zz_2) + begin + lastStageRegFileWrite_payload_address <= unsigned(pkg_extract(zz_lastStageRegFileWrite_payload_address,11,7)); + if zz_2 = '1' then + lastStageRegFileWrite_payload_address <= pkg_unsigned("00000"); + end if; + end process; + + process(zz_lastStageRegFileWrite_payload_data,zz_2) + begin + lastStageRegFileWrite_payload_data <= zz_lastStageRegFileWrite_payload_data; + if zz_2 = '1' then + lastStageRegFileWrite_payload_data <= pkg_stdLogicVector("00000000000000000000000000000000"); + end if; + end process; + + process(execute_ALU_BITWISE_CTRL,execute_SRC1,execute_SRC2) + begin + case execute_ALU_BITWISE_CTRL is + when AluBitwiseCtrlEnum_seq_AND_1 => + execute_IntAluPlugin_bitwise <= (execute_SRC1 and execute_SRC2); + when AluBitwiseCtrlEnum_seq_OR_1 => + execute_IntAluPlugin_bitwise <= (execute_SRC1 or execute_SRC2); + when others => + execute_IntAluPlugin_bitwise <= (execute_SRC1 xor execute_SRC2); + end case; + end process; + + process(execute_ALU_CTRL,execute_IntAluPlugin_bitwise,execute_SRC_LESS,execute_SRC_ADD_SUB) + begin + case execute_ALU_CTRL is + when AluCtrlEnum_seq_BITWISE => + zz_execute_REGFILE_WRITE_DATA <= execute_IntAluPlugin_bitwise; + when AluCtrlEnum_seq_SLT_SLTU => + zz_execute_REGFILE_WRITE_DATA <= pkg_resize(pkg_toStdLogicVector(execute_SRC_LESS),32); + when others => + zz_execute_REGFILE_WRITE_DATA <= execute_SRC_ADD_SUB; + end case; + end process; + + process(decode_SRC1_CTRL,zz_decode_SRC1,decode_INSTRUCTION) + begin + case decode_SRC1_CTRL is + when Src1CtrlEnum_seq_RS => + zz_decode_SRC1_1 <= zz_decode_SRC1; + when Src1CtrlEnum_seq_PC_INCREMENT => + zz_decode_SRC1_1 <= pkg_resize(pkg_stdLogicVector("100"),32); + when Src1CtrlEnum_seq_IMU => + zz_decode_SRC1_1 <= pkg_cat(pkg_extract(decode_INSTRUCTION,31,12),std_logic_vector(pkg_unsigned("000000000000"))); + when others => + zz_decode_SRC1_1 <= pkg_resize(pkg_extract(decode_INSTRUCTION,19,15),32); + end case; + end process; + + zz_decode_SRC2_2 <= pkg_extract(decode_INSTRUCTION,31); + process(zz_decode_SRC2_2) + begin + zz_decode_SRC2_3(19) <= zz_decode_SRC2_2; + zz_decode_SRC2_3(18) <= zz_decode_SRC2_2; + zz_decode_SRC2_3(17) <= zz_decode_SRC2_2; + zz_decode_SRC2_3(16) <= zz_decode_SRC2_2; + zz_decode_SRC2_3(15) <= zz_decode_SRC2_2; + zz_decode_SRC2_3(14) <= zz_decode_SRC2_2; + zz_decode_SRC2_3(13) <= zz_decode_SRC2_2; + zz_decode_SRC2_3(12) <= zz_decode_SRC2_2; + zz_decode_SRC2_3(11) <= zz_decode_SRC2_2; + zz_decode_SRC2_3(10) <= zz_decode_SRC2_2; + zz_decode_SRC2_3(9) <= zz_decode_SRC2_2; + zz_decode_SRC2_3(8) <= zz_decode_SRC2_2; + zz_decode_SRC2_3(7) <= zz_decode_SRC2_2; + zz_decode_SRC2_3(6) <= zz_decode_SRC2_2; + zz_decode_SRC2_3(5) <= zz_decode_SRC2_2; + zz_decode_SRC2_3(4) <= zz_decode_SRC2_2; + zz_decode_SRC2_3(3) <= zz_decode_SRC2_2; + zz_decode_SRC2_3(2) <= zz_decode_SRC2_2; + zz_decode_SRC2_3(1) <= zz_decode_SRC2_2; + zz_decode_SRC2_3(0) <= zz_decode_SRC2_2; + end process; + + zz_decode_SRC2_4 <= pkg_extract(pkg_cat(pkg_extract(decode_INSTRUCTION,31,25),pkg_extract(decode_INSTRUCTION,11,7)),11); + process(zz_decode_SRC2_4) + begin + zz_decode_SRC2_5(19) <= zz_decode_SRC2_4; + zz_decode_SRC2_5(18) <= zz_decode_SRC2_4; + zz_decode_SRC2_5(17) <= zz_decode_SRC2_4; + zz_decode_SRC2_5(16) <= zz_decode_SRC2_4; + zz_decode_SRC2_5(15) <= zz_decode_SRC2_4; + zz_decode_SRC2_5(14) <= zz_decode_SRC2_4; + zz_decode_SRC2_5(13) <= zz_decode_SRC2_4; + zz_decode_SRC2_5(12) <= zz_decode_SRC2_4; + zz_decode_SRC2_5(11) <= zz_decode_SRC2_4; + zz_decode_SRC2_5(10) <= zz_decode_SRC2_4; + zz_decode_SRC2_5(9) <= zz_decode_SRC2_4; + zz_decode_SRC2_5(8) <= zz_decode_SRC2_4; + zz_decode_SRC2_5(7) <= zz_decode_SRC2_4; + zz_decode_SRC2_5(6) <= zz_decode_SRC2_4; + zz_decode_SRC2_5(5) <= zz_decode_SRC2_4; + zz_decode_SRC2_5(4) <= zz_decode_SRC2_4; + zz_decode_SRC2_5(3) <= zz_decode_SRC2_4; + zz_decode_SRC2_5(2) <= zz_decode_SRC2_4; + zz_decode_SRC2_5(1) <= zz_decode_SRC2_4; + zz_decode_SRC2_5(0) <= zz_decode_SRC2_4; + end process; + + process(decode_SRC2_CTRL,zz_decode_SRC2_1,zz_decode_SRC2_3,decode_INSTRUCTION,zz_decode_SRC2_5,zz_decode_SRC2) + begin + case decode_SRC2_CTRL is + when Src2CtrlEnum_seq_RS => + zz_decode_SRC2_6 <= zz_decode_SRC2_1; + when Src2CtrlEnum_seq_IMI => + zz_decode_SRC2_6 <= pkg_cat(zz_decode_SRC2_3,pkg_extract(decode_INSTRUCTION,31,20)); + when Src2CtrlEnum_seq_IMS => + zz_decode_SRC2_6 <= pkg_cat(zz_decode_SRC2_5,pkg_cat(pkg_extract(decode_INSTRUCTION,31,25),pkg_extract(decode_INSTRUCTION,11,7))); + when others => + zz_decode_SRC2_6 <= std_logic_vector(zz_decode_SRC2); + end case; + end process; + + process(execute_SRC1,execute_SRC_USE_SUB_LESS,execute_SRC2,execute_SRC2_FORCE_ZERO) + begin + execute_SrcPlugin_addSub <= std_logic_vector(((signed(execute_SRC1) + signed(pkg_mux(execute_SRC_USE_SUB_LESS,pkg_not(execute_SRC2),execute_SRC2))) + pkg_mux(execute_SRC_USE_SUB_LESS,pkg_signed("00000000000000000000000000000001"),pkg_signed("00000000000000000000000000000000")))); + if execute_SRC2_FORCE_ZERO = '1' then + execute_SrcPlugin_addSub <= execute_SRC1; + end if; + end process; + + execute_SrcPlugin_less <= pkg_mux(pkg_toStdLogic(pkg_extract(execute_SRC1,31) = pkg_extract(execute_SRC2,31)),pkg_extract(execute_SrcPlugin_addSub,31),pkg_mux(execute_SRC_LESS_UNSIGNED,pkg_extract(execute_SRC2,31),pkg_extract(execute_SRC1,31))); + execute_LightShifterPlugin_isShift <= pkg_toStdLogic(execute_SHIFT_CTRL /= ShiftCtrlEnum_seq_DISABLE_1); + execute_LightShifterPlugin_amplitude <= pkg_mux(execute_LightShifterPlugin_isActive,execute_LightShifterPlugin_amplitudeReg,unsigned(pkg_extract(execute_SRC2,4,0))); + execute_LightShifterPlugin_shiftInput <= pkg_mux(execute_LightShifterPlugin_isActive,memory_REGFILE_WRITE_DATA,execute_SRC1); + execute_LightShifterPlugin_done <= pkg_toStdLogic(pkg_extract(execute_LightShifterPlugin_amplitude,4,1) = pkg_unsigned("0000")); + when_ShiftPlugins_l169 <= ((execute_arbitration_isValid and execute_LightShifterPlugin_isShift) and pkg_toStdLogic(pkg_extract(execute_SRC2,4,0) /= pkg_stdLogicVector("00000"))); + process(execute_SHIFT_CTRL,execute_LightShifterPlugin_shiftInput) + begin + case execute_SHIFT_CTRL is + when ShiftCtrlEnum_seq_SLL_1 => + zz_execute_to_memory_REGFILE_WRITE_DATA_1 <= std_logic_vector(shift_left(unsigned(execute_LightShifterPlugin_shiftInput),1)); + when others => + zz_execute_to_memory_REGFILE_WRITE_DATA_1 <= std_logic_vector(pkg_shiftRight(signed(pkg_cat(pkg_toStdLogicVector((pkg_toStdLogic(execute_SHIFT_CTRL = ShiftCtrlEnum_seq_SRA_1) and pkg_extract(execute_LightShifterPlugin_shiftInput,31))),execute_LightShifterPlugin_shiftInput)),1)); + end case; + end process; + + when_ShiftPlugins_l175 <= (not execute_arbitration_isStuckByOthers); + when_ShiftPlugins_l184 <= (not execute_LightShifterPlugin_done); + process(HazardSimplePlugin_writeBackBuffer_valid,HazardSimplePlugin_addr0Match,when_HazardSimplePlugin_l57,when_HazardSimplePlugin_l58,when_HazardSimplePlugin_l59,when_HazardSimplePlugin_l57_1,when_HazardSimplePlugin_l58_1,when_HazardSimplePlugin_l59_1,when_HazardSimplePlugin_l57_2,when_HazardSimplePlugin_l58_2,when_HazardSimplePlugin_l59_2,when_HazardSimplePlugin_l105) + begin + HazardSimplePlugin_src0Hazard <= pkg_toStdLogic(false); + if HazardSimplePlugin_writeBackBuffer_valid = '1' then + if HazardSimplePlugin_addr0Match = '1' then + HazardSimplePlugin_src0Hazard <= pkg_toStdLogic(true); + end if; + end if; + if when_HazardSimplePlugin_l57 = '1' then + if when_HazardSimplePlugin_l58 = '1' then + if when_HazardSimplePlugin_l59 = '1' then + HazardSimplePlugin_src0Hazard <= pkg_toStdLogic(true); + end if; + end if; + end if; + if when_HazardSimplePlugin_l57_1 = '1' then + if when_HazardSimplePlugin_l58_1 = '1' then + if when_HazardSimplePlugin_l59_1 = '1' then + HazardSimplePlugin_src0Hazard <= pkg_toStdLogic(true); + end if; + end if; + end if; + if when_HazardSimplePlugin_l57_2 = '1' then + if when_HazardSimplePlugin_l58_2 = '1' then + if when_HazardSimplePlugin_l59_2 = '1' then + HazardSimplePlugin_src0Hazard <= pkg_toStdLogic(true); + end if; + end if; + end if; + if when_HazardSimplePlugin_l105 = '1' then + HazardSimplePlugin_src0Hazard <= pkg_toStdLogic(false); + end if; + end process; + + process(HazardSimplePlugin_writeBackBuffer_valid,HazardSimplePlugin_addr1Match,when_HazardSimplePlugin_l57,when_HazardSimplePlugin_l58,when_HazardSimplePlugin_l62,when_HazardSimplePlugin_l57_1,when_HazardSimplePlugin_l58_1,when_HazardSimplePlugin_l62_1,when_HazardSimplePlugin_l57_2,when_HazardSimplePlugin_l58_2,when_HazardSimplePlugin_l62_2,when_HazardSimplePlugin_l108) + begin + HazardSimplePlugin_src1Hazard <= pkg_toStdLogic(false); + if HazardSimplePlugin_writeBackBuffer_valid = '1' then + if HazardSimplePlugin_addr1Match = '1' then + HazardSimplePlugin_src1Hazard <= pkg_toStdLogic(true); + end if; + end if; + if when_HazardSimplePlugin_l57 = '1' then + if when_HazardSimplePlugin_l58 = '1' then + if when_HazardSimplePlugin_l62 = '1' then + HazardSimplePlugin_src1Hazard <= pkg_toStdLogic(true); + end if; + end if; + end if; + if when_HazardSimplePlugin_l57_1 = '1' then + if when_HazardSimplePlugin_l58_1 = '1' then + if when_HazardSimplePlugin_l62_1 = '1' then + HazardSimplePlugin_src1Hazard <= pkg_toStdLogic(true); + end if; + end if; + end if; + if when_HazardSimplePlugin_l57_2 = '1' then + if when_HazardSimplePlugin_l58_2 = '1' then + if when_HazardSimplePlugin_l62_2 = '1' then + HazardSimplePlugin_src1Hazard <= pkg_toStdLogic(true); + end if; + end if; + end if; + if when_HazardSimplePlugin_l108 = '1' then + HazardSimplePlugin_src1Hazard <= pkg_toStdLogic(false); + end if; + end process; + + HazardSimplePlugin_writeBackWrites_valid <= (zz_lastStageRegFileWrite_valid and writeBack_arbitration_isFiring); + HazardSimplePlugin_writeBackWrites_payload_address <= pkg_extract(zz_lastStageRegFileWrite_payload_address,11,7); + HazardSimplePlugin_writeBackWrites_payload_data <= zz_lastStageRegFileWrite_payload_data; + HazardSimplePlugin_addr0Match <= pkg_toStdLogic(HazardSimplePlugin_writeBackBuffer_payload_address = pkg_extract(decode_INSTRUCTION,19,15)); + HazardSimplePlugin_addr1Match <= pkg_toStdLogic(HazardSimplePlugin_writeBackBuffer_payload_address = pkg_extract(decode_INSTRUCTION,24,20)); + when_HazardSimplePlugin_l59 <= pkg_toStdLogic(pkg_extract(writeBack_INSTRUCTION,11,7) = pkg_extract(decode_INSTRUCTION,19,15)); + when_HazardSimplePlugin_l62 <= pkg_toStdLogic(pkg_extract(writeBack_INSTRUCTION,11,7) = pkg_extract(decode_INSTRUCTION,24,20)); + when_HazardSimplePlugin_l57 <= (writeBack_arbitration_isValid and writeBack_REGFILE_WRITE_VALID); + when_HazardSimplePlugin_l58 <= (pkg_toStdLogic(true) or (not pkg_toStdLogic(true))); + when_HazardSimplePlugin_l59_1 <= pkg_toStdLogic(pkg_extract(memory_INSTRUCTION,11,7) = pkg_extract(decode_INSTRUCTION,19,15)); + when_HazardSimplePlugin_l62_1 <= pkg_toStdLogic(pkg_extract(memory_INSTRUCTION,11,7) = pkg_extract(decode_INSTRUCTION,24,20)); + when_HazardSimplePlugin_l57_1 <= (memory_arbitration_isValid and memory_REGFILE_WRITE_VALID); + when_HazardSimplePlugin_l58_1 <= (pkg_toStdLogic(true) or (not memory_BYPASSABLE_MEMORY_STAGE)); + when_HazardSimplePlugin_l59_2 <= pkg_toStdLogic(pkg_extract(execute_INSTRUCTION,11,7) = pkg_extract(decode_INSTRUCTION,19,15)); + when_HazardSimplePlugin_l62_2 <= pkg_toStdLogic(pkg_extract(execute_INSTRUCTION,11,7) = pkg_extract(decode_INSTRUCTION,24,20)); + when_HazardSimplePlugin_l57_2 <= (execute_arbitration_isValid and execute_REGFILE_WRITE_VALID); + when_HazardSimplePlugin_l58_2 <= (pkg_toStdLogic(true) or (not execute_BYPASSABLE_EXECUTE_STAGE)); + when_HazardSimplePlugin_l105 <= (not decode_RS1_USE); + when_HazardSimplePlugin_l108 <= (not decode_RS2_USE); + when_HazardSimplePlugin_l113 <= (decode_arbitration_isValid and (HazardSimplePlugin_src0Hazard or HazardSimplePlugin_src1Hazard)); + execute_BranchPlugin_eq <= pkg_toStdLogic(execute_SRC1 = execute_SRC2); + switch_Misc_l210_2 <= pkg_extract(execute_INSTRUCTION,14,12); + process(switch_Misc_l210_2,execute_BranchPlugin_eq,execute_SRC_LESS) + begin + if (switch_Misc_l210_2 = pkg_stdLogicVector("000")) then + zz_execute_BRANCH_DO <= execute_BranchPlugin_eq; + elsif (switch_Misc_l210_2 = pkg_stdLogicVector("001")) then + zz_execute_BRANCH_DO <= (not execute_BranchPlugin_eq); + elsif (pkg_toStdLogic((switch_Misc_l210_2 and pkg_stdLogicVector("101")) = pkg_stdLogicVector("101")) = '1') then + zz_execute_BRANCH_DO <= (not execute_SRC_LESS); + else + zz_execute_BRANCH_DO <= execute_SRC_LESS; + end if; + end process; + + process(execute_BRANCH_CTRL,zz_execute_BRANCH_DO) + begin + case execute_BRANCH_CTRL is + when BranchCtrlEnum_seq_INC => + zz_execute_BRANCH_DO_1 <= pkg_toStdLogic(false); + when BranchCtrlEnum_seq_JAL => + zz_execute_BRANCH_DO_1 <= pkg_toStdLogic(true); + when BranchCtrlEnum_seq_JALR => + zz_execute_BRANCH_DO_1 <= pkg_toStdLogic(true); + when others => + zz_execute_BRANCH_DO_1 <= zz_execute_BRANCH_DO; + end case; + end process; + + execute_BranchPlugin_branch_src1 <= pkg_mux(pkg_toStdLogic(execute_BRANCH_CTRL = BranchCtrlEnum_seq_JALR),unsigned(execute_RS1),execute_PC); + zz_execute_BranchPlugin_branch_src2 <= pkg_extract(pkg_cat(pkg_cat(pkg_cat(pkg_toStdLogicVector(pkg_extract(execute_INSTRUCTION,31)),pkg_extract(execute_INSTRUCTION,19,12)),pkg_toStdLogicVector(pkg_extract(execute_INSTRUCTION,20))),pkg_extract(execute_INSTRUCTION,30,21)),19); + process(zz_execute_BranchPlugin_branch_src2) + begin + zz_execute_BranchPlugin_branch_src2_1(10) <= zz_execute_BranchPlugin_branch_src2; + zz_execute_BranchPlugin_branch_src2_1(9) <= zz_execute_BranchPlugin_branch_src2; + zz_execute_BranchPlugin_branch_src2_1(8) <= zz_execute_BranchPlugin_branch_src2; + zz_execute_BranchPlugin_branch_src2_1(7) <= zz_execute_BranchPlugin_branch_src2; + zz_execute_BranchPlugin_branch_src2_1(6) <= zz_execute_BranchPlugin_branch_src2; + zz_execute_BranchPlugin_branch_src2_1(5) <= zz_execute_BranchPlugin_branch_src2; + zz_execute_BranchPlugin_branch_src2_1(4) <= zz_execute_BranchPlugin_branch_src2; + zz_execute_BranchPlugin_branch_src2_1(3) <= zz_execute_BranchPlugin_branch_src2; + zz_execute_BranchPlugin_branch_src2_1(2) <= zz_execute_BranchPlugin_branch_src2; + zz_execute_BranchPlugin_branch_src2_1(1) <= zz_execute_BranchPlugin_branch_src2; + zz_execute_BranchPlugin_branch_src2_1(0) <= zz_execute_BranchPlugin_branch_src2; + end process; + + zz_execute_BranchPlugin_branch_src2_2 <= pkg_extract(execute_INSTRUCTION,31); + process(zz_execute_BranchPlugin_branch_src2_2) + begin + zz_execute_BranchPlugin_branch_src2_3(19) <= zz_execute_BranchPlugin_branch_src2_2; + zz_execute_BranchPlugin_branch_src2_3(18) <= zz_execute_BranchPlugin_branch_src2_2; + zz_execute_BranchPlugin_branch_src2_3(17) <= zz_execute_BranchPlugin_branch_src2_2; + zz_execute_BranchPlugin_branch_src2_3(16) <= zz_execute_BranchPlugin_branch_src2_2; + zz_execute_BranchPlugin_branch_src2_3(15) <= zz_execute_BranchPlugin_branch_src2_2; + zz_execute_BranchPlugin_branch_src2_3(14) <= zz_execute_BranchPlugin_branch_src2_2; + zz_execute_BranchPlugin_branch_src2_3(13) <= zz_execute_BranchPlugin_branch_src2_2; + zz_execute_BranchPlugin_branch_src2_3(12) <= zz_execute_BranchPlugin_branch_src2_2; + zz_execute_BranchPlugin_branch_src2_3(11) <= zz_execute_BranchPlugin_branch_src2_2; + zz_execute_BranchPlugin_branch_src2_3(10) <= zz_execute_BranchPlugin_branch_src2_2; + zz_execute_BranchPlugin_branch_src2_3(9) <= zz_execute_BranchPlugin_branch_src2_2; + zz_execute_BranchPlugin_branch_src2_3(8) <= zz_execute_BranchPlugin_branch_src2_2; + zz_execute_BranchPlugin_branch_src2_3(7) <= zz_execute_BranchPlugin_branch_src2_2; + zz_execute_BranchPlugin_branch_src2_3(6) <= zz_execute_BranchPlugin_branch_src2_2; + zz_execute_BranchPlugin_branch_src2_3(5) <= zz_execute_BranchPlugin_branch_src2_2; + zz_execute_BranchPlugin_branch_src2_3(4) <= zz_execute_BranchPlugin_branch_src2_2; + zz_execute_BranchPlugin_branch_src2_3(3) <= zz_execute_BranchPlugin_branch_src2_2; + zz_execute_BranchPlugin_branch_src2_3(2) <= zz_execute_BranchPlugin_branch_src2_2; + zz_execute_BranchPlugin_branch_src2_3(1) <= zz_execute_BranchPlugin_branch_src2_2; + zz_execute_BranchPlugin_branch_src2_3(0) <= zz_execute_BranchPlugin_branch_src2_2; + end process; + + zz_execute_BranchPlugin_branch_src2_4 <= pkg_extract(pkg_cat(pkg_cat(pkg_cat(pkg_toStdLogicVector(pkg_extract(execute_INSTRUCTION,31)),pkg_toStdLogicVector(pkg_extract(execute_INSTRUCTION,7))),pkg_extract(execute_INSTRUCTION,30,25)),pkg_extract(execute_INSTRUCTION,11,8)),11); + process(zz_execute_BranchPlugin_branch_src2_4) + begin + zz_execute_BranchPlugin_branch_src2_5(18) <= zz_execute_BranchPlugin_branch_src2_4; + zz_execute_BranchPlugin_branch_src2_5(17) <= zz_execute_BranchPlugin_branch_src2_4; + zz_execute_BranchPlugin_branch_src2_5(16) <= zz_execute_BranchPlugin_branch_src2_4; + zz_execute_BranchPlugin_branch_src2_5(15) <= zz_execute_BranchPlugin_branch_src2_4; + zz_execute_BranchPlugin_branch_src2_5(14) <= zz_execute_BranchPlugin_branch_src2_4; + zz_execute_BranchPlugin_branch_src2_5(13) <= zz_execute_BranchPlugin_branch_src2_4; + zz_execute_BranchPlugin_branch_src2_5(12) <= zz_execute_BranchPlugin_branch_src2_4; + zz_execute_BranchPlugin_branch_src2_5(11) <= zz_execute_BranchPlugin_branch_src2_4; + zz_execute_BranchPlugin_branch_src2_5(10) <= zz_execute_BranchPlugin_branch_src2_4; + zz_execute_BranchPlugin_branch_src2_5(9) <= zz_execute_BranchPlugin_branch_src2_4; + zz_execute_BranchPlugin_branch_src2_5(8) <= zz_execute_BranchPlugin_branch_src2_4; + zz_execute_BranchPlugin_branch_src2_5(7) <= zz_execute_BranchPlugin_branch_src2_4; + zz_execute_BranchPlugin_branch_src2_5(6) <= zz_execute_BranchPlugin_branch_src2_4; + zz_execute_BranchPlugin_branch_src2_5(5) <= zz_execute_BranchPlugin_branch_src2_4; + zz_execute_BranchPlugin_branch_src2_5(4) <= zz_execute_BranchPlugin_branch_src2_4; + zz_execute_BranchPlugin_branch_src2_5(3) <= zz_execute_BranchPlugin_branch_src2_4; + zz_execute_BranchPlugin_branch_src2_5(2) <= zz_execute_BranchPlugin_branch_src2_4; + zz_execute_BranchPlugin_branch_src2_5(1) <= zz_execute_BranchPlugin_branch_src2_4; + zz_execute_BranchPlugin_branch_src2_5(0) <= zz_execute_BranchPlugin_branch_src2_4; + end process; + + process(execute_BRANCH_CTRL,zz_execute_BranchPlugin_branch_src2_1,execute_INSTRUCTION,zz_execute_BranchPlugin_branch_src2_3,zz_execute_BranchPlugin_branch_src2_5) + begin + case execute_BRANCH_CTRL is + when BranchCtrlEnum_seq_JAL => + zz_execute_BranchPlugin_branch_src2_6 <= pkg_cat(pkg_cat(zz_execute_BranchPlugin_branch_src2_1,pkg_cat(pkg_cat(pkg_cat(pkg_toStdLogicVector(pkg_extract(execute_INSTRUCTION,31)),pkg_extract(execute_INSTRUCTION,19,12)),pkg_toStdLogicVector(pkg_extract(execute_INSTRUCTION,20))),pkg_extract(execute_INSTRUCTION,30,21))),pkg_toStdLogicVector(pkg_toStdLogic(false))); + when BranchCtrlEnum_seq_JALR => + zz_execute_BranchPlugin_branch_src2_6 <= pkg_cat(zz_execute_BranchPlugin_branch_src2_3,pkg_extract(execute_INSTRUCTION,31,20)); + when others => + zz_execute_BranchPlugin_branch_src2_6 <= pkg_cat(pkg_cat(zz_execute_BranchPlugin_branch_src2_5,pkg_cat(pkg_cat(pkg_cat(pkg_toStdLogicVector(pkg_extract(execute_INSTRUCTION,31)),pkg_toStdLogicVector(pkg_extract(execute_INSTRUCTION,7))),pkg_extract(execute_INSTRUCTION,30,25)),pkg_extract(execute_INSTRUCTION,11,8))),pkg_toStdLogicVector(pkg_toStdLogic(false))); + end case; + end process; + + execute_BranchPlugin_branch_src2 <= unsigned(zz_execute_BranchPlugin_branch_src2_6); + execute_BranchPlugin_branchAdder <= (execute_BranchPlugin_branch_src1 + execute_BranchPlugin_branch_src2); + BranchPlugin_jumpInterface_valid <= ((memory_arbitration_isValid and memory_BRANCH_DO) and (not pkg_toStdLogic(false))); + BranchPlugin_jumpInterface_payload <= memory_BRANCH_CALC; + when_DebugPlugin_l225 <= (DebugPlugin_haltIt and (not DebugPlugin_isPipBusy)); + DebugPlugin_allowEBreak <= (DebugPlugin_debugUsed and (not DebugPlugin_disableEbreak)); + process(debug_bus_cmd_valid,switch_DebugPlugin_l267,debug_bus_cmd_payload_wr,IBusSimplePlugin_injectionPort_ready) + begin + debug_bus_cmd_ready_read_buffer <= pkg_toStdLogic(true); + if debug_bus_cmd_valid = '1' then + case switch_DebugPlugin_l267 is + when "000001" => + if debug_bus_cmd_payload_wr = '1' then + debug_bus_cmd_ready_read_buffer <= IBusSimplePlugin_injectionPort_ready; + end if; + when others => + end case; + end if; + end process; + + process(DebugPlugin_busReadDataReg,when_DebugPlugin_l244,DebugPlugin_resetIt,DebugPlugin_haltIt,DebugPlugin_isPipBusy,DebugPlugin_haltedByBreak,DebugPlugin_stepIt) + begin + debug_bus_rsp_data <= DebugPlugin_busReadDataReg; + if when_DebugPlugin_l244 = '1' then + debug_bus_rsp_data(0) <= DebugPlugin_resetIt; + debug_bus_rsp_data(1) <= DebugPlugin_haltIt; + debug_bus_rsp_data(2) <= DebugPlugin_isPipBusy; + debug_bus_rsp_data(3) <= DebugPlugin_haltedByBreak; + debug_bus_rsp_data(4) <= DebugPlugin_stepIt; + end if; + end process; + + when_DebugPlugin_l244 <= (not zz_when_DebugPlugin_l244); + process(debug_bus_cmd_valid,switch_DebugPlugin_l267,debug_bus_cmd_payload_wr) + begin + IBusSimplePlugin_injectionPort_valid <= pkg_toStdLogic(false); + if debug_bus_cmd_valid = '1' then + case switch_DebugPlugin_l267 is + when "000001" => + if debug_bus_cmd_payload_wr = '1' then + IBusSimplePlugin_injectionPort_valid <= pkg_toStdLogic(true); + end if; + when others => + end case; + end if; + end process; + + IBusSimplePlugin_injectionPort_payload <= debug_bus_cmd_payload_data; + switch_DebugPlugin_l267 <= pkg_extract(debug_bus_cmd_payload_address,7,2); + when_DebugPlugin_l271 <= pkg_extract(debug_bus_cmd_payload_data,16); + when_DebugPlugin_l271_1 <= pkg_extract(debug_bus_cmd_payload_data,24); + when_DebugPlugin_l272 <= pkg_extract(debug_bus_cmd_payload_data,17); + when_DebugPlugin_l272_1 <= pkg_extract(debug_bus_cmd_payload_data,25); + when_DebugPlugin_l273 <= pkg_extract(debug_bus_cmd_payload_data,25); + when_DebugPlugin_l274 <= pkg_extract(debug_bus_cmd_payload_data,25); + when_DebugPlugin_l275 <= pkg_extract(debug_bus_cmd_payload_data,18); + when_DebugPlugin_l275_1 <= pkg_extract(debug_bus_cmd_payload_data,26); + when_DebugPlugin_l295 <= (execute_arbitration_isValid and execute_DO_EBREAK); + when_DebugPlugin_l298 <= pkg_toStdLogic(pkg_toStdLogic(pkg_cat(pkg_toStdLogicVector(writeBack_arbitration_isValid),pkg_toStdLogicVector(memory_arbitration_isValid)) /= pkg_stdLogicVector("00")) = pkg_toStdLogic(false)); + when_DebugPlugin_l311 <= (DebugPlugin_stepIt and IBusSimplePlugin_incomingInstruction); + debug_resetOut <= DebugPlugin_resetIt_regNext; + when_DebugPlugin_l331 <= (DebugPlugin_haltIt or DebugPlugin_stepIt); + when_Pipeline_l124 <= (not execute_arbitration_isStuck); + when_Pipeline_l124_1 <= (not memory_arbitration_isStuck); + when_Pipeline_l124_2 <= (not writeBack_arbitration_isStuck); + when_Pipeline_l124_3 <= (not execute_arbitration_isStuck); + when_Pipeline_l124_4 <= (not memory_arbitration_isStuck); + when_Pipeline_l124_5 <= (not writeBack_arbitration_isStuck); + when_Pipeline_l124_6 <= (not execute_arbitration_isStuck); + when_Pipeline_l124_7 <= (not memory_arbitration_isStuck); + when_Pipeline_l124_8 <= (not writeBack_arbitration_isStuck); + when_Pipeline_l124_9 <= (not execute_arbitration_isStuck); + when_Pipeline_l124_10 <= (not execute_arbitration_isStuck); + zz_decode_SRC1_CTRL <= zz_decode_SRC1_CTRL_1; + when_Pipeline_l124_11 <= (not execute_arbitration_isStuck); + when_Pipeline_l124_12 <= (not execute_arbitration_isStuck); + when_Pipeline_l124_13 <= (not memory_arbitration_isStuck); + when_Pipeline_l124_14 <= (not writeBack_arbitration_isStuck); + zz_decode_SRC2_CTRL <= zz_decode_SRC2_CTRL_1; + when_Pipeline_l124_15 <= (not execute_arbitration_isStuck); + when_Pipeline_l124_16 <= (not memory_arbitration_isStuck); + when_Pipeline_l124_17 <= (not writeBack_arbitration_isStuck); + when_Pipeline_l124_18 <= (not execute_arbitration_isStuck); + when_Pipeline_l124_19 <= (not execute_arbitration_isStuck); + when_Pipeline_l124_20 <= (not memory_arbitration_isStuck); + when_Pipeline_l124_21 <= (not execute_arbitration_isStuck); + when_Pipeline_l124_22 <= (not memory_arbitration_isStuck); + when_Pipeline_l124_23 <= (not execute_arbitration_isStuck); + zz_decode_to_execute_ENV_CTRL_1 <= decode_ENV_CTRL; + zz_execute_to_memory_ENV_CTRL_1 <= execute_ENV_CTRL; + zz_memory_to_writeBack_ENV_CTRL_1 <= memory_ENV_CTRL; + zz_decode_ENV_CTRL <= zz_decode_ENV_CTRL_1; + when_Pipeline_l124_24 <= (not execute_arbitration_isStuck); + zz_execute_ENV_CTRL <= decode_to_execute_ENV_CTRL; + when_Pipeline_l124_25 <= (not memory_arbitration_isStuck); + zz_memory_ENV_CTRL <= execute_to_memory_ENV_CTRL; + when_Pipeline_l124_26 <= (not writeBack_arbitration_isStuck); + zz_writeBack_ENV_CTRL <= memory_to_writeBack_ENV_CTRL; + zz_decode_to_execute_ALU_CTRL_1 <= decode_ALU_CTRL; + zz_decode_ALU_CTRL <= zz_decode_ALU_CTRL_1; + when_Pipeline_l124_27 <= (not execute_arbitration_isStuck); + zz_execute_ALU_CTRL <= decode_to_execute_ALU_CTRL; + when_Pipeline_l124_28 <= (not execute_arbitration_isStuck); + zz_decode_to_execute_ALU_BITWISE_CTRL_1 <= decode_ALU_BITWISE_CTRL; + zz_decode_ALU_BITWISE_CTRL <= zz_decode_ALU_BITWISE_CTRL_1; + when_Pipeline_l124_29 <= (not execute_arbitration_isStuck); + zz_execute_ALU_BITWISE_CTRL <= decode_to_execute_ALU_BITWISE_CTRL; + zz_decode_to_execute_SHIFT_CTRL_1 <= decode_SHIFT_CTRL; + zz_decode_SHIFT_CTRL <= zz_decode_SHIFT_CTRL_1; + when_Pipeline_l124_30 <= (not execute_arbitration_isStuck); + zz_execute_SHIFT_CTRL <= decode_to_execute_SHIFT_CTRL; + zz_decode_to_execute_BRANCH_CTRL_1 <= decode_BRANCH_CTRL; + zz_decode_BRANCH_CTRL <= zz_decode_BRANCH_CTRL_1; + when_Pipeline_l124_31 <= (not execute_arbitration_isStuck); + zz_execute_BRANCH_CTRL <= decode_to_execute_BRANCH_CTRL; + when_Pipeline_l124_32 <= (not execute_arbitration_isStuck); + when_Pipeline_l124_33 <= (not execute_arbitration_isStuck); + when_Pipeline_l124_34 <= (not execute_arbitration_isStuck); + when_Pipeline_l124_35 <= (not execute_arbitration_isStuck); + when_Pipeline_l124_36 <= (not execute_arbitration_isStuck); + when_Pipeline_l124_37 <= (not execute_arbitration_isStuck); + when_Pipeline_l124_38 <= (not memory_arbitration_isStuck); + when_Pipeline_l124_39 <= (not writeBack_arbitration_isStuck); + when_Pipeline_l124_40 <= ((not memory_arbitration_isStuck) and (not execute_arbitration_isStuckByOthers)); + when_Pipeline_l124_41 <= (not writeBack_arbitration_isStuck); + when_Pipeline_l124_42 <= (not memory_arbitration_isStuck); + when_Pipeline_l124_43 <= (not memory_arbitration_isStuck); + when_Pipeline_l124_44 <= (not writeBack_arbitration_isStuck); + decode_arbitration_isFlushed <= (pkg_toStdLogic(pkg_cat(pkg_toStdLogicVector(writeBack_arbitration_flushNext),pkg_cat(pkg_toStdLogicVector(memory_arbitration_flushNext),pkg_toStdLogicVector(execute_arbitration_flushNext))) /= pkg_stdLogicVector("000")) or pkg_toStdLogic(pkg_cat(pkg_toStdLogicVector(writeBack_arbitration_flushIt),pkg_cat(pkg_toStdLogicVector(memory_arbitration_flushIt),pkg_cat(pkg_toStdLogicVector(execute_arbitration_flushIt),pkg_toStdLogicVector(decode_arbitration_flushIt)))) /= pkg_stdLogicVector("0000"))); + execute_arbitration_isFlushed <= (pkg_toStdLogic(pkg_cat(pkg_toStdLogicVector(writeBack_arbitration_flushNext),pkg_toStdLogicVector(memory_arbitration_flushNext)) /= pkg_stdLogicVector("00")) or pkg_toStdLogic(pkg_cat(pkg_toStdLogicVector(writeBack_arbitration_flushIt),pkg_cat(pkg_toStdLogicVector(memory_arbitration_flushIt),pkg_toStdLogicVector(execute_arbitration_flushIt))) /= pkg_stdLogicVector("000"))); + memory_arbitration_isFlushed <= (pkg_toStdLogic(pkg_toStdLogicVector(writeBack_arbitration_flushNext) /= pkg_stdLogicVector("0")) or pkg_toStdLogic(pkg_cat(pkg_toStdLogicVector(writeBack_arbitration_flushIt),pkg_toStdLogicVector(memory_arbitration_flushIt)) /= pkg_stdLogicVector("00"))); + writeBack_arbitration_isFlushed <= (pkg_toStdLogic(false) or pkg_toStdLogic(pkg_toStdLogicVector(writeBack_arbitration_flushIt) /= pkg_stdLogicVector("0"))); + decode_arbitration_isStuckByOthers <= (decode_arbitration_haltByOther or (((pkg_toStdLogic(false) or execute_arbitration_isStuck) or memory_arbitration_isStuck) or writeBack_arbitration_isStuck)); + decode_arbitration_isStuck <= (decode_arbitration_haltItself or decode_arbitration_isStuckByOthers); + decode_arbitration_isMoving <= ((not decode_arbitration_isStuck) and (not decode_arbitration_removeIt)); + decode_arbitration_isFiring <= ((decode_arbitration_isValid and (not decode_arbitration_isStuck)) and (not decode_arbitration_removeIt)); + execute_arbitration_isStuckByOthers <= (execute_arbitration_haltByOther or ((pkg_toStdLogic(false) or memory_arbitration_isStuck) or writeBack_arbitration_isStuck)); + execute_arbitration_isStuck <= (execute_arbitration_haltItself or execute_arbitration_isStuckByOthers); + execute_arbitration_isMoving <= ((not execute_arbitration_isStuck) and (not execute_arbitration_removeIt)); + execute_arbitration_isFiring <= ((execute_arbitration_isValid and (not execute_arbitration_isStuck)) and (not execute_arbitration_removeIt)); + memory_arbitration_isStuckByOthers <= (memory_arbitration_haltByOther or (pkg_toStdLogic(false) or writeBack_arbitration_isStuck)); + memory_arbitration_isStuck <= (memory_arbitration_haltItself or memory_arbitration_isStuckByOthers); + memory_arbitration_isMoving <= ((not memory_arbitration_isStuck) and (not memory_arbitration_removeIt)); + memory_arbitration_isFiring <= ((memory_arbitration_isValid and (not memory_arbitration_isStuck)) and (not memory_arbitration_removeIt)); + writeBack_arbitration_isStuckByOthers <= (writeBack_arbitration_haltByOther or pkg_toStdLogic(false)); + writeBack_arbitration_isStuck <= (writeBack_arbitration_haltItself or writeBack_arbitration_isStuckByOthers); + writeBack_arbitration_isMoving <= ((not writeBack_arbitration_isStuck) and (not writeBack_arbitration_removeIt)); + writeBack_arbitration_isFiring <= ((writeBack_arbitration_isValid and (not writeBack_arbitration_isStuck)) and (not writeBack_arbitration_removeIt)); + when_Pipeline_l151 <= ((not execute_arbitration_isStuck) or execute_arbitration_removeIt); + when_Pipeline_l154 <= ((not decode_arbitration_isStuck) and (not decode_arbitration_removeIt)); + when_Pipeline_l151_1 <= ((not memory_arbitration_isStuck) or memory_arbitration_removeIt); + when_Pipeline_l154_1 <= ((not execute_arbitration_isStuck) and (not execute_arbitration_removeIt)); + when_Pipeline_l151_2 <= ((not writeBack_arbitration_isStuck) or writeBack_arbitration_removeIt); + when_Pipeline_l154_2 <= ((not memory_arbitration_isStuck) and (not memory_arbitration_removeIt)); + process(switch_Fetcher_l365) + begin + IBusSimplePlugin_injectionPort_ready <= pkg_toStdLogic(false); + case switch_Fetcher_l365 is + when "100" => + IBusSimplePlugin_injectionPort_ready <= pkg_toStdLogic(true); + when others => + end case; + end process; + + when_Fetcher_l381 <= (not decode_arbitration_isStuck); + when_Fetcher_l401 <= pkg_toStdLogic(switch_Fetcher_l365 /= pkg_unsigned("000")); + when_CsrPlugin_l1277 <= (not execute_arbitration_isStuck); + when_CsrPlugin_l1277_1 <= (not execute_arbitration_isStuck); + when_CsrPlugin_l1277_2 <= (not execute_arbitration_isStuck); + when_CsrPlugin_l1277_3 <= (not execute_arbitration_isStuck); + switch_CsrPlugin_l723 <= pkg_extract(CsrPlugin_csrMapping_writeDataSignal,12,11); + process(execute_CsrPlugin_csr_768,CsrPlugin_mstatus_MPIE,CsrPlugin_mstatus_MIE,CsrPlugin_mstatus_MPP) + begin + zz_CsrPlugin_csrMapping_readDataInit <= pkg_stdLogicVector("00000000000000000000000000000000"); + if execute_CsrPlugin_csr_768 = '1' then + zz_CsrPlugin_csrMapping_readDataInit(7 downto 7) <= pkg_toStdLogicVector(CsrPlugin_mstatus_MPIE); + zz_CsrPlugin_csrMapping_readDataInit(3 downto 3) <= pkg_toStdLogicVector(CsrPlugin_mstatus_MIE); + zz_CsrPlugin_csrMapping_readDataInit(12 downto 11) <= std_logic_vector(CsrPlugin_mstatus_MPP); + end if; + end process; + + process(execute_CsrPlugin_csr_836,CsrPlugin_mip_MEIP,CsrPlugin_mip_MTIP,CsrPlugin_mip_MSIP) + begin + zz_CsrPlugin_csrMapping_readDataInit_1 <= pkg_stdLogicVector("00000000000000000000000000000000"); + if execute_CsrPlugin_csr_836 = '1' then + zz_CsrPlugin_csrMapping_readDataInit_1(11 downto 11) <= pkg_toStdLogicVector(CsrPlugin_mip_MEIP); + zz_CsrPlugin_csrMapping_readDataInit_1(7 downto 7) <= pkg_toStdLogicVector(CsrPlugin_mip_MTIP); + zz_CsrPlugin_csrMapping_readDataInit_1(3 downto 3) <= pkg_toStdLogicVector(CsrPlugin_mip_MSIP); + end if; + end process; + + process(execute_CsrPlugin_csr_772,CsrPlugin_mie_MEIE,CsrPlugin_mie_MTIE,CsrPlugin_mie_MSIE) + begin + zz_CsrPlugin_csrMapping_readDataInit_2 <= pkg_stdLogicVector("00000000000000000000000000000000"); + if execute_CsrPlugin_csr_772 = '1' then + zz_CsrPlugin_csrMapping_readDataInit_2(11 downto 11) <= pkg_toStdLogicVector(CsrPlugin_mie_MEIE); + zz_CsrPlugin_csrMapping_readDataInit_2(7 downto 7) <= pkg_toStdLogicVector(CsrPlugin_mie_MTIE); + zz_CsrPlugin_csrMapping_readDataInit_2(3 downto 3) <= pkg_toStdLogicVector(CsrPlugin_mie_MSIE); + end if; + end process; + + process(execute_CsrPlugin_csr_834,CsrPlugin_mcause_interrupt,CsrPlugin_mcause_exceptionCode) + begin + zz_CsrPlugin_csrMapping_readDataInit_3 <= pkg_stdLogicVector("00000000000000000000000000000000"); + if execute_CsrPlugin_csr_834 = '1' then + zz_CsrPlugin_csrMapping_readDataInit_3(31 downto 31) <= pkg_toStdLogicVector(CsrPlugin_mcause_interrupt); + zz_CsrPlugin_csrMapping_readDataInit_3(3 downto 0) <= std_logic_vector(CsrPlugin_mcause_exceptionCode); + end if; + end process; + + CsrPlugin_csrMapping_readDataInit <= ((zz_CsrPlugin_csrMapping_readDataInit or zz_CsrPlugin_csrMapping_readDataInit_1) or (zz_CsrPlugin_csrMapping_readDataInit_2 or zz_CsrPlugin_csrMapping_readDataInit_3)); + when_CsrPlugin_l1310 <= pkg_toStdLogic(CsrPlugin_privilege < unsigned(pkg_extract(execute_CsrPlugin_csrAddress,9,8))); + when_CsrPlugin_l1315 <= ((not execute_arbitration_isValid) or (not execute_IS_CSR)); + process(io_mainClk, resetCtrl_systemReset) + begin + if resetCtrl_systemReset = '1' then + IBusSimplePlugin_fetchPc_pcReg <= pkg_unsigned("10000000000000000000000000000000"); + IBusSimplePlugin_fetchPc_correctionReg <= pkg_toStdLogic(false); + IBusSimplePlugin_fetchPc_booted <= pkg_toStdLogic(false); + IBusSimplePlugin_fetchPc_inc <= pkg_toStdLogic(false); + zz_IBusSimplePlugin_iBusRsp_stages_0_output_ready_2 <= pkg_toStdLogic(false); + zz_IBusSimplePlugin_iBusRsp_stages_1_output_m2sPipe_valid <= pkg_toStdLogic(false); + zz_IBusSimplePlugin_injector_decodeInput_valid <= pkg_toStdLogic(false); + IBusSimplePlugin_injector_nextPcCalc_valids_0 <= pkg_toStdLogic(false); + IBusSimplePlugin_injector_nextPcCalc_valids_1 <= pkg_toStdLogic(false); + IBusSimplePlugin_injector_nextPcCalc_valids_2 <= pkg_toStdLogic(false); + IBusSimplePlugin_injector_nextPcCalc_valids_3 <= pkg_toStdLogic(false); + IBusSimplePlugin_injector_nextPcCalc_valids_4 <= pkg_toStdLogic(false); + IBusSimplePlugin_injector_nextPcCalc_valids_5 <= pkg_toStdLogic(false); + IBusSimplePlugin_pending_value <= pkg_unsigned("000"); + IBusSimplePlugin_rspJoin_rspBuffer_discardCounter <= pkg_unsigned("000"); + CsrPlugin_mstatus_MIE <= pkg_toStdLogic(false); + CsrPlugin_mstatus_MPIE <= pkg_toStdLogic(false); + CsrPlugin_mstatus_MPP <= pkg_unsigned("11"); + CsrPlugin_mie_MEIE <= pkg_toStdLogic(false); + CsrPlugin_mie_MTIE <= pkg_toStdLogic(false); + CsrPlugin_mie_MSIE <= pkg_toStdLogic(false); + CsrPlugin_mcycle <= pkg_unsigned("0000000000000000000000000000000000000000000000000000000000000000"); + CsrPlugin_minstret <= pkg_unsigned("0000000000000000000000000000000000000000000000000000000000000000"); + CsrPlugin_interrupt_valid <= pkg_toStdLogic(false); + CsrPlugin_pipelineLiberator_pcValids_0 <= pkg_toStdLogic(false); + CsrPlugin_pipelineLiberator_pcValids_1 <= pkg_toStdLogic(false); + CsrPlugin_pipelineLiberator_pcValids_2 <= pkg_toStdLogic(false); + CsrPlugin_hadException <= pkg_toStdLogic(false); + execute_CsrPlugin_wfiWake <= pkg_toStdLogic(false); + zz_2 <= pkg_toStdLogic(true); + execute_LightShifterPlugin_isActive <= pkg_toStdLogic(false); + HazardSimplePlugin_writeBackBuffer_valid <= pkg_toStdLogic(false); + execute_arbitration_isValid <= pkg_toStdLogic(false); + memory_arbitration_isValid <= pkg_toStdLogic(false); + writeBack_arbitration_isValid <= pkg_toStdLogic(false); + switch_Fetcher_l365 <= pkg_unsigned("000"); + elsif rising_edge(io_mainClk) then + if IBusSimplePlugin_fetchPc_correction = '1' then + IBusSimplePlugin_fetchPc_correctionReg <= pkg_toStdLogic(true); + end if; + if IBusSimplePlugin_fetchPc_output_fire = '1' then + IBusSimplePlugin_fetchPc_correctionReg <= pkg_toStdLogic(false); + end if; + IBusSimplePlugin_fetchPc_booted <= pkg_toStdLogic(true); + if when_Fetcher_l134 = '1' then + IBusSimplePlugin_fetchPc_inc <= pkg_toStdLogic(false); + end if; + if IBusSimplePlugin_fetchPc_output_fire_1 = '1' then + IBusSimplePlugin_fetchPc_inc <= pkg_toStdLogic(true); + end if; + if when_Fetcher_l134_1 = '1' then + IBusSimplePlugin_fetchPc_inc <= pkg_toStdLogic(false); + end if; + if when_Fetcher_l161 = '1' then + IBusSimplePlugin_fetchPc_pcReg <= IBusSimplePlugin_fetchPc_pc; + end if; + if IBusSimplePlugin_iBusRsp_flush = '1' then + zz_IBusSimplePlugin_iBusRsp_stages_0_output_ready_2 <= pkg_toStdLogic(false); + end if; + if zz_IBusSimplePlugin_iBusRsp_stages_0_output_ready = '1' then + zz_IBusSimplePlugin_iBusRsp_stages_0_output_ready_2 <= (IBusSimplePlugin_iBusRsp_stages_0_output_valid and (not pkg_toStdLogic(false))); + end if; + if IBusSimplePlugin_iBusRsp_flush = '1' then + zz_IBusSimplePlugin_iBusRsp_stages_1_output_m2sPipe_valid <= pkg_toStdLogic(false); + end if; + if IBusSimplePlugin_iBusRsp_stages_1_output_ready = '1' then + zz_IBusSimplePlugin_iBusRsp_stages_1_output_m2sPipe_valid <= (IBusSimplePlugin_iBusRsp_stages_1_output_valid and (not IBusSimplePlugin_iBusRsp_flush)); + end if; + if decode_arbitration_removeIt = '1' then + zz_IBusSimplePlugin_injector_decodeInput_valid <= pkg_toStdLogic(false); + end if; + if IBusSimplePlugin_iBusRsp_output_ready = '1' then + zz_IBusSimplePlugin_injector_decodeInput_valid <= (IBusSimplePlugin_iBusRsp_output_valid and (not IBusSimplePlugin_externalFlush)); + end if; + if IBusSimplePlugin_fetchPc_flushed = '1' then + IBusSimplePlugin_injector_nextPcCalc_valids_0 <= pkg_toStdLogic(false); + end if; + if when_Fetcher_l332 = '1' then + IBusSimplePlugin_injector_nextPcCalc_valids_0 <= pkg_toStdLogic(true); + end if; + if IBusSimplePlugin_fetchPc_flushed = '1' then + IBusSimplePlugin_injector_nextPcCalc_valids_1 <= pkg_toStdLogic(false); + end if; + if when_Fetcher_l332_1 = '1' then + IBusSimplePlugin_injector_nextPcCalc_valids_1 <= IBusSimplePlugin_injector_nextPcCalc_valids_0; + end if; + if IBusSimplePlugin_fetchPc_flushed = '1' then + IBusSimplePlugin_injector_nextPcCalc_valids_1 <= pkg_toStdLogic(false); + end if; + if IBusSimplePlugin_fetchPc_flushed = '1' then + IBusSimplePlugin_injector_nextPcCalc_valids_2 <= pkg_toStdLogic(false); + end if; + if when_Fetcher_l332_2 = '1' then + IBusSimplePlugin_injector_nextPcCalc_valids_2 <= IBusSimplePlugin_injector_nextPcCalc_valids_1; + end if; + if IBusSimplePlugin_fetchPc_flushed = '1' then + IBusSimplePlugin_injector_nextPcCalc_valids_2 <= pkg_toStdLogic(false); + end if; + if IBusSimplePlugin_fetchPc_flushed = '1' then + IBusSimplePlugin_injector_nextPcCalc_valids_3 <= pkg_toStdLogic(false); + end if; + if when_Fetcher_l332_3 = '1' then + IBusSimplePlugin_injector_nextPcCalc_valids_3 <= IBusSimplePlugin_injector_nextPcCalc_valids_2; + end if; + if IBusSimplePlugin_fetchPc_flushed = '1' then + IBusSimplePlugin_injector_nextPcCalc_valids_3 <= pkg_toStdLogic(false); + end if; + if IBusSimplePlugin_fetchPc_flushed = '1' then + IBusSimplePlugin_injector_nextPcCalc_valids_4 <= pkg_toStdLogic(false); + end if; + if when_Fetcher_l332_4 = '1' then + IBusSimplePlugin_injector_nextPcCalc_valids_4 <= IBusSimplePlugin_injector_nextPcCalc_valids_3; + end if; + if IBusSimplePlugin_fetchPc_flushed = '1' then + IBusSimplePlugin_injector_nextPcCalc_valids_4 <= pkg_toStdLogic(false); + end if; + if IBusSimplePlugin_fetchPc_flushed = '1' then + IBusSimplePlugin_injector_nextPcCalc_valids_5 <= pkg_toStdLogic(false); + end if; + if when_Fetcher_l332_5 = '1' then + IBusSimplePlugin_injector_nextPcCalc_valids_5 <= IBusSimplePlugin_injector_nextPcCalc_valids_4; + end if; + if IBusSimplePlugin_fetchPc_flushed = '1' then + IBusSimplePlugin_injector_nextPcCalc_valids_5 <= pkg_toStdLogic(false); + end if; + IBusSimplePlugin_pending_value <= IBusSimplePlugin_pending_next; + IBusSimplePlugin_rspJoin_rspBuffer_discardCounter <= (IBusSimplePlugin_rspJoin_rspBuffer_discardCounter - pkg_resize(unsigned(pkg_toStdLogicVector((IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_valid and pkg_toStdLogic(IBusSimplePlugin_rspJoin_rspBuffer_discardCounter /= pkg_unsigned("000"))))),3)); + if IBusSimplePlugin_iBusRsp_flush = '1' then + IBusSimplePlugin_rspJoin_rspBuffer_discardCounter <= IBusSimplePlugin_pending_next; + end if; + CsrPlugin_mcycle <= (CsrPlugin_mcycle + pkg_unsigned("0000000000000000000000000000000000000000000000000000000000000001")); + if writeBack_arbitration_isFiring = '1' then + CsrPlugin_minstret <= (CsrPlugin_minstret + pkg_unsigned("0000000000000000000000000000000000000000000000000000000000000001")); + end if; + CsrPlugin_interrupt_valid <= pkg_toStdLogic(false); + if when_CsrPlugin_l959 = '1' then + if when_CsrPlugin_l965 = '1' then + CsrPlugin_interrupt_valid <= pkg_toStdLogic(true); + end if; + if when_CsrPlugin_l965_1 = '1' then + CsrPlugin_interrupt_valid <= pkg_toStdLogic(true); + end if; + if when_CsrPlugin_l965_2 = '1' then + CsrPlugin_interrupt_valid <= pkg_toStdLogic(true); + end if; + end if; + if CsrPlugin_pipelineLiberator_active = '1' then + if when_CsrPlugin_l993 = '1' then + CsrPlugin_pipelineLiberator_pcValids_0 <= pkg_toStdLogic(true); + end if; + if when_CsrPlugin_l993_1 = '1' then + CsrPlugin_pipelineLiberator_pcValids_1 <= CsrPlugin_pipelineLiberator_pcValids_0; + end if; + if when_CsrPlugin_l993_2 = '1' then + CsrPlugin_pipelineLiberator_pcValids_2 <= CsrPlugin_pipelineLiberator_pcValids_1; + end if; + end if; + if when_CsrPlugin_l998 = '1' then + CsrPlugin_pipelineLiberator_pcValids_0 <= pkg_toStdLogic(false); + CsrPlugin_pipelineLiberator_pcValids_1 <= pkg_toStdLogic(false); + CsrPlugin_pipelineLiberator_pcValids_2 <= pkg_toStdLogic(false); + end if; + if CsrPlugin_interruptJump = '1' then + CsrPlugin_interrupt_valid <= pkg_toStdLogic(false); + end if; + CsrPlugin_hadException <= CsrPlugin_exception; + if when_CsrPlugin_l1032 = '1' then + case CsrPlugin_targetPrivilege is + when "11" => + CsrPlugin_mstatus_MIE <= pkg_toStdLogic(false); + CsrPlugin_mstatus_MPIE <= CsrPlugin_mstatus_MIE; + CsrPlugin_mstatus_MPP <= CsrPlugin_privilege; + when others => + end case; + end if; + if when_CsrPlugin_l1077 = '1' then + case switch_CsrPlugin_l1081 is + when "11" => + CsrPlugin_mstatus_MPP <= pkg_unsigned("00"); + CsrPlugin_mstatus_MIE <= CsrPlugin_mstatus_MPIE; + CsrPlugin_mstatus_MPIE <= pkg_toStdLogic(true); + when others => + end case; + end if; + execute_CsrPlugin_wfiWake <= (pkg_toStdLogic(pkg_cat(pkg_toStdLogicVector(zz_when_CsrPlugin_l965_2),pkg_cat(pkg_toStdLogicVector(zz_when_CsrPlugin_l965_1),pkg_toStdLogicVector(zz_when_CsrPlugin_l965))) /= pkg_stdLogicVector("000")) or CsrPlugin_thirdPartyWake); + zz_2 <= pkg_toStdLogic(false); + if when_ShiftPlugins_l169 = '1' then + if when_ShiftPlugins_l175 = '1' then + execute_LightShifterPlugin_isActive <= pkg_toStdLogic(true); + if execute_LightShifterPlugin_done = '1' then + execute_LightShifterPlugin_isActive <= pkg_toStdLogic(false); + end if; + end if; + end if; + if execute_arbitration_removeIt = '1' then + execute_LightShifterPlugin_isActive <= pkg_toStdLogic(false); + end if; + HazardSimplePlugin_writeBackBuffer_valid <= HazardSimplePlugin_writeBackWrites_valid; + if when_Pipeline_l151 = '1' then + execute_arbitration_isValid <= pkg_toStdLogic(false); + end if; + if when_Pipeline_l154 = '1' then + execute_arbitration_isValid <= decode_arbitration_isValid; + end if; + if when_Pipeline_l151_1 = '1' then + memory_arbitration_isValid <= pkg_toStdLogic(false); + end if; + if when_Pipeline_l154_1 = '1' then + memory_arbitration_isValid <= execute_arbitration_isValid; + end if; + if when_Pipeline_l151_2 = '1' then + writeBack_arbitration_isValid <= pkg_toStdLogic(false); + end if; + if when_Pipeline_l154_2 = '1' then + writeBack_arbitration_isValid <= memory_arbitration_isValid; + end if; + case switch_Fetcher_l365 is + when "000" => + if IBusSimplePlugin_injectionPort_valid = '1' then + switch_Fetcher_l365 <= pkg_unsigned("001"); + end if; + when "001" => + switch_Fetcher_l365 <= pkg_unsigned("010"); + when "010" => + switch_Fetcher_l365 <= pkg_unsigned("011"); + when "011" => + if when_Fetcher_l381 = '1' then + switch_Fetcher_l365 <= pkg_unsigned("100"); + end if; + when "100" => + switch_Fetcher_l365 <= pkg_unsigned("000"); + when others => + end case; + if execute_CsrPlugin_csr_768 = '1' then + if execute_CsrPlugin_writeEnable = '1' then + CsrPlugin_mstatus_MPIE <= pkg_extract(CsrPlugin_csrMapping_writeDataSignal,7); + CsrPlugin_mstatus_MIE <= pkg_extract(CsrPlugin_csrMapping_writeDataSignal,3); + case switch_CsrPlugin_l723 is + when "11" => + CsrPlugin_mstatus_MPP <= pkg_unsigned("11"); + when others => + end case; + end if; + end if; + if execute_CsrPlugin_csr_772 = '1' then + if execute_CsrPlugin_writeEnable = '1' then + CsrPlugin_mie_MEIE <= pkg_extract(CsrPlugin_csrMapping_writeDataSignal,11); + CsrPlugin_mie_MTIE <= pkg_extract(CsrPlugin_csrMapping_writeDataSignal,7); + CsrPlugin_mie_MSIE <= pkg_extract(CsrPlugin_csrMapping_writeDataSignal,3); + end if; + end if; + end if; + end process; + + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + if IBusSimplePlugin_iBusRsp_stages_1_output_ready = '1' then + zz_IBusSimplePlugin_iBusRsp_stages_1_output_m2sPipe_payload <= IBusSimplePlugin_iBusRsp_stages_1_output_payload; + end if; + if IBusSimplePlugin_iBusRsp_output_ready = '1' then + zz_IBusSimplePlugin_injector_decodeInput_payload_pc <= IBusSimplePlugin_iBusRsp_output_payload_pc; + zz_IBusSimplePlugin_injector_decodeInput_payload_rsp_error <= IBusSimplePlugin_iBusRsp_output_payload_rsp_error; + zz_IBusSimplePlugin_injector_decodeInput_payload_rsp_inst <= IBusSimplePlugin_iBusRsp_output_payload_rsp_inst; + zz_IBusSimplePlugin_injector_decodeInput_payload_isRvc <= IBusSimplePlugin_iBusRsp_output_payload_isRvc; + end if; + if IBusSimplePlugin_injector_decodeInput_ready = '1' then + IBusSimplePlugin_injector_formal_rawInDecode <= IBusSimplePlugin_iBusRsp_output_payload_rsp_inst; + end if; + CsrPlugin_mip_MEIP <= externalInterrupt; + CsrPlugin_mip_MTIP <= timerInterrupt; + CsrPlugin_mip_MSIP <= softwareInterrupt; + if when_CsrPlugin_l959 = '1' then + if when_CsrPlugin_l965 = '1' then + CsrPlugin_interrupt_code <= pkg_unsigned("0111"); + CsrPlugin_interrupt_targetPrivilege <= pkg_unsigned("11"); + end if; + if when_CsrPlugin_l965_1 = '1' then + CsrPlugin_interrupt_code <= pkg_unsigned("0011"); + CsrPlugin_interrupt_targetPrivilege <= pkg_unsigned("11"); + end if; + if when_CsrPlugin_l965_2 = '1' then + CsrPlugin_interrupt_code <= pkg_unsigned("1011"); + CsrPlugin_interrupt_targetPrivilege <= pkg_unsigned("11"); + end if; + end if; + if when_CsrPlugin_l1032 = '1' then + case CsrPlugin_targetPrivilege is + when "11" => + CsrPlugin_mcause_interrupt <= (not CsrPlugin_hadException); + CsrPlugin_mcause_exceptionCode <= CsrPlugin_trapCause; + CsrPlugin_mepc <= decode_PC; + when others => + end case; + end if; + if when_ShiftPlugins_l169 = '1' then + if when_ShiftPlugins_l175 = '1' then + execute_LightShifterPlugin_amplitudeReg <= (execute_LightShifterPlugin_amplitude - pkg_unsigned("00001")); + end if; + end if; + HazardSimplePlugin_writeBackBuffer_payload_address <= HazardSimplePlugin_writeBackWrites_payload_address; + HazardSimplePlugin_writeBackBuffer_payload_data <= HazardSimplePlugin_writeBackWrites_payload_data; + if when_Pipeline_l124 = '1' then + decode_to_execute_PC <= zz_decode_SRC2; + end if; + if when_Pipeline_l124_1 = '1' then + execute_to_memory_PC <= execute_PC; + end if; + if when_Pipeline_l124_2 = '1' then + memory_to_writeBack_PC <= memory_PC; + end if; + if when_Pipeline_l124_3 = '1' then + decode_to_execute_INSTRUCTION <= decode_INSTRUCTION; + end if; + if when_Pipeline_l124_4 = '1' then + execute_to_memory_INSTRUCTION <= execute_INSTRUCTION; + end if; + if when_Pipeline_l124_5 = '1' then + memory_to_writeBack_INSTRUCTION <= memory_INSTRUCTION; + end if; + if when_Pipeline_l124_6 = '1' then + decode_to_execute_FORMAL_PC_NEXT <= decode_FORMAL_PC_NEXT; + end if; + if when_Pipeline_l124_7 = '1' then + execute_to_memory_FORMAL_PC_NEXT <= execute_FORMAL_PC_NEXT; + end if; + if when_Pipeline_l124_8 = '1' then + memory_to_writeBack_FORMAL_PC_NEXT <= zz_memory_to_writeBack_FORMAL_PC_NEXT; + end if; + if when_Pipeline_l124_9 = '1' then + decode_to_execute_CSR_WRITE_OPCODE <= decode_CSR_WRITE_OPCODE; + end if; + if when_Pipeline_l124_10 = '1' then + decode_to_execute_CSR_READ_OPCODE <= decode_CSR_READ_OPCODE; + end if; + if when_Pipeline_l124_11 = '1' then + decode_to_execute_SRC_USE_SUB_LESS <= decode_SRC_USE_SUB_LESS; + end if; + if when_Pipeline_l124_12 = '1' then + decode_to_execute_MEMORY_ENABLE <= decode_MEMORY_ENABLE; + end if; + if when_Pipeline_l124_13 = '1' then + execute_to_memory_MEMORY_ENABLE <= execute_MEMORY_ENABLE; + end if; + if when_Pipeline_l124_14 = '1' then + memory_to_writeBack_MEMORY_ENABLE <= memory_MEMORY_ENABLE; + end if; + if when_Pipeline_l124_15 = '1' then + decode_to_execute_REGFILE_WRITE_VALID <= decode_REGFILE_WRITE_VALID; + end if; + if when_Pipeline_l124_16 = '1' then + execute_to_memory_REGFILE_WRITE_VALID <= execute_REGFILE_WRITE_VALID; + end if; + if when_Pipeline_l124_17 = '1' then + memory_to_writeBack_REGFILE_WRITE_VALID <= memory_REGFILE_WRITE_VALID; + end if; + if when_Pipeline_l124_18 = '1' then + decode_to_execute_BYPASSABLE_EXECUTE_STAGE <= decode_BYPASSABLE_EXECUTE_STAGE; + end if; + if when_Pipeline_l124_19 = '1' then + decode_to_execute_BYPASSABLE_MEMORY_STAGE <= decode_BYPASSABLE_MEMORY_STAGE; + end if; + if when_Pipeline_l124_20 = '1' then + execute_to_memory_BYPASSABLE_MEMORY_STAGE <= execute_BYPASSABLE_MEMORY_STAGE; + end if; + if when_Pipeline_l124_21 = '1' then + decode_to_execute_MEMORY_STORE <= decode_MEMORY_STORE; + end if; + if when_Pipeline_l124_22 = '1' then + execute_to_memory_MEMORY_STORE <= execute_MEMORY_STORE; + end if; + if when_Pipeline_l124_23 = '1' then + decode_to_execute_IS_CSR <= decode_IS_CSR; + end if; + if when_Pipeline_l124_24 = '1' then + decode_to_execute_ENV_CTRL <= zz_decode_to_execute_ENV_CTRL; + end if; + if when_Pipeline_l124_25 = '1' then + execute_to_memory_ENV_CTRL <= zz_execute_to_memory_ENV_CTRL; + end if; + if when_Pipeline_l124_26 = '1' then + memory_to_writeBack_ENV_CTRL <= zz_memory_to_writeBack_ENV_CTRL; + end if; + if when_Pipeline_l124_27 = '1' then + decode_to_execute_ALU_CTRL <= zz_decode_to_execute_ALU_CTRL; + end if; + if when_Pipeline_l124_28 = '1' then + decode_to_execute_SRC_LESS_UNSIGNED <= decode_SRC_LESS_UNSIGNED; + end if; + if when_Pipeline_l124_29 = '1' then + decode_to_execute_ALU_BITWISE_CTRL <= zz_decode_to_execute_ALU_BITWISE_CTRL; + end if; + if when_Pipeline_l124_30 = '1' then + decode_to_execute_SHIFT_CTRL <= zz_decode_to_execute_SHIFT_CTRL; + end if; + if when_Pipeline_l124_31 = '1' then + decode_to_execute_BRANCH_CTRL <= zz_decode_to_execute_BRANCH_CTRL; + end if; + if when_Pipeline_l124_32 = '1' then + decode_to_execute_RS1 <= zz_decode_SRC1; + end if; + if when_Pipeline_l124_33 = '1' then + decode_to_execute_RS2 <= zz_decode_SRC2_1; + end if; + if when_Pipeline_l124_34 = '1' then + decode_to_execute_SRC2_FORCE_ZERO <= decode_SRC2_FORCE_ZERO; + end if; + if when_Pipeline_l124_35 = '1' then + decode_to_execute_SRC1 <= decode_SRC1; + end if; + if when_Pipeline_l124_36 = '1' then + decode_to_execute_SRC2 <= decode_SRC2; + end if; + if when_Pipeline_l124_37 = '1' then + decode_to_execute_DO_EBREAK <= decode_DO_EBREAK; + end if; + if when_Pipeline_l124_38 = '1' then + execute_to_memory_MEMORY_ADDRESS_LOW <= execute_MEMORY_ADDRESS_LOW; + end if; + if when_Pipeline_l124_39 = '1' then + memory_to_writeBack_MEMORY_ADDRESS_LOW <= memory_MEMORY_ADDRESS_LOW; + end if; + if when_Pipeline_l124_40 = '1' then + execute_to_memory_REGFILE_WRITE_DATA <= zz_execute_to_memory_REGFILE_WRITE_DATA; + end if; + if when_Pipeline_l124_41 = '1' then + memory_to_writeBack_REGFILE_WRITE_DATA <= memory_REGFILE_WRITE_DATA; + end if; + if when_Pipeline_l124_42 = '1' then + execute_to_memory_BRANCH_DO <= execute_BRANCH_DO; + end if; + if when_Pipeline_l124_43 = '1' then + execute_to_memory_BRANCH_CALC <= execute_BRANCH_CALC; + end if; + if when_Pipeline_l124_44 = '1' then + memory_to_writeBack_MEMORY_READ_DATA <= memory_MEMORY_READ_DATA; + end if; + if when_Fetcher_l401 = '1' then + zz_IBusSimplePlugin_injector_decodeInput_payload_rsp_inst <= IBusSimplePlugin_injectionPort_payload; + end if; + if when_CsrPlugin_l1277 = '1' then + execute_CsrPlugin_csr_768 <= pkg_toStdLogic(pkg_extract(decode_INSTRUCTION,31,20) = pkg_stdLogicVector("001100000000")); + end if; + if when_CsrPlugin_l1277_1 = '1' then + execute_CsrPlugin_csr_836 <= pkg_toStdLogic(pkg_extract(decode_INSTRUCTION,31,20) = pkg_stdLogicVector("001101000100")); + end if; + if when_CsrPlugin_l1277_2 = '1' then + execute_CsrPlugin_csr_772 <= pkg_toStdLogic(pkg_extract(decode_INSTRUCTION,31,20) = pkg_stdLogicVector("001100000100")); + end if; + if when_CsrPlugin_l1277_3 = '1' then + execute_CsrPlugin_csr_834 <= pkg_toStdLogic(pkg_extract(decode_INSTRUCTION,31,20) = pkg_stdLogicVector("001101000010")); + end if; + if execute_CsrPlugin_csr_836 = '1' then + if execute_CsrPlugin_writeEnable = '1' then + CsrPlugin_mip_MSIP <= pkg_extract(CsrPlugin_csrMapping_writeDataSignal,3); + end if; + end if; + end if; + end process; + + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + DebugPlugin_firstCycle <= pkg_toStdLogic(false); + if debug_bus_cmd_ready_read_buffer = '1' then + DebugPlugin_firstCycle <= pkg_toStdLogic(true); + end if; + DebugPlugin_secondCycle <= DebugPlugin_firstCycle; + DebugPlugin_isPipBusy <= (pkg_toStdLogic(pkg_cat(pkg_toStdLogicVector(writeBack_arbitration_isValid),pkg_cat(pkg_toStdLogicVector(memory_arbitration_isValid),pkg_cat(pkg_toStdLogicVector(execute_arbitration_isValid),pkg_toStdLogicVector(decode_arbitration_isValid)))) /= pkg_stdLogicVector("0000")) or IBusSimplePlugin_incomingInstruction); + if writeBack_arbitration_isValid = '1' then + DebugPlugin_busReadDataReg <= zz_lastStageRegFileWrite_payload_data; + end if; + zz_when_DebugPlugin_l244 <= pkg_extract(debug_bus_cmd_payload_address,2); + if when_DebugPlugin_l295 = '1' then + DebugPlugin_busReadDataReg <= std_logic_vector(execute_PC); + end if; + DebugPlugin_resetIt_regNext <= DebugPlugin_resetIt; + end if; + end process; + + process(io_mainClk, resetCtrl_mainClkReset) + begin + if resetCtrl_mainClkReset = '1' then + DebugPlugin_resetIt <= pkg_toStdLogic(false); + DebugPlugin_haltIt <= pkg_toStdLogic(false); + DebugPlugin_stepIt <= pkg_toStdLogic(false); + DebugPlugin_godmode <= pkg_toStdLogic(false); + DebugPlugin_haltedByBreak <= pkg_toStdLogic(false); + DebugPlugin_debugUsed <= pkg_toStdLogic(false); + DebugPlugin_disableEbreak <= pkg_toStdLogic(false); + elsif rising_edge(io_mainClk) then + if when_DebugPlugin_l225 = '1' then + DebugPlugin_godmode <= pkg_toStdLogic(true); + end if; + if debug_bus_cmd_valid = '1' then + DebugPlugin_debugUsed <= pkg_toStdLogic(true); + end if; + if debug_bus_cmd_valid = '1' then + case switch_DebugPlugin_l267 is + when "000000" => + if debug_bus_cmd_payload_wr = '1' then + DebugPlugin_stepIt <= pkg_extract(debug_bus_cmd_payload_data,4); + if when_DebugPlugin_l271 = '1' then + DebugPlugin_resetIt <= pkg_toStdLogic(true); + end if; + if when_DebugPlugin_l271_1 = '1' then + DebugPlugin_resetIt <= pkg_toStdLogic(false); + end if; + if when_DebugPlugin_l272 = '1' then + DebugPlugin_haltIt <= pkg_toStdLogic(true); + end if; + if when_DebugPlugin_l272_1 = '1' then + DebugPlugin_haltIt <= pkg_toStdLogic(false); + end if; + if when_DebugPlugin_l273 = '1' then + DebugPlugin_haltedByBreak <= pkg_toStdLogic(false); + end if; + if when_DebugPlugin_l274 = '1' then + DebugPlugin_godmode <= pkg_toStdLogic(false); + end if; + if when_DebugPlugin_l275 = '1' then + DebugPlugin_disableEbreak <= pkg_toStdLogic(true); + end if; + if when_DebugPlugin_l275_1 = '1' then + DebugPlugin_disableEbreak <= pkg_toStdLogic(false); + end if; + end if; + when others => + end case; + end if; + if when_DebugPlugin_l295 = '1' then + if when_DebugPlugin_l298 = '1' then + DebugPlugin_haltIt <= pkg_toStdLogic(true); + DebugPlugin_haltedByBreak <= pkg_toStdLogic(true); + end if; + end if; + if when_DebugPlugin_l311 = '1' then + if decode_arbitration_isValid = '1' then + DebugPlugin_haltIt <= pkg_toStdLogic(true); + end if; + end if; + end if; + end process; + +end arch; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.pkg_scala2hdl.all; +use work.all; +use work.pkg_enum.all; + + +entity JtagBridge is + port( + io_jtag_tms : in std_logic; + io_jtag_tdi : in std_logic; + io_jtag_tdo : out std_logic; + io_jtag_tck : in std_logic; + io_remote_cmd_valid : out std_logic; + io_remote_cmd_ready : in std_logic; + io_remote_cmd_payload_last : out std_logic; + io_remote_cmd_payload_fragment : out std_logic_vector(0 downto 0); + io_remote_rsp_valid : in std_logic; + io_remote_rsp_ready : out std_logic; + io_remote_rsp_payload_error : in std_logic; + io_remote_rsp_payload_data : in std_logic_vector(31 downto 0); + io_mainClk : in std_logic; + resetCtrl_mainClkReset : in std_logic + ); +end JtagBridge; + +architecture arch of JtagBridge is + signal io_remote_cmd_valid_read_buffer : std_logic; + signal io_remote_rsp_ready_read_buffer : std_logic; + signal flowCCByToggle_1_io_output_valid : std_logic; + signal flowCCByToggle_1_io_output_payload_last : std_logic; + signal flowCCByToggle_1_io_output_payload_fragment : std_logic_vector(0 downto 0); + attribute async_reg : string; + + signal system_cmd_valid : std_logic; + signal system_cmd_payload_last : std_logic; + signal system_cmd_payload_fragment : std_logic_vector(0 downto 0); + signal system_cmd_toStream_valid : std_logic; + signal system_cmd_toStream_ready : std_logic; + signal system_cmd_toStream_payload_last : std_logic; + signal system_cmd_toStream_payload_fragment : std_logic_vector(0 downto 0); + signal system_rsp_valid : std_logic; + attribute async_reg of system_rsp_valid : signal is "true"; + signal system_rsp_payload_error : std_logic; + attribute async_reg of system_rsp_payload_error : signal is "true"; + signal system_rsp_payload_data : std_logic_vector(31 downto 0); + attribute async_reg of system_rsp_payload_data : signal is "true"; + signal io_remote_rsp_fire : std_logic; + signal jtag_tap_fsm_stateNext : JtagState; + signal jtag_tap_fsm_state : JtagState := pkg_enum.RESET; + signal zz_jtag_tap_fsm_stateNext : JtagState; + signal zz_jtag_tap_fsm_stateNext_1 : JtagState; + signal zz_jtag_tap_fsm_stateNext_2 : JtagState; + signal zz_jtag_tap_fsm_stateNext_3 : JtagState; + signal zz_jtag_tap_fsm_stateNext_4 : JtagState; + signal zz_jtag_tap_fsm_stateNext_5 : JtagState; + signal zz_jtag_tap_fsm_stateNext_6 : JtagState; + signal zz_jtag_tap_fsm_stateNext_7 : JtagState; + signal zz_jtag_tap_fsm_stateNext_8 : JtagState; + signal zz_jtag_tap_fsm_stateNext_9 : JtagState; + signal zz_jtag_tap_fsm_stateNext_10 : JtagState; + signal zz_jtag_tap_fsm_stateNext_11 : JtagState; + signal zz_jtag_tap_fsm_stateNext_12 : JtagState; + signal zz_jtag_tap_fsm_stateNext_13 : JtagState; + signal zz_jtag_tap_fsm_stateNext_14 : JtagState; + signal zz_jtag_tap_fsm_stateNext_15 : JtagState; + signal zz_jtag_tap_fsm_stateNext_16 : JtagState; + signal jtag_tap_instruction : std_logic_vector(3 downto 0); + signal jtag_tap_instructionShift : std_logic_vector(3 downto 0); + signal jtag_tap_bypass : std_logic; + signal jtag_tap_tdoUnbufferd : std_logic; + signal jtag_tap_tdoDr : std_logic; + signal jtag_tap_tdoIr : std_logic; + signal jtag_tap_isBypass : std_logic; + signal jtag_tap_tdoUnbufferd_regNext : std_logic; + signal jtag_idcodeArea_ctrl_tdi : std_logic; + signal jtag_idcodeArea_ctrl_enable : std_logic; + signal jtag_idcodeArea_ctrl_capture : std_logic; + signal jtag_idcodeArea_ctrl_shift : std_logic; + signal jtag_idcodeArea_ctrl_update : std_logic; + signal jtag_idcodeArea_ctrl_reset : std_logic; + signal jtag_idcodeArea_ctrl_tdo : std_logic; + signal jtag_idcodeArea_shifter : std_logic_vector(31 downto 0); + signal when_JtagTap_l120 : std_logic; + signal jtag_writeArea_ctrl_tdi : std_logic; + signal jtag_writeArea_ctrl_enable : std_logic; + signal jtag_writeArea_ctrl_capture : std_logic; + signal jtag_writeArea_ctrl_shift : std_logic; + signal jtag_writeArea_ctrl_update : std_logic; + signal jtag_writeArea_ctrl_reset : std_logic; + signal jtag_writeArea_ctrl_tdo : std_logic; + signal jtag_writeArea_source_valid : std_logic; + signal jtag_writeArea_source_payload_last : std_logic; + signal jtag_writeArea_source_payload_fragment : std_logic_vector(0 downto 0); + signal jtag_writeArea_valid : std_logic; + signal jtag_writeArea_data : std_logic; + signal jtag_readArea_ctrl_tdi : std_logic; + signal jtag_readArea_ctrl_enable : std_logic; + signal jtag_readArea_ctrl_capture : std_logic; + signal jtag_readArea_ctrl_shift : std_logic; + signal jtag_readArea_ctrl_update : std_logic; + signal jtag_readArea_ctrl_reset : std_logic; + signal jtag_readArea_ctrl_tdo : std_logic; + signal jtag_readArea_full_shifter : std_logic_vector(33 downto 0); +begin + io_remote_cmd_valid <= io_remote_cmd_valid_read_buffer; + io_remote_rsp_ready <= io_remote_rsp_ready_read_buffer; + flowCCByToggle_1 : entity work.FlowCCByToggle + port map ( + io_input_valid => jtag_writeArea_source_valid, + io_input_payload_last => jtag_writeArea_source_payload_last, + io_input_payload_fragment => jtag_writeArea_source_payload_fragment, + io_output_valid => flowCCByToggle_1_io_output_valid, + io_output_payload_last => flowCCByToggle_1_io_output_payload_last, + io_output_payload_fragment => flowCCByToggle_1_io_output_payload_fragment, + io_jtag_tck => io_jtag_tck, + io_mainClk => io_mainClk, + resetCtrl_mainClkReset => resetCtrl_mainClkReset + ); + system_cmd_toStream_valid <= system_cmd_valid; + system_cmd_toStream_payload_last <= system_cmd_payload_last; + system_cmd_toStream_payload_fragment <= system_cmd_payload_fragment; + io_remote_cmd_valid_read_buffer <= system_cmd_toStream_valid; + system_cmd_toStream_ready <= io_remote_cmd_ready; + io_remote_cmd_payload_last <= system_cmd_toStream_payload_last; + io_remote_cmd_payload_fragment <= system_cmd_toStream_payload_fragment; + io_remote_rsp_fire <= (io_remote_rsp_valid and io_remote_rsp_ready_read_buffer); + io_remote_rsp_ready_read_buffer <= pkg_toStdLogic(true); + zz_jtag_tap_fsm_stateNext <= pkg_mux(io_jtag_tms,pkg_enum.RESET,pkg_enum.IDLE); + zz_jtag_tap_fsm_stateNext_1 <= pkg_mux(io_jtag_tms,pkg_enum.DR_SELECT,pkg_enum.IDLE); + zz_jtag_tap_fsm_stateNext_2 <= pkg_mux(io_jtag_tms,pkg_enum.RESET,pkg_enum.IR_CAPTURE); + zz_jtag_tap_fsm_stateNext_3 <= pkg_mux(io_jtag_tms,pkg_enum.IR_EXIT1,pkg_enum.IR_SHIFT); + zz_jtag_tap_fsm_stateNext_4 <= pkg_mux(io_jtag_tms,pkg_enum.IR_EXIT1,pkg_enum.IR_SHIFT); + zz_jtag_tap_fsm_stateNext_5 <= pkg_mux(io_jtag_tms,pkg_enum.IR_UPDATE,pkg_enum.IR_PAUSE); + zz_jtag_tap_fsm_stateNext_6 <= pkg_mux(io_jtag_tms,pkg_enum.IR_EXIT2,pkg_enum.IR_PAUSE); + zz_jtag_tap_fsm_stateNext_7 <= pkg_mux(io_jtag_tms,pkg_enum.IR_UPDATE,pkg_enum.IR_SHIFT); + zz_jtag_tap_fsm_stateNext_8 <= pkg_mux(io_jtag_tms,pkg_enum.DR_SELECT,pkg_enum.IDLE); + zz_jtag_tap_fsm_stateNext_9 <= pkg_mux(io_jtag_tms,pkg_enum.IR_SELECT,pkg_enum.DR_CAPTURE); + zz_jtag_tap_fsm_stateNext_10 <= pkg_mux(io_jtag_tms,pkg_enum.DR_EXIT1,pkg_enum.DR_SHIFT); + zz_jtag_tap_fsm_stateNext_11 <= pkg_mux(io_jtag_tms,pkg_enum.DR_EXIT1,pkg_enum.DR_SHIFT); + zz_jtag_tap_fsm_stateNext_12 <= pkg_mux(io_jtag_tms,pkg_enum.DR_UPDATE,pkg_enum.DR_PAUSE); + zz_jtag_tap_fsm_stateNext_13 <= pkg_mux(io_jtag_tms,pkg_enum.DR_EXIT2,pkg_enum.DR_PAUSE); + zz_jtag_tap_fsm_stateNext_14 <= pkg_mux(io_jtag_tms,pkg_enum.DR_UPDATE,pkg_enum.DR_SHIFT); + zz_jtag_tap_fsm_stateNext_15 <= pkg_mux(io_jtag_tms,pkg_enum.DR_SELECT,pkg_enum.IDLE); + process(jtag_tap_fsm_state,zz_jtag_tap_fsm_stateNext_1,zz_jtag_tap_fsm_stateNext_2,zz_jtag_tap_fsm_stateNext_3,zz_jtag_tap_fsm_stateNext_4,zz_jtag_tap_fsm_stateNext_5,zz_jtag_tap_fsm_stateNext_6,zz_jtag_tap_fsm_stateNext_7,zz_jtag_tap_fsm_stateNext_8,zz_jtag_tap_fsm_stateNext_9,zz_jtag_tap_fsm_stateNext_10,zz_jtag_tap_fsm_stateNext_11,zz_jtag_tap_fsm_stateNext_12,zz_jtag_tap_fsm_stateNext_13,zz_jtag_tap_fsm_stateNext_14,zz_jtag_tap_fsm_stateNext_15,zz_jtag_tap_fsm_stateNext) + begin + case jtag_tap_fsm_state is + when pkg_enum.IDLE => + zz_jtag_tap_fsm_stateNext_16 <= zz_jtag_tap_fsm_stateNext_1; + when pkg_enum.IR_SELECT => + zz_jtag_tap_fsm_stateNext_16 <= zz_jtag_tap_fsm_stateNext_2; + when pkg_enum.IR_CAPTURE => + zz_jtag_tap_fsm_stateNext_16 <= zz_jtag_tap_fsm_stateNext_3; + when pkg_enum.IR_SHIFT => + zz_jtag_tap_fsm_stateNext_16 <= zz_jtag_tap_fsm_stateNext_4; + when pkg_enum.IR_EXIT1 => + zz_jtag_tap_fsm_stateNext_16 <= zz_jtag_tap_fsm_stateNext_5; + when pkg_enum.IR_PAUSE => + zz_jtag_tap_fsm_stateNext_16 <= zz_jtag_tap_fsm_stateNext_6; + when pkg_enum.IR_EXIT2 => + zz_jtag_tap_fsm_stateNext_16 <= zz_jtag_tap_fsm_stateNext_7; + when pkg_enum.IR_UPDATE => + zz_jtag_tap_fsm_stateNext_16 <= zz_jtag_tap_fsm_stateNext_8; + when pkg_enum.DR_SELECT => + zz_jtag_tap_fsm_stateNext_16 <= zz_jtag_tap_fsm_stateNext_9; + when pkg_enum.DR_CAPTURE => + zz_jtag_tap_fsm_stateNext_16 <= zz_jtag_tap_fsm_stateNext_10; + when pkg_enum.DR_SHIFT => + zz_jtag_tap_fsm_stateNext_16 <= zz_jtag_tap_fsm_stateNext_11; + when pkg_enum.DR_EXIT1 => + zz_jtag_tap_fsm_stateNext_16 <= zz_jtag_tap_fsm_stateNext_12; + when pkg_enum.DR_PAUSE => + zz_jtag_tap_fsm_stateNext_16 <= zz_jtag_tap_fsm_stateNext_13; + when pkg_enum.DR_EXIT2 => + zz_jtag_tap_fsm_stateNext_16 <= zz_jtag_tap_fsm_stateNext_14; + when pkg_enum.DR_UPDATE => + zz_jtag_tap_fsm_stateNext_16 <= zz_jtag_tap_fsm_stateNext_15; + when others => + zz_jtag_tap_fsm_stateNext_16 <= zz_jtag_tap_fsm_stateNext; + end case; + end process; + + jtag_tap_fsm_stateNext <= zz_jtag_tap_fsm_stateNext_16; + process(jtag_tap_bypass,jtag_tap_fsm_state,jtag_tap_tdoIr,jtag_tap_isBypass,jtag_tap_tdoDr) + begin + jtag_tap_tdoUnbufferd <= jtag_tap_bypass; + case jtag_tap_fsm_state is + when pkg_enum.IR_SHIFT => + jtag_tap_tdoUnbufferd <= jtag_tap_tdoIr; + when pkg_enum.DR_SHIFT => + if jtag_tap_isBypass = '1' then + jtag_tap_tdoUnbufferd <= jtag_tap_bypass; + else + jtag_tap_tdoUnbufferd <= jtag_tap_tdoDr; + end if; + when others => + end case; + end process; + + process(jtag_idcodeArea_ctrl_enable,jtag_idcodeArea_ctrl_tdo,jtag_writeArea_ctrl_enable,jtag_writeArea_ctrl_tdo,jtag_readArea_ctrl_enable,jtag_readArea_ctrl_tdo) + begin + jtag_tap_tdoDr <= pkg_toStdLogic(false); + if jtag_idcodeArea_ctrl_enable = '1' then + jtag_tap_tdoDr <= jtag_idcodeArea_ctrl_tdo; + end if; + if jtag_writeArea_ctrl_enable = '1' then + jtag_tap_tdoDr <= jtag_writeArea_ctrl_tdo; + end if; + if jtag_readArea_ctrl_enable = '1' then + jtag_tap_tdoDr <= jtag_readArea_ctrl_tdo; + end if; + end process; + + jtag_tap_tdoIr <= pkg_extract(jtag_tap_instructionShift,0); + jtag_tap_isBypass <= pkg_toStdLogic(signed(jtag_tap_instruction) = pkg_signed("1111")); + io_jtag_tdo <= jtag_tap_tdoUnbufferd_regNext; + jtag_idcodeArea_ctrl_tdo <= pkg_extract(jtag_idcodeArea_shifter,0); + jtag_idcodeArea_ctrl_tdi <= io_jtag_tdi; + jtag_idcodeArea_ctrl_enable <= pkg_toStdLogic(jtag_tap_instruction = pkg_stdLogicVector("0001")); + jtag_idcodeArea_ctrl_capture <= pkg_toStdLogic(jtag_tap_fsm_state = pkg_enum.DR_CAPTURE); + jtag_idcodeArea_ctrl_shift <= pkg_toStdLogic(jtag_tap_fsm_state = pkg_enum.DR_SHIFT); + jtag_idcodeArea_ctrl_update <= pkg_toStdLogic(jtag_tap_fsm_state = pkg_enum.DR_UPDATE); + jtag_idcodeArea_ctrl_reset <= pkg_toStdLogic(jtag_tap_fsm_state = pkg_enum.RESET); + when_JtagTap_l120 <= pkg_toStdLogic(jtag_tap_fsm_state = pkg_enum.RESET); + jtag_writeArea_source_valid <= jtag_writeArea_valid; + jtag_writeArea_source_payload_last <= (not (jtag_writeArea_ctrl_enable and jtag_writeArea_ctrl_shift)); + jtag_writeArea_source_payload_fragment(0) <= jtag_writeArea_data; + system_cmd_valid <= flowCCByToggle_1_io_output_valid; + system_cmd_payload_last <= flowCCByToggle_1_io_output_payload_last; + system_cmd_payload_fragment <= flowCCByToggle_1_io_output_payload_fragment; + jtag_writeArea_ctrl_tdo <= pkg_toStdLogic(false); + jtag_writeArea_ctrl_tdi <= io_jtag_tdi; + jtag_writeArea_ctrl_enable <= pkg_toStdLogic(jtag_tap_instruction = pkg_stdLogicVector("0010")); + jtag_writeArea_ctrl_capture <= pkg_toStdLogic(jtag_tap_fsm_state = pkg_enum.DR_CAPTURE); + jtag_writeArea_ctrl_shift <= pkg_toStdLogic(jtag_tap_fsm_state = pkg_enum.DR_SHIFT); + jtag_writeArea_ctrl_update <= pkg_toStdLogic(jtag_tap_fsm_state = pkg_enum.DR_UPDATE); + jtag_writeArea_ctrl_reset <= pkg_toStdLogic(jtag_tap_fsm_state = pkg_enum.RESET); + jtag_readArea_ctrl_tdo <= pkg_extract(jtag_readArea_full_shifter,0); + jtag_readArea_ctrl_tdi <= io_jtag_tdi; + jtag_readArea_ctrl_enable <= pkg_toStdLogic(jtag_tap_instruction = pkg_stdLogicVector("0011")); + jtag_readArea_ctrl_capture <= pkg_toStdLogic(jtag_tap_fsm_state = pkg_enum.DR_CAPTURE); + jtag_readArea_ctrl_shift <= pkg_toStdLogic(jtag_tap_fsm_state = pkg_enum.DR_SHIFT); + jtag_readArea_ctrl_update <= pkg_toStdLogic(jtag_tap_fsm_state = pkg_enum.DR_UPDATE); + jtag_readArea_ctrl_reset <= pkg_toStdLogic(jtag_tap_fsm_state = pkg_enum.RESET); + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + if io_remote_cmd_valid_read_buffer = '1' then + system_rsp_valid <= pkg_toStdLogic(false); + end if; + if io_remote_rsp_fire = '1' then + system_rsp_valid <= pkg_toStdLogic(true); + system_rsp_payload_error <= io_remote_rsp_payload_error; + system_rsp_payload_data <= io_remote_rsp_payload_data; + end if; + end if; + end process; + + process(io_jtag_tck) + begin + if rising_edge(io_jtag_tck) then + jtag_tap_fsm_state <= jtag_tap_fsm_stateNext; + jtag_tap_bypass <= io_jtag_tdi; + case jtag_tap_fsm_state is + when pkg_enum.IR_CAPTURE => + jtag_tap_instructionShift <= pkg_resize(pkg_stdLogicVector("01"),4); + when pkg_enum.IR_SHIFT => + jtag_tap_instructionShift <= pkg_shiftRight(pkg_cat(pkg_toStdLogicVector(io_jtag_tdi),jtag_tap_instructionShift),1); + when pkg_enum.IR_UPDATE => + jtag_tap_instruction <= jtag_tap_instructionShift; + when pkg_enum.DR_SHIFT => + jtag_tap_instructionShift <= pkg_shiftRight(pkg_cat(pkg_toStdLogicVector(io_jtag_tdi),jtag_tap_instructionShift),1); + when others => + end case; + if jtag_idcodeArea_ctrl_enable = '1' then + if jtag_idcodeArea_ctrl_shift = '1' then + jtag_idcodeArea_shifter <= pkg_shiftRight(pkg_cat(pkg_toStdLogicVector(jtag_idcodeArea_ctrl_tdi),jtag_idcodeArea_shifter),1); + end if; + end if; + if jtag_idcodeArea_ctrl_capture = '1' then + jtag_idcodeArea_shifter <= pkg_stdLogicVector("00010000000000000001111111111111"); + end if; + if when_JtagTap_l120 = '1' then + jtag_tap_instruction <= pkg_stdLogicVector("0001"); + end if; + jtag_writeArea_valid <= (jtag_writeArea_ctrl_enable and jtag_writeArea_ctrl_shift); + jtag_writeArea_data <= jtag_writeArea_ctrl_tdi; + if jtag_readArea_ctrl_enable = '1' then + if jtag_readArea_ctrl_capture = '1' then + jtag_readArea_full_shifter <= pkg_cat(pkg_cat(system_rsp_payload_data,pkg_toStdLogicVector(system_rsp_payload_error)),pkg_toStdLogicVector(system_rsp_valid)); + end if; + if jtag_readArea_ctrl_shift = '1' then + jtag_readArea_full_shifter <= pkg_shiftRight(pkg_cat(pkg_toStdLogicVector(jtag_readArea_ctrl_tdi),jtag_readArea_full_shifter),1); + end if; + end if; + end if; + end process; + + process(io_jtag_tck) + begin + if falling_edge(io_jtag_tck) then + jtag_tap_tdoUnbufferd_regNext <= jtag_tap_tdoUnbufferd; + end if; + end process; + +end arch; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.pkg_scala2hdl.all; +use work.all; +use work.pkg_enum.all; + + +entity SystemDebugger is + port( + io_remote_cmd_valid : in std_logic; + io_remote_cmd_ready : out std_logic; + io_remote_cmd_payload_last : in std_logic; + io_remote_cmd_payload_fragment : in std_logic_vector(0 downto 0); + io_remote_rsp_valid : out std_logic; + io_remote_rsp_ready : in std_logic; + io_remote_rsp_payload_error : out std_logic; + io_remote_rsp_payload_data : out std_logic_vector(31 downto 0); + io_mem_cmd_valid : out std_logic; + io_mem_cmd_ready : in std_logic; + io_mem_cmd_payload_address : out unsigned(31 downto 0); + io_mem_cmd_payload_data : out std_logic_vector(31 downto 0); + io_mem_cmd_payload_wr : out std_logic; + io_mem_cmd_payload_size : out unsigned(1 downto 0); + io_mem_rsp_valid : in std_logic; + io_mem_rsp_payload : in std_logic_vector(31 downto 0); + io_mainClk : in std_logic; + resetCtrl_mainClkReset : in std_logic + ); +end SystemDebugger; + +architecture arch of SystemDebugger is + signal io_mem_cmd_valid_read_buffer : std_logic; + + signal dispatcher_dataShifter : std_logic_vector(66 downto 0); + signal dispatcher_dataLoaded : std_logic; + signal dispatcher_headerShifter : std_logic_vector(7 downto 0); + signal dispatcher_header : std_logic_vector(7 downto 0); + signal dispatcher_headerLoaded : std_logic; + signal dispatcher_counter : unsigned(2 downto 0); + signal when_Fragment_l346 : std_logic; + signal when_Fragment_l349 : std_logic; + signal zz_io_mem_cmd_payload_address : std_logic_vector(66 downto 0); + signal io_mem_cmd_isStall : std_logic; + signal when_Fragment_l372 : std_logic; +begin + io_mem_cmd_valid <= io_mem_cmd_valid_read_buffer; + dispatcher_header <= pkg_extract(dispatcher_headerShifter,7,0); + when_Fragment_l346 <= pkg_toStdLogic(dispatcher_headerLoaded = pkg_toStdLogic(false)); + when_Fragment_l349 <= pkg_toStdLogic(dispatcher_counter = pkg_unsigned("111")); + io_remote_cmd_ready <= (not dispatcher_dataLoaded); + zz_io_mem_cmd_payload_address <= pkg_extract(dispatcher_dataShifter,66,0); + io_mem_cmd_payload_address <= unsigned(pkg_extract(zz_io_mem_cmd_payload_address,31,0)); + io_mem_cmd_payload_data <= pkg_extract(zz_io_mem_cmd_payload_address,63,32); + io_mem_cmd_payload_wr <= pkg_extract(zz_io_mem_cmd_payload_address,64); + io_mem_cmd_payload_size <= unsigned(pkg_extract(zz_io_mem_cmd_payload_address,66,65)); + io_mem_cmd_valid_read_buffer <= (dispatcher_dataLoaded and pkg_toStdLogic(dispatcher_header = pkg_stdLogicVector("00000000"))); + io_mem_cmd_isStall <= (io_mem_cmd_valid_read_buffer and (not io_mem_cmd_ready)); + when_Fragment_l372 <= ((dispatcher_headerLoaded and dispatcher_dataLoaded) and (not io_mem_cmd_isStall)); + io_remote_rsp_valid <= io_mem_rsp_valid; + io_remote_rsp_payload_error <= pkg_toStdLogic(false); + io_remote_rsp_payload_data <= io_mem_rsp_payload; + process(io_mainClk, resetCtrl_mainClkReset) + begin + if resetCtrl_mainClkReset = '1' then + dispatcher_dataLoaded <= pkg_toStdLogic(false); + dispatcher_headerLoaded <= pkg_toStdLogic(false); + dispatcher_counter <= pkg_unsigned("000"); + elsif rising_edge(io_mainClk) then + if io_remote_cmd_valid = '1' then + if when_Fragment_l346 = '1' then + dispatcher_counter <= (dispatcher_counter + pkg_unsigned("001")); + if when_Fragment_l349 = '1' then + dispatcher_headerLoaded <= pkg_toStdLogic(true); + end if; + end if; + if io_remote_cmd_payload_last = '1' then + dispatcher_headerLoaded <= pkg_toStdLogic(true); + dispatcher_dataLoaded <= pkg_toStdLogic(true); + dispatcher_counter <= pkg_unsigned("000"); + end if; + end if; + if when_Fragment_l372 = '1' then + dispatcher_headerLoaded <= pkg_toStdLogic(false); + dispatcher_dataLoaded <= pkg_toStdLogic(false); + end if; + end if; + end process; + + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + if io_remote_cmd_valid = '1' then + if when_Fragment_l346 = '1' then + dispatcher_headerShifter <= pkg_shiftRight(pkg_cat(io_remote_cmd_payload_fragment,dispatcher_headerShifter),1); + else + dispatcher_dataShifter <= pkg_shiftRight(pkg_cat(io_remote_cmd_payload_fragment,dispatcher_dataShifter),1); + end if; + end if; + end if; + end process; + +end arch; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.pkg_scala2hdl.all; +use work.all; +use work.pkg_enum.all; + + +entity MuraxPipelinedMemoryBusRam is + port( + io_bus_cmd_valid : in std_logic; + io_bus_cmd_ready : out std_logic; + io_bus_cmd_payload_write : in std_logic; + io_bus_cmd_payload_address : in unsigned(31 downto 0); + io_bus_cmd_payload_data : in std_logic_vector(31 downto 0); + io_bus_cmd_payload_mask : in std_logic_vector(3 downto 0); + io_bus_rsp_valid : out std_logic; + io_bus_rsp_payload_data : out std_logic_vector(31 downto 0); + io_mainClk : in std_logic; + resetCtrl_systemReset : in std_logic + ); +end MuraxPipelinedMemoryBusRam; + +architecture arch of MuraxPipelinedMemoryBusRam is + signal zz_ram_port0 : std_logic_vector(31 downto 0); + signal io_bus_cmd_ready_read_buffer : std_logic; + signal zz_ram_port : unsigned(9 downto 0); + signal zz_io_bus_rsp_payload_data_2 : unsigned(9 downto 0); + + signal io_bus_cmd_fire : std_logic; + signal zz_io_bus_rsp_valid : std_logic; + signal zz_io_bus_rsp_payload_data : unsigned(29 downto 0); + signal zz_io_bus_rsp_payload_data_1 : std_logic_vector(31 downto 0); + type ram_type is array (0 to 1023) of std_logic_vector(7 downto 0); + signal ram_symbol0 : ram_type := ( + "01101111","00010011","00010011","00010011","00010011","00010011","00010011","00010011","00100011","00100011","00100011","00100011","00100011","00100011","00100011","00100011", + "00100011","00100011","00100011","00100011","00100011","00100011","00100011","00100011","00010011","11101111","10000011","10000011","00000011","10000011","00000011","10000011", + "00000011","10000011","00000011","10000011","00000011","10000011","00000011","10000011","00000011","10000011","00010011","01110011","10010111","10010011","00010011","00010111", + "00010011","10010111","10010011","01100011","00100011","00010011","01101111","00010111","00010011","00010011","10010111","10010011","01100011","10000011","00010011","00100011", + "11100111","00000011","01101111","00010011","00110111","00010011","01110011","00110111","00010011","01110011","11101111","01101111","00010011","00100011","00010011","00100011", + "10000011","00100011","10000011","00100011","00010011","00000011","00010011","01100111","00010011","00100011","00010011","00100011","00010011","00000011","00010011","01100111", + "00010011","00100011","00010011","00100011","10000011","00100011","10000011","00010011","00100011","00010011","00000011","00010011","01100111","00010011","00100011","00010011", + "00100011","10000011","10000011","10010011","10010011","00010011","00000011","00010011","01100111","00010011","00100011","00010011","00100011","10000011","10000011","10010011", + "00010011","00000011","00010011","01100111","00010011","00100011","00100011","00010011","00100011","00100011","00010011","00000011","11101111","10010011","11100011","10000011", + "00000011","00100011","00010011","10000011","00000011","00010011","01100111","00010011","00100011","00010011","00100011","00100011","10000011","00000011","10000011","00100011", + "10000011","10000011","00010011","10000011","10000011","10010011","00110011","10000011","10000011","10010011","00110011","10000011","00100011","00010011","00000011","00010011", + "01100111","00010011","00100011","00100011","00010011","10010011","00100011","10010011","00100011","10010011","00100011","00100011","10110111","10010011","00000011","10000011", + "00000011","00000011","10000011","00000011","00000011","10000011","00000011","10000011","00000011","10000011","00100011","00100011","00100011","00100011","00100011","00100011", + "00100011","00100011","00100011","00100011","00100011","00100011","00100011","10110111","00010011","11101111","00110111","11101111","10110111","00010011","11101111","10110111", + "00110111","00010011","00100011","10110111","10010011","00010011","00100011","10110111","10010011","00110111","00010011","00100011","10110111","10010011","00010011","00100011", + "10110111","10010011","00010011","00100011","10110111","00010011","00100011","10110111","00100011","10110111","00010011","00100011","10110111","00010011","00100011","10000011", + "00000011","10110011","00100011","00000011","10000011","10110011","00000011","10110011","00100011","00100011","01101111","10000011","10010011","00100011","00000011","10110111", + "10010011","11100011","00000011","10010011","01100011","00100011","01101111","10000011","10010011","00100011","10000011","00010011","10110011","00000011","10110111","00100011", + "10110111","10000011","10010011","10110111","10000011","10010011","00010011","10110111","00110011","00100011","01101111","00010011","00100011","00010011","10110111","10010011", + "10000011","10010011","01100011","10110111","00000011","10110111","00010011","00100011","10110111","10010011","00010011","00100011","01101111","10110111","00000011","10110111", + "00010011","00100011","10110111","10000011","10010011","11100011","00010011","00010011","00000011","00010011","01100111","01001100","01100101","01110010","00100001","01110010", + "10111100","01101000","01000100","01100101","00100000","01100101","01101001","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000"); + signal ram_symbol1 : ram_type := ( + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00101110","00101100","00101010","00101000","00100110","00100100","00100010","00100000", + "00101110","00101100","00101010","00101000","00100110","00100100","00100010","00100000","00000001","00000000","00100000","00100010","00100011","00100011","00100101","00100101", + "00100110","00100110","00100111","00100111","00101000","00101000","00101110","00101110","00101111","00101111","00000001","00000000","00010001","10000001","10000001","00000101", + "00000101","00000101","10000101","00001000","00100000","00000101","11110000","00000101","00000101","00000001","00000101","10000101","00001110","00100110","00000101","00100000", + "10000000","00100101","11110000","00000001","00010101","00000101","00010000","00100101","00000101","00010000","00000000","00000000","00000001","00101110","00000100","00100110", + "00100111","10100000","00100111","10100100","00000000","00100100","00000001","10000000","00000001","00101110","00000100","00100110","00000000","00100100","00000001","10000000", + "00000001","00101110","00000100","00100110","00100111","10100010","00100111","00000111","10100000","00000000","00100100","00000001","10000000","00000001","00101110","00000100", + "00100110","00100111","10100111","11010111","11110111","10000101","00100100","00000001","10000000","00000001","00101110","00000100","00100110","00100111","10100111","11010111", + "10000101","00100100","00000001","10000000","00000001","00101110","00101100","00000100","00100110","00100100","00000000","00100101","11110000","00000111","10001010","00100111", + "00100111","10100000","00000000","00100000","00100100","00000001","10000000","00000001","00101110","00000100","00100110","00100100","00100111","10100111","00100111","10100100", + "00100111","10100111","10000111","00100111","10100111","10010111","01100111","00100111","10100111","10010111","01100111","00100111","10100110","00000000","00100100","00000001", + "10000000","00000001","00101110","00101100","00000100","00000111","00100000","00000111","00101110","00000111","00101100","00100110","00000111","10000111","10101111","10101110", + "10101110","10100011","10101000","10101000","10100101","10100101","10100110","10100110","10100111","10100111","00100100","00100110","00101000","00101010","00101100","00101110", + "00100000","00100010","00100100","00100110","00101000","00101010","00100100","00000111","10000101","11110000","00000101","11110000","00000111","10000101","11110000","00000111", + "11000111","00000111","10100000","00000111","10000111","00000111","10100010","00000111","10000111","00000111","00000111","10100000","00000111","10000111","00000111","10100000", + "00000111","10000111","00000111","10100010","00000111","00000111","10100100","00000111","10100010","00000111","00000111","10100010","00000111","00000111","10100000","00100111", + "00100111","00000111","00100110","00100111","00100111","00000111","00100111","00000111","00100110","00100010","00000000","00100111","10000111","00100010","00100111","00010111", + "10000111","11110100","00100111","00000111","00010110","00100100","00000000","00100111","10000111","00100100","00100111","00000111","00000111","11000111","00000111","10100000", + "00000111","10100111","11110110","00000111","10100111","10000111","11110111","00000111","11100111","10100010","11110000","00000001","00100110","00000100","00000111","10000111", + "10100111","11110111","10000000","00000111","10100111","00000111","01000111","10100010","00000111","10000111","00000111","10100000","00000000","00000111","10100111","00000111", + "01110111","10100000","00000111","10100111","11110111","10010000","00000000","00000000","00100100","00000001","10000000","01101001","01110010","01100001","00100000","00100000", + "01101110","01100101","01101001","01101001","01100111","00100000","01110100","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000"); + signal ram_symbol2 : ram_type := ( + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00010001","01010001","01100001","01110001","10100001","10110001","11000001","11010001", + "11100001","11110001","00000001","00010001","11000001","11010001","11100001","11110001","00000001","10000000","11000001","10000001","01000001","00000001","11000001","10000001", + "01000001","00000001","11000001","10000001","01000001","00000001","11000001","10000001","01000001","00000001","00000001","00100000","00000000","00000001","00000001","00000000", + "01000101","00000000","11000101","10110101","00000101","01000101","01011111","00000000","00000101","11000001","00000000","01000101","10110101","00000101","01000101","10100001", + "00000110","00000001","00011111","01000001","00000000","00000101","01000101","00000000","10000101","00000101","11000000","00000000","00000001","10000001","00000001","10100100", + "11000100","00000111","11000100","00000111","00000000","11000001","00000001","00000000","00000001","10000001","00000001","10100100","00000000","11000001","00000001","00000000", + "00000001","10000001","00000001","10100100","11000100","00000111","11000100","11110000","11100111","00000000","11000001","00000001","00000000","00000001","10000001","00000001", + "10100100","11000100","01000111","00000111","11110111","00000111","11000001","00000001","00000000","00000001","10000001","00000001","10100100","11000100","01000111","10000111", + "00000111","11000001","00000001","00000000","00000001","00010001","10000001","00000001","10100100","10110100","00000000","11000100","01011111","00000101","00000111","11000100", + "10000100","11100111","00000000","11000001","10000001","00000001","00000000","00000001","10000001","00000001","10100100","10110100","10000100","11000111","11000100","11100111", + "10000100","00000111","11110111","10000100","01000111","10000111","11110111","10000100","10000111","00000111","11110111","11000100","11100111","00000000","11000001","00000001", + "00000000","00000001","00010001","10000001","00000001","00010000","11110100","00100000","11110100","00110000","11110100","00000100","00000000","11000111","00000111","01000111", + "10000111","11000111","00000111","01000111","10000111","11000111","00000111","01000111","10000111","11000111","11100100","11010100","11000100","01100100","00010100","00000100", + "10100100","10110100","11000100","11010100","11100100","11110100","00000100","00000010","00000111","11011111","00000010","01011111","00000010","00000111","10011111","00000010", + "00000000","11110111","11100111","00000010","00000111","01110000","11100111","00000010","00000111","00000001","00100111","11100111","00000010","00000111","11110000","11100111", + "00000010","00000111","00010000","11100111","00000000","11110000","11100111","00000000","00000111","00000001","00100000","11100111","00000001","00010000","11100111","00000100", + "11000100","11110111","11110100","11000100","10000100","11110111","11000100","11110111","11110100","00000100","00000000","01000100","00010111","11110100","01000100","00000011", + "11110111","11100111","10000100","11110000","11110111","00000100","00000000","10000100","00010111","11110100","10000100","00000100","11110111","10000111","00000001","11100111", + "00000000","01000111","00000111","00000000","01000111","00010111","11110111","00000000","11100110","11100111","01011111","00000001","10000001","00000001","00000010","00000111", + "00000111","00010111","00000111","00000000","01000111","00000000","00000111","11100111","00000010","00000111","00010000","11100111","10000000","00000001","00000111","00000001", + "11110111","11100111","00000001","01000111","00000111","00000111","00000000","00000000","11000001","00000001","00000000","01100101","00100000","01101110","01010111","01110111", + "01110011","01101110","01110010","01101110","01110101","01011010","00100001","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000"); + signal ram_symbol3 : ram_type := ( + "00001011","00000000","00000000","00000000","00000000","00000000","00000000","00000000","11111110","11111110","11111110","11111110","11111110","11111110","11111110","11111110", + "11111100","11111100","11111101","11111101","11111101","11111101","11111101","11111101","11111100","01000100","00000011","00000011","00000011","00000011","00000010","00000010", + "00000010","00000010","00000001","00000001","00000001","00000001","00000000","00000000","00000000","00000000","00000100","00110000","00000000","11001011","10100000","00000000", + "01001010","00000000","01001001","00000000","00000000","00000000","11111111","00000000","01001000","11111111","00000000","01000111","00000000","00000000","00000000","00000000", + "00000000","00000000","11111110","00000000","00000000","10001000","00110000","00000000","10000000","00110000","00011001","00000000","11111110","00000000","00000010","11111110", + "11111110","00000000","11111110","00000000","00000000","00000001","00000010","00000000","11111110","00000000","00000010","11111110","00000000","00000001","00000010","00000000", + "11111110","00000000","00000010","11111110","11111110","00000000","11111110","11111111","00000000","00000000","00000001","00000010","00000000","11111110","00000000","00000010", + "11111110","11111110","00000000","00000001","00001111","00000000","00000001","00000010","00000000","11111110","00000000","00000010","11111110","11111110","00000000","00000001", + "00000000","00000001","00000010","00000000","11111110","00000000","00000000","00000010","11111110","11111110","00000000","11111110","11111000","00000000","11111110","11111110", + "11111110","00000000","00000000","00000001","00000001","00000010","00000000","11111110","00000000","00000010","11111110","11111110","11111110","00000000","11111110","00000000", + "11111110","00000000","11111111","11111110","00000000","00000000","00000000","11111110","00000000","00000001","00000000","11111110","00000000","00000000","00000001","00000010", + "00000000","11111010","00000100","00000100","00000110","00000000","11111110","00000000","11111100","00000000","11111100","11111110","10000000","01010010","00000000","00000000", + "00000000","00000000","00000001","00000001","00000001","00000001","00000010","00000010","00000010","00000010","11111011","11111011","11111011","11111010","11111011","11111011", + "11111100","11111100","11111100","11111100","11111100","11111100","11111110","11110000","00000001","11100001","11110000","11011111","11110000","00000100","11011011","11110000", + "00000000","00110100","00000000","11110000","00000100","00111110","00000000","11110000","00000100","00000000","00000000","00000000","11110000","00000001","00000000","00000000", + "11110000","00000001","00000000","00000000","11110000","00001111","00000000","11110000","00000000","11110000","00000000","00000000","11110000","00000100","00000000","11111110", + "11111110","00000000","11111110","11111101","11111101","00000000","11111110","00000000","11111110","11111110","00000001","11111110","00000000","11111110","11111110","00000000", + "11010011","11111110","11111110","00000010","00000000","11111110","00000001","11111110","00000000","11111110","11111110","11111111","00000000","11111011","11110000","00000000", + "11110000","00000000","11111100","11110000","00000000","00000000","00000011","11110000","00000000","00000000","11110101","11111111","00000000","00000001","11110000","00000001", + "00000000","00000000","00000100","11110000","00000000","11110000","00001000","00000000","11110000","00000001","00000000","00000000","00000001","11110000","00000000","11110000", + "00001111","00000000","11110000","00000000","00100000","11111110","00000000","00000000","00000000","00000001","00000000","01100010","01000110","01111010","01101001","11000011", + "01100011","00100000","00100000","01100101","01110100","01100101","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", + "00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000"); + signal zz_1 : std_logic_vector(7 downto 0); + signal zz_2 : std_logic_vector(7 downto 0); + signal zz_3 : std_logic_vector(7 downto 0); + signal zz_4 : std_logic_vector(7 downto 0); +begin + io_bus_cmd_ready <= io_bus_cmd_ready_read_buffer; + zz_io_bus_rsp_payload_data_2 <= pkg_resize(zz_io_bus_rsp_payload_data,10); + process (zz_1, zz_2, zz_3, zz_4) + begin + zz_ram_port0 <= zz_4 & zz_3 & zz_2 & zz_1; + end process; + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + if io_bus_cmd_valid = '1' then + zz_1 <= ram_symbol0(to_integer(zz_io_bus_rsp_payload_data_2)); + zz_2 <= ram_symbol1(to_integer(zz_io_bus_rsp_payload_data_2)); + zz_3 <= ram_symbol2(to_integer(zz_io_bus_rsp_payload_data_2)); + zz_4 <= ram_symbol3(to_integer(zz_io_bus_rsp_payload_data_2)); + end if; + end if; + end process; + + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + if io_bus_cmd_payload_mask(0) = '1' and io_bus_cmd_valid = '1' and io_bus_cmd_payload_write = '1' then + ram_symbol0(to_integer(zz_io_bus_rsp_payload_data_2)) <= zz_io_bus_rsp_payload_data_1(7 downto 0); + end if; + if io_bus_cmd_payload_mask(1) = '1' and io_bus_cmd_valid = '1' and io_bus_cmd_payload_write = '1' then + ram_symbol1(to_integer(zz_io_bus_rsp_payload_data_2)) <= zz_io_bus_rsp_payload_data_1(15 downto 8); + end if; + if io_bus_cmd_payload_mask(2) = '1' and io_bus_cmd_valid = '1' and io_bus_cmd_payload_write = '1' then + ram_symbol2(to_integer(zz_io_bus_rsp_payload_data_2)) <= zz_io_bus_rsp_payload_data_1(23 downto 16); + end if; + if io_bus_cmd_payload_mask(3) = '1' and io_bus_cmd_valid = '1' and io_bus_cmd_payload_write = '1' then + ram_symbol3(to_integer(zz_io_bus_rsp_payload_data_2)) <= zz_io_bus_rsp_payload_data_1(31 downto 24); + end if; + end if; + end process; + + io_bus_cmd_fire <= (io_bus_cmd_valid and io_bus_cmd_ready_read_buffer); + io_bus_rsp_valid <= zz_io_bus_rsp_valid; + zz_io_bus_rsp_payload_data <= pkg_shiftRight(io_bus_cmd_payload_address,2); + zz_io_bus_rsp_payload_data_1 <= io_bus_cmd_payload_data; + io_bus_rsp_payload_data <= zz_ram_port0; + io_bus_cmd_ready_read_buffer <= pkg_toStdLogic(true); + process(io_mainClk, resetCtrl_systemReset) + begin + if resetCtrl_systemReset = '1' then + zz_io_bus_rsp_valid <= pkg_toStdLogic(false); + elsif rising_edge(io_mainClk) then + zz_io_bus_rsp_valid <= (io_bus_cmd_fire and (not io_bus_cmd_payload_write)); + end if; + end process; + +end arch; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.pkg_scala2hdl.all; +use work.all; +use work.pkg_enum.all; + + +entity PipelinedMemoryBusToApbBridge is + port( + io_pipelinedMemoryBus_cmd_valid : in std_logic; + io_pipelinedMemoryBus_cmd_ready : out std_logic; + io_pipelinedMemoryBus_cmd_payload_write : in std_logic; + io_pipelinedMemoryBus_cmd_payload_address : in unsigned(31 downto 0); + io_pipelinedMemoryBus_cmd_payload_data : in std_logic_vector(31 downto 0); + io_pipelinedMemoryBus_cmd_payload_mask : in std_logic_vector(3 downto 0); + io_pipelinedMemoryBus_rsp_valid : out std_logic; + io_pipelinedMemoryBus_rsp_payload_data : out std_logic_vector(31 downto 0); + io_apb_PADDR : out unsigned(19 downto 0); + io_apb_PSEL : out std_logic_vector(0 downto 0); + io_apb_PENABLE : out std_logic; + io_apb_PREADY : in std_logic; + io_apb_PWRITE : out std_logic; + io_apb_PWDATA : out std_logic_vector(31 downto 0); + io_apb_PRDATA : in std_logic_vector(31 downto 0); + io_apb_PSLVERROR : in std_logic; + io_mainClk : in std_logic; + resetCtrl_systemReset : in std_logic + ); +end PipelinedMemoryBusToApbBridge; + +architecture arch of PipelinedMemoryBusToApbBridge is + signal io_pipelinedMemoryBus_cmd_ready_read_buffer : std_logic; + + signal pipelinedMemoryBusStage_cmd_valid : std_logic; + signal pipelinedMemoryBusStage_cmd_ready : std_logic; + signal pipelinedMemoryBusStage_cmd_payload_write : std_logic; + signal pipelinedMemoryBusStage_cmd_payload_address : unsigned(31 downto 0); + signal pipelinedMemoryBusStage_cmd_payload_data : std_logic_vector(31 downto 0); + signal pipelinedMemoryBusStage_cmd_payload_mask : std_logic_vector(3 downto 0); + signal pipelinedMemoryBusStage_rsp_valid : std_logic; + signal pipelinedMemoryBusStage_rsp_payload_data : std_logic_vector(31 downto 0); + signal io_pipelinedMemoryBus_cmd_halfPipe_valid : std_logic; + signal io_pipelinedMemoryBus_cmd_halfPipe_ready : std_logic; + signal io_pipelinedMemoryBus_cmd_halfPipe_payload_write : std_logic; + signal io_pipelinedMemoryBus_cmd_halfPipe_payload_address : unsigned(31 downto 0); + signal io_pipelinedMemoryBus_cmd_halfPipe_payload_data : std_logic_vector(31 downto 0); + signal io_pipelinedMemoryBus_cmd_halfPipe_payload_mask : std_logic_vector(3 downto 0); + signal io_pipelinedMemoryBus_cmd_rValid : std_logic; + signal io_pipelinedMemoryBus_cmd_halfPipe_fire : std_logic; + signal io_pipelinedMemoryBus_cmd_rData_write : std_logic; + signal io_pipelinedMemoryBus_cmd_rData_address : unsigned(31 downto 0); + signal io_pipelinedMemoryBus_cmd_rData_data : std_logic_vector(31 downto 0); + signal io_pipelinedMemoryBus_cmd_rData_mask : std_logic_vector(3 downto 0); + signal pipelinedMemoryBusStage_rsp_regNext_valid : std_logic; + signal pipelinedMemoryBusStage_rsp_regNext_payload_data : std_logic_vector(31 downto 0); + signal state : std_logic; + signal when_PipelinedMemoryBus_l369 : std_logic; +begin + io_pipelinedMemoryBus_cmd_ready <= io_pipelinedMemoryBus_cmd_ready_read_buffer; + io_pipelinedMemoryBus_cmd_halfPipe_fire <= (io_pipelinedMemoryBus_cmd_halfPipe_valid and io_pipelinedMemoryBus_cmd_halfPipe_ready); + io_pipelinedMemoryBus_cmd_ready_read_buffer <= (not io_pipelinedMemoryBus_cmd_rValid); + io_pipelinedMemoryBus_cmd_halfPipe_valid <= io_pipelinedMemoryBus_cmd_rValid; + io_pipelinedMemoryBus_cmd_halfPipe_payload_write <= io_pipelinedMemoryBus_cmd_rData_write; + io_pipelinedMemoryBus_cmd_halfPipe_payload_address <= io_pipelinedMemoryBus_cmd_rData_address; + io_pipelinedMemoryBus_cmd_halfPipe_payload_data <= io_pipelinedMemoryBus_cmd_rData_data; + io_pipelinedMemoryBus_cmd_halfPipe_payload_mask <= io_pipelinedMemoryBus_cmd_rData_mask; + pipelinedMemoryBusStage_cmd_valid <= io_pipelinedMemoryBus_cmd_halfPipe_valid; + io_pipelinedMemoryBus_cmd_halfPipe_ready <= pipelinedMemoryBusStage_cmd_ready; + pipelinedMemoryBusStage_cmd_payload_write <= io_pipelinedMemoryBus_cmd_halfPipe_payload_write; + pipelinedMemoryBusStage_cmd_payload_address <= io_pipelinedMemoryBus_cmd_halfPipe_payload_address; + pipelinedMemoryBusStage_cmd_payload_data <= io_pipelinedMemoryBus_cmd_halfPipe_payload_data; + pipelinedMemoryBusStage_cmd_payload_mask <= io_pipelinedMemoryBus_cmd_halfPipe_payload_mask; + io_pipelinedMemoryBus_rsp_valid <= pipelinedMemoryBusStage_rsp_regNext_valid; + io_pipelinedMemoryBus_rsp_payload_data <= pipelinedMemoryBusStage_rsp_regNext_payload_data; + process(when_PipelinedMemoryBus_l369,io_apb_PREADY) + begin + pipelinedMemoryBusStage_cmd_ready <= pkg_toStdLogic(false); + if when_PipelinedMemoryBus_l369 = '0' then + if io_apb_PREADY = '1' then + pipelinedMemoryBusStage_cmd_ready <= pkg_toStdLogic(true); + end if; + end if; + end process; + + io_apb_PSEL(0) <= pipelinedMemoryBusStage_cmd_valid; + io_apb_PENABLE <= state; + io_apb_PWRITE <= pipelinedMemoryBusStage_cmd_payload_write; + io_apb_PADDR <= pkg_resize(pipelinedMemoryBusStage_cmd_payload_address,20); + io_apb_PWDATA <= pipelinedMemoryBusStage_cmd_payload_data; + process(when_PipelinedMemoryBus_l369,io_apb_PREADY,pipelinedMemoryBusStage_cmd_payload_write) + begin + pipelinedMemoryBusStage_rsp_valid <= pkg_toStdLogic(false); + if when_PipelinedMemoryBus_l369 = '0' then + if io_apb_PREADY = '1' then + pipelinedMemoryBusStage_rsp_valid <= (not pipelinedMemoryBusStage_cmd_payload_write); + end if; + end if; + end process; + + pipelinedMemoryBusStage_rsp_payload_data <= io_apb_PRDATA; + when_PipelinedMemoryBus_l369 <= (not state); + process(io_mainClk, resetCtrl_systemReset) + begin + if resetCtrl_systemReset = '1' then + io_pipelinedMemoryBus_cmd_rValid <= pkg_toStdLogic(false); + pipelinedMemoryBusStage_rsp_regNext_valid <= pkg_toStdLogic(false); + state <= pkg_toStdLogic(false); + elsif rising_edge(io_mainClk) then + if io_pipelinedMemoryBus_cmd_valid = '1' then + io_pipelinedMemoryBus_cmd_rValid <= pkg_toStdLogic(true); + end if; + if io_pipelinedMemoryBus_cmd_halfPipe_fire = '1' then + io_pipelinedMemoryBus_cmd_rValid <= pkg_toStdLogic(false); + end if; + pipelinedMemoryBusStage_rsp_regNext_valid <= pipelinedMemoryBusStage_rsp_valid; + if when_PipelinedMemoryBus_l369 = '1' then + state <= pipelinedMemoryBusStage_cmd_valid; + else + if io_apb_PREADY = '1' then + state <= pkg_toStdLogic(false); + end if; + end if; + end if; + end process; + + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + if io_pipelinedMemoryBus_cmd_ready_read_buffer = '1' then + io_pipelinedMemoryBus_cmd_rData_write <= io_pipelinedMemoryBus_cmd_payload_write; + io_pipelinedMemoryBus_cmd_rData_address <= io_pipelinedMemoryBus_cmd_payload_address; + io_pipelinedMemoryBus_cmd_rData_data <= io_pipelinedMemoryBus_cmd_payload_data; + io_pipelinedMemoryBus_cmd_rData_mask <= io_pipelinedMemoryBus_cmd_payload_mask; + end if; + pipelinedMemoryBusStage_rsp_regNext_payload_data <= pipelinedMemoryBusStage_rsp_payload_data; + end if; + end process; + +end arch; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.pkg_scala2hdl.all; +use work.all; +use work.pkg_enum.all; + + +entity Apb3Gpio is + port( + io_apb_PADDR : in unsigned(3 downto 0); + io_apb_PSEL : in std_logic_vector(0 downto 0); + io_apb_PENABLE : in std_logic; + io_apb_PREADY : out std_logic; + io_apb_PWRITE : in std_logic; + io_apb_PWDATA : in std_logic_vector(31 downto 0); + io_apb_PRDATA : out std_logic_vector(31 downto 0); + io_apb_PSLVERROR : out std_logic; + io_gpio_read : in std_logic_vector(31 downto 0); + io_gpio_write : out std_logic_vector(31 downto 0); + io_gpio_writeEnable : out std_logic_vector(31 downto 0); + io_value : out std_logic_vector(31 downto 0); + io_mainClk : in std_logic; + resetCtrl_systemReset : in std_logic + ); +end Apb3Gpio; + +architecture arch of Apb3Gpio is + signal io_apb_PREADY_read_buffer : std_logic; + signal io_value_read_buffer : std_logic_vector(31 downto 0); + signal io_gpio_read_buffercc_io_dataOut : std_logic_vector(31 downto 0); + + signal ctrl_askWrite : std_logic; + signal ctrl_askRead : std_logic; + signal ctrl_doWrite : std_logic; + signal ctrl_doRead : std_logic; + signal io_gpio_write_driver : std_logic_vector(31 downto 0); + signal io_gpio_writeEnable_driver : std_logic_vector(31 downto 0); +begin + io_apb_PREADY <= io_apb_PREADY_read_buffer; + io_value <= io_value_read_buffer; + io_gpio_read_buffercc : entity work.BufferCC_2 + port map ( + io_dataIn => io_gpio_read, + io_dataOut => io_gpio_read_buffercc_io_dataOut, + io_mainClk => io_mainClk, + resetCtrl_systemReset => resetCtrl_systemReset + ); + io_value_read_buffer <= io_gpio_read_buffercc_io_dataOut; + io_apb_PREADY_read_buffer <= pkg_toStdLogic(true); + process(io_apb_PADDR,io_value_read_buffer,io_gpio_write_driver,io_gpio_writeEnable_driver) + begin + io_apb_PRDATA <= pkg_stdLogicVector("00000000000000000000000000000000"); + case io_apb_PADDR is + when "0000" => + io_apb_PRDATA(31 downto 0) <= io_value_read_buffer; + when "0100" => + io_apb_PRDATA(31 downto 0) <= io_gpio_write_driver; + when "1000" => + io_apb_PRDATA(31 downto 0) <= io_gpio_writeEnable_driver; + when others => + end case; + end process; + + io_apb_PSLVERROR <= pkg_toStdLogic(false); + ctrl_askWrite <= ((pkg_extract(io_apb_PSEL,0) and io_apb_PENABLE) and io_apb_PWRITE); + ctrl_askRead <= ((pkg_extract(io_apb_PSEL,0) and io_apb_PENABLE) and (not io_apb_PWRITE)); + ctrl_doWrite <= (((pkg_extract(io_apb_PSEL,0) and io_apb_PENABLE) and io_apb_PREADY_read_buffer) and io_apb_PWRITE); + ctrl_doRead <= (((pkg_extract(io_apb_PSEL,0) and io_apb_PENABLE) and io_apb_PREADY_read_buffer) and (not io_apb_PWRITE)); + io_gpio_write <= io_gpio_write_driver; + io_gpio_writeEnable <= io_gpio_writeEnable_driver; + process(io_mainClk, resetCtrl_systemReset) + begin + if resetCtrl_systemReset = '1' then + io_gpio_writeEnable_driver <= pkg_stdLogicVector("00000000000000000000000000000000"); + elsif rising_edge(io_mainClk) then + case io_apb_PADDR is + when "1000" => + if ctrl_doWrite = '1' then + io_gpio_writeEnable_driver <= pkg_extract(io_apb_PWDATA,31,0); + end if; + when others => + end case; + end if; + end process; + + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + case io_apb_PADDR is + when "0100" => + if ctrl_doWrite = '1' then + io_gpio_write_driver <= pkg_extract(io_apb_PWDATA,31,0); + end if; + when others => + end case; + end if; + end process; + +end arch; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.pkg_scala2hdl.all; +use work.all; +use work.pkg_enum.all; + + +entity Apb3UartCtrl is + port( + io_apb_PADDR : in unsigned(4 downto 0); + io_apb_PSEL : in std_logic_vector(0 downto 0); + io_apb_PENABLE : in std_logic; + io_apb_PREADY : out std_logic; + io_apb_PWRITE : in std_logic; + io_apb_PWDATA : in std_logic_vector(31 downto 0); + io_apb_PRDATA : out std_logic_vector(31 downto 0); + io_uart_txd : out std_logic; + io_uart_rxd : in std_logic; + io_interrupt : out std_logic; + io_mainClk : in std_logic; + resetCtrl_systemReset : in std_logic + ); +end Apb3UartCtrl; + +architecture arch of Apb3UartCtrl is + signal uartCtrl_1_io_read_queueWithOccupancy_io_pop_ready : std_logic; + signal io_apb_PREADY_read_buffer : std_logic; + signal uartCtrl_1_io_write_ready : std_logic; + signal uartCtrl_1_io_read_valid : std_logic; + signal uartCtrl_1_io_read_payload : std_logic_vector(7 downto 0); + signal uartCtrl_1_io_uart_txd : std_logic; + signal uartCtrl_1_io_readError : std_logic; + signal uartCtrl_1_io_readBreak : std_logic; + signal bridge_write_streamUnbuffered_queueWithOccupancy_io_push_ready : std_logic; + signal bridge_write_streamUnbuffered_queueWithOccupancy_io_pop_valid : std_logic; + signal bridge_write_streamUnbuffered_queueWithOccupancy_io_pop_payload : std_logic_vector(7 downto 0); + signal bridge_write_streamUnbuffered_queueWithOccupancy_io_occupancy : unsigned(4 downto 0); + signal bridge_write_streamUnbuffered_queueWithOccupancy_io_availability : unsigned(4 downto 0); + signal uartCtrl_1_io_read_queueWithOccupancy_io_push_ready : std_logic; + signal uartCtrl_1_io_read_queueWithOccupancy_io_pop_valid : std_logic; + signal uartCtrl_1_io_read_queueWithOccupancy_io_pop_payload : std_logic_vector(7 downto 0); + signal uartCtrl_1_io_read_queueWithOccupancy_io_occupancy : unsigned(4 downto 0); + signal uartCtrl_1_io_read_queueWithOccupancy_io_availability : unsigned(4 downto 0); + + signal busCtrl_askWrite : std_logic; + signal busCtrl_askRead : std_logic; + signal busCtrl_doWrite : std_logic; + signal busCtrl_doRead : std_logic; + signal bridge_uartConfigReg_frame_dataLength : unsigned(2 downto 0); + signal bridge_uartConfigReg_frame_stop : UartStopType_seq_type; + signal bridge_uartConfigReg_frame_parity : UartParityType_seq_type; + signal bridge_uartConfigReg_clockDivider : unsigned(19 downto 0); + signal zz_bridge_write_streamUnbuffered_valid : std_logic; + signal bridge_write_streamUnbuffered_valid : std_logic; + signal bridge_write_streamUnbuffered_ready : std_logic; + signal bridge_write_streamUnbuffered_payload : std_logic_vector(7 downto 0); + signal bridge_read_streamBreaked_valid : std_logic; + signal bridge_read_streamBreaked_ready : std_logic; + signal bridge_read_streamBreaked_payload : std_logic_vector(7 downto 0); + signal bridge_interruptCtrl_writeIntEnable : std_logic; + signal bridge_interruptCtrl_readIntEnable : std_logic; + signal bridge_interruptCtrl_readInt : std_logic; + signal bridge_interruptCtrl_writeInt : std_logic; + signal bridge_interruptCtrl_interrupt : std_logic; + signal bridge_misc_readError : std_logic; + signal when_BusSlaveFactory_l335 : std_logic; + signal when_BusSlaveFactory_l341 : std_logic; + signal bridge_misc_readOverflowError : std_logic; + signal when_BusSlaveFactory_l335_1 : std_logic; + signal when_BusSlaveFactory_l341_1 : std_logic; + signal uartCtrl_1_io_read_isStall : std_logic; + signal bridge_misc_breakDetected : std_logic; + signal uartCtrl_1_io_readBreak_regNext : std_logic; + signal when_UartCtrl_l155 : std_logic; + signal when_BusSlaveFactory_l335_2 : std_logic; + signal when_BusSlaveFactory_l341_2 : std_logic; + signal bridge_misc_doBreak : std_logic; + signal when_BusSlaveFactory_l371 : std_logic; + signal when_BusSlaveFactory_l373 : std_logic; + signal when_BusSlaveFactory_l335_3 : std_logic; + signal when_BusSlaveFactory_l341_3 : std_logic; + function zz_bridge_uartConfigReg_clockDivider return unsigned is + variable bridge_uartConfigReg_clockDivider : unsigned(19 downto 0); + begin + bridge_uartConfigReg_clockDivider := pkg_unsigned("00000000000000000000"); + bridge_uartConfigReg_clockDivider := pkg_unsigned("00000000000001010101"); + return bridge_uartConfigReg_clockDivider; + end function; +begin + io_apb_PREADY <= io_apb_PREADY_read_buffer; + uartCtrl_1 : entity work.UartCtrl + port map ( + io_config_frame_dataLength => bridge_uartConfigReg_frame_dataLength, + io_config_frame_stop => bridge_uartConfigReg_frame_stop, + io_config_frame_parity => bridge_uartConfigReg_frame_parity, + io_config_clockDivider => bridge_uartConfigReg_clockDivider, + io_write_valid => bridge_write_streamUnbuffered_queueWithOccupancy_io_pop_valid, + io_write_ready => uartCtrl_1_io_write_ready, + io_write_payload => bridge_write_streamUnbuffered_queueWithOccupancy_io_pop_payload, + io_read_valid => uartCtrl_1_io_read_valid, + io_read_ready => uartCtrl_1_io_read_queueWithOccupancy_io_push_ready, + io_read_payload => uartCtrl_1_io_read_payload, + io_uart_txd => uartCtrl_1_io_uart_txd, + io_uart_rxd => io_uart_rxd, + io_readError => uartCtrl_1_io_readError, + io_writeBreak => bridge_misc_doBreak, + io_readBreak => uartCtrl_1_io_readBreak, + io_mainClk => io_mainClk, + resetCtrl_systemReset => resetCtrl_systemReset + ); + bridge_write_streamUnbuffered_queueWithOccupancy : entity work.StreamFifo + port map ( + io_push_valid => bridge_write_streamUnbuffered_valid, + io_push_ready => bridge_write_streamUnbuffered_queueWithOccupancy_io_push_ready, + io_push_payload => bridge_write_streamUnbuffered_payload, + io_pop_valid => bridge_write_streamUnbuffered_queueWithOccupancy_io_pop_valid, + io_pop_ready => uartCtrl_1_io_write_ready, + io_pop_payload => bridge_write_streamUnbuffered_queueWithOccupancy_io_pop_payload, + io_flush => pkg_toStdLogic(false), + io_occupancy => bridge_write_streamUnbuffered_queueWithOccupancy_io_occupancy, + io_availability => bridge_write_streamUnbuffered_queueWithOccupancy_io_availability, + io_mainClk => io_mainClk, + resetCtrl_systemReset => resetCtrl_systemReset + ); + uartCtrl_1_io_read_queueWithOccupancy : entity work.StreamFifo + port map ( + io_push_valid => uartCtrl_1_io_read_valid, + io_push_ready => uartCtrl_1_io_read_queueWithOccupancy_io_push_ready, + io_push_payload => uartCtrl_1_io_read_payload, + io_pop_valid => uartCtrl_1_io_read_queueWithOccupancy_io_pop_valid, + io_pop_ready => uartCtrl_1_io_read_queueWithOccupancy_io_pop_ready, + io_pop_payload => uartCtrl_1_io_read_queueWithOccupancy_io_pop_payload, + io_flush => pkg_toStdLogic(false), + io_occupancy => uartCtrl_1_io_read_queueWithOccupancy_io_occupancy, + io_availability => uartCtrl_1_io_read_queueWithOccupancy_io_availability, + io_mainClk => io_mainClk, + resetCtrl_systemReset => resetCtrl_systemReset + ); + io_uart_txd <= uartCtrl_1_io_uart_txd; + io_apb_PREADY_read_buffer <= pkg_toStdLogic(true); + process(io_apb_PADDR,bridge_read_streamBreaked_valid,bridge_read_streamBreaked_payload,bridge_write_streamUnbuffered_queueWithOccupancy_io_occupancy,bridge_write_streamUnbuffered_queueWithOccupancy_io_pop_valid,uartCtrl_1_io_read_queueWithOccupancy_io_occupancy,bridge_interruptCtrl_writeIntEnable,bridge_interruptCtrl_readIntEnable,bridge_interruptCtrl_writeInt,bridge_interruptCtrl_readInt,bridge_misc_readError,bridge_misc_readOverflowError,uartCtrl_1_io_readBreak,bridge_misc_breakDetected) + begin + io_apb_PRDATA <= pkg_stdLogicVector("00000000000000000000000000000000"); + case io_apb_PADDR is + when "00000" => + io_apb_PRDATA(16 downto 16) <= pkg_toStdLogicVector((bridge_read_streamBreaked_valid xor pkg_toStdLogic(false))); + io_apb_PRDATA(7 downto 0) <= bridge_read_streamBreaked_payload; + when "00100" => + io_apb_PRDATA(20 downto 16) <= std_logic_vector((pkg_unsigned("10000") - bridge_write_streamUnbuffered_queueWithOccupancy_io_occupancy)); + io_apb_PRDATA(15 downto 15) <= pkg_toStdLogicVector(bridge_write_streamUnbuffered_queueWithOccupancy_io_pop_valid); + io_apb_PRDATA(28 downto 24) <= std_logic_vector(uartCtrl_1_io_read_queueWithOccupancy_io_occupancy); + io_apb_PRDATA(0 downto 0) <= pkg_toStdLogicVector(bridge_interruptCtrl_writeIntEnable); + io_apb_PRDATA(1 downto 1) <= pkg_toStdLogicVector(bridge_interruptCtrl_readIntEnable); + io_apb_PRDATA(8 downto 8) <= pkg_toStdLogicVector(bridge_interruptCtrl_writeInt); + io_apb_PRDATA(9 downto 9) <= pkg_toStdLogicVector(bridge_interruptCtrl_readInt); + when "10000" => + io_apb_PRDATA(0 downto 0) <= pkg_toStdLogicVector(bridge_misc_readError); + io_apb_PRDATA(1 downto 1) <= pkg_toStdLogicVector(bridge_misc_readOverflowError); + io_apb_PRDATA(8 downto 8) <= pkg_toStdLogicVector(uartCtrl_1_io_readBreak); + io_apb_PRDATA(9 downto 9) <= pkg_toStdLogicVector(bridge_misc_breakDetected); + when others => + end case; + end process; + + busCtrl_askWrite <= ((pkg_extract(io_apb_PSEL,0) and io_apb_PENABLE) and io_apb_PWRITE); + busCtrl_askRead <= ((pkg_extract(io_apb_PSEL,0) and io_apb_PENABLE) and (not io_apb_PWRITE)); + busCtrl_doWrite <= (((pkg_extract(io_apb_PSEL,0) and io_apb_PENABLE) and io_apb_PREADY_read_buffer) and io_apb_PWRITE); + busCtrl_doRead <= (((pkg_extract(io_apb_PSEL,0) and io_apb_PENABLE) and io_apb_PREADY_read_buffer) and (not io_apb_PWRITE)); + bridge_uartConfigReg_clockDivider <= zz_bridge_uartConfigReg_clockDivider; + bridge_uartConfigReg_frame_dataLength <= pkg_unsigned("111"); + bridge_uartConfigReg_frame_parity <= UartParityType_seq_NONE; + bridge_uartConfigReg_frame_stop <= UartStopType_seq_ONE; + process(io_apb_PADDR,busCtrl_doWrite) + begin + zz_bridge_write_streamUnbuffered_valid <= pkg_toStdLogic(false); + case io_apb_PADDR is + when "00000" => + if busCtrl_doWrite = '1' then + zz_bridge_write_streamUnbuffered_valid <= pkg_toStdLogic(true); + end if; + when others => + end case; + end process; + + bridge_write_streamUnbuffered_valid <= zz_bridge_write_streamUnbuffered_valid; + bridge_write_streamUnbuffered_payload <= pkg_extract(io_apb_PWDATA,7,0); + bridge_write_streamUnbuffered_ready <= bridge_write_streamUnbuffered_queueWithOccupancy_io_push_ready; + process(uartCtrl_1_io_read_queueWithOccupancy_io_pop_valid,uartCtrl_1_io_readBreak) + begin + bridge_read_streamBreaked_valid <= uartCtrl_1_io_read_queueWithOccupancy_io_pop_valid; + if uartCtrl_1_io_readBreak = '1' then + bridge_read_streamBreaked_valid <= pkg_toStdLogic(false); + end if; + end process; + + process(bridge_read_streamBreaked_ready,uartCtrl_1_io_readBreak) + begin + uartCtrl_1_io_read_queueWithOccupancy_io_pop_ready <= bridge_read_streamBreaked_ready; + if uartCtrl_1_io_readBreak = '1' then + uartCtrl_1_io_read_queueWithOccupancy_io_pop_ready <= pkg_toStdLogic(true); + end if; + end process; + + bridge_read_streamBreaked_payload <= uartCtrl_1_io_read_queueWithOccupancy_io_pop_payload; + process(io_apb_PADDR,busCtrl_doRead) + begin + bridge_read_streamBreaked_ready <= pkg_toStdLogic(false); + case io_apb_PADDR is + when "00000" => + if busCtrl_doRead = '1' then + bridge_read_streamBreaked_ready <= pkg_toStdLogic(true); + end if; + when others => + end case; + end process; + + bridge_interruptCtrl_readInt <= (bridge_interruptCtrl_readIntEnable and bridge_read_streamBreaked_valid); + bridge_interruptCtrl_writeInt <= (bridge_interruptCtrl_writeIntEnable and (not bridge_write_streamUnbuffered_queueWithOccupancy_io_pop_valid)); + bridge_interruptCtrl_interrupt <= (bridge_interruptCtrl_readInt or bridge_interruptCtrl_writeInt); + process(io_apb_PADDR,busCtrl_doWrite) + begin + when_BusSlaveFactory_l335 <= pkg_toStdLogic(false); + case io_apb_PADDR is + when "10000" => + if busCtrl_doWrite = '1' then + when_BusSlaveFactory_l335 <= pkg_toStdLogic(true); + end if; + when others => + end case; + end process; + + when_BusSlaveFactory_l341 <= pkg_extract(io_apb_PWDATA,0); + process(io_apb_PADDR,busCtrl_doWrite) + begin + when_BusSlaveFactory_l335_1 <= pkg_toStdLogic(false); + case io_apb_PADDR is + when "10000" => + if busCtrl_doWrite = '1' then + when_BusSlaveFactory_l335_1 <= pkg_toStdLogic(true); + end if; + when others => + end case; + end process; + + when_BusSlaveFactory_l341_1 <= pkg_extract(io_apb_PWDATA,1); + uartCtrl_1_io_read_isStall <= (uartCtrl_1_io_read_valid and (not uartCtrl_1_io_read_queueWithOccupancy_io_push_ready)); + when_UartCtrl_l155 <= (uartCtrl_1_io_readBreak and (not uartCtrl_1_io_readBreak_regNext)); + process(io_apb_PADDR,busCtrl_doWrite) + begin + when_BusSlaveFactory_l335_2 <= pkg_toStdLogic(false); + case io_apb_PADDR is + when "10000" => + if busCtrl_doWrite = '1' then + when_BusSlaveFactory_l335_2 <= pkg_toStdLogic(true); + end if; + when others => + end case; + end process; + + when_BusSlaveFactory_l341_2 <= pkg_extract(io_apb_PWDATA,9); + process(io_apb_PADDR,busCtrl_doWrite) + begin + when_BusSlaveFactory_l371 <= pkg_toStdLogic(false); + case io_apb_PADDR is + when "10000" => + if busCtrl_doWrite = '1' then + when_BusSlaveFactory_l371 <= pkg_toStdLogic(true); + end if; + when others => + end case; + end process; + + when_BusSlaveFactory_l373 <= pkg_extract(io_apb_PWDATA,10); + process(io_apb_PADDR,busCtrl_doWrite) + begin + when_BusSlaveFactory_l335_3 <= pkg_toStdLogic(false); + case io_apb_PADDR is + when "10000" => + if busCtrl_doWrite = '1' then + when_BusSlaveFactory_l335_3 <= pkg_toStdLogic(true); + end if; + when others => + end case; + end process; + + when_BusSlaveFactory_l341_3 <= pkg_extract(io_apb_PWDATA,11); + io_interrupt <= bridge_interruptCtrl_interrupt; + process(io_mainClk, resetCtrl_systemReset) + begin + if resetCtrl_systemReset = '1' then + bridge_interruptCtrl_writeIntEnable <= pkg_toStdLogic(false); + bridge_interruptCtrl_readIntEnable <= pkg_toStdLogic(false); + bridge_misc_readError <= pkg_toStdLogic(false); + bridge_misc_readOverflowError <= pkg_toStdLogic(false); + bridge_misc_breakDetected <= pkg_toStdLogic(false); + bridge_misc_doBreak <= pkg_toStdLogic(false); + elsif rising_edge(io_mainClk) then + if when_BusSlaveFactory_l335 = '1' then + if when_BusSlaveFactory_l341 = '1' then + bridge_misc_readError <= pkg_extract(pkg_stdLogicVector("0"),0); + end if; + end if; + if uartCtrl_1_io_readError = '1' then + bridge_misc_readError <= pkg_toStdLogic(true); + end if; + if when_BusSlaveFactory_l335_1 = '1' then + if when_BusSlaveFactory_l341_1 = '1' then + bridge_misc_readOverflowError <= pkg_extract(pkg_stdLogicVector("0"),0); + end if; + end if; + if uartCtrl_1_io_read_isStall = '1' then + bridge_misc_readOverflowError <= pkg_toStdLogic(true); + end if; + if when_UartCtrl_l155 = '1' then + bridge_misc_breakDetected <= pkg_toStdLogic(true); + end if; + if when_BusSlaveFactory_l335_2 = '1' then + if when_BusSlaveFactory_l341_2 = '1' then + bridge_misc_breakDetected <= pkg_extract(pkg_stdLogicVector("0"),0); + end if; + end if; + if when_BusSlaveFactory_l371 = '1' then + if when_BusSlaveFactory_l373 = '1' then + bridge_misc_doBreak <= pkg_extract(pkg_stdLogicVector("1"),0); + end if; + end if; + if when_BusSlaveFactory_l335_3 = '1' then + if when_BusSlaveFactory_l341_3 = '1' then + bridge_misc_doBreak <= pkg_extract(pkg_stdLogicVector("0"),0); + end if; + end if; + case io_apb_PADDR is + when "00100" => + if busCtrl_doWrite = '1' then + bridge_interruptCtrl_writeIntEnable <= pkg_extract(io_apb_PWDATA,0); + bridge_interruptCtrl_readIntEnable <= pkg_extract(io_apb_PWDATA,1); + end if; + when others => + end case; + end if; + end process; + + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + uartCtrl_1_io_readBreak_regNext <= uartCtrl_1_io_readBreak; + end if; + end process; + +end arch; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.pkg_scala2hdl.all; +use work.all; +use work.pkg_enum.all; + + +entity MuraxApb3Timer is + port( + io_apb_PADDR : in unsigned(7 downto 0); + io_apb_PSEL : in std_logic_vector(0 downto 0); + io_apb_PENABLE : in std_logic; + io_apb_PREADY : out std_logic; + io_apb_PWRITE : in std_logic; + io_apb_PWDATA : in std_logic_vector(31 downto 0); + io_apb_PRDATA : out std_logic_vector(31 downto 0); + io_apb_PSLVERROR : out std_logic; + io_interrupt : out std_logic; + io_mainClk : in std_logic; + resetCtrl_systemReset : in std_logic + ); +end MuraxApb3Timer; + +architecture arch of MuraxApb3Timer is + signal timerA_io_tick : std_logic; + signal timerA_io_clear : std_logic; + signal timerB_io_tick : std_logic; + signal timerB_io_clear : std_logic; + signal interruptCtrl_1_io_inputs : std_logic_vector(1 downto 0); + signal interruptCtrl_1_io_clears : std_logic_vector(1 downto 0); + signal io_apb_PREADY_read_buffer : std_logic; + signal prescaler_1_io_overflow : std_logic; + signal timerA_io_full : std_logic; + signal timerA_io_value : unsigned(15 downto 0); + signal timerB_io_full : std_logic; + signal timerB_io_value : unsigned(15 downto 0); + signal interruptCtrl_1_io_pendings : std_logic_vector(1 downto 0); + + signal busCtrl_askWrite : std_logic; + signal busCtrl_askRead : std_logic; + signal busCtrl_doWrite : std_logic; + signal busCtrl_doRead : std_logic; + signal zz_io_limit : unsigned(15 downto 0); + signal zz_io_clear : std_logic; + signal timerABridge_ticksEnable : std_logic_vector(1 downto 0); + signal timerABridge_clearsEnable : std_logic_vector(0 downto 0); + signal timerABridge_busClearing : std_logic; + signal timerA_io_limit_driver : unsigned(15 downto 0); + signal when_Timer_l40 : std_logic; + signal when_Timer_l44 : std_logic; + signal timerBBridge_ticksEnable : std_logic_vector(1 downto 0); + signal timerBBridge_clearsEnable : std_logic_vector(0 downto 0); + signal timerBBridge_busClearing : std_logic; + signal timerB_io_limit_driver : unsigned(15 downto 0); + signal when_Timer_l40_1 : std_logic; + signal when_Timer_l44_1 : std_logic; + signal interruptCtrl_1_io_masks_driver : std_logic_vector(1 downto 0); +begin + io_apb_PREADY <= io_apb_PREADY_read_buffer; + prescaler_1 : entity work.Prescaler + port map ( + io_clear => zz_io_clear, + io_limit => zz_io_limit, + io_overflow => prescaler_1_io_overflow, + io_mainClk => io_mainClk, + resetCtrl_systemReset => resetCtrl_systemReset + ); + timerA : entity work.Timer + port map ( + io_tick => timerA_io_tick, + io_clear => timerA_io_clear, + io_limit => timerA_io_limit_driver, + io_full => timerA_io_full, + io_value => timerA_io_value, + io_mainClk => io_mainClk, + resetCtrl_systemReset => resetCtrl_systemReset + ); + timerB : entity work.Timer + port map ( + io_tick => timerB_io_tick, + io_clear => timerB_io_clear, + io_limit => timerB_io_limit_driver, + io_full => timerB_io_full, + io_value => timerB_io_value, + io_mainClk => io_mainClk, + resetCtrl_systemReset => resetCtrl_systemReset + ); + interruptCtrl_1 : entity work.InterruptCtrl + port map ( + io_inputs => interruptCtrl_1_io_inputs, + io_clears => interruptCtrl_1_io_clears, + io_masks => interruptCtrl_1_io_masks_driver, + io_pendings => interruptCtrl_1_io_pendings, + io_mainClk => io_mainClk, + resetCtrl_systemReset => resetCtrl_systemReset + ); + io_apb_PREADY_read_buffer <= pkg_toStdLogic(true); + process(io_apb_PADDR,zz_io_limit,timerABridge_ticksEnable,timerABridge_clearsEnable,timerA_io_limit_driver,timerA_io_value,timerBBridge_ticksEnable,timerBBridge_clearsEnable,timerB_io_limit_driver,timerB_io_value,interruptCtrl_1_io_pendings,interruptCtrl_1_io_masks_driver) + begin + io_apb_PRDATA <= pkg_stdLogicVector("00000000000000000000000000000000"); + case io_apb_PADDR is + when "00000000" => + io_apb_PRDATA(15 downto 0) <= std_logic_vector(zz_io_limit); + when "01000000" => + io_apb_PRDATA(1 downto 0) <= timerABridge_ticksEnable; + io_apb_PRDATA(16 downto 16) <= timerABridge_clearsEnable; + when "01000100" => + io_apb_PRDATA(15 downto 0) <= std_logic_vector(timerA_io_limit_driver); + when "01001000" => + io_apb_PRDATA(15 downto 0) <= std_logic_vector(timerA_io_value); + when "01010000" => + io_apb_PRDATA(1 downto 0) <= timerBBridge_ticksEnable; + io_apb_PRDATA(16 downto 16) <= timerBBridge_clearsEnable; + when "01010100" => + io_apb_PRDATA(15 downto 0) <= std_logic_vector(timerB_io_limit_driver); + when "01011000" => + io_apb_PRDATA(15 downto 0) <= std_logic_vector(timerB_io_value); + when "00010000" => + io_apb_PRDATA(1 downto 0) <= interruptCtrl_1_io_pendings; + when "00010100" => + io_apb_PRDATA(1 downto 0) <= interruptCtrl_1_io_masks_driver; + when others => + end case; + end process; + + io_apb_PSLVERROR <= pkg_toStdLogic(false); + busCtrl_askWrite <= ((pkg_extract(io_apb_PSEL,0) and io_apb_PENABLE) and io_apb_PWRITE); + busCtrl_askRead <= ((pkg_extract(io_apb_PSEL,0) and io_apb_PENABLE) and (not io_apb_PWRITE)); + busCtrl_doWrite <= (((pkg_extract(io_apb_PSEL,0) and io_apb_PENABLE) and io_apb_PREADY_read_buffer) and io_apb_PWRITE); + busCtrl_doRead <= (((pkg_extract(io_apb_PSEL,0) and io_apb_PENABLE) and io_apb_PREADY_read_buffer) and (not io_apb_PWRITE)); + process(io_apb_PADDR,busCtrl_doWrite) + begin + zz_io_clear <= pkg_toStdLogic(false); + case io_apb_PADDR is + when "00000000" => + if busCtrl_doWrite = '1' then + zz_io_clear <= pkg_toStdLogic(true); + end if; + when others => + end case; + end process; + + process(when_Timer_l40,when_Timer_l44) + begin + timerABridge_busClearing <= pkg_toStdLogic(false); + if when_Timer_l40 = '1' then + timerABridge_busClearing <= pkg_toStdLogic(true); + end if; + if when_Timer_l44 = '1' then + timerABridge_busClearing <= pkg_toStdLogic(true); + end if; + end process; + + process(io_apb_PADDR,busCtrl_doWrite) + begin + when_Timer_l40 <= pkg_toStdLogic(false); + case io_apb_PADDR is + when "01000100" => + if busCtrl_doWrite = '1' then + when_Timer_l40 <= pkg_toStdLogic(true); + end if; + when others => + end case; + end process; + + process(io_apb_PADDR,busCtrl_doWrite) + begin + when_Timer_l44 <= pkg_toStdLogic(false); + case io_apb_PADDR is + when "01001000" => + if busCtrl_doWrite = '1' then + when_Timer_l44 <= pkg_toStdLogic(true); + end if; + when others => + end case; + end process; + + timerA_io_clear <= (pkg_toStdLogic((timerABridge_clearsEnable and pkg_toStdLogicVector(timerA_io_full)) /= pkg_stdLogicVector("0")) or timerABridge_busClearing); + timerA_io_tick <= pkg_toStdLogic((timerABridge_ticksEnable and pkg_cat(pkg_toStdLogicVector(prescaler_1_io_overflow),pkg_toStdLogicVector(pkg_toStdLogic(true)))) /= pkg_stdLogicVector("00")); + process(when_Timer_l40_1,when_Timer_l44_1) + begin + timerBBridge_busClearing <= pkg_toStdLogic(false); + if when_Timer_l40_1 = '1' then + timerBBridge_busClearing <= pkg_toStdLogic(true); + end if; + if when_Timer_l44_1 = '1' then + timerBBridge_busClearing <= pkg_toStdLogic(true); + end if; + end process; + + process(io_apb_PADDR,busCtrl_doWrite) + begin + when_Timer_l40_1 <= pkg_toStdLogic(false); + case io_apb_PADDR is + when "01010100" => + if busCtrl_doWrite = '1' then + when_Timer_l40_1 <= pkg_toStdLogic(true); + end if; + when others => + end case; + end process; + + process(io_apb_PADDR,busCtrl_doWrite) + begin + when_Timer_l44_1 <= pkg_toStdLogic(false); + case io_apb_PADDR is + when "01011000" => + if busCtrl_doWrite = '1' then + when_Timer_l44_1 <= pkg_toStdLogic(true); + end if; + when others => + end case; + end process; + + timerB_io_clear <= (pkg_toStdLogic((timerBBridge_clearsEnable and pkg_toStdLogicVector(timerB_io_full)) /= pkg_stdLogicVector("0")) or timerBBridge_busClearing); + timerB_io_tick <= pkg_toStdLogic((timerBBridge_ticksEnable and pkg_cat(pkg_toStdLogicVector(prescaler_1_io_overflow),pkg_toStdLogicVector(pkg_toStdLogic(true)))) /= pkg_stdLogicVector("00")); + process(io_apb_PADDR,busCtrl_doWrite,io_apb_PWDATA) + begin + interruptCtrl_1_io_clears <= pkg_stdLogicVector("00"); + case io_apb_PADDR is + when "00010000" => + if busCtrl_doWrite = '1' then + interruptCtrl_1_io_clears <= pkg_extract(io_apb_PWDATA,1,0); + end if; + when others => + end case; + end process; + + process(timerA_io_full,timerB_io_full) + begin + interruptCtrl_1_io_inputs(0) <= timerA_io_full; + interruptCtrl_1_io_inputs(1) <= timerB_io_full; + end process; + + io_interrupt <= pkg_toStdLogic(interruptCtrl_1_io_pendings /= pkg_stdLogicVector("00")); + process(io_mainClk, resetCtrl_systemReset) + begin + if resetCtrl_systemReset = '1' then + timerABridge_ticksEnable <= pkg_stdLogicVector("00"); + timerABridge_clearsEnable <= pkg_stdLogicVector("0"); + timerBBridge_ticksEnable <= pkg_stdLogicVector("00"); + timerBBridge_clearsEnable <= pkg_stdLogicVector("0"); + interruptCtrl_1_io_masks_driver <= pkg_stdLogicVector("00"); + elsif rising_edge(io_mainClk) then + case io_apb_PADDR is + when "01000000" => + if busCtrl_doWrite = '1' then + timerABridge_ticksEnable <= pkg_extract(io_apb_PWDATA,1,0); + timerABridge_clearsEnable <= pkg_extract(io_apb_PWDATA,16,16); + end if; + when "01010000" => + if busCtrl_doWrite = '1' then + timerBBridge_ticksEnable <= pkg_extract(io_apb_PWDATA,1,0); + timerBBridge_clearsEnable <= pkg_extract(io_apb_PWDATA,16,16); + end if; + when "00010100" => + if busCtrl_doWrite = '1' then + interruptCtrl_1_io_masks_driver <= pkg_extract(io_apb_PWDATA,1,0); + end if; + when others => + end case; + end if; + end process; + + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + case io_apb_PADDR is + when "00000000" => + if busCtrl_doWrite = '1' then + zz_io_limit <= unsigned(pkg_extract(io_apb_PWDATA,15,0)); + end if; + when "01000100" => + if busCtrl_doWrite = '1' then + timerA_io_limit_driver <= unsigned(pkg_extract(io_apb_PWDATA,15,0)); + end if; + when "01010100" => + if busCtrl_doWrite = '1' then + timerB_io_limit_driver <= unsigned(pkg_extract(io_apb_PWDATA,15,0)); + end if; + when others => + end case; + end if; + end process; + +end arch; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.pkg_scala2hdl.all; +use work.all; +use work.pkg_enum.all; + + +entity Apb3Decoder is + port( + io_input_PADDR : in unsigned(19 downto 0); + io_input_PSEL : in std_logic_vector(0 downto 0); + io_input_PENABLE : in std_logic; + io_input_PREADY : out std_logic; + io_input_PWRITE : in std_logic; + io_input_PWDATA : in std_logic_vector(31 downto 0); + io_input_PRDATA : out std_logic_vector(31 downto 0); + io_input_PSLVERROR : out std_logic; + io_output_PADDR : out unsigned(19 downto 0); + io_output_PSEL : out std_logic_vector(2 downto 0); + io_output_PENABLE : out std_logic; + io_output_PREADY : in std_logic; + io_output_PWRITE : out std_logic; + io_output_PWDATA : out std_logic_vector(31 downto 0); + io_output_PRDATA : in std_logic_vector(31 downto 0); + io_output_PSLVERROR : in std_logic + ); +end Apb3Decoder; + +architecture arch of Apb3Decoder is + signal io_output_PSEL_read_buffer : std_logic_vector(2 downto 0); + + signal when_Apb3Decoder_l88 : std_logic; +begin + io_output_PSEL <= io_output_PSEL_read_buffer; + io_output_PADDR <= io_input_PADDR; + io_output_PENABLE <= io_input_PENABLE; + io_output_PWRITE <= io_input_PWRITE; + io_output_PWDATA <= io_input_PWDATA; + process(io_input_PADDR,io_input_PSEL) + begin + io_output_PSEL_read_buffer(0) <= (pkg_toStdLogic((io_input_PADDR and pkg_not(pkg_unsigned("00000000111111111111"))) = pkg_unsigned("00000000000000000000")) and pkg_extract(io_input_PSEL,0)); + io_output_PSEL_read_buffer(1) <= (pkg_toStdLogic((io_input_PADDR and pkg_not(pkg_unsigned("00000000111111111111"))) = pkg_unsigned("00010000000000000000")) and pkg_extract(io_input_PSEL,0)); + io_output_PSEL_read_buffer(2) <= (pkg_toStdLogic((io_input_PADDR and pkg_not(pkg_unsigned("00000000111111111111"))) = pkg_unsigned("00100000000000000000")) and pkg_extract(io_input_PSEL,0)); + end process; + + process(io_output_PREADY,when_Apb3Decoder_l88) + begin + io_input_PREADY <= io_output_PREADY; + if when_Apb3Decoder_l88 = '1' then + io_input_PREADY <= pkg_toStdLogic(true); + end if; + end process; + + io_input_PRDATA <= io_output_PRDATA; + process(io_output_PSLVERROR,when_Apb3Decoder_l88) + begin + io_input_PSLVERROR <= io_output_PSLVERROR; + if when_Apb3Decoder_l88 = '1' then + io_input_PSLVERROR <= pkg_toStdLogic(true); + end if; + end process; + + when_Apb3Decoder_l88 <= (pkg_extract(io_input_PSEL,0) and pkg_toStdLogic(io_output_PSEL_read_buffer = pkg_stdLogicVector("000"))); +end arch; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.pkg_scala2hdl.all; +use work.all; +use work.pkg_enum.all; + + +entity Apb3Router is + port( + io_input_PADDR : in unsigned(19 downto 0); + io_input_PSEL : in std_logic_vector(2 downto 0); + io_input_PENABLE : in std_logic; + io_input_PREADY : out std_logic; + io_input_PWRITE : in std_logic; + io_input_PWDATA : in std_logic_vector(31 downto 0); + io_input_PRDATA : out std_logic_vector(31 downto 0); + io_input_PSLVERROR : out std_logic; + io_outputs_0_PADDR : out unsigned(19 downto 0); + io_outputs_0_PSEL : out std_logic_vector(0 downto 0); + io_outputs_0_PENABLE : out std_logic; + io_outputs_0_PREADY : in std_logic; + io_outputs_0_PWRITE : out std_logic; + io_outputs_0_PWDATA : out std_logic_vector(31 downto 0); + io_outputs_0_PRDATA : in std_logic_vector(31 downto 0); + io_outputs_0_PSLVERROR : in std_logic; + io_outputs_1_PADDR : out unsigned(19 downto 0); + io_outputs_1_PSEL : out std_logic_vector(0 downto 0); + io_outputs_1_PENABLE : out std_logic; + io_outputs_1_PREADY : in std_logic; + io_outputs_1_PWRITE : out std_logic; + io_outputs_1_PWDATA : out std_logic_vector(31 downto 0); + io_outputs_1_PRDATA : in std_logic_vector(31 downto 0); + io_outputs_1_PSLVERROR : in std_logic; + io_outputs_2_PADDR : out unsigned(19 downto 0); + io_outputs_2_PSEL : out std_logic_vector(0 downto 0); + io_outputs_2_PENABLE : out std_logic; + io_outputs_2_PREADY : in std_logic; + io_outputs_2_PWRITE : out std_logic; + io_outputs_2_PWDATA : out std_logic_vector(31 downto 0); + io_outputs_2_PRDATA : in std_logic_vector(31 downto 0); + io_outputs_2_PSLVERROR : in std_logic; + io_mainClk : in std_logic; + resetCtrl_systemReset : in std_logic + ); +end Apb3Router; + +architecture arch of Apb3Router is + signal zz_io_input_PREADY : std_logic; + signal zz_io_input_PRDATA : std_logic_vector(31 downto 0); + signal zz_io_input_PSLVERROR : std_logic; + + signal zz_selIndex : std_logic; + signal zz_selIndex_1 : std_logic; + signal selIndex : unsigned(1 downto 0); +begin + process(selIndex,io_outputs_0_PREADY,io_outputs_0_PRDATA,io_outputs_0_PSLVERROR,io_outputs_1_PREADY,io_outputs_1_PRDATA,io_outputs_1_PSLVERROR,io_outputs_2_PREADY,io_outputs_2_PRDATA,io_outputs_2_PSLVERROR) + begin + case selIndex is + when "00" => + zz_io_input_PREADY <= io_outputs_0_PREADY; + zz_io_input_PRDATA <= io_outputs_0_PRDATA; + zz_io_input_PSLVERROR <= io_outputs_0_PSLVERROR; + when "01" => + zz_io_input_PREADY <= io_outputs_1_PREADY; + zz_io_input_PRDATA <= io_outputs_1_PRDATA; + zz_io_input_PSLVERROR <= io_outputs_1_PSLVERROR; + when others => + zz_io_input_PREADY <= io_outputs_2_PREADY; + zz_io_input_PRDATA <= io_outputs_2_PRDATA; + zz_io_input_PSLVERROR <= io_outputs_2_PSLVERROR; + end case; + end process; + + io_outputs_0_PADDR <= io_input_PADDR; + io_outputs_0_PENABLE <= io_input_PENABLE; + io_outputs_0_PSEL(0) <= pkg_extract(io_input_PSEL,0); + io_outputs_0_PWRITE <= io_input_PWRITE; + io_outputs_0_PWDATA <= io_input_PWDATA; + io_outputs_1_PADDR <= io_input_PADDR; + io_outputs_1_PENABLE <= io_input_PENABLE; + io_outputs_1_PSEL(0) <= pkg_extract(io_input_PSEL,1); + io_outputs_1_PWRITE <= io_input_PWRITE; + io_outputs_1_PWDATA <= io_input_PWDATA; + io_outputs_2_PADDR <= io_input_PADDR; + io_outputs_2_PENABLE <= io_input_PENABLE; + io_outputs_2_PSEL(0) <= pkg_extract(io_input_PSEL,2); + io_outputs_2_PWRITE <= io_input_PWRITE; + io_outputs_2_PWDATA <= io_input_PWDATA; + zz_selIndex <= pkg_extract(io_input_PSEL,1); + zz_selIndex_1 <= pkg_extract(io_input_PSEL,2); + io_input_PREADY <= zz_io_input_PREADY; + io_input_PRDATA <= zz_io_input_PRDATA; + io_input_PSLVERROR <= zz_io_input_PSLVERROR; + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + selIndex <= unsigned(pkg_cat(pkg_toStdLogicVector(zz_selIndex_1),pkg_toStdLogicVector(zz_selIndex))); + end if; + end process; + +end arch; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.pkg_scala2hdl.all; +use work.all; +use work.pkg_enum.all; + + +entity Murax is + port( + io_asyncReset : in std_logic; + io_mainClk : in std_logic; + io_jtag_tms : in std_logic; + io_jtag_tdi : in std_logic; + io_jtag_tdo : out std_logic; + io_jtag_tck : in std_logic; + io_gpioA_read : in std_logic_vector(31 downto 0); + io_gpioA_write : out std_logic_vector(31 downto 0); + io_gpioA_writeEnable : out std_logic_vector(31 downto 0); + io_uart_txd : out std_logic; + io_uart_rxd : in std_logic + ); +end Murax; + +architecture arch of Murax is + signal system_cpu_debug_bus_cmd_payload_address : unsigned(7 downto 0); + signal system_cpu_dBus_cmd_ready : std_logic; + signal system_ram_io_bus_cmd_valid : std_logic; + signal system_apbBridge_io_pipelinedMemoryBus_cmd_valid : std_logic; + signal system_gpioACtrl_io_apb_PADDR : unsigned(3 downto 0); + signal system_uartCtrl_io_apb_PADDR : unsigned(4 downto 0); + signal system_timer_io_apb_PADDR : unsigned(7 downto 0); + signal io_asyncReset_buffercc_io_dataOut : std_logic; + signal system_mainBusArbiter_io_iBus_cmd_ready : std_logic; + signal system_mainBusArbiter_io_iBus_rsp_valid : std_logic; + signal system_mainBusArbiter_io_iBus_rsp_payload_error : std_logic; + signal system_mainBusArbiter_io_iBus_rsp_payload_inst : std_logic_vector(31 downto 0); + signal system_mainBusArbiter_io_dBus_cmd_ready : std_logic; + signal system_mainBusArbiter_io_dBus_rsp_ready : std_logic; + signal system_mainBusArbiter_io_dBus_rsp_error : std_logic; + signal system_mainBusArbiter_io_dBus_rsp_data : std_logic_vector(31 downto 0); + signal system_mainBusArbiter_io_masterBus_cmd_valid : std_logic; + signal system_mainBusArbiter_io_masterBus_cmd_payload_write : std_logic; + signal system_mainBusArbiter_io_masterBus_cmd_payload_address : unsigned(31 downto 0); + signal system_mainBusArbiter_io_masterBus_cmd_payload_data : std_logic_vector(31 downto 0); + signal system_mainBusArbiter_io_masterBus_cmd_payload_mask : std_logic_vector(3 downto 0); + signal system_cpu_iBus_cmd_valid : std_logic; + signal system_cpu_iBus_cmd_payload_pc : unsigned(31 downto 0); + signal system_cpu_debug_bus_cmd_ready : std_logic; + signal system_cpu_debug_bus_rsp_data : std_logic_vector(31 downto 0); + signal system_cpu_debug_resetOut : std_logic; + signal system_cpu_dBus_cmd_valid : std_logic; + signal system_cpu_dBus_cmd_payload_wr : std_logic; + signal system_cpu_dBus_cmd_payload_address : unsigned(31 downto 0); + signal system_cpu_dBus_cmd_payload_data : std_logic_vector(31 downto 0); + signal system_cpu_dBus_cmd_payload_size : unsigned(1 downto 0); + signal jtagBridge_1_io_jtag_tdo : std_logic; + signal jtagBridge_1_io_remote_cmd_valid : std_logic; + signal jtagBridge_1_io_remote_cmd_payload_last : std_logic; + signal jtagBridge_1_io_remote_cmd_payload_fragment : std_logic_vector(0 downto 0); + signal jtagBridge_1_io_remote_rsp_ready : std_logic; + signal systemDebugger_1_io_remote_cmd_ready : std_logic; + signal systemDebugger_1_io_remote_rsp_valid : std_logic; + signal systemDebugger_1_io_remote_rsp_payload_error : std_logic; + signal systemDebugger_1_io_remote_rsp_payload_data : std_logic_vector(31 downto 0); + signal systemDebugger_1_io_mem_cmd_valid : std_logic; + signal systemDebugger_1_io_mem_cmd_payload_address : unsigned(31 downto 0); + signal systemDebugger_1_io_mem_cmd_payload_data : std_logic_vector(31 downto 0); + signal systemDebugger_1_io_mem_cmd_payload_wr : std_logic; + signal systemDebugger_1_io_mem_cmd_payload_size : unsigned(1 downto 0); + signal system_ram_io_bus_cmd_ready : std_logic; + signal system_ram_io_bus_rsp_valid : std_logic; + signal system_ram_io_bus_rsp_payload_data : std_logic_vector(31 downto 0); + signal system_apbBridge_io_pipelinedMemoryBus_cmd_ready : std_logic; + signal system_apbBridge_io_pipelinedMemoryBus_rsp_valid : std_logic; + signal system_apbBridge_io_pipelinedMemoryBus_rsp_payload_data : std_logic_vector(31 downto 0); + signal system_apbBridge_io_apb_PADDR : unsigned(19 downto 0); + signal system_apbBridge_io_apb_PSEL : std_logic_vector(0 downto 0); + signal system_apbBridge_io_apb_PENABLE : std_logic; + signal system_apbBridge_io_apb_PWRITE : std_logic; + signal system_apbBridge_io_apb_PWDATA : std_logic_vector(31 downto 0); + signal system_gpioACtrl_io_apb_PREADY : std_logic; + signal system_gpioACtrl_io_apb_PRDATA : std_logic_vector(31 downto 0); + signal system_gpioACtrl_io_apb_PSLVERROR : std_logic; + signal system_gpioACtrl_io_gpio_write : std_logic_vector(31 downto 0); + signal system_gpioACtrl_io_gpio_writeEnable : std_logic_vector(31 downto 0); + signal system_gpioACtrl_io_value : std_logic_vector(31 downto 0); + signal system_uartCtrl_io_apb_PREADY : std_logic; + signal system_uartCtrl_io_apb_PRDATA : std_logic_vector(31 downto 0); + signal system_uartCtrl_io_uart_txd : std_logic; + signal system_uartCtrl_io_interrupt : std_logic; + signal system_timer_io_apb_PREADY : std_logic; + signal system_timer_io_apb_PRDATA : std_logic_vector(31 downto 0); + signal system_timer_io_apb_PSLVERROR : std_logic; + signal system_timer_io_interrupt : std_logic; + signal io_apb_decoder_io_input_PREADY : std_logic; + signal io_apb_decoder_io_input_PRDATA : std_logic_vector(31 downto 0); + signal io_apb_decoder_io_input_PSLVERROR : std_logic; + signal io_apb_decoder_io_output_PADDR : unsigned(19 downto 0); + signal io_apb_decoder_io_output_PSEL : std_logic_vector(2 downto 0); + signal io_apb_decoder_io_output_PENABLE : std_logic; + signal io_apb_decoder_io_output_PWRITE : std_logic; + signal io_apb_decoder_io_output_PWDATA : std_logic_vector(31 downto 0); + signal apb3Router_1_io_input_PREADY : std_logic; + signal apb3Router_1_io_input_PRDATA : std_logic_vector(31 downto 0); + signal apb3Router_1_io_input_PSLVERROR : std_logic; + signal apb3Router_1_io_outputs_0_PADDR : unsigned(19 downto 0); + signal apb3Router_1_io_outputs_0_PSEL : std_logic_vector(0 downto 0); + signal apb3Router_1_io_outputs_0_PENABLE : std_logic; + signal apb3Router_1_io_outputs_0_PWRITE : std_logic; + signal apb3Router_1_io_outputs_0_PWDATA : std_logic_vector(31 downto 0); + signal apb3Router_1_io_outputs_1_PADDR : unsigned(19 downto 0); + signal apb3Router_1_io_outputs_1_PSEL : std_logic_vector(0 downto 0); + signal apb3Router_1_io_outputs_1_PENABLE : std_logic; + signal apb3Router_1_io_outputs_1_PWRITE : std_logic; + signal apb3Router_1_io_outputs_1_PWDATA : std_logic_vector(31 downto 0); + signal apb3Router_1_io_outputs_2_PADDR : unsigned(19 downto 0); + signal apb3Router_1_io_outputs_2_PSEL : std_logic_vector(0 downto 0); + signal apb3Router_1_io_outputs_2_PENABLE : std_logic; + signal apb3Router_1_io_outputs_2_PWRITE : std_logic; + signal apb3Router_1_io_outputs_2_PWDATA : std_logic_vector(31 downto 0); + signal zz_system_mainBusDecoder_logic_masterPipelined_rsp_payload_data : std_logic_vector(31 downto 0); + + signal resetCtrl_mainClkResetUnbuffered : std_logic; + signal resetCtrl_systemClkResetCounter : unsigned(5 downto 0) := pkg_unsigned("000000"); + signal zz_when_Murax_l188 : unsigned(5 downto 0); + signal when_Murax_l188 : std_logic; + signal when_Murax_l192 : std_logic; + signal resetCtrl_mainClkReset : std_logic; + signal resetCtrl_systemReset : std_logic; + signal system_timerInterrupt : std_logic; + signal system_externalInterrupt : std_logic; + signal system_cpu_dBus_cmd_halfPipe_valid : std_logic; + signal system_cpu_dBus_cmd_halfPipe_ready : std_logic; + signal system_cpu_dBus_cmd_halfPipe_payload_wr : std_logic; + signal system_cpu_dBus_cmd_halfPipe_payload_address : unsigned(31 downto 0); + signal system_cpu_dBus_cmd_halfPipe_payload_data : std_logic_vector(31 downto 0); + signal system_cpu_dBus_cmd_halfPipe_payload_size : unsigned(1 downto 0); + signal system_cpu_dBus_cmd_rValid : std_logic; + signal system_cpu_dBus_cmd_halfPipe_fire : std_logic; + signal system_cpu_dBus_cmd_rData_wr : std_logic; + signal system_cpu_dBus_cmd_rData_address : unsigned(31 downto 0); + signal system_cpu_dBus_cmd_rData_data : std_logic_vector(31 downto 0); + signal system_cpu_dBus_cmd_rData_size : unsigned(1 downto 0); + signal system_cpu_debug_resetOut_regNext : std_logic; + signal system_cpu_debug_bus_cmd_fire : std_logic; + signal system_cpu_debug_bus_cmd_fire_regNext : std_logic; + signal system_mainBusDecoder_logic_masterPipelined_cmd_valid : std_logic; + signal system_mainBusDecoder_logic_masterPipelined_cmd_ready : std_logic; + signal system_mainBusDecoder_logic_masterPipelined_cmd_payload_write : std_logic; + signal system_mainBusDecoder_logic_masterPipelined_cmd_payload_address : unsigned(31 downto 0); + signal system_mainBusDecoder_logic_masterPipelined_cmd_payload_data : std_logic_vector(31 downto 0); + signal system_mainBusDecoder_logic_masterPipelined_cmd_payload_mask : std_logic_vector(3 downto 0); + signal system_mainBusDecoder_logic_masterPipelined_rsp_valid : std_logic; + signal system_mainBusDecoder_logic_masterPipelined_rsp_payload_data : std_logic_vector(31 downto 0); + signal system_mainBusDecoder_logic_hits_0 : std_logic; + signal zz_io_bus_cmd_payload_write : std_logic; + signal system_mainBusDecoder_logic_hits_1 : std_logic; + signal zz_io_pipelinedMemoryBus_cmd_payload_write : std_logic; + signal system_mainBusDecoder_logic_noHit : std_logic; + signal system_mainBusDecoder_logic_rspPending : std_logic; + signal system_mainBusDecoder_logic_masterPipelined_cmd_fire : std_logic; + signal when_MuraxUtiles_l127 : std_logic; + signal system_mainBusDecoder_logic_rspNoHit : std_logic; + signal system_mainBusDecoder_logic_masterPipelined_cmd_fire_1 : std_logic; + signal system_mainBusDecoder_logic_rspSourceId : unsigned(0 downto 0); + signal when_MuraxUtiles_l133 : std_logic; +begin + io_asyncReset_buffercc : entity work.BufferCC_3 + port map ( + io_dataIn => io_asyncReset, + io_dataOut => io_asyncReset_buffercc_io_dataOut, + io_mainClk => io_mainClk + ); + system_mainBusArbiter : entity work.MuraxMasterArbiter + port map ( + io_iBus_cmd_valid => system_cpu_iBus_cmd_valid, + io_iBus_cmd_ready => system_mainBusArbiter_io_iBus_cmd_ready, + io_iBus_cmd_payload_pc => system_cpu_iBus_cmd_payload_pc, + io_iBus_rsp_valid => system_mainBusArbiter_io_iBus_rsp_valid, + io_iBus_rsp_payload_error => system_mainBusArbiter_io_iBus_rsp_payload_error, + io_iBus_rsp_payload_inst => system_mainBusArbiter_io_iBus_rsp_payload_inst, + io_dBus_cmd_valid => system_cpu_dBus_cmd_halfPipe_valid, + io_dBus_cmd_ready => system_mainBusArbiter_io_dBus_cmd_ready, + io_dBus_cmd_payload_wr => system_cpu_dBus_cmd_halfPipe_payload_wr, + io_dBus_cmd_payload_address => system_cpu_dBus_cmd_halfPipe_payload_address, + io_dBus_cmd_payload_data => system_cpu_dBus_cmd_halfPipe_payload_data, + io_dBus_cmd_payload_size => system_cpu_dBus_cmd_halfPipe_payload_size, + io_dBus_rsp_ready => system_mainBusArbiter_io_dBus_rsp_ready, + io_dBus_rsp_error => system_mainBusArbiter_io_dBus_rsp_error, + io_dBus_rsp_data => system_mainBusArbiter_io_dBus_rsp_data, + io_masterBus_cmd_valid => system_mainBusArbiter_io_masterBus_cmd_valid, + io_masterBus_cmd_ready => system_mainBusDecoder_logic_masterPipelined_cmd_ready, + io_masterBus_cmd_payload_write => system_mainBusArbiter_io_masterBus_cmd_payload_write, + io_masterBus_cmd_payload_address => system_mainBusArbiter_io_masterBus_cmd_payload_address, + io_masterBus_cmd_payload_data => system_mainBusArbiter_io_masterBus_cmd_payload_data, + io_masterBus_cmd_payload_mask => system_mainBusArbiter_io_masterBus_cmd_payload_mask, + io_masterBus_rsp_valid => system_mainBusDecoder_logic_masterPipelined_rsp_valid, + io_masterBus_rsp_payload_data => system_mainBusDecoder_logic_masterPipelined_rsp_payload_data, + io_mainClk => io_mainClk, + resetCtrl_systemReset => resetCtrl_systemReset + ); + system_cpu : entity work.VexRiscv + port map ( + iBus_cmd_valid => system_cpu_iBus_cmd_valid, + iBus_cmd_ready => system_mainBusArbiter_io_iBus_cmd_ready, + iBus_cmd_payload_pc => system_cpu_iBus_cmd_payload_pc, + iBus_rsp_valid => system_mainBusArbiter_io_iBus_rsp_valid, + iBus_rsp_payload_error => system_mainBusArbiter_io_iBus_rsp_payload_error, + iBus_rsp_payload_inst => system_mainBusArbiter_io_iBus_rsp_payload_inst, + timerInterrupt => system_timerInterrupt, + externalInterrupt => system_externalInterrupt, + softwareInterrupt => pkg_toStdLogic(false), + debug_bus_cmd_valid => systemDebugger_1_io_mem_cmd_valid, + debug_bus_cmd_ready => system_cpu_debug_bus_cmd_ready, + debug_bus_cmd_payload_wr => systemDebugger_1_io_mem_cmd_payload_wr, + debug_bus_cmd_payload_address => system_cpu_debug_bus_cmd_payload_address, + debug_bus_cmd_payload_data => systemDebugger_1_io_mem_cmd_payload_data, + debug_bus_rsp_data => system_cpu_debug_bus_rsp_data, + debug_resetOut => system_cpu_debug_resetOut, + dBus_cmd_valid => system_cpu_dBus_cmd_valid, + dBus_cmd_ready => system_cpu_dBus_cmd_ready, + dBus_cmd_payload_wr => system_cpu_dBus_cmd_payload_wr, + dBus_cmd_payload_address => system_cpu_dBus_cmd_payload_address, + dBus_cmd_payload_data => system_cpu_dBus_cmd_payload_data, + dBus_cmd_payload_size => system_cpu_dBus_cmd_payload_size, + dBus_rsp_ready => system_mainBusArbiter_io_dBus_rsp_ready, + dBus_rsp_error => system_mainBusArbiter_io_dBus_rsp_error, + dBus_rsp_data => system_mainBusArbiter_io_dBus_rsp_data, + io_mainClk => io_mainClk, + resetCtrl_systemReset => resetCtrl_systemReset, + resetCtrl_mainClkReset => resetCtrl_mainClkReset + ); + jtagBridge_1 : entity work.JtagBridge + port map ( + io_jtag_tms => io_jtag_tms, + io_jtag_tdi => io_jtag_tdi, + io_jtag_tdo => jtagBridge_1_io_jtag_tdo, + io_jtag_tck => io_jtag_tck, + io_remote_cmd_valid => jtagBridge_1_io_remote_cmd_valid, + io_remote_cmd_ready => systemDebugger_1_io_remote_cmd_ready, + io_remote_cmd_payload_last => jtagBridge_1_io_remote_cmd_payload_last, + io_remote_cmd_payload_fragment => jtagBridge_1_io_remote_cmd_payload_fragment, + io_remote_rsp_valid => systemDebugger_1_io_remote_rsp_valid, + io_remote_rsp_ready => jtagBridge_1_io_remote_rsp_ready, + io_remote_rsp_payload_error => systemDebugger_1_io_remote_rsp_payload_error, + io_remote_rsp_payload_data => systemDebugger_1_io_remote_rsp_payload_data, + io_mainClk => io_mainClk, + resetCtrl_mainClkReset => resetCtrl_mainClkReset + ); + systemDebugger_1 : entity work.SystemDebugger + port map ( + io_remote_cmd_valid => jtagBridge_1_io_remote_cmd_valid, + io_remote_cmd_ready => systemDebugger_1_io_remote_cmd_ready, + io_remote_cmd_payload_last => jtagBridge_1_io_remote_cmd_payload_last, + io_remote_cmd_payload_fragment => jtagBridge_1_io_remote_cmd_payload_fragment, + io_remote_rsp_valid => systemDebugger_1_io_remote_rsp_valid, + io_remote_rsp_ready => jtagBridge_1_io_remote_rsp_ready, + io_remote_rsp_payload_error => systemDebugger_1_io_remote_rsp_payload_error, + io_remote_rsp_payload_data => systemDebugger_1_io_remote_rsp_payload_data, + io_mem_cmd_valid => systemDebugger_1_io_mem_cmd_valid, + io_mem_cmd_ready => system_cpu_debug_bus_cmd_ready, + io_mem_cmd_payload_address => systemDebugger_1_io_mem_cmd_payload_address, + io_mem_cmd_payload_data => systemDebugger_1_io_mem_cmd_payload_data, + io_mem_cmd_payload_wr => systemDebugger_1_io_mem_cmd_payload_wr, + io_mem_cmd_payload_size => systemDebugger_1_io_mem_cmd_payload_size, + io_mem_rsp_valid => system_cpu_debug_bus_cmd_fire_regNext, + io_mem_rsp_payload => system_cpu_debug_bus_rsp_data, + io_mainClk => io_mainClk, + resetCtrl_mainClkReset => resetCtrl_mainClkReset + ); + system_ram : entity work.MuraxPipelinedMemoryBusRam + port map ( + io_bus_cmd_valid => system_ram_io_bus_cmd_valid, + io_bus_cmd_ready => system_ram_io_bus_cmd_ready, + io_bus_cmd_payload_write => zz_io_bus_cmd_payload_write, + io_bus_cmd_payload_address => system_mainBusDecoder_logic_masterPipelined_cmd_payload_address, + io_bus_cmd_payload_data => system_mainBusDecoder_logic_masterPipelined_cmd_payload_data, + io_bus_cmd_payload_mask => system_mainBusDecoder_logic_masterPipelined_cmd_payload_mask, + io_bus_rsp_valid => system_ram_io_bus_rsp_valid, + io_bus_rsp_payload_data => system_ram_io_bus_rsp_payload_data, + io_mainClk => io_mainClk, + resetCtrl_systemReset => resetCtrl_systemReset + ); + system_apbBridge : entity work.PipelinedMemoryBusToApbBridge + port map ( + io_pipelinedMemoryBus_cmd_valid => system_apbBridge_io_pipelinedMemoryBus_cmd_valid, + io_pipelinedMemoryBus_cmd_ready => system_apbBridge_io_pipelinedMemoryBus_cmd_ready, + io_pipelinedMemoryBus_cmd_payload_write => zz_io_pipelinedMemoryBus_cmd_payload_write, + io_pipelinedMemoryBus_cmd_payload_address => system_mainBusDecoder_logic_masterPipelined_cmd_payload_address, + io_pipelinedMemoryBus_cmd_payload_data => system_mainBusDecoder_logic_masterPipelined_cmd_payload_data, + io_pipelinedMemoryBus_cmd_payload_mask => system_mainBusDecoder_logic_masterPipelined_cmd_payload_mask, + io_pipelinedMemoryBus_rsp_valid => system_apbBridge_io_pipelinedMemoryBus_rsp_valid, + io_pipelinedMemoryBus_rsp_payload_data => system_apbBridge_io_pipelinedMemoryBus_rsp_payload_data, + io_apb_PADDR => system_apbBridge_io_apb_PADDR, + io_apb_PSEL => system_apbBridge_io_apb_PSEL, + io_apb_PENABLE => system_apbBridge_io_apb_PENABLE, + io_apb_PREADY => io_apb_decoder_io_input_PREADY, + io_apb_PWRITE => system_apbBridge_io_apb_PWRITE, + io_apb_PWDATA => system_apbBridge_io_apb_PWDATA, + io_apb_PRDATA => io_apb_decoder_io_input_PRDATA, + io_apb_PSLVERROR => io_apb_decoder_io_input_PSLVERROR, + io_mainClk => io_mainClk, + resetCtrl_systemReset => resetCtrl_systemReset + ); + system_gpioACtrl : entity work.Apb3Gpio + port map ( + io_apb_PADDR => system_gpioACtrl_io_apb_PADDR, + io_apb_PSEL => apb3Router_1_io_outputs_0_PSEL, + io_apb_PENABLE => apb3Router_1_io_outputs_0_PENABLE, + io_apb_PREADY => system_gpioACtrl_io_apb_PREADY, + io_apb_PWRITE => apb3Router_1_io_outputs_0_PWRITE, + io_apb_PWDATA => apb3Router_1_io_outputs_0_PWDATA, + io_apb_PRDATA => system_gpioACtrl_io_apb_PRDATA, + io_apb_PSLVERROR => system_gpioACtrl_io_apb_PSLVERROR, + io_gpio_read => io_gpioA_read, + io_gpio_write => system_gpioACtrl_io_gpio_write, + io_gpio_writeEnable => system_gpioACtrl_io_gpio_writeEnable, + io_value => system_gpioACtrl_io_value, + io_mainClk => io_mainClk, + resetCtrl_systemReset => resetCtrl_systemReset + ); + system_uartCtrl : entity work.Apb3UartCtrl + port map ( + io_apb_PADDR => system_uartCtrl_io_apb_PADDR, + io_apb_PSEL => apb3Router_1_io_outputs_1_PSEL, + io_apb_PENABLE => apb3Router_1_io_outputs_1_PENABLE, + io_apb_PREADY => system_uartCtrl_io_apb_PREADY, + io_apb_PWRITE => apb3Router_1_io_outputs_1_PWRITE, + io_apb_PWDATA => apb3Router_1_io_outputs_1_PWDATA, + io_apb_PRDATA => system_uartCtrl_io_apb_PRDATA, + io_uart_txd => system_uartCtrl_io_uart_txd, + io_uart_rxd => io_uart_rxd, + io_interrupt => system_uartCtrl_io_interrupt, + io_mainClk => io_mainClk, + resetCtrl_systemReset => resetCtrl_systemReset + ); + system_timer : entity work.MuraxApb3Timer + port map ( + io_apb_PADDR => system_timer_io_apb_PADDR, + io_apb_PSEL => apb3Router_1_io_outputs_2_PSEL, + io_apb_PENABLE => apb3Router_1_io_outputs_2_PENABLE, + io_apb_PREADY => system_timer_io_apb_PREADY, + io_apb_PWRITE => apb3Router_1_io_outputs_2_PWRITE, + io_apb_PWDATA => apb3Router_1_io_outputs_2_PWDATA, + io_apb_PRDATA => system_timer_io_apb_PRDATA, + io_apb_PSLVERROR => system_timer_io_apb_PSLVERROR, + io_interrupt => system_timer_io_interrupt, + io_mainClk => io_mainClk, + resetCtrl_systemReset => resetCtrl_systemReset + ); + io_apb_decoder : entity work.Apb3Decoder + port map ( + io_input_PADDR => system_apbBridge_io_apb_PADDR, + io_input_PSEL => system_apbBridge_io_apb_PSEL, + io_input_PENABLE => system_apbBridge_io_apb_PENABLE, + io_input_PREADY => io_apb_decoder_io_input_PREADY, + io_input_PWRITE => system_apbBridge_io_apb_PWRITE, + io_input_PWDATA => system_apbBridge_io_apb_PWDATA, + io_input_PRDATA => io_apb_decoder_io_input_PRDATA, + io_input_PSLVERROR => io_apb_decoder_io_input_PSLVERROR, + io_output_PADDR => io_apb_decoder_io_output_PADDR, + io_output_PSEL => io_apb_decoder_io_output_PSEL, + io_output_PENABLE => io_apb_decoder_io_output_PENABLE, + io_output_PREADY => apb3Router_1_io_input_PREADY, + io_output_PWRITE => io_apb_decoder_io_output_PWRITE, + io_output_PWDATA => io_apb_decoder_io_output_PWDATA, + io_output_PRDATA => apb3Router_1_io_input_PRDATA, + io_output_PSLVERROR => apb3Router_1_io_input_PSLVERROR + ); + apb3Router_1 : entity work.Apb3Router + port map ( + io_input_PADDR => io_apb_decoder_io_output_PADDR, + io_input_PSEL => io_apb_decoder_io_output_PSEL, + io_input_PENABLE => io_apb_decoder_io_output_PENABLE, + io_input_PREADY => apb3Router_1_io_input_PREADY, + io_input_PWRITE => io_apb_decoder_io_output_PWRITE, + io_input_PWDATA => io_apb_decoder_io_output_PWDATA, + io_input_PRDATA => apb3Router_1_io_input_PRDATA, + io_input_PSLVERROR => apb3Router_1_io_input_PSLVERROR, + io_outputs_0_PADDR => apb3Router_1_io_outputs_0_PADDR, + io_outputs_0_PSEL => apb3Router_1_io_outputs_0_PSEL, + io_outputs_0_PENABLE => apb3Router_1_io_outputs_0_PENABLE, + io_outputs_0_PREADY => system_gpioACtrl_io_apb_PREADY, + io_outputs_0_PWRITE => apb3Router_1_io_outputs_0_PWRITE, + io_outputs_0_PWDATA => apb3Router_1_io_outputs_0_PWDATA, + io_outputs_0_PRDATA => system_gpioACtrl_io_apb_PRDATA, + io_outputs_0_PSLVERROR => system_gpioACtrl_io_apb_PSLVERROR, + io_outputs_1_PADDR => apb3Router_1_io_outputs_1_PADDR, + io_outputs_1_PSEL => apb3Router_1_io_outputs_1_PSEL, + io_outputs_1_PENABLE => apb3Router_1_io_outputs_1_PENABLE, + io_outputs_1_PREADY => system_uartCtrl_io_apb_PREADY, + io_outputs_1_PWRITE => apb3Router_1_io_outputs_1_PWRITE, + io_outputs_1_PWDATA => apb3Router_1_io_outputs_1_PWDATA, + io_outputs_1_PRDATA => system_uartCtrl_io_apb_PRDATA, + io_outputs_1_PSLVERROR => pkg_toStdLogic(false), + io_outputs_2_PADDR => apb3Router_1_io_outputs_2_PADDR, + io_outputs_2_PSEL => apb3Router_1_io_outputs_2_PSEL, + io_outputs_2_PENABLE => apb3Router_1_io_outputs_2_PENABLE, + io_outputs_2_PREADY => system_timer_io_apb_PREADY, + io_outputs_2_PWRITE => apb3Router_1_io_outputs_2_PWRITE, + io_outputs_2_PWDATA => apb3Router_1_io_outputs_2_PWDATA, + io_outputs_2_PRDATA => system_timer_io_apb_PRDATA, + io_outputs_2_PSLVERROR => system_timer_io_apb_PSLVERROR, + io_mainClk => io_mainClk, + resetCtrl_systemReset => resetCtrl_systemReset + ); + process(system_mainBusDecoder_logic_rspSourceId,system_ram_io_bus_rsp_payload_data,system_apbBridge_io_pipelinedMemoryBus_rsp_payload_data) + begin + case system_mainBusDecoder_logic_rspSourceId is + when "0" => + zz_system_mainBusDecoder_logic_masterPipelined_rsp_payload_data <= system_ram_io_bus_rsp_payload_data; + when others => + zz_system_mainBusDecoder_logic_masterPipelined_rsp_payload_data <= system_apbBridge_io_pipelinedMemoryBus_rsp_payload_data; + end case; + end process; + + process(when_Murax_l188) + begin + resetCtrl_mainClkResetUnbuffered <= pkg_toStdLogic(false); + if when_Murax_l188 = '1' then + resetCtrl_mainClkResetUnbuffered <= pkg_toStdLogic(true); + end if; + end process; + + zz_when_Murax_l188(5 downto 0) <= pkg_unsigned("111111"); + when_Murax_l188 <= pkg_toStdLogic(resetCtrl_systemClkResetCounter /= zz_when_Murax_l188); + when_Murax_l192 <= io_asyncReset_buffercc_io_dataOut; + process(system_timer_io_interrupt) + begin + system_timerInterrupt <= pkg_toStdLogic(false); + if system_timer_io_interrupt = '1' then + system_timerInterrupt <= pkg_toStdLogic(true); + end if; + end process; + + process(system_uartCtrl_io_interrupt) + begin + system_externalInterrupt <= pkg_toStdLogic(false); + if system_uartCtrl_io_interrupt = '1' then + system_externalInterrupt <= pkg_toStdLogic(true); + end if; + end process; + + system_cpu_dBus_cmd_halfPipe_fire <= (system_cpu_dBus_cmd_halfPipe_valid and system_cpu_dBus_cmd_halfPipe_ready); + system_cpu_dBus_cmd_ready <= (not system_cpu_dBus_cmd_rValid); + system_cpu_dBus_cmd_halfPipe_valid <= system_cpu_dBus_cmd_rValid; + system_cpu_dBus_cmd_halfPipe_payload_wr <= system_cpu_dBus_cmd_rData_wr; + system_cpu_dBus_cmd_halfPipe_payload_address <= system_cpu_dBus_cmd_rData_address; + system_cpu_dBus_cmd_halfPipe_payload_data <= system_cpu_dBus_cmd_rData_data; + system_cpu_dBus_cmd_halfPipe_payload_size <= system_cpu_dBus_cmd_rData_size; + system_cpu_dBus_cmd_halfPipe_ready <= system_mainBusArbiter_io_dBus_cmd_ready; + system_cpu_debug_bus_cmd_payload_address <= pkg_resize(systemDebugger_1_io_mem_cmd_payload_address,8); + system_cpu_debug_bus_cmd_fire <= (systemDebugger_1_io_mem_cmd_valid and system_cpu_debug_bus_cmd_ready); + io_jtag_tdo <= jtagBridge_1_io_jtag_tdo; + io_gpioA_write <= system_gpioACtrl_io_gpio_write; + io_gpioA_writeEnable <= system_gpioACtrl_io_gpio_writeEnable; + io_uart_txd <= system_uartCtrl_io_uart_txd; + system_gpioACtrl_io_apb_PADDR <= pkg_resize(apb3Router_1_io_outputs_0_PADDR,4); + system_uartCtrl_io_apb_PADDR <= pkg_resize(apb3Router_1_io_outputs_1_PADDR,5); + system_timer_io_apb_PADDR <= pkg_resize(apb3Router_1_io_outputs_2_PADDR,8); + system_mainBusDecoder_logic_masterPipelined_cmd_valid <= system_mainBusArbiter_io_masterBus_cmd_valid; + system_mainBusDecoder_logic_masterPipelined_cmd_payload_write <= system_mainBusArbiter_io_masterBus_cmd_payload_write; + system_mainBusDecoder_logic_masterPipelined_cmd_payload_address <= system_mainBusArbiter_io_masterBus_cmd_payload_address; + system_mainBusDecoder_logic_masterPipelined_cmd_payload_data <= system_mainBusArbiter_io_masterBus_cmd_payload_data; + system_mainBusDecoder_logic_masterPipelined_cmd_payload_mask <= system_mainBusArbiter_io_masterBus_cmd_payload_mask; + system_mainBusDecoder_logic_hits_0 <= pkg_toStdLogic((system_mainBusDecoder_logic_masterPipelined_cmd_payload_address and pkg_not(pkg_unsigned("00000000000000000000111111111111"))) = pkg_unsigned("10000000000000000000000000000000")); + process(system_mainBusDecoder_logic_masterPipelined_cmd_valid,system_mainBusDecoder_logic_hits_0,when_MuraxUtiles_l133) + begin + system_ram_io_bus_cmd_valid <= (system_mainBusDecoder_logic_masterPipelined_cmd_valid and system_mainBusDecoder_logic_hits_0); + if when_MuraxUtiles_l133 = '1' then + system_ram_io_bus_cmd_valid <= pkg_toStdLogic(false); + end if; + end process; + + zz_io_bus_cmd_payload_write <= system_mainBusDecoder_logic_masterPipelined_cmd_payload_write; + system_mainBusDecoder_logic_hits_1 <= pkg_toStdLogic((system_mainBusDecoder_logic_masterPipelined_cmd_payload_address and pkg_not(pkg_unsigned("00000000000011111111111111111111"))) = pkg_unsigned("11110000000000000000000000000000")); + process(system_mainBusDecoder_logic_masterPipelined_cmd_valid,system_mainBusDecoder_logic_hits_1,when_MuraxUtiles_l133) + begin + system_apbBridge_io_pipelinedMemoryBus_cmd_valid <= (system_mainBusDecoder_logic_masterPipelined_cmd_valid and system_mainBusDecoder_logic_hits_1); + if when_MuraxUtiles_l133 = '1' then + system_apbBridge_io_pipelinedMemoryBus_cmd_valid <= pkg_toStdLogic(false); + end if; + end process; + + zz_io_pipelinedMemoryBus_cmd_payload_write <= system_mainBusDecoder_logic_masterPipelined_cmd_payload_write; + system_mainBusDecoder_logic_noHit <= (not pkg_toStdLogic(pkg_cat(pkg_toStdLogicVector(system_mainBusDecoder_logic_hits_1),pkg_toStdLogicVector(system_mainBusDecoder_logic_hits_0)) /= pkg_stdLogicVector("00"))); + process(system_mainBusDecoder_logic_hits_1,system_apbBridge_io_pipelinedMemoryBus_cmd_ready,system_mainBusDecoder_logic_hits_0,system_ram_io_bus_cmd_ready,system_mainBusDecoder_logic_noHit,when_MuraxUtiles_l133) + begin + system_mainBusDecoder_logic_masterPipelined_cmd_ready <= (pkg_toStdLogic(pkg_cat(pkg_toStdLogicVector((system_mainBusDecoder_logic_hits_1 and system_apbBridge_io_pipelinedMemoryBus_cmd_ready)),pkg_toStdLogicVector((system_mainBusDecoder_logic_hits_0 and system_ram_io_bus_cmd_ready))) /= pkg_stdLogicVector("00")) or system_mainBusDecoder_logic_noHit); + if when_MuraxUtiles_l133 = '1' then + system_mainBusDecoder_logic_masterPipelined_cmd_ready <= pkg_toStdLogic(false); + end if; + end process; + + system_mainBusDecoder_logic_masterPipelined_cmd_fire <= (system_mainBusDecoder_logic_masterPipelined_cmd_valid and system_mainBusDecoder_logic_masterPipelined_cmd_ready); + when_MuraxUtiles_l127 <= (system_mainBusDecoder_logic_masterPipelined_cmd_fire and (not system_mainBusDecoder_logic_masterPipelined_cmd_payload_write)); + system_mainBusDecoder_logic_masterPipelined_cmd_fire_1 <= (system_mainBusDecoder_logic_masterPipelined_cmd_valid and system_mainBusDecoder_logic_masterPipelined_cmd_ready); + system_mainBusDecoder_logic_masterPipelined_rsp_valid <= (pkg_toStdLogic(pkg_cat(pkg_toStdLogicVector(system_apbBridge_io_pipelinedMemoryBus_rsp_valid),pkg_toStdLogicVector(system_ram_io_bus_rsp_valid)) /= pkg_stdLogicVector("00")) or (system_mainBusDecoder_logic_rspPending and system_mainBusDecoder_logic_rspNoHit)); + system_mainBusDecoder_logic_masterPipelined_rsp_payload_data <= zz_system_mainBusDecoder_logic_masterPipelined_rsp_payload_data; + when_MuraxUtiles_l133 <= (system_mainBusDecoder_logic_rspPending and (not system_mainBusDecoder_logic_masterPipelined_rsp_valid)); + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + if when_Murax_l188 = '1' then + resetCtrl_systemClkResetCounter <= (resetCtrl_systemClkResetCounter + pkg_unsigned("000001")); + end if; + if when_Murax_l192 = '1' then + resetCtrl_systemClkResetCounter <= pkg_unsigned("000000"); + end if; + end if; + end process; + + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + resetCtrl_mainClkReset <= resetCtrl_mainClkResetUnbuffered; + resetCtrl_systemReset <= resetCtrl_mainClkResetUnbuffered; + if system_cpu_debug_resetOut_regNext = '1' then + resetCtrl_systemReset <= pkg_toStdLogic(true); + end if; + end if; + end process; + + process(io_mainClk, resetCtrl_systemReset) + begin + if resetCtrl_systemReset = '1' then + system_cpu_dBus_cmd_rValid <= pkg_toStdLogic(false); + system_mainBusDecoder_logic_rspPending <= pkg_toStdLogic(false); + system_mainBusDecoder_logic_rspNoHit <= pkg_toStdLogic(false); + elsif rising_edge(io_mainClk) then + if system_cpu_dBus_cmd_valid = '1' then + system_cpu_dBus_cmd_rValid <= pkg_toStdLogic(true); + end if; + if system_cpu_dBus_cmd_halfPipe_fire = '1' then + system_cpu_dBus_cmd_rValid <= pkg_toStdLogic(false); + end if; + if system_mainBusDecoder_logic_masterPipelined_rsp_valid = '1' then + system_mainBusDecoder_logic_rspPending <= pkg_toStdLogic(false); + end if; + if when_MuraxUtiles_l127 = '1' then + system_mainBusDecoder_logic_rspPending <= pkg_toStdLogic(true); + end if; + system_mainBusDecoder_logic_rspNoHit <= pkg_toStdLogic(false); + if system_mainBusDecoder_logic_noHit = '1' then + system_mainBusDecoder_logic_rspNoHit <= pkg_toStdLogic(true); + end if; + end if; + end process; + + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + if system_cpu_dBus_cmd_ready = '1' then + system_cpu_dBus_cmd_rData_wr <= system_cpu_dBus_cmd_payload_wr; + system_cpu_dBus_cmd_rData_address <= system_cpu_dBus_cmd_payload_address; + system_cpu_dBus_cmd_rData_data <= system_cpu_dBus_cmd_payload_data; + system_cpu_dBus_cmd_rData_size <= system_cpu_dBus_cmd_payload_size; + end if; + if system_mainBusDecoder_logic_masterPipelined_cmd_fire_1 = '1' then + system_mainBusDecoder_logic_rspSourceId <= unsigned(pkg_toStdLogicVector(system_mainBusDecoder_logic_hits_1)); + end if; + end if; + end process; + + process(io_mainClk) + begin + if rising_edge(io_mainClk) then + system_cpu_debug_resetOut_regNext <= system_cpu_debug_resetOut; + end if; + end process; + + process(io_mainClk, resetCtrl_mainClkReset) + begin + if resetCtrl_mainClkReset = '1' then + system_cpu_debug_bus_cmd_fire_regNext <= pkg_toStdLogic(false); + elsif rising_edge(io_mainClk) then + system_cpu_debug_bus_cmd_fire_regNext <= system_cpu_debug_bus_cmd_fire; + end if; + end process; + +end arch; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.pkg_scala2hdl.all; +use work.all; +use work.pkg_enum.all; + + +entity de1_murax_franz is + port( + jtag_tck : in std_logic; + jtag_tdi : in std_logic; + jtag_tdo : out std_logic; + jtag_tms : in std_logic; + uart_txd : out std_logic; + uart_rxd : in std_logic; + KEY0 : in std_logic; + CLOCK_50 : in std_logic; + LEDR : out std_logic_vector(7 downto 0) + ); +end de1_murax_franz; + +architecture arch of de1_murax_franz is + signal murax_1_io_asyncReset : std_logic; + signal murax_1_io_jtag_tdo : std_logic; + signal murax_1_io_gpioA_write : std_logic_vector(31 downto 0); + signal murax_1_io_gpioA_writeEnable : std_logic_vector(31 downto 0); + signal murax_1_io_uart_txd : std_logic; + +begin + murax_1 : entity work.Murax + port map ( + io_asyncReset => murax_1_io_asyncReset, + io_mainClk => CLOCK_50, + io_jtag_tms => jtag_tms, + io_jtag_tdi => jtag_tdi, + io_jtag_tdo => murax_1_io_jtag_tdo, + io_jtag_tck => jtag_tck, + io_gpioA_read => pkg_stdLogicVector("00000000000000000000000000000000"), + io_gpioA_write => murax_1_io_gpioA_write, + io_gpioA_writeEnable => murax_1_io_gpioA_writeEnable, + io_uart_txd => murax_1_io_uart_txd, + io_uart_rxd => uart_rxd + ); + LEDR <= pkg_extract(murax_1_io_gpioA_write,7,0); + jtag_tdo <= murax_1_io_jtag_tdo; + uart_txd <= murax_1_io_uart_txd; + murax_1_io_asyncReset <= (not KEY0); +end arch; + diff --git a/src/t_de1_murax_franz.vhd b/src/t_de1_murax_franz.vhd new file mode 100644 index 0000000..cdd3062 --- /dev/null +++ b/src/t_de1_murax_franz.vhd @@ -0,0 +1,75 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity t_de1_murax_franz is +end t_de1_murax_franz; + +architecture tbench of t_de1_murax_franz is + + -- definition of a clock period + constant period : time := 20 ns; + -- switch for clock generator + signal clken_p : boolean := true; + + + signal clk_i : std_ulogic; + signal rst_ni : std_ulogic; + signal key : std_ulogic; + signal ledr : std_ulogic_vector(7 downto 0); + + signal tck, tdi, tms, tdo : std_logic; + signal uart_rxd, uart_txd : std_logic; + +begin + + -- clock generation + clock_proc : process + begin + while clken_p loop + clk_i <= '0'; wait for period/2; + clk_i <= '1'; wait for period/2; + end loop; + wait; + end process; + + -- initial reset, always necessary at the beginning of a simulation + reset : rst_ni <= '0', '1' AFTER period; + + stimuli_p : process + begin + wait until rst_ni = '1'; + wait for 15*period; + --wait until falling_edge(clk_i) and ledr = "00010"; + + wait; + clken_p <= false; + wait; + end process stimuli_p; + + tck <= '0'; + tdi <= '0'; + tms <= '0'; + uart_rxd <= '1'; + + + de1_murax_franz_i0 : entity work.de1_murax_franz + port map ( + CLOCK_50 => clk_i, + JTAG_TCK => tck, + JTAG_TDI => tdi, + JTAG_TDO => tdo, + JTAG_TMS => tms, + UART_RXD => uart_rxd, + UART_TXD => uart_txd, + KEY0 => rst_ni, + LEDR => ledr); + + simstop_p : process + begin + wait; + wait on clken_p for 3000 ms; + assert not clken_p report "Simulation failed due to timeout" severity failure; + wait; + end process; + +end tbench; -- cgit v1.2.3